HomeSort by relevance Sort by last modified time
    Searched refs:_gen (Results 1 - 8 of 8) sorted by null

  /device/linaro/bootloader/edk2/BaseTools/Source/C/VfrCompile/Pccts/antlr/
gen.c 162 #define _gen(s) {fprintf(output, s);} macro
259 else _gen(",");
263 else _gen("=NULL");
265 _gen(";\n");
432 else _gen(",");
436 _gen(";\n");
446 else _gen(",");
449 _gen(";\n");
475 else _gen(",");
480 _gen(";\n");
    [all...]
  /external/bcc/examples/usdt_sample/usdt_sample_lib1/include/usdt_sample_lib1/
lib1.h 56 std::mt19937 _gen; ///< Used randomly determine an operation latency to simulate. member in class:OperationProvider
  /external/bcc/examples/usdt_sample/usdt_sample_lib1/src/
lib1.cpp 26 : _gen(std::random_device()())
45 auto latencyMs = _dis(_gen);
  /external/python/cpython3/Lib/test/test_asyncio/
utils.py 331 self._gen = gen()
332 next(self._gen)
356 self._gen.send(0)
451 advance = self._gen.send(when)
  /external/tensorflow/tensorflow/python/keras/engine/
training_generator_test.py 348 def _gen(): function in function:TestConvertToGeneratorLike._make_generator
352 return _gen()
training_generator.py 480 def _gen(data): function in function:convert_to_generator_like
493 return _gen(data), steps_per_epoch
  /external/libchrome/third_party/jinja2/
environment.py     [all...]
  /build/make/tools/fs_config/
fs_config_generator.py 51 self._gen = gen
60 generator._generators[self._gen] = cls()
    [all...]

Completed in 1636 milliseconds