HomeSort by relevance Sort by last modified time
    Searched refs:clocks (Results 1 - 25 of 228) sorted by null

1 2 3 4 5 6 7 8 910

  /external/u-boot/arch/arm/dts/
am33xx-clocks.dtsi 14 clocks = <&virt_19200000_ck>, <&virt_24000000_ck>, <&virt_25000000_ck>, <&virt_26000000_ck>;
22 clocks = <&sys_clkin_ck>;
30 clocks = <&sys_clkin_ck>;
38 clocks = <&sys_clkin_ck>;
46 clocks = <&sys_clkin_ck>;
54 clocks = <&sys_clkin_ck>;
62 clocks = <&sys_clkin_ck>;
70 clocks = <&sys_clkin_ck>;
78 clocks = <&sys_clkin_ck>;
86 clocks = <&sys_clkin_ck>
    [all...]
omap34xx-omap36xx-clocks.dtsi 14 clocks = <&l4_ick>;
22 clocks = <&security_l4_ick2>;
30 clocks = <&security_l4_ick2>;
38 clocks = <&security_l4_ick2>;
46 clocks = <&security_l4_ick2>;
54 clocks = <&dpll4_m5x2_ck>;
63 clocks = <&l4_ick>;
71 clocks = <&core_96m_fck>;
79 clocks = <&l3_ick>;
87 clocks = <&security_l3_ick>
    [all...]
omap36xx-omap3430es2plus-clocks.dtsi 14 clocks = <&corex2_fck>;
22 clocks = <&corex2_fck>;
31 clocks = <&ssi_ssr_gate_fck_3430es2>, <&ssi_ssr_div_fck_3430es2>;
37 clocks = <&ssi_ssr_fck>;
45 clocks = <&core_l3_ick>;
53 clocks = <&l4_ick>;
61 clocks = <&ssi_l4_ick>;
69 clocks = <&omap_96m_fck>;
77 clocks = <&sys_ck>;
85 clocks = <&omap_96m_fck>
    [all...]
am43xx-clocks.dtsi 14 clocks = <&sysboot_freq_sel_ck>, <&crystal_freq_sel_ck>;
22 clocks = <&virt_19200000_ck>, <&virt_24000000_ck>, <&virt_25000000_ck>, <&virt_26000000_ck>;
30 clocks = <&virt_19200000_ck>, <&virt_24000000_ck>, <&virt_25000000_ck>, <&virt_26000000_ck>;
38 clocks = <&sys_clkin_ck>;
46 clocks = <&sys_clkin_ck>;
54 clocks = <&sys_clkin_ck>;
62 clocks = <&sys_clkin_ck>;
70 clocks = <&sys_clkin_ck>;
78 clocks = <&sys_clkin_ck>;
86 clocks = <&sys_clkin_ck>
    [all...]
omap36xx-am35xx-omap3430es2plus-clocks.dtsi 14 clocks = <&corex2_fck>;
22 clocks = <&corex2_fck>;
31 clocks = <&sys_ck>, <&sys_ck>;
40 clocks = <&dpll5_ck>;
49 clocks = <&core_ck>;
57 clocks = <&core_ck>;
65 clocks = <&core_ck>;
73 clocks = <&core_ck>;
81 clocks = <&dpll4_m2x2_ck>;
89 clocks = <&core_ck>
    [all...]
dra7xx-clocks.dtsi 14 clocks = <&atl_gfclk_mux>;
20 clocks = <&atl_gfclk_mux>;
26 clocks = <&atl_gfclk_mux>;
32 clocks = <&atl_gfclk_mux>;
110 clocks = <&sys_clkin1>;
202 clocks = <&abe_dpll_clk_mux>, <&abe_dpll_bypass_clk_mux>;
209 clocks = <&dpll_abe_ck>;
215 clocks = <&dpll_abe_x2_ck>;
226 clocks = <&dpll_abe_m2x2_ck>;
235 clocks = <&dpll_abe_ck>
    [all...]
dm816x-clocks.dtsi 12 clocks = <&sys_clkin_ck &sys_clkin_ck>;
28 clocks = <&sys_clkin_ck &sys_clkin_ck>;
40 clocks = <&sys_clkin_ck &sys_clkin_ck>;
51 clocks = <&main_fapll 7>, < &sys_clkin_ck>;
92 clocks = <&main_fapll 5 &ddr_fapll 1 &video_fapll 1
100 clocks = <&clkout_pre_ck>;
109 clocks = <&clkout_div_ck>;
114 /* CM_DPLL clocks p1795 */
118 clocks = <&main_fapll 1>;
126 clocks = <&main_fapll 2>
    [all...]
stih407-clock.dtsi 10 clocks {
31 clocks = <&clk_m_a9>;
47 clocks = <&clk_sysin>;
54 * ARM CPU related clocks.
61 clocks = <&clockgen_a9_pll 0>,
74 clocks = <&clk_s_c0_flexgen 13>;
101 clocks = <&clk_sysin>;
111 clocks = <&clk_s_a0_pll 0>,
123 clocks = <&clk_sysin>;
139 clocks = <&clk_sysin>
    [all...]
stm32f429.dtsi 50 clocks {
81 clocks = <&rcc 0 STM32F4_APB1_CLOCK(TIM2)>;
90 clocks = <&rcc 0 STM32F4_APB1_CLOCK(TIM2)>;
110 clocks = <&rcc 0 STM32F4_APB1_CLOCK(TIM3)>;
119 clocks = <&rcc 0 STM32F4_APB1_CLOCK(TIM3)>;
139 clocks = <&rcc 0 STM32F4_APB1_CLOCK(TIM4)>;
148 clocks = <&rcc 0 STM32F4_APB1_CLOCK(TIM4)>;
168 clocks = <&rcc 0 STM32F4_APB1_CLOCK(TIM5)>;
176 clocks = <&rcc 0 STM32F4_APB1_CLOCK(TIM5)>;
196 clocks = <&rcc 0 STM32F4_APB1_CLOCK(TIM6)>
    [all...]
keystone-k2e-clocks.dtsi 11 clocks {
15 clocks = <&refclksys>;
23 clocks = <&refclkpass>;
32 clocks = <&refclkddr3a>;
41 clocks = <&chipclk16>;
51 clocks = <&chipclk12>;
61 clocks = <&chipclk12>;
71 clocks = <&chipclk13>;
omap3xxx-clocks.dtsi 20 clocks = <&virt_12m_ck>, <&virt_13m_ck>, <&virt_19200000_ck>, <&virt_26000000_ck>, <&virt_38_4m_ck>, <&virt_16_8m_ck>;
27 clocks = <&osc_sys_ck>;
37 clocks = <&osc_sys_ck>;
45 clocks = <&dpll3_ck>;
53 clocks = <&dpll3_m2_ck>;
61 clocks = <&dpll4_ck>;
69 clocks = <&dpll3_m2x2_ck>;
77 clocks = <&sys_ck>;
87 clocks = <&core_96m_fck>, <&mcbsp_clks>;
95 clocks = <&mcbsp5_gate_fck>, <&mcbsp5_mux_fck>
    [all...]
armada-388.dtsi 64 clocks = <&gateclk 30>;
salvator-x.dtsi 27 clocks = <&x23_clk>;
am35xx-clocks.dtsi 14 clocks = <&ipss_ick>;
22 clocks = <&rmii_ck>;
30 clocks = <&ipss_ick>;
38 clocks = <&pclk_ck>;
46 clocks = <&ipss_ick>;
54 clocks = <&sys_ck>;
62 clocks = <&sys_ck>;
71 clocks = <&core_l3_ick>;
91 clocks = <&core_l4_ick>;
99 clocks = <&core_48m_fck>
    [all...]
sun50i-h5.dtsi 86 clocks = <&ccu CLK_BUS_MMC0>, <&ccu CLK_MMC0>;
93 clocks = <&ccu CLK_BUS_MMC1>, <&ccu CLK_MMC1>;
100 clocks = <&ccu CLK_BUS_MMC2>, <&ccu CLK_MMC2>;
stm32h743.dtsi 49 clocks {
75 clocks = <&clk_hse>, <&clk_lse>, <&clk_i2s>, <&clk_hsi>, <&clk_csi>;
84 clocks = <&rcc USART1_CK>;
92 clocks = <&rcc USART2_CK>;
99 clocks = <&rcc TIM5_CK>;
110 clocks = <&rcc FMC_CK>;
129 clocks = <&rcc SDMMC1_CK>;
keystone-k2hk-clocks.dtsi 11 clocks {
15 clocks = <&refclkarm>;
24 clocks = <&refclksys>;
32 clocks = <&refclkpass>;
41 clocks = <&refclkddr3a>;
50 clocks = <&refclkddr3b>;
59 clocks = <&chipclk16>;
69 clocks = <&chipclk1rstiso13>;
79 clocks = <&chipclk12>;
89 clocks = <&chipclk1>
    [all...]
armada-370-xp.dtsi 95 clocks = <&coreclk 0>;
105 clocks = <&coreclk 0>;
115 clocks = <&coreclk 0>;
125 clocks = <&coreclk 0>;
135 clocks = <&coreclk 0>;
158 clocks = <&coreclk 0>;
168 clocks = <&coreclk 0>;
178 clocks = <&coreclk 0>;
188 clocks = <&coreclk 0>;
198 clocks = <&coreclk 0>
    [all...]
keystone-k2l-clocks.dtsi 11 clocks {
15 clocks = <&refclksys>;
24 clocks = <&refclksys>;
32 clocks = <&refclksys>;
41 clocks = <&refclksys>;
50 clocks = <&chipclk12>;
60 clocks = <&chipclk12>;
70 clocks = <&chipclk1>;
80 clocks = <&chipclk1>;
90 clocks = <&chipclk1>
    [all...]
keystone-clocks.dtsi 11 clocks {
19 clocks = <&mainpllclk>, <&refclksys>;
29 clocks = <&mainmuxclk>;
38 clocks = <&mainmuxclk>;
47 clocks = <&mainmuxclk>;
57 clocks = <&mainmuxclk>;
67 clocks = <&chipclk1>;
76 clocks = <&chipclk1>;
85 clocks = <&papllclk>;
94 clocks = <&chipclk1>
    [all...]
sun5i-gr8.dtsi 62 clocks = <&cpu>;
66 clocks {
73 * other mux clocks when a specific parent clock is not
96 clocks = <&osc24M>;
111 clocks = <&osc24M>;
119 clocks = <&osc24M>;
128 clocks = <&osc3M>;
137 clocks = <&pll3>;
145 clocks = <&osc24M>;
153 clocks = <&osc24M>
    [all...]
sun5i.dtsi 62 clocks = <&cpu>;
66 clocks {
73 * other mux clocks when a specific parent clock is not
96 clocks = <&osc24M>;
111 clocks = <&osc24M>;
119 clocks = <&osc24M>;
128 clocks = <&osc3M>;
137 clocks = <&pll3>;
145 clocks = <&osc24M>;
153 clocks = <&osc24M>
    [all...]
armada-xp.dtsi 119 clocks = <&coreclk 0>;
131 clocks = <&coreclk 0>;
143 clocks = <&coreclk 0>;
164 clocks = <&coreclk 1>;
173 clocks = <&coreclk 2>, <&refclk>;
179 clocks = <&coreclk 2>, <&refclk>;
192 clocks = <&gateclk 2>;
197 clocks = <&gateclk 18>;
201 clocks = <&gateclk 19>;
208 clocks = <&gateclk 20>
    [all...]
  /device/linaro/bootloader/OpenPlatformPkg/Platforms/ARM/VExpress/DeviceTree/
rtsm_ve-motherboard.dtsi 94 clocks = <&v2m_refclk32khz>, <&v2m_refclk1mhz>, <&v2m_clk24mhz>;
104 clocks = <&v2m_clk24mhz>;
116 clocks = <&v2m_clk24mhz>, <&v2m_clk24mhz>;
124 clocks = <&v2m_clk24mhz>, <&v2m_clk24mhz>;
132 clocks = <&v2m_clk24mhz>, <&v2m_clk24mhz>;
140 clocks = <&v2m_clk24mhz>, <&v2m_clk24mhz>;
148 clocks = <&v2m_clk24mhz>, <&v2m_clk24mhz>;
156 clocks = <&v2m_clk24mhz>, <&v2m_clk24mhz>;
164 clocks = <&v2m_clk24mhz>, <&v2m_clk24mhz>;
172 clocks = <&v2m_refclk32khz>, <&v2m_clk24mhz>
    [all...]
  /device/linaro/bootloader/arm-trusted-firmware/fdts/
rtsm_ve-motherboard.dtsi 69 clocks = <&v2m_refclk32khz>, <&v2m_refclk1mhz>, <&v2m_clk24mhz>;
79 clocks = <&v2m_clk24mhz>;
91 clocks = <&v2m_clk24mhz>, <&v2m_clk24mhz>;
99 clocks = <&v2m_clk24mhz>, <&v2m_clk24mhz>;
107 clocks = <&v2m_clk24mhz>, <&v2m_clk24mhz>;
115 clocks = <&v2m_clk24mhz>, <&v2m_clk24mhz>;
123 clocks = <&v2m_clk24mhz>, <&v2m_clk24mhz>;
131 clocks = <&v2m_clk24mhz>, <&v2m_clk24mhz>;
139 clocks = <&v2m_clk24mhz>, <&v2m_clk24mhz>;
147 clocks = <&v2m_refclk32khz>, <&v2m_clk24mhz>
    [all...]

Completed in 309 milliseconds

1 2 3 4 5 6 7 8 910