Home | History | Annotate | Download | only in Lex

Lines Matching defs:Val

36   llvm::APSInt Val;
39 PPValue(unsigned BitWidth) : Val(BitWidth) {}
41 unsigned getBitWidth() const { return Val.getBitWidth(); }
42 bool isUnsigned() const { return Val.isUnsigned(); }
111 Result.Val = II->hasMacroDefinition();
112 Result.Val.setIsUnsigned(false); // Result is signed intmax_t.
115 if (Result.Val != 0 && ValueLive) {
183 Result.Val = II->getTokenID() == tok::kw_true;
184 Result.Val.setIsUnsigned(false); // "0" is signed intmax_t 0.
224 if (Literal.GetIntegerValue(Result.Val)) {
227 Result.Val.setIsUnsigned(true);
231 Result.Val.setIsUnsigned(Literal.isUnsigned);
237 if (!Literal.isUnsigned && Result.Val.isNegative()) {
241 Result.Val.setIsUnsigned(true);
280 llvm::APSInt Val(NumBits);
282 Val = Literal.getValue();
285 Val.setIsUnsigned(!PP.getLangOptions().CharIsSigned);
287 if (Result.Val.getBitWidth() > Val.getBitWidth()) {
288 Result.Val = Val.extend(Result.Val.getBitWidth());
290 assert(Result.Val.getBitWidth() == Val.getBitWidth() &&
292 Result.Val = Val;
343 Result.Val = -Result.Val;
346 bool Overflow = !Result.isUnsigned() && Result.Val.isMinSignedValue();
363 Result.Val = ~Result.Val;
373 Result.Val = !Result.Val;
375 Result.Val.setIsUnsigned(false);
456 if (Operator == tok::ampamp && LHS.Val == 0)
458 else if (Operator == tok::pipepipe && LHS.Val != 0)
460 else if (Operator == tok::question && LHS.Val == 0)
526 if (!LHS.isUnsigned() && LHS.Val.isNegative())
528 << LHS.Val.toString(10, true) + " to " +
529 LHS.Val.toString(10, false)
531 if (!RHS.isUnsigned() && RHS.Val.isNegative())
533 << RHS.Val.toString(10, true) + " to " +
534 RHS.Val.toString(10, false)
537 LHS.Val.setIsUnsigned(Res.isUnsigned());
538 RHS.Val.setIsUnsigned(Res.isUnsigned());
545 if (RHS.Val != 0)
546 Res = LHS.Val % RHS.Val;
554 if (RHS.Val != 0) {
555 if (LHS.Val.isSigned())
556 Res = llvm::APSInt(LHS.Val.sdiv_ov(RHS.Val, Overflow), false);
558 Res = LHS.Val / RHS.Val;
568 Res = llvm::APSInt(LHS.Val.smul_ov(RHS.Val, Overflow), false);
570 Res = LHS.Val * RHS.Val;
574 unsigned ShAmt = static_cast<unsigned>(RHS.Val.getLimitedValue());
576 Overflow = ShAmt >= LHS.Val.getBitWidth();
578 ShAmt = LHS.Val.getBitWidth()-1;
579 Res = LHS.Val << ShAmt;
581 Res = llvm::APSInt(LHS.Val.sshl_ov(ShAmt, Overflow), false);
587 unsigned ShAmt = static_cast<unsigned>(RHS.Val.getLimitedValue());
590 Res = LHS.Val >> ShAmt;
595 Res = LHS.Val + RHS.Val;
597 Res = llvm::APSInt(LHS.Val.sadd_ov(RHS.Val, Overflow), false);
601 Res = LHS.Val - RHS.Val;
603 Res = llvm::APSInt(LHS.Val.ssub_ov(RHS.Val, Overflow), false);
606 Res = LHS.Val <= RHS.Val;
610 Res = LHS.Val < RHS.Val;
614 Res = LHS.Val >= RHS.Val;
618 Res = LHS.Val > RHS.Val;
622 Res = LHS.Val != RHS.Val;
626 Res = LHS.Val == RHS.Val;
630 Res = LHS.Val & RHS.Val;
633 Res = LHS.Val ^ RHS.Val;
636 Res = LHS.Val | RHS.Val;
639 Res = (LHS.Val != 0 && RHS.Val != 0);
643 Res = (LHS.Val != 0 || RHS.Val != 0);
652 Res = RHS.Val; // LHS = LHS,RHS -> RHS.
666 bool AfterColonLive = ValueLive && LHS.Val == 0;
679 Res = LHS.Val != 0 ? RHS.Val : AfterColonVal.Val;
703 LHS.Val = Res;
754 return ResVal.Val != 0;
779 return ResVal.Val != 0;