HomeSort by relevance Sort by last modified time
    Searched defs:norm (Results 1 - 25 of 61) sorted by null

1 2 3

  /frameworks/base/media/libstagefright/codecs/amrnb/enc/src/
autocorr.cpp 171 norm = normalized autocorrelation at lag zero of type Word16
207 Word16 i, j, norm;
252 norm = norm_l (sum);
253 sum = L_shl (sum, norm);
267 sum = L_shl (sum, norm);
271 norm = sub (norm, overfl_shft);
273 return norm;
311 register Word16 norm; local
414 norm = norm_l(sum)
416 sum <<= norm; local
    [all...]
  /frameworks/base/media/libstagefright/codecs/amrwbenc/src/
autocorr.c 38 Word32 i, norm, shift; local
66 norm = norm_l(L_sum);
67 shift = 4 - (norm >> 1);
94 norm = norm_l(L_sum);
95 L_sum = (L_sum << norm);
115 L_sum1 = L_sum1<<norm;
116 L_sum = L_sum<<norm;
pitch_f4.c 171 Word32 corr, exp_corr, norm, exp, scale; local
232 norm = extract_h(L_tmp);
236 L_tmp = vo_L_mult(corr, norm);
  /external/dropbear/libtommath/
bn_mp_div.c 106 int res, n, t, i, norm, neg; local
152 norm = mp_count_bits(&y) % DIGIT_BIT;
153 if (norm < (int)(DIGIT_BIT-1)) {
154 norm = (DIGIT_BIT-1) - norm;
155 if ((res = mp_mul_2d (&x, norm, &x)) != MP_OKAY) {
158 if ((res = mp_mul_2d (&y, norm, &y)) != MP_OKAY) {
162 norm = 0;
272 if ((res = mp_div_2d (&x, norm, &x, NULL)) != MP_OKAY) {
  /external/stlport/stlport/stl/
_complex.h 741 inline _Tp _STLP_CALL norm(const complex<_Tp>& __z) function
  /external/webrtc/src/common_audio/signal_processing_library/main/source/
levinson_durbin.c 38 WebRtc_Word16 norm; local
42 norm = WebRtcSpl_NormW32(R[0]);
46 temp1W32 = WEBRTC_SPL_LSHIFT_W32(R[i], norm);
142 norm = WebRtcSpl_NormW32(temp3W32);
143 if ((Alpha_exp <= norm) || (temp3W32 == 0))
224 norm = WebRtcSpl_NormW32(temp1W32);
225 temp1W32 = WEBRTC_SPL_LSHIFT_W32(temp1W32, norm);
232 Alpha_exp = Alpha_exp + norm;
  /libcore/luni/src/main/java/java/util/
Random.java 156 double norm = Math.sqrt(-2 * Math.log(s) / s); local
157 nextNextGaussian = v2 * norm; // should that not be norm instead
160 return v1 * norm; // should that not be norm instead of multiplier
  /ndk/sources/cxx-stl/stlport/stlport/stl/
_complex.h 741 inline _Tp _STLP_CALL norm(const complex<_Tp>& __z) function
  /prebuilt/ndk/android-ndk-r5/sources/cxx-stl/stlport/stlport/stl/
_complex.h 741 inline _Tp _STLP_CALL norm(const complex<_Tp>& __z) function
  /prebuilt/ndk/android-ndk-r6/sources/cxx-stl/stlport/stlport/stl/
_complex.h 741 inline _Tp _STLP_CALL norm(const complex<_Tp>& __z) function
  /development/samples/GlobalTime/src/com/android/globaltime/
LatLongSphere.java 83 float norm = 1.0f / Shape.length(x, y, z); local
84 normals[nidx++] = toFixed(x * norm);
85 normals[nidx++] = toFixed(y * norm);
86 normals[nidx++] = toFixed(z * norm);
Clock.java 83 float norm = (radius / 2.0f) / (float) Math.sqrt(ox * ox + oy * oy); local
84 ox *= norm;
85 oy *= norm;
Shape.java 126 float norm = 1.0f / length; local
127 v[0] *= norm;
128 v[1] *= norm;
129 v[2] *= norm;
  /frameworks/base/core/java/android/util/
MathUtils.java 147 public static float norm(float start, float stop, float value) { method in class:MathUtils
  /external/icu4c/test/intltest/
tscoll.cpp 158 UColAttributeValue norm = ucol_getAttribute(myCollation, UCOL_NORMALIZATION_MODE, &status); local
196 ucol_setAttribute(myCollation, UCOL_NORMALIZATION_MODE, norm, &status);
230 if(norm != UCOL_ON && !quick) {
234 ucol_setAttribute(myCollation, UCOL_NORMALIZATION_MODE, norm, &status);
  /external/opencv/cxcore/src/
cxnorm.cpp 57 norm = _update_op_( norm, t0 ); \
58 norm = _update_op_( norm, t1 ); \
64 norm = _update_op_( norm, t0 ); \
65 norm = _update_op_( norm, t1 ); \
72 norm = _update_op_( norm, t0 );
971 double norm = 0, norm_diff = 0; local
    [all...]
  /external/webkit/Source/WebCore/platform/graphics/skia/
ImageSkia.cpp 311 FloatRect norm = rect; local
312 if (norm.width() < 0) {
313 norm.setX(norm.x() + norm.width());
314 norm.setWidth(-norm.width());
316 if (norm.height() < 0) {
317 norm.setY(norm.y() + norm.height())
    [all...]
  /external/stlport/src/
num_get_float.cpp 232 static void _Stl_norm_and_round(uint64& p, int& norm, uint64 prodhi, uint64 prodlo) {
233 norm = 0;
247 norm = 1;
300 int norm; /* number of bits of normalization */ local
308 _Stl_norm_and_round(p, norm, prodhi, prodlo);
309 bexp += _Stl_twoexp[hi] - norm;
315 _Stl_norm_and_round(p, norm, prodhi, prodlo);
316 bexp += _Stl_twoexp[lo] - norm;
  /ndk/sources/cxx-stl/stlport/src/
num_get_float.cpp 232 static void _Stl_norm_and_round(uint64& p, int& norm, uint64 prodhi, uint64 prodlo) {
233 norm = 0;
247 norm = 1;
300 int norm; /* number of bits of normalization */ local
308 _Stl_norm_and_round(p, norm, prodhi, prodlo);
309 bexp += _Stl_twoexp[hi] - norm;
315 _Stl_norm_and_round(p, norm, prodhi, prodlo);
316 bexp += _Stl_twoexp[lo] - norm;
  /external/bouncycastle/src/main/java/org/bouncycastle/math/ec/
Tnaf.java 75 * Computes the norm of an element <code>&lambda;</code> of
80 * @return The norm of <code>&lambda;</code>.
82 public static BigInteger norm(final byte mu, ZTauElement lambda) method in class:Tnaf
84 BigInteger norm; local
97 norm = s1.add(s2).add(s3);
101 norm = s1.subtract(s2).add(s3);
108 return norm;
112 * Computes the norm of an element <code>&lambda;</code> of
121 * @return The norm of <code>&lambda;</code>.
123 public static SimpleBigDecimal norm(final byte mu, SimpleBigDecimal u method in class:Tnaf
126 SimpleBigDecimal norm; local
321 BigInteger norm = norm(mu, lambda); local
731 BigInteger norm = norm(mu, lambda); local
    [all...]
  /external/libvpx/vp8/encoder/
bitstream.c 161 static const unsigned int norm[256] = variable
214 shift = norm[range];
274 shift = norm[range];
429 shift = norm[range];
489 shift = norm[range];
633 shift = norm[range];
693 shift = norm[range];
    [all...]
  /external/opencv/cvaux/src/
cvlevmarprojbandle.cpp 1350 double norm = cvNorm(vectorX_points4D); local
1355 double norm = cvNorm(vectorX_projMatrs[i]); local
1384 double norm = cvNorm(matrsUk[i]); local
1390 double norm = cvNorm(matrsVi[i]); local
1427 double norm = cvNorm(matrsUk[i]); local
1433 double norm = cvNorm(matrsVi[i]); local
    [all...]
  /external/skia/src/core/
SkStroke.cpp 254 SkVector norm, unit; local
257 this->quad_to(&tmp[0], normalAB, unitNormalAB, &norm, &unit, subDivide);
258 this->quad_to(&tmp[2], norm, unit, normalBC, unitNormalBC, subDivide);
312 SkVector norm, unit, dummy, unitDummy; local
315 this->cubic_to(&tmp[0], normalAB, unitNormalAB, &norm, &unit,
319 this->cubic_to(&tmp[3], norm, unit, &dummy, &unitDummy, subDivide);
  /external/svox/pico/lib/
picosig2.c 1005 picoos_int32 *norm; local
    [all...]
  /frameworks/base/libs/ui/
Input.cpp 936 float norm = vectorNorm(&q[j][0], m); local
937 if (norm < 0.000001f) {
940 LOGD(" - no solution, norm=%f", norm);
945 float invNorm = 1.0f / norm;
    [all...]

Completed in 1906 milliseconds

1 2 3