HomeSort by relevance Sort by last modified time
    Searched refs:PPCInstr_FpLdSt (Results 1 - 3 of 3) sorted by null

  /external/valgrind/main/VEX/priv/
host_ppc_isel.c 541 addInstr(env, PPCInstr_FpLdSt(True/*load*/, 8, fr_dst, am_addr0));
563 addInstr(env, PPCInstr_FpLdSt(True/*load*/, 8, fr_dst, am_addr0));
    [all...]
host_ppc_defs.h 994 extern PPCInstr* PPCInstr_FpLdSt ( Bool isLoad, UChar sz, HReg, PPCAMode* );
    [all...]
host_ppc_defs.c     [all...]

Completed in 140 milliseconds