HomeSort by relevance Sort by last modified time
    Searched refs:modulo (Results 1 - 25 of 45) sorted by null

1 2

  /frameworks/base/core/java/android/widget/
AdapterViewAnimator.java 346 int modulo(int pos, int size) { method in class:AdapterViewAnimator
362 int i = modulo(mCurrentWindowStartUnbounded + relativeIndex, getWindowSize());
412 int index = modulo(i, getWindowSize());
416 final View updatedChild = mAdapter.getView(modulo(i, adapterCount), null, this);
484 int rangeStart = modulo(newWindowStart, getWindowSize());
485 int rangeEnd = modulo(newWindowEnd, getWindowSize());
519 int index = modulo(i, getWindowSize());
542 final int adapterPosition = modulo(i, adapterCount);
566 int adapterStart = modulo(mCurrentWindowStart, adapterCount);
567 int adapterEnd = modulo(mCurrentWindowEnd, adapterCount)
    [all...]
  /external/v8/test/mjsunit/
div-mod.js 92 // Test extreme corner cases of modulo.
94 // Computes the modulo by slow but lossless operations.
203 // Test the standard lithium code for modulo opeartions.
220 // Test the lithium code for modulo operations with a variable power of two
231 // Test the lithium code for modulo operations with a constant power of two
array-elements-from-array-prototype-chain.js 35 // those ideally should be identical modulo host of elements and
array-elements-from-array-prototype.js 35 // those ideally should be identical modulo host of elements and
array-elements-from-object-prototype.js 35 // those ideally should be identical modulo host of elements and
smi-ops.js 691 // Verify that the shift amount is reduced modulo 32, not modulo 64.
  /external/webkit/Tools/iExploder/iexploder-1.3.2/htdocs/
iexploder.rb 266 @offset = @subtest_num.modulo(@htmlMaxTags)
329 test.offset = test.subtest_num.modulo(max)
  /external/antlr/antlr-3.4/runtime/C/src/
antlr3collections.c 153 // Modulo of the table, (bucket count).
155 table->modulo = sizeHint;
208 for (bucket = 0; bucket < table->modulo; bucket++)
283 hash = (ANTLR3_UINT32)(key % (ANTLR3_INTKEY)(table->modulo));
344 bucket = table->buckets + (hash % table->modulo);
452 hash = (ANTLR3_UINT32)(key % (ANTLR3_INTKEY)(table->modulo));
496 bucket = table->buckets + (hash % table->modulo);
532 hash = (ANTLR3_UINT32)(key % (ANTLR3_INTKEY)(table->modulo));
607 bucket = table->buckets + (hash % table->modulo);
733 if (en->bucket >= en->table->modulo)
    [all...]
  /external/antlr/antlr-3.4/runtime/C/include/
antlr3collections.h 111 ANTLR3_UINT32 modulo; member in struct:ANTLR3_HASH_TABLE_struct
  /external/v8/src/
platform-nullos.cc 52 double modulo(double x, double y) { function in namespace:v8::internal
platform.h 98 double modulo(double x, double y);
platform-posix.cc 127 double modulo(double x, double y) { function in namespace:v8::internal
platform-win32.cc 185 double modulo(double x, double y) { function in namespace:v8::internal
192 double modulo(double x, double y) { function in namespace:v8::internal
    [all...]
  /external/jsilver/src/com/google/clearsilver/jsilver/syntax/
jsilver.sablecc 499 | {modulo} [left]:factor percent [right]:value // x.y % a.b
500 {->New expression.modulo(left.expression, right.expression)}
700 | {modulo} [left]:expression [right]:expression // x % y
  /external/openssl/crypto/modes/asm/
ghash-armv4.pl 314 # byte multiplication and modulo-reduction, times 16.
381 veor $Z,$Qpost @ modulo-scheduled part
397 veor $Z,$Qpost @ modulo-scheduled artefact
ghash-x86_64.pl 358 my ($Xhi,$Xi,$Hkey,$modulo)=@_;
360 $code.=<<___ if (!defined($modulo));
ghash-armv4.S 369 veor q10,q13 @ modulo-scheduled part
385 veor q10,q13 @ modulo-scheduled artefact
  /external/dropbear/libtommath/
bn.tex     [all...]
  /prebuilts/misc/common/jython/
jython.jar 
  /prebuilts/devtools/tools/lib/
jython-2.5.3.jar 
  /prebuilts/tools/common/m2/repository/org/python/jython/2.5.3/
jython-2.5.3.jar 
  /external/llvm/lib/Support/
APInt.cpp     [all...]
  /external/antlr/antlr-3.4/runtime/Ruby/test/functional/ast-output/
rewrites.rb 1101 result = parse( <<-'END', :modulo, 'modulo abc (x y #)' )
1106 modulo
1107 : 'modulo' ID ('(' parms+ ')')? -> ^('modulo' ID ^(PARMS parms+)?)
1114 result.should == '(modulo abc (PARMS x y #))'
    [all...]
  /external/strace/
strace.spec 310 - new upstream version, fixes all known bugs modulo ia64 and s390 issues
  /external/llvm/include/llvm/ADT/
APInt.h 818 /// a modulo operation because the sign follows the sign of the dividend
    [all...]

Completed in 668 milliseconds

1 2