Home | History | Annotate | Download | only in mips

Lines Matching refs:array_length

3542   Register array_length = a2;
3564 __ lw(array_length, FieldMemOperand(array, JSArray::kLengthOffset));
3565 __ SmiUntag(array_length);
3566 __ Branch(&non_trivial_array, ne, array_length, Operand(zero_reg));
3582 __ sll(elements_end, array_length, kPointerSizeLog2);
3587 // array_length: Length of the fixed array of strings (not smi)
3594 array_length, Operand(zero_reg));
3608 // If array_length is 1, return elements[0], a string.
3609 __ Branch(&not_size_one_array, ne, array_length, Operand(1));
3617 // array_length: Length of the array.
3627 // Add (separator length times array_length) - separator length to the
3628 // string_length to get the length of the result string. array_length is not
3632 __ Mult(array_length, scratch1);
3654 // array_length: Length of the array.
3664 __ sll(elements_end, array_length, kPointerSizeLog2);
3666 result_pos = array_length; // End of live range for array_length.
3667 array_length = no_reg;