HomeSort by relevance Sort by last modified time
    Searched refs:ByteAlign (Results 1 - 3 of 3) sorted by null

  /hardware/ti/omap3/omx/ti_omx_config_parser/inc/
ti_m4v_config_parser.h 89 int16 ByteAlign(
  /external/llvm/lib/MC/
MCAsmStreamer.cpp 548 unsigned ByteAlign) {
550 if (ByteAlign > 1) {
555 OS << ',' << ByteAlign;
558 assert(isPowerOf2_32(ByteAlign) && "alignment must be a power of 2");
559 OS << ',' << Log2_32(ByteAlign);
    [all...]
  /hardware/ti/omap3/omx/ti_omx_config_parser/src/
ti_m4v_config_parser.cpp 209 ByteAlign(psBits);
735 int16 ByteAlign(
    [all...]

Completed in 3815 milliseconds