HomeSort by relevance Sort by last modified time
    Searched refs:bit_length (Results 1 - 20 of 20) sorted by null

  /external/tcpdump/
print-eigrp.c 219 u_int tlen,eigrp_tlv_len,eigrp_tlv_type,tlv_tlen, byte_length, bit_length; local
327 bit_length = tlv_ptr.eigrp_tlv_ip_int->plen;
328 if (bit_length > 32) {
329 printf("\n\t illegal prefix length %u",bit_length);
332 byte_length = (bit_length + 7) / 8; /* variable length encoding */
338 bit_length);
356 bit_length = tlv_ptr.eigrp_tlv_ip_ext->plen;
357 if (bit_length > 32) {
358 printf("\n\t illegal prefix length %u",bit_length);
361 byte_length = (bit_length + 7) / 8; /* variable length encoding *
    [all...]
print-isoclns.c 1624 u_int metric, status_byte, bit_length, byte_length, sublen, processed, subtlvtype, subtlvlen; local
    [all...]
  /prebuilts/python/darwin-x86/2.7.5/lib/python2.7/test/
test_strtod.py 51 d = a.bit_length() - b.bit_length()
60 if q.bit_length() == mant_dig+1:
65 assert q.bit_length() <= mant_dig and e >= min_exp - mant_dig
66 assert q.bit_length() == mant_dig or e == min_exp - mant_dig
69 if e + q.bit_length() > max_exp:
test_int.py 274 k = x.bit_length()
282 # Alternative definition: x.bit_length() == 1 + floor(log_2(x))
291 self.assertEqual((0).bit_length(), 0)
292 self.assertEqual((1).bit_length(), 1)
293 self.assertEqual((-1).bit_length(), 1)
294 self.assertEqual((2).bit_length(), 2)
295 self.assertEqual((-2).bit_length(), 2)
298 self.assertEqual((a-1).bit_length(), i)
299 self.assertEqual((1-a).bit_length(), i)
300 self.assertEqual((a).bit_length(), i+1
    [all...]
test_long.py     [all...]
test_long_future.py 36 d = a.bit_length() - b.bit_length()
test_parser.py 614 return 1 << (n - 1).bit_length()
string_tests.py     [all...]
  /prebuilts/python/linux-x86/2.7.5/lib/python2.7/test/
test_strtod.py 51 d = a.bit_length() - b.bit_length()
60 if q.bit_length() == mant_dig+1:
65 assert q.bit_length() <= mant_dig and e >= min_exp - mant_dig
66 assert q.bit_length() == mant_dig or e == min_exp - mant_dig
69 if e + q.bit_length() > max_exp:
test_int.py 274 k = x.bit_length()
282 # Alternative definition: x.bit_length() == 1 + floor(log_2(x))
291 self.assertEqual((0).bit_length(), 0)
292 self.assertEqual((1).bit_length(), 1)
293 self.assertEqual((-1).bit_length(), 1)
294 self.assertEqual((2).bit_length(), 2)
295 self.assertEqual((-2).bit_length(), 2)
298 self.assertEqual((a-1).bit_length(), i)
299 self.assertEqual((1-a).bit_length(), i)
300 self.assertEqual((a).bit_length(), i+1
    [all...]
test_long.py     [all...]
test_long_future.py 36 d = a.bit_length() - b.bit_length()
test_parser.py 614 return 1 << (n - 1).bit_length()
string_tests.py     [all...]
  /ndk/sources/android/cpufeatures/
cpu-features.c 735 char bit_length; member in struct:CpuIdEntry
768 val &= ((1 << entry->bit_length)-1);
    [all...]
  /external/chromium_org/third_party/libwebp/enc/
vp8l.c 217 const int bit_length = huffman_codes[i].num_symbols; local
220 codes += bit_length;
221 lengths += bit_length;
    [all...]
  /external/webp/src/enc/
vp8l.c 217 const int bit_length = huffman_codes[i].num_symbols; local
220 codes += bit_length;
221 lengths += bit_length;
    [all...]
  /external/chromium/net/base/
dnssec_unittest.cc 588 static const unsigned bit_length = sizeof(kChain) * 8; local
    [all...]
  /prebuilts/python/darwin-x86/2.7.5/lib/python2.7/
decimal.py 692 k = d.bit_length() - 1
    [all...]
  /prebuilts/python/linux-x86/2.7.5/lib/python2.7/
decimal.py 692 k = d.bit_length() - 1
    [all...]

Completed in 1382 milliseconds