HomeSort by relevance Sort by last modified time
    Searched refs:stddev (Results 1 - 25 of 48) sorted by null

1 2

  /frameworks/av/media/libcpustats/
CentralTendencyStatistics.cpp 70 double CentralTendencyStatistics::stddev() const function in class:CentralTendencyStatistics
72 double stddev; local
74 stddev = sqrt(variance());
75 mStddev = stddev;
78 stddev = mStddev;
80 return stddev;
  /ndk/sources/cxx-stl/llvm-libc++/libcxx/test/numerics/rand/rand.dis/rand.dist.norm/rand.dist.norm.normal/
ctor_double_double.pass.cpp 15 // explicit normal_distribution(result_type mean = 0, result_type stddev = 1);
26 assert(d.stddev() == 1);
32 assert(d.stddev() == 1);
38 assert(d.stddev() == 5.25);
param_ctor.pass.cpp 28 assert(p.stddev() == 1);
35 assert(p.stddev() == 1);
42 assert(p.stddev() == 5);
ctor_param.pass.cpp 28 assert(d.stddev() == 10);
param_assign.pass.cpp 30 assert(p.stddev() == 6);
param_copy.pass.cpp 29 assert(p.stddev() == .125);
eval.pass.cpp 60 double x_var = sqr(d.stddev());
eval_param.pass.cpp 61 double x_var = sqr(p.stddev());
  /cts/libs/commonutil/src/com/android/cts/util/
Stat.java 28 * Collection of statistical propertirs like average, max, min, and stddev
36 public StatResult(double average, double min, double max, double stddev, int dataCount) {
40 mStddev = stddev;
46 * Calculate statistics properties likes average, min, max, and stddev for the given array
65 // stddev = sqrt(E[X^2] - (E[X])^2)
66 double stddev = Math.sqrt(eX2 - average * average); local
67 return new StatResult(average, min, max, stddev, data.length);
71 * Calculate statistics properties likes average, min, max, and stddev for the given array
107 double stddev; local
111 // stddev = sqrt(E[X^2] - (E[X])^2
    [all...]
  /frameworks/av/include/cpustats/
CentralTendencyStatistics.h 49 double stddev() const;
  /external/flac/libFLAC/include/protected/
stream_encoder.h 68 FLAC__real stddev; member in struct:__anon20136::__anon20137::__anon20138
  /external/eclipse-basebuilder/basebuilder-3.6.2/org.eclipse.releng.basebuilder/plugins/org.eclipse.test.performance.ui/src/org/eclipse/test/internal/performance/results/db/
BuildResults.java 39 double[] average, stddev; field in class:BuildResults
82 this.stddev[dim_id] = Math.sqrt(squaredDeviations / (this.count[dim_id] - 1)); // unbiased sample stdev
196 return this.stddev[this.defaultDimIndex];
208 return dimIndex < 0 ? 0 : this.stddev[dimIndex];
409 this.stddev = new double[length];
417 this.stddev[i] = stream.readDouble();
465 this.stddev = new double[length];
512 this.stddev[idx] += Double.longBitsToDouble(value);
536 buffer.append(Math.round(this.stddev[i]*1000)/1000.0);
580 stream.writeDouble(this.stddev[i])
    [all...]
ConfigResults.java 427 double mean=0, stddev=0, variation=0; local
454 stddev += Math.pow(values[i] - mean, 2);
456 stddev = Math.sqrt((stddev / (count - 1)));
457 variation = stddev / mean;
458 return new double[] { count, mean, stddev, variation };
  /external/flac/libFLAC/include/private/
window.h 59 void FLAC__window_gauss(FLAC__real *window, const FLAC__int32 L, const FLAC__real stddev); /* 0.0 < stddev <= 0.5 */
  /external/chromium_org/third_party/libjingle/source/talk/base/
virtualsocketserver.cc 949 std::cout << "Mean = " << mean << " StdDev = "
968 static double Normal(double x, double mean, double stddev) {
969 double a = (x - mean) * (x - mean) / (2 * stddev * stddev);
970 return std::exp(-a) / (stddev * sqrt(2 * PI));
983 uint32 mean, uint32 stddev, uint32 samples) {
986 if (0 == stddev) {
990 if (mean >= 4 * static_cast<double>(stddev))
991 start = mean - 4 * static_cast<double>(stddev);
992 double end = mean + 4 * static_cast<double>(stddev);
    [all...]
virtualsocketserver.h 121 static Function* CreateDistribution(uint32 mean, uint32 stddev,
virtualsocket_unittest.cc 605 const uint32 stddev = 50; local
608 ss_->set_delay_stddev(stddev);
658 const uint32 stddev = 500; local
661 ss_->set_delay_stddev(stddev);
689 LOG(LS_VERBOSE) << "mean=" << sample_mean << " stddev=" << sample_stddev;
695 EXPECT_NEAR(stddev, sample_stddev, 0.15 * stddev);
1003 const double stddev = std::sqrt(sum_sq_dev \/ f->size()); local
    [all...]
  /external/chromium_org/third_party/mesa/src/src/gallium/auxiliary/util/
u_cache.c 269 double stddev = sqrt(mean); local
272 double z = fabs(cache->entries[i].count - mean)/stddev;
  /external/flac/libFLAC/
window.c 118 void FLAC__window_gauss(FLAC__real *window, const FLAC__int32 L, const FLAC__real stddev)
125 const double k = ((double)n - N2) / (stddev * N2);
  /external/mesa3d/src/gallium/auxiliary/util/
u_cache.c 269 double stddev = sqrt(mean); local
272 double z = fabs(cache->entries[i].count - mean)/stddev;
  /external/chromium_org/media/tools/media_bench/
media_bench.cc 530 double stddev = 0; local
546 stddev = sqrt(squared_sum / frames);
565 *log_out << " StdDev:" << std::setw(11) << stddev
  /external/antlr/antlr-3.4/runtime/Java/src/main/java/org/antlr/runtime/misc/
Stats.java 55 public static double stddev(int[] X) { method in class:Stats
  /external/chromium_org/third_party/WebKit/Source/devtools/front_end/
TimelineFrameController.js 162 this.stddev = Math.sqrt(variance);
  /external/eclipse-basebuilder/basebuilder-3.6.2/org.eclipse.releng.basebuilder/plugins/org.eclipse.test.performance.ui/src/org/eclipse/test/performance/ui/
ScenarioData.java 346 double stddev = buildResults.getDeviation(dim_id); local
350 if (stddev < 0) {
352 } else if (stddev > 0) {
354 stream.print(dim.getDisplayValue(stddev));
  /frameworks/av/services/audioflinger/
FastMixer.cpp     [all...]

Completed in 293 milliseconds

1 2