Home | History | Annotate | Download | only in m_debuginfo

Lines Matching defs:mul

2679    MaybeULong mul;
2688 mul = ML_(sizeOfType)(tyents, var->typeR);
2692 vg_assert(mul.b == True);
2693 vg_assert(mul.ul > 0);
2694 if (sizeof(void*) == 4) vg_assert(mul.ul < (1ULL << 32));
2695 /* After this point, we assume we can truncate mul.ul to a host word
2698 var_szB = (SizeT)mul.ul; /* NB: truncate to host word */
3382 MaybeULong mul;
3391 mul = ML_(sizeOfType)(tyents, var->typeR);
3395 vg_assert(mul.b == True);
3396 vg_assert(mul.ul > 0);
3397 if (sizeof(void*) == 4) vg_assert(mul.ul < (1ULL << 32));
3398 /* After this point, we assume we can truncate mul.ul to a host word
3463 res.word, res.word + ((UWord)mul.ul) - 1, var->name);
3465 block.szB = (SizeT)mul.ul;
3482 res.word, res.word + ((UWord)mul.ul) - 1, var->name);
3484 block.szB = (SizeT)mul.ul;
3673 MaybeULong mul;
3700 mul = ML_(sizeOfType)(di->admin_tyents, var->typeR);
3705 vg_assert(mul.b == True);
3706 vg_assert(mul.ul > 0);
3707 if (sizeof(void*) == 4) vg_assert(mul.ul < (1ULL << 32));
3708 /* After this point, we assume we can truncate mul.ul to a
3731 gb.szB = (SizeT)mul.ul;