Home | History | Annotate | Download | only in netinet

Lines Matching refs:last_sequence_delivered

425 		nxt_todel = asoc->strmin[stream_no].last_sequence_delivered + 1;
495 asoc->strmin[stream_no].last_sequence_delivered++;
527 nxt_todel = strm->last_sequence_delivered + 1;
534 strm->last_sequence_delivered++;
543 nxt_todel = strm->last_sequence_delivered + 1;
589 nxt_todel = strm->last_sequence_delivered + 1;
596 (uint32_t) strm->last_sequence_delivered,
598 if (SCTP_SSN_GE(strm->last_sequence_delivered, control->sinfo_ssn)) {
601 control->sinfo_ssn, strm->last_sequence_delivered);
609 strm->last_sequence_delivered, control->sinfo_tsn,
627 strm->last_sequence_delivered++;
636 nxt_todel = strm->last_sequence_delivered + 1;
641 strm->last_sequence_delivered++;
788 asoc->strmin[chk->rec.data.stream_number].last_sequence_delivered + 1;
1481 SCTP_SSN_GE(asoc->strmin[strmno].last_sequence_delivered, strmseq)) {
1484 strmseq, asoc->strmin[strmno].last_sequence_delivered);
1487 asoc->strmin[strmno].last_sequence_delivered,
1548 ((uint16_t)(asoc->strmin[strmno].last_sequence_delivered + 1) == strmseq &&
1579 asoc->strmin[strmno].last_sequence_delivered++;
1634 asoc->strmin[strmno].last_sequence_delivered++;
2267 nxt_todel = asoc->strmin[chk->rec.data.stream_number].last_sequence_delivered + 1;
5002 tt = strmin->last_sequence_delivered;
5030 tt = strmin->last_sequence_delivered + 1;
5039 strmin->last_sequence_delivered = ctl->sinfo_ssn;
5047 tt = strmin->last_sequence_delivered + 1;
5093 SCTP_SSN_GT(chk->rec.data.stream_seq, asoc->strmin[chk->rec.data.stream_number].last_sequence_delivered)) {
5111 asoc->strmin[chk->rec.data.stream_number].last_sequence_delivered = chk->rec.data.stream_seq;
5249 SCTP_SSN_GT(chk->rec.data.stream_seq, asoc->strmin[chk->rec.data.stream_number].last_sequence_delivered)) {
5267 asoc->strmin[chk->rec.data.stream_number].last_sequence_delivered = chk->rec.data.stream_seq;
5352 if (SCTP_SSN_GT(stseq->sequence, strm->last_sequence_delivered)) {
5354 strm->last_sequence_delivered = stseq->sequence;