Home | History | Annotate | Download | only in x86

Lines Matching refs:src_temp1_16x8b

275     __m128i src_temp1_16x8b, src_temp2_16x8b, src_temp3_16x8b, src_temp4_16x8b, src_temp5_16x8b, src_temp6_16x8b;
292 src_temp1_16x8b = _mm_loadl_epi64((__m128i *)pi1_coeff);
305 coeff0_1_8x16b = _mm_shuffle_epi8(src_temp1_16x8b, control_mask_1_8x16b); /* pi1_coeff[4] */
306 coeff2_3_8x16b = _mm_shuffle_epi8(src_temp1_16x8b, control_mask_2_8x16b); /* pi1_coeff[4] */
308 coeff4_5_8x16b = _mm_shuffle_epi8(src_temp1_16x8b, control_mask_3_8x16b); /* pi1_coeff[4] */
309 coeff6_7_8x16b = _mm_shuffle_epi8(src_temp1_16x8b, control_mask_4_8x16b); /* pi1_coeff[4] */
328 src_temp1_16x8b = _mm_loadu_si128((__m128i *)(pu1_src - 3 + offset)); /* row = 0 */
333 src_temp2_16x8b = _mm_srli_si128(src_temp1_16x8b, 1); /* row = 0 */
335 src_temp3_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
338 src_temp1_16x8b = _mm_srli_si128(src_temp1_16x8b, 2); /* row = 0 */
341 src_temp4_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
344 src_temp1_16x8b = _mm_srli_si128(src_temp1_16x8b, 2); /* row = 0 */
347 src_temp5_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
350 src_temp1_16x8b = _mm_srli_si128(src_temp1_16x8b, 2); /* row = 0 */
353 src_temp6_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
419 src_temp1_16x8b = _mm_loadu_si128((__m128i *)(pu1_src - 3 + offset)); /* row = 0 */
422 src_temp2_16x8b = _mm_srli_si128(src_temp1_16x8b, 1); /* row = 0 */
424 src_temp3_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
427 src_temp1_16x8b = _mm_srli_si128(src_temp1_16x8b, 2); /* row = 0 */
430 src_temp4_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
433 src_temp1_16x8b = _mm_srli_si128(src_temp1_16x8b, 2); /* row = 0 */
436 src_temp5_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
439 src_temp1_16x8b = _mm_srli_si128(src_temp1_16x8b, 2); /* row = 0 */
442 src_temp6_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
522 src_temp1_16x8b = _mm_loadu_si128((__m128i *)(pu1_src - 3 + offset)); /* row = 0 */
524 src_temp2_16x8b = _mm_srli_si128(src_temp1_16x8b, 1); /* row = 0 */
526 src_temp3_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
529 src_temp1_16x8b = _mm_srli_si128(src_temp1_16x8b, 2); /* row = 0 */
532 src_temp4_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
535 src_temp1_16x8b = _mm_srli_si128(src_temp1_16x8b, 2); /* row = 0 */
538 src_temp5_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
541 src_temp1_16x8b = _mm_srli_si128(src_temp1_16x8b, 2); /* row = 0 */
544 src_temp6_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
577 src_temp1_16x8b = _mm_loadu_si128((__m128i *)(pu1_src - 3 + offset)); /* row = 0 */
580 src_temp2_16x8b = _mm_srli_si128(src_temp1_16x8b, 1); /* row = 0 */
582 src_temp3_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
585 src_temp1_16x8b = _mm_srli_si128(src_temp1_16x8b, 2); /* row = 0 */
588 src_temp4_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
591 src_temp1_16x8b = _mm_srli_si128(src_temp1_16x8b, 2); /* row = 0 */
594 src_temp5_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
597 src_temp1_16x8b = _mm_srli_si128(src_temp1_16x8b, 2); /* row = 0 */
600 src_temp6_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
667 src_temp1_16x8b = _mm_loadu_si128((__m128i *)(pu1_src - 3 + offset)); /* row = 0 */
669 src_temp2_16x8b = _mm_srli_si128(src_temp1_16x8b, 1); /* row = 0 */
671 src_temp3_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
674 src_temp1_16x8b = _mm_srli_si128(src_temp1_16x8b, 2); /* row = 0 */
677 src_temp4_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
680 src_temp1_16x8b = _mm_srli_si128(src_temp1_16x8b, 2); /* row = 0 */
683 src_temp5_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
686 src_temp1_16x8b = _mm_srli_si128(src_temp1_16x8b, 2); /* row = 0 */
689 src_temp6_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
1640 __m128i src_temp1_16x8b, src_temp2_16x8b, src_temp3_16x8b, src_temp4_16x8b, src_temp5_16x8b, src_temp6_16x8b;
1657 src_temp1_16x8b = _mm_loadl_epi64((__m128i *)pi1_coeff);
1665 coeff0_1_8x16b = _mm_shuffle_epi8(src_temp1_16x8b, control_mask_1_8x16b); /* pi1_coeff[4] */
1666 coeff2_3_8x16b = _mm_shuffle_epi8(src_temp1_16x8b, control_mask_2_8x16b); /* pi1_coeff[4] */
1668 coeff4_5_8x16b = _mm_shuffle_epi8(src_temp1_16x8b, control_mask_3_8x16b); /* pi1_coeff[4] */
1669 coeff6_7_8x16b = _mm_shuffle_epi8(src_temp1_16x8b, control_mask_4_8x16b); /* pi1_coeff[4] */
1689 src_temp1_16x8b = _mm_loadu_si128((__m128i *)(pu1_src - 3 + offset)); /* row = 0 */
1692 src_temp2_16x8b = _mm_srli_si128(src_temp1_16x8b, 1); /* row = 0 */
1694 src_temp3_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
1697 src_temp1_16x8b = _mm_srli_si128(src_temp1_16x8b, 2); /* row = 0 */
1700 src_temp4_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
1703 src_temp1_16x8b = _mm_srli_si128(src_temp1_16x8b, 2); /* row = 0 */
1706 src_temp5_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
1709 src_temp1_16x8b = _mm_srli_si128(src_temp1_16x8b, 2); /* row = 0 */
1712 src_temp6_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
1769 src_temp1_16x8b = _mm_loadu_si128((__m128i *)(pu1_src - 3 + offset)); /* row = 0 */
1772 src_temp2_16x8b = _mm_srli_si128(src_temp1_16x8b, 1); /* row = 0 */
1774 src_temp3_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
1777 src_temp1_16x8b = _mm_srli_si128(src_temp1_16x8b, 2); /* row = 0 */
1780 src_temp4_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
1783 src_temp1_16x8b = _mm_srli_si128(src_temp1_16x8b, 2); /* row = 0 */
1786 src_temp5_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
1789 src_temp1_16x8b = _mm_srli_si128(src_temp1_16x8b, 2); /* row = 0 */
1792 src_temp6_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
1851 src_temp1_16x8b = _mm_loadu_si128((__m128i *)(pu1_src - 3 + offset)); /* row = 0 */
1853 src_temp2_16x8b = _mm_srli_si128(src_temp1_16x8b, 1); /* row = 0 */
1855 src_temp3_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
1858 src_temp1_16x8b = _mm_srli_si128(src_temp1_16x8b, 2); /* row = 0 */
1861 src_temp4_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
1864 src_temp1_16x8b = _mm_srli_si128(src_temp1_16x8b, 2); /* row = 0 */
1867 src_temp5_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
1870 src_temp1_16x8b = _mm_srli_si128(src_temp1_16x8b, 2); /* row = 0 */
1873 src_temp6_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
1903 src_temp1_16x8b = _mm_loadu_si128((__m128i *)(pu1_src - 3 + offset)); /* row = 0 */
1906 src_temp2_16x8b = _mm_srli_si128(src_temp1_16x8b, 1); /* row = 0 */
1908 src_temp3_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
1911 src_temp1_16x8b = _mm_srli_si128(src_temp1_16x8b, 2); /* row = 0 */
1914 src_temp4_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
1917 src_temp1_16x8b = _mm_srli_si128(src_temp1_16x8b, 2); /* row = 0 */
1920 src_temp5_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
1923 src_temp1_16x8bsrc_temp1_16x8b, 2); /* row = 0 */
1926 src_temp6_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
1974 src_temp1_16x8b = _mm_loadu_si128((__m128i *)(pu1_src - 3 + offset)); /* row = 0 */
1976 src_temp2_16x8b = _mm_srli_si128(src_temp1_16x8b, 1); /* row = 0 */
1978 src_temp3_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
1981 src_temp1_16x8b = _mm_srli_si128(src_temp1_16x8b, 2); /* row = 0 */
1984 src_temp4_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
1987 src_temp1_16x8b = _mm_srli_si128(src_temp1_16x8b, 2); /* row = 0 */
1990 src_temp5_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
1993 src_temp1_16x8b = _mm_srli_si128(src_temp1_16x8b, 2); /* row = 0 */
1996 src_temp6_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b); /* row = 0 */
3625 __m128i src_temp1_16x8b, src_temp2_16x8b, src_temp3_16x8b, src_temp4_16x8b, src_temp5_16x8b, src_temp6_16x8b;
3640 src_temp1_16x8b = _mm_loadl_epi64((__m128i *)pi1_coeff);
3650 coeff0_1_8x16b = _mm_shuffle_epi8(src_temp1_16x8b, control_mask_1_8x16b); /* pi1_coeff[4] */
3651 coeff2_3_8x16b = _mm_shuffle_epi8(src_temp1_16x8b, control_mask_2_8x16b); /* pi1_coeff[4] */
3670 src_temp1_16x8b = _mm_loadu_si128((__m128i *)(pu1_src - 2 + offset)); /* pu1_src[col + (i-1) * 2]*/
3676 src_temp2_16x8b = _mm_srli_si128(src_temp1_16x8b, 2);
3678 src_temp5_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b);
3681 src_temp3_16x8b = _mm_srli_si128(src_temp1_16x8b, 4);
3684 src_temp4_16x8b = _mm_srli_si128(src_temp1_16x8b, 6);
3754 src_temp1_16x8b = _mm_loadu_si128((__m128i *)(pu1_src - 2 + offset)); /* pu1_src[col + (i-1) * 2]*/
3760 src_temp2_16x8b = _mm_srli_si128(src_temp1_16x8b, 2);
3762 src_temp5_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b);
3765 src_temp3_16x8b = _mm_srli_si128(src_temp1_16x8b, 4);
3768 src_temp4_16x8b = _mm_srli_si128(src_temp1_16x8b, 6);
4420 __m128i src_temp1_16x8b, src_temp2_16x8b, src_temp3_16x8b, src_temp4_16x8b, src_temp5_16x8b, src_temp6_16x8b;
4435 src_temp1_16x8b = _mm_loadl_epi64((__m128i *)pi1_coeff);
4442 coeff0_1_8x16b = _mm_shuffle_epi8(src_temp1_16x8b, control_mask_1_8x16b); /* pi1_coeff[4] */
4443 coeff2_3_8x16b = _mm_shuffle_epi8(src_temp1_16x8b, control_mask_2_8x16b); /* pi1_coeff[4] */
4460 src_temp1_16x8b = _mm_loadu_si128((__m128i *)(pu1_src - 2 + offset)); /* pu1_src[col + (i-1) * 2]*/
4466 src_temp2_16x8b = _mm_srli_si128(src_temp1_16x8b, 2);
4468 src_temp5_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b);
4471 src_temp3_16x8b = _mm_srli_si128(src_temp1_16x8b, 4);
4474 src_temp4_16x8b = _mm_srli_si128(src_temp1_16x8b, 6);
4526 src_temp1_16x8b = _mm_loadu_si128((__m128i *)(pu1_src - 2 + offset)); /* pu1_src[col + (i-1) * 2]*/
4529 src_temp2_16x8b = _mm_srli_si128(src_temp1_16x8b, 2);
4531 src_temp5_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b);
4534 src_temp3_16x8b = _mm_srli_si128(src_temp1_16x8b, 4);
4537 src_temp4_16x8b = _mm_srli_si128(src_temp1_16x8b, 6);
4575 src_temp1_16x8b = _mm_loadu_si128((__m128i *)(pu1_src - 2 + offset)); /* pu1_src[col + (i-1) * 2]*/
4581 src_temp2_16x8b = _mm_srli_si128(src_temp1_16x8b, 2);
4583 src_temp5_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b);
4586 src_temp3_16x8b = _mm_srli_si128(src_temp1_16x8b, 4);
4589 src_temp4_16x8b = _mm_srli_si128(src_temp1_16x8b, 6);
4641 src_temp1_16x8b = _mm_loadu_si128((__m128i *)(pu1_src - 2 + offset)); /* pu1_src[col + (i-1) * 2]*/
4644 src_temp2_16x8b = _mm_srli_si128(src_temp1_16x8b, 2);
4646 src_temp5_16x8b = _mm_unpacklo_epi8(src_temp1_16x8b, src_temp2_16x8b);
4649 src_temp3_16x8b = _mm_srli_si128(src_temp1_16x8b, 4);
4652 src_temp4_16x8b = _mm_srli_si128(src_temp1_16x8b, 6);