Home | History | Annotate | Download | only in viz.js
      1 ((typeof exports !== "undefined" && exports !== null) ? exports : this)["Viz"] = function(src, format) {
      2   var Module = {};
      3   Module["return"] = "";
      4   Module["print"] = function(text) {
      5     Module["return"] += text + "\n";
      6   }
      7 // Note: Some Emscripten settings will significantly limit the speed of the generated code.
      8 // Note: Some Emscripten settings may limit the speed of the generated code.
      9 try {
     10   this['Module'] = Module;
     11 } catch(e) {
     12   this['Module'] = Module = {};
     13 }
     14 // The environment setup code below is customized to use Module.
     15 // *** Environment setup code ***
     16 var ENVIRONMENT_IS_NODE = typeof process === 'object' && typeof require === 'function';
     17 var ENVIRONMENT_IS_WEB = typeof window === 'object';
     18 var ENVIRONMENT_IS_WORKER = typeof importScripts === 'function';
     19 var ENVIRONMENT_IS_SHELL = !ENVIRONMENT_IS_WEB && !ENVIRONMENT_IS_NODE && !ENVIRONMENT_IS_WORKER;
     20 if (ENVIRONMENT_IS_NODE) {
     21   // Expose functionality in the same simple way that the shells work
     22   // Note that we pollute the global namespace here, otherwise we break in node
     23   Module['print'] = function(x) {
     24     process['stdout'].write(x + '\n');
     25   };
     26   Module['printErr'] = function(x) {
     27     process['stderr'].write(x + '\n');
     28   };
     29   var nodeFS = require('fs');
     30   var nodePath = require('path');
     31   Module['read'] = function(filename, binary) {
     32     filename = nodePath['normalize'](filename);
     33     var ret = nodeFS['readFileSync'](filename);
     34     // The path is absolute if the normalized version is the same as the resolved.
     35     if (!ret && filename != nodePath['resolve'](filename)) {
     36       filename = path.join(__dirname, '..', 'src', filename);
     37       ret = nodeFS['readFileSync'](filename);
     38     }
     39     if (ret && !binary) ret = ret.toString();
     40     return ret;
     41   };
     42   Module['readBinary'] = function(filename) { return Module['read'](filename, true) };
     43   Module['load'] = function(f) {
     44     globalEval(read(f));
     45   };
     46   if (!Module['arguments']) {
     47     Module['arguments'] = process['argv'].slice(2);
     48   }
     49 }
     50 if (ENVIRONMENT_IS_SHELL) {
     51   Module['print'] = print;
     52   if (typeof printErr != 'undefined') Module['printErr'] = printErr; // not present in v8 or older sm
     53   Module['read'] = read;
     54   Module['readBinary'] = function(f) {
     55     return read(f, 'binary');
     56   };
     57   if (!Module['arguments']) {
     58     if (typeof scriptArgs != 'undefined') {
     59       Module['arguments'] = scriptArgs;
     60     } else if (typeof arguments != 'undefined') {
     61       Module['arguments'] = arguments;
     62     }
     63   }
     64 }
     65 if (ENVIRONMENT_IS_WEB && !ENVIRONMENT_IS_WORKER) {
     66   if (!Module['print']) {
     67     Module['print'] = function(x) {
     68       console.log(x);
     69     };
     70   }
     71   if (!Module['printErr']) {
     72     Module['printErr'] = function(x) {
     73       console.log(x);
     74     };
     75   }
     76 }
     77 if (ENVIRONMENT_IS_WEB || ENVIRONMENT_IS_WORKER) {
     78   Module['read'] = function(url) {
     79     var xhr = new XMLHttpRequest();
     80     xhr.open('GET', url, false);
     81     xhr.send(null);
     82     return xhr.responseText;
     83   };
     84   if (!Module['arguments']) {
     85     if (typeof arguments != 'undefined') {
     86       Module['arguments'] = arguments;
     87     }
     88   }
     89 }
     90 if (ENVIRONMENT_IS_WORKER) {
     91   // We can do very little here...
     92   var TRY_USE_DUMP = false;
     93   if (!Module['print']) {
     94     Module['print'] = (TRY_USE_DUMP && (typeof(dump) !== "undefined") ? (function(x) {
     95       dump(x);
     96     }) : (function(x) {
     97       // self.postMessage(x); // enable this if you want stdout to be sent as messages
     98     }));
     99   }
    100   Module['load'] = importScripts;
    101 }
    102 if (!ENVIRONMENT_IS_WORKER && !ENVIRONMENT_IS_WEB && !ENVIRONMENT_IS_NODE && !ENVIRONMENT_IS_SHELL) {
    103   // Unreachable because SHELL is dependant on the others
    104   throw 'Unknown runtime environment. Where are we?';
    105 }
    106 function globalEval(x) {
    107   eval.call(null, x);
    108 }
    109 if (!Module['load'] == 'undefined' && Module['read']) {
    110   Module['load'] = function(f) {
    111     globalEval(Module['read'](f));
    112   };
    113 }
    114 if (!Module['print']) {
    115   Module['print'] = function(){};
    116 }
    117 if (!Module['printErr']) {
    118   Module['printErr'] = Module['print'];
    119 }
    120 if (!Module['arguments']) {
    121   Module['arguments'] = [];
    122 }
    123 // *** Environment setup code ***
    124 // Closure helpers
    125 Module.print = Module['print'];
    126 Module.printErr = Module['printErr'];
    127 // Callbacks
    128 if (!Module['preRun']) Module['preRun'] = [];
    129 if (!Module['postRun']) Module['postRun'] = [];
    130 // === Auto-generated preamble library stuff ===
    131 //========================================
    132 // Runtime code shared with compiler
    133 //========================================
    134 var Runtime = {
    135   stackSave: function () {
    136     return STACKTOP;
    137   },
    138   stackRestore: function (stackTop) {
    139     STACKTOP = stackTop;
    140   },
    141   forceAlign: function (target, quantum) {
    142     quantum = quantum || 4;
    143     if (quantum == 1) return target;
    144     if (isNumber(target) && isNumber(quantum)) {
    145       return Math.ceil(target/quantum)*quantum;
    146     } else if (isNumber(quantum) && isPowerOfTwo(quantum)) {
    147       var logg = log2(quantum);
    148       return '((((' +target + ')+' + (quantum-1) + ')>>' + logg + ')<<' + logg + ')';
    149     }
    150     return 'Math.ceil((' + target + ')/' + quantum + ')*' + quantum;
    151   },
    152   isNumberType: function (type) {
    153     return type in Runtime.INT_TYPES || type in Runtime.FLOAT_TYPES;
    154   },
    155   isPointerType: function isPointerType(type) {
    156   return type[type.length-1] == '*';
    157 },
    158   isStructType: function isStructType(type) {
    159   if (isPointerType(type)) return false;
    160   if (/^\[\d+\ x\ (.*)\]/.test(type)) return true; // [15 x ?] blocks. Like structs
    161   if (/<?{ ?[^}]* ?}>?/.test(type)) return true; // { i32, i8 } etc. - anonymous struct types
    162   // See comment in isStructPointerType()
    163   return type[0] == '%';
    164 },
    165   INT_TYPES: {"i1":0,"i8":0,"i16":0,"i32":0,"i64":0},
    166   FLOAT_TYPES: {"float":0,"double":0},
    167   or64: function (x, y) {
    168     var l = (x | 0) | (y | 0);
    169     var h = (Math.round(x / 4294967296) | Math.round(y / 4294967296)) * 4294967296;
    170     return l + h;
    171   },
    172   and64: function (x, y) {
    173     var l = (x | 0) & (y | 0);
    174     var h = (Math.round(x / 4294967296) & Math.round(y / 4294967296)) * 4294967296;
    175     return l + h;
    176   },
    177   xor64: function (x, y) {
    178     var l = (x | 0) ^ (y | 0);
    179     var h = (Math.round(x / 4294967296) ^ Math.round(y / 4294967296)) * 4294967296;
    180     return l + h;
    181   },
    182   getNativeTypeSize: function (type, quantumSize) {
    183     if (Runtime.QUANTUM_SIZE == 1) return 1;
    184     var size = {
    185       '%i1': 1,
    186       '%i8': 1,
    187       '%i16': 2,
    188       '%i32': 4,
    189       '%i64': 8,
    190       "%float": 4,
    191       "%double": 8
    192     }['%'+type]; // add '%' since float and double confuse Closure compiler as keys, and also spidermonkey as a compiler will remove 's from '_i8' etc
    193     if (!size) {
    194       if (type.charAt(type.length-1) == '*') {
    195         size = Runtime.QUANTUM_SIZE; // A pointer
    196       } else if (type[0] == 'i') {
    197         var bits = parseInt(type.substr(1));
    198         assert(bits % 8 == 0);
    199         size = bits/8;
    200       }
    201     }
    202     return size;
    203   },
    204   getNativeFieldSize: function (type) {
    205     return Math.max(Runtime.getNativeTypeSize(type), Runtime.QUANTUM_SIZE);
    206   },
    207   dedup: function dedup(items, ident) {
    208   var seen = {};
    209   if (ident) {
    210     return items.filter(function(item) {
    211       if (seen[item[ident]]) return false;
    212       seen[item[ident]] = true;
    213       return true;
    214     });
    215   } else {
    216     return items.filter(function(item) {
    217       if (seen[item]) return false;
    218       seen[item] = true;
    219       return true;
    220     });
    221   }
    222 },
    223   set: function set() {
    224   var args = typeof arguments[0] === 'object' ? arguments[0] : arguments;
    225   var ret = {};
    226   for (var i = 0; i < args.length; i++) {
    227     ret[args[i]] = 0;
    228   }
    229   return ret;
    230 },
    231   STACK_ALIGN: 8,
    232   getAlignSize: function (type, size, vararg) {
    233     // we align i64s and doubles on 64-bit boundaries, unlike x86
    234     if (type == 'i64' || type == 'double' || vararg) return 8;
    235     if (!type) return Math.min(size, 8); // align structures internally to 64 bits
    236     return Math.min(size || (type ? Runtime.getNativeFieldSize(type) : 0), Runtime.QUANTUM_SIZE);
    237   },
    238   calculateStructAlignment: function calculateStructAlignment(type) {
    239     type.flatSize = 0;
    240     type.alignSize = 0;
    241     var diffs = [];
    242     var prev = -1;
    243     type.flatIndexes = type.fields.map(function(field) {
    244       var size, alignSize;
    245       if (Runtime.isNumberType(field) || Runtime.isPointerType(field)) {
    246         size = Runtime.getNativeTypeSize(field); // pack char; char; in structs, also char[X]s.
    247         alignSize = Runtime.getAlignSize(field, size);
    248       } else if (Runtime.isStructType(field)) {
    249         size = Types.types[field].flatSize;
    250         alignSize = Runtime.getAlignSize(null, Types.types[field].alignSize);
    251       } else if (field[0] == 'b') {
    252         // bN, large number field, like a [N x i8]
    253         size = field.substr(1)|0;
    254         alignSize = 1;
    255       } else {
    256         throw 'Unclear type in struct: ' + field + ', in ' + type.name_ + ' :: ' + dump(Types.types[type.name_]);
    257       }
    258       if (type.packed) alignSize = 1;
    259       type.alignSize = Math.max(type.alignSize, alignSize);
    260       var curr = Runtime.alignMemory(type.flatSize, alignSize); // if necessary, place this on aligned memory
    261       type.flatSize = curr + size;
    262       if (prev >= 0) {
    263         diffs.push(curr-prev);
    264       }
    265       prev = curr;
    266       return curr;
    267     });
    268     type.flatSize = Runtime.alignMemory(type.flatSize, type.alignSize);
    269     if (diffs.length == 0) {
    270       type.flatFactor = type.flatSize;
    271     } else if (Runtime.dedup(diffs).length == 1) {
    272       type.flatFactor = diffs[0];
    273     }
    274     type.needsFlattening = (type.flatFactor != 1);
    275     return type.flatIndexes;
    276   },
    277   generateStructInfo: function (struct, typeName, offset) {
    278     var type, alignment;
    279     if (typeName) {
    280       offset = offset || 0;
    281       type = (typeof Types === 'undefined' ? Runtime.typeInfo : Types.types)[typeName];
    282       if (!type) return null;
    283       if (type.fields.length != struct.length) {
    284         printErr('Number of named fields must match the type for ' + typeName + ': possibly duplicate struct names. Cannot return structInfo');
    285         return null;
    286       }
    287       alignment = type.flatIndexes;
    288     } else {
    289       var type = { fields: struct.map(function(item) { return item[0] }) };
    290       alignment = Runtime.calculateStructAlignment(type);
    291     }
    292     var ret = {
    293       __size__: type.flatSize
    294     };
    295     if (typeName) {
    296       struct.forEach(function(item, i) {
    297         if (typeof item === 'string') {
    298           ret[item] = alignment[i] + offset;
    299         } else {
    300           // embedded struct
    301           var key;
    302           for (var k in item) key = k;
    303           ret[key] = Runtime.generateStructInfo(item[key], type.fields[i], alignment[i]);
    304         }
    305       });
    306     } else {
    307       struct.forEach(function(item, i) {
    308         ret[item[1]] = alignment[i];
    309       });
    310     }
    311     return ret;
    312   },
    313   dynCall: function (sig, ptr, args) {
    314     if (args && args.length) {
    315       if (!args.splice) args = Array.prototype.slice.call(args);
    316       args.splice(0, 0, ptr);
    317       return Module['dynCall_' + sig].apply(null, args);
    318     } else {
    319       return Module['dynCall_' + sig].call(null, ptr);
    320     }
    321   },
    322   functionPointers: [],
    323   addFunction: function (func) {
    324     for (var i = 0; i < Runtime.functionPointers.length; i++) {
    325       if (!Runtime.functionPointers[i]) {
    326         Runtime.functionPointers[i] = func;
    327         return 2 + 2*i;
    328       }
    329     }
    330     throw 'Finished up all reserved function pointers. Use a higher value for RESERVED_FUNCTION_POINTERS.';
    331   },
    332   removeFunction: function (index) {
    333     Runtime.functionPointers[(index-2)/2] = null;
    334   },
    335   warnOnce: function (text) {
    336     if (!Runtime.warnOnce.shown) Runtime.warnOnce.shown = {};
    337     if (!Runtime.warnOnce.shown[text]) {
    338       Runtime.warnOnce.shown[text] = 1;
    339       Module.printErr(text);
    340     }
    341   },
    342   funcWrappers: {},
    343   getFuncWrapper: function (func, sig) {
    344     assert(sig);
    345     if (!Runtime.funcWrappers[func]) {
    346       Runtime.funcWrappers[func] = function() {
    347         return Runtime.dynCall(sig, func, arguments);
    348       };
    349     }
    350     return Runtime.funcWrappers[func];
    351   },
    352   UTF8Processor: function () {
    353     var buffer = [];
    354     var needed = 0;
    355     this.processCChar = function (code) {
    356       code = code & 0xff;
    357       if (needed) {
    358         buffer.push(code);
    359         needed--;
    360       }
    361       if (buffer.length == 0) {
    362         if (code < 128) return String.fromCharCode(code);
    363         buffer.push(code);
    364         if (code > 191 && code < 224) {
    365           needed = 1;
    366         } else {
    367           needed = 2;
    368         }
    369         return '';
    370       }
    371       if (needed > 0) return '';
    372       var c1 = buffer[0];
    373       var c2 = buffer[1];
    374       var c3 = buffer[2];
    375       var ret;
    376       if (c1 > 191 && c1 < 224) {
    377         ret = String.fromCharCode(((c1 & 31) << 6) | (c2 & 63));
    378       } else {
    379         ret = String.fromCharCode(((c1 & 15) << 12) | ((c2 & 63) << 6) | (c3 & 63));
    380       }
    381       buffer.length = 0;
    382       return ret;
    383     }
    384     this.processJSString = function(string) {
    385       string = unescape(encodeURIComponent(string));
    386       var ret = [];
    387       for (var i = 0; i < string.length; i++) {
    388         ret.push(string.charCodeAt(i));
    389       }
    390       return ret;
    391     }
    392   },
    393   stackAlloc: function (size) { var ret = STACKTOP;STACKTOP = (STACKTOP + size)|0;STACKTOP = ((((STACKTOP)+7)>>3)<<3); return ret; },
    394   staticAlloc: function (size) { var ret = STATICTOP;STATICTOP = (STATICTOP + size)|0;STATICTOP = ((((STATICTOP)+7)>>3)<<3); return ret; },
    395   dynamicAlloc: function (size) { var ret = DYNAMICTOP;DYNAMICTOP = (DYNAMICTOP + size)|0;DYNAMICTOP = ((((DYNAMICTOP)+7)>>3)<<3); if (DYNAMICTOP >= TOTAL_MEMORY) enlargeMemory();; return ret; },
    396   alignMemory: function (size,quantum) { var ret = size = Math.ceil((size)/(quantum ? quantum : 8))*(quantum ? quantum : 8); return ret; },
    397   makeBigInt: function (low,high,unsigned) { var ret = (unsigned ? ((+(((low)>>>(0))))+((+(((high)>>>(0))))*(+(4294967296)))) : ((+(((low)>>>(0))))+((+(((high)|(0))))*(+(4294967296))))); return ret; },
    398   GLOBAL_BASE: 8,
    399   QUANTUM_SIZE: 4,
    400   __dummy__: 0
    401 }
    402 //========================================
    403 // Runtime essentials
    404 //========================================
    405 var __THREW__ = 0; // Used in checking for thrown exceptions.
    406 var ABORT = false;
    407 var undef = 0;
    408 // tempInt is used for 32-bit signed values or smaller. tempBigInt is used
    409 // for 32-bit unsigned values or more than 32 bits. TODO: audit all uses of tempInt
    410 var tempValue, tempInt, tempBigInt, tempInt2, tempBigInt2, tempPair, tempBigIntI, tempBigIntR, tempBigIntS, tempBigIntP, tempBigIntD;
    411 var tempI64, tempI64b;
    412 var tempRet0, tempRet1, tempRet2, tempRet3, tempRet4, tempRet5, tempRet6, tempRet7, tempRet8, tempRet9;
    413 function abort(text) {
    414   Module.print(text + ':\n' + (new Error).stack);
    415   ABORT = true;
    416   throw "Assertion: " + text;
    417 }
    418 function assert(condition, text) {
    419   if (!condition) {
    420     abort('Assertion failed: ' + text);
    421   }
    422 }
    423 var globalScope = this;
    424 // C calling interface. A convenient way to call C functions (in C files, or
    425 // defined with extern "C").
    426 //
    427 // Note: LLVM optimizations can inline and remove functions, after which you will not be
    428 //       able to call them. Closure can also do so. To avoid that, add your function to
    429 //       the exports using something like
    430 //
    431 //         -s EXPORTED_FUNCTIONS='["_main", "_myfunc"]'
    432 //
    433 // @param ident      The name of the C function (note that C++ functions will be name-mangled - use extern "C")
    434 // @param returnType The return type of the function, one of the JS types 'number', 'string' or 'array' (use 'number' for any C pointer, and
    435 //                   'array' for JavaScript arrays and typed arrays).
    436 // @param argTypes   An array of the types of arguments for the function (if there are no arguments, this can be ommitted). Types are as in returnType,
    437 //                   except that 'array' is not possible (there is no way for us to know the length of the array)
    438 // @param args       An array of the arguments to the function, as native JS values (as in returnType)
    439 //                   Note that string arguments will be stored on the stack (the JS string will become a C string on the stack).
    440 // @return           The return value, as a native JS value (as in returnType)
    441 function ccall(ident, returnType, argTypes, args) {
    442   return ccallFunc(getCFunc(ident), returnType, argTypes, args);
    443 }
    444 Module["ccall"] = ccall;
    445 // Returns the C function with a specified identifier (for C++, you need to do manual name mangling)
    446 function getCFunc(ident) {
    447   try {
    448     var func = globalScope['Module']['_' + ident]; // closure exported function
    449     if (!func) func = eval('_' + ident); // explicit lookup
    450   } catch(e) {
    451   }
    452   assert(func, 'Cannot call unknown function ' + ident + ' (perhaps LLVM optimizations or closure removed it?)');
    453   return func;
    454 }
    455 // Internal function that does a C call using a function, not an identifier
    456 function ccallFunc(func, returnType, argTypes, args) {
    457   var stack = 0;
    458   function toC(value, type) {
    459     if (type == 'string') {
    460       if (value === null || value === undefined || value === 0) return 0; // null string
    461       if (!stack) stack = Runtime.stackSave();
    462       var ret = Runtime.stackAlloc(value.length+1);
    463       writeStringToMemory(value, ret);
    464       return ret;
    465     } else if (type == 'array') {
    466       if (!stack) stack = Runtime.stackSave();
    467       var ret = Runtime.stackAlloc(value.length);
    468       writeArrayToMemory(value, ret);
    469       return ret;
    470     }
    471     return value;
    472   }
    473   function fromC(value, type) {
    474     if (type == 'string') {
    475       return Pointer_stringify(value);
    476     }
    477     assert(type != 'array');
    478     return value;
    479   }
    480   var i = 0;
    481   var cArgs = args ? args.map(function(arg) {
    482     return toC(arg, argTypes[i++]);
    483   }) : [];
    484   var ret = fromC(func.apply(null, cArgs), returnType);
    485   if (stack) Runtime.stackRestore(stack);
    486   return ret;
    487 }
    488 // Returns a native JS wrapper for a C function. This is similar to ccall, but
    489 // returns a function you can call repeatedly in a normal way. For example:
    490 //
    491 //   var my_function = cwrap('my_c_function', 'number', ['number', 'number']);
    492 //   alert(my_function(5, 22));
    493 //   alert(my_function(99, 12));
    494 //
    495 function cwrap(ident, returnType, argTypes) {
    496   var func = getCFunc(ident);
    497   return function() {
    498     return ccallFunc(func, returnType, argTypes, Array.prototype.slice.call(arguments));
    499   }
    500 }
    501 Module["cwrap"] = cwrap;
    502 // Sets a value in memory in a dynamic way at run-time. Uses the
    503 // type data. This is the same as makeSetValue, except that
    504 // makeSetValue is done at compile-time and generates the needed
    505 // code then, whereas this function picks the right code at
    506 // run-time.
    507 // Note that setValue and getValue only do *aligned* writes and reads!
    508 // Note that ccall uses JS types as for defining types, while setValue and
    509 // getValue need LLVM types ('i8', 'i32') - this is a lower-level operation
    510 function setValue(ptr, value, type, noSafe) {
    511   type = type || 'i8';
    512   if (type.charAt(type.length-1) === '*') type = 'i32'; // pointers are 32-bit
    513     switch(type) {
    514       case 'i1': HEAP8[(ptr)]=value; break;
    515       case 'i8': HEAP8[(ptr)]=value; break;
    516       case 'i16': HEAP16[((ptr)>>1)]=value; break;
    517       case 'i32': HEAP32[((ptr)>>2)]=value; break;
    518       case 'i64': (tempI64 = [value>>>0,Math.min(Math.floor((value)/(+(4294967296))), (+(4294967295)))>>>0],HEAP32[((ptr)>>2)]=tempI64[0],HEAP32[(((ptr)+(4))>>2)]=tempI64[1]); break;
    519       case 'float': HEAPF32[((ptr)>>2)]=value; break;
    520       case 'double': HEAPF64[((ptr)>>3)]=value; break;
    521       default: abort('invalid type for setValue: ' + type);
    522     }
    523 }
    524 Module['setValue'] = setValue;
    525 // Parallel to setValue.
    526 function getValue(ptr, type, noSafe) {
    527   type = type || 'i8';
    528   if (type.charAt(type.length-1) === '*') type = 'i32'; // pointers are 32-bit
    529     switch(type) {
    530       case 'i1': return HEAP8[(ptr)];
    531       case 'i8': return HEAP8[(ptr)];
    532       case 'i16': return HEAP16[((ptr)>>1)];
    533       case 'i32': return HEAP32[((ptr)>>2)];
    534       case 'i64': return HEAP32[((ptr)>>2)];
    535       case 'float': return HEAPF32[((ptr)>>2)];
    536       case 'double': return HEAPF64[((ptr)>>3)];
    537       default: abort('invalid type for setValue: ' + type);
    538     }
    539   return null;
    540 }
    541 Module['getValue'] = getValue;
    542 var ALLOC_NORMAL = 0; // Tries to use _malloc()
    543 var ALLOC_STACK = 1; // Lives for the duration of the current function call
    544 var ALLOC_STATIC = 2; // Cannot be freed
    545 var ALLOC_DYNAMIC = 3; // Cannot be freed except through sbrk
    546 var ALLOC_NONE = 4; // Do not allocate
    547 Module['ALLOC_NORMAL'] = ALLOC_NORMAL;
    548 Module['ALLOC_STACK'] = ALLOC_STACK;
    549 Module['ALLOC_STATIC'] = ALLOC_STATIC;
    550 Module['ALLOC_DYNAMIC'] = ALLOC_DYNAMIC;
    551 Module['ALLOC_NONE'] = ALLOC_NONE;
    552 // allocate(): This is for internal use. You can use it yourself as well, but the interface
    553 //             is a little tricky (see docs right below). The reason is that it is optimized
    554 //             for multiple syntaxes to save space in generated code. So you should
    555 //             normally not use allocate(), and instead allocate memory using _malloc(),
    556 //             initialize it with setValue(), and so forth.
    557 // @slab: An array of data, or a number. If a number, then the size of the block to allocate,
    558 //        in *bytes* (note that this is sometimes confusing: the next parameter does not
    559 //        affect this!)
    560 // @types: Either an array of types, one for each byte (or 0 if no type at that position),
    561 //         or a single type which is used for the entire block. This only matters if there
    562 //         is initial data - if @slab is a number, then this does not matter at all and is
    563 //         ignored.
    564 // @allocator: How to allocate memory, see ALLOC_*
    565 function allocate(slab, types, allocator, ptr) {
    566   var zeroinit, size;
    567   if (typeof slab === 'number') {
    568     zeroinit = true;
    569     size = slab;
    570   } else {
    571     zeroinit = false;
    572     size = slab.length;
    573   }
    574   var singleType = typeof types === 'string' ? types : null;
    575   var ret;
    576   if (allocator == ALLOC_NONE) {
    577     ret = ptr;
    578   } else {
    579     ret = [_malloc, Runtime.stackAlloc, Runtime.staticAlloc, Runtime.dynamicAlloc][allocator === undefined ? ALLOC_STATIC : allocator](Math.max(size, singleType ? 1 : types.length));
    580   }
    581   if (zeroinit) {
    582     var ptr = ret, stop;
    583     assert((ret & 3) == 0);
    584     stop = ret + (size & ~3);
    585     for (; ptr < stop; ptr += 4) {
    586       HEAP32[((ptr)>>2)]=0;
    587     }
    588     stop = ret + size;
    589     while (ptr < stop) {
    590       HEAP8[((ptr++)|0)]=0;
    591     }
    592     return ret;
    593   }
    594   if (singleType === 'i8') {
    595     HEAPU8.set(new Uint8Array(slab), ret);
    596     return ret;
    597   }
    598   var i = 0, type, typeSize, previousType;
    599   while (i < size) {
    600     var curr = slab[i];
    601     if (typeof curr === 'function') {
    602       curr = Runtime.getFunctionIndex(curr);
    603     }
    604     type = singleType || types[i];
    605     if (type === 0) {
    606       i++;
    607       continue;
    608     }
    609     if (type == 'i64') type = 'i32'; // special case: we have one i32 here, and one i32 later
    610     setValue(ret+i, curr, type);
    611     // no need to look up size unless type changes, so cache it
    612     if (previousType !== type) {
    613       typeSize = Runtime.getNativeTypeSize(type);
    614       previousType = type;
    615     }
    616     i += typeSize;
    617   }
    618   return ret;
    619 }
    620 Module['allocate'] = allocate;
    621 function Pointer_stringify(ptr, /* optional */ length) {
    622   // Find the length, and check for UTF while doing so
    623   var hasUtf = false;
    624   var t;
    625   var i = 0;
    626   while (1) {
    627     t = HEAPU8[(((ptr)+(i))|0)];
    628     if (t >= 128) hasUtf = true;
    629     else if (t == 0 && !length) break;
    630     i++;
    631     if (length && i == length) break;
    632   }
    633   if (!length) length = i;
    634   var ret = '';
    635   if (!hasUtf) {
    636     var MAX_CHUNK = 1024; // split up into chunks, because .apply on a huge string can overflow the stack
    637     var curr;
    638     while (length > 0) {
    639       curr = String.fromCharCode.apply(String, HEAPU8.subarray(ptr, ptr + Math.min(length, MAX_CHUNK)));
    640       ret = ret ? ret + curr : curr;
    641       ptr += MAX_CHUNK;
    642       length -= MAX_CHUNK;
    643     }
    644     return ret;
    645   }
    646   var utf8 = new Runtime.UTF8Processor();
    647   for (i = 0; i < length; i++) {
    648     t = HEAPU8[(((ptr)+(i))|0)];
    649     ret += utf8.processCChar(t);
    650   }
    651   return ret;
    652 }
    653 Module['Pointer_stringify'] = Pointer_stringify;
    654 // Memory management
    655 var PAGE_SIZE = 4096;
    656 function alignMemoryPage(x) {
    657   return ((x+4095)>>12)<<12;
    658 }
    659 var HEAP;
    660 var HEAP8, HEAPU8, HEAP16, HEAPU16, HEAP32, HEAPU32, HEAPF32, HEAPF64;
    661 var STATIC_BASE = 0, STATICTOP = 0, staticSealed = false; // static area
    662 var STACK_BASE = 0, STACKTOP = 0, STACK_MAX = 0; // stack area
    663 var DYNAMIC_BASE = 0, DYNAMICTOP = 0; // dynamic area handled by sbrk
    664 function enlargeMemory() {
    665   abort('Cannot enlarge memory arrays in asm.js. Either (1) compile with -s TOTAL_MEMORY=X with X higher than the current value, or (2) set Module.TOTAL_MEMORY before the program runs.');
    666 }
    667 var TOTAL_STACK = Module['TOTAL_STACK'] || 5242880;
    668 var TOTAL_MEMORY = Module['TOTAL_MEMORY'] || 16777216;
    669 var FAST_MEMORY = Module['FAST_MEMORY'] || 2097152;
    670 // Initialize the runtime's memory
    671 // check for full engine support (use string 'subarray' to avoid closure compiler confusion)
    672 assert(!!Int32Array && !!Float64Array && !!(new Int32Array(1)['subarray']) && !!(new Int32Array(1)['set']),
    673        'Cannot fallback to non-typed array case: Code is too specialized');
    674 var buffer = new ArrayBuffer(TOTAL_MEMORY);
    675 HEAP8 = new Int8Array(buffer);
    676 HEAP16 = new Int16Array(buffer);
    677 HEAP32 = new Int32Array(buffer);
    678 HEAPU8 = new Uint8Array(buffer);
    679 HEAPU16 = new Uint16Array(buffer);
    680 HEAPU32 = new Uint32Array(buffer);
    681 HEAPF32 = new Float32Array(buffer);
    682 HEAPF64 = new Float64Array(buffer);
    683 // Endianness check (note: assumes compiler arch was little-endian)
    684 HEAP32[0] = 255;
    685 assert(HEAPU8[0] === 255 && HEAPU8[3] === 0, 'Typed arrays 2 must be run on a little-endian system');
    686 Module['HEAP'] = HEAP;
    687 Module['HEAP8'] = HEAP8;
    688 Module['HEAP16'] = HEAP16;
    689 Module['HEAP32'] = HEAP32;
    690 Module['HEAPU8'] = HEAPU8;
    691 Module['HEAPU16'] = HEAPU16;
    692 Module['HEAPU32'] = HEAPU32;
    693 Module['HEAPF32'] = HEAPF32;
    694 Module['HEAPF64'] = HEAPF64;
    695 function callRuntimeCallbacks(callbacks) {
    696   while(callbacks.length > 0) {
    697     var callback = callbacks.shift();
    698     if (typeof callback == 'function') {
    699       callback();
    700       continue;
    701     }
    702     var func = callback.func;
    703     if (typeof func === 'number') {
    704       if (callback.arg === undefined) {
    705         Runtime.dynCall('v', func);
    706       } else {
    707         Runtime.dynCall('vi', func, [callback.arg]);
    708       }
    709     } else {
    710       func(callback.arg === undefined ? null : callback.arg);
    711     }
    712   }
    713 }
    714 var __ATINIT__ = []; // functions called during startup
    715 var __ATMAIN__ = []; // functions called when main() is to be run
    716 var __ATEXIT__ = []; // functions called during shutdown
    717 var runtimeInitialized = false;
    718 function ensureInitRuntime() {
    719   if (runtimeInitialized) return;
    720   runtimeInitialized = true;
    721   callRuntimeCallbacks(__ATINIT__);
    722 }
    723 function preMain() {
    724   callRuntimeCallbacks(__ATMAIN__);
    725 }
    726 function exitRuntime() {
    727   callRuntimeCallbacks(__ATEXIT__);
    728 }
    729 // Tools
    730 // This processes a JS string into a C-line array of numbers, 0-terminated.
    731 // For LLVM-originating strings, see parser.js:parseLLVMString function
    732 function intArrayFromString(stringy, dontAddNull, length /* optional */) {
    733   var ret = (new Runtime.UTF8Processor()).processJSString(stringy);
    734   if (length) {
    735     ret.length = length;
    736   }
    737   if (!dontAddNull) {
    738     ret.push(0);
    739   }
    740   return ret;
    741 }
    742 Module['intArrayFromString'] = intArrayFromString;
    743 function intArrayToString(array) {
    744   var ret = [];
    745   for (var i = 0; i < array.length; i++) {
    746     var chr = array[i];
    747     if (chr > 0xFF) {
    748       chr &= 0xFF;
    749     }
    750     ret.push(String.fromCharCode(chr));
    751   }
    752   return ret.join('');
    753 }
    754 Module['intArrayToString'] = intArrayToString;
    755 // Write a Javascript array to somewhere in the heap
    756 function writeStringToMemory(string, buffer, dontAddNull) {
    757   var array = intArrayFromString(string, dontAddNull);
    758   var i = 0;
    759   while (i < array.length) {
    760     var chr = array[i];
    761     HEAP8[(((buffer)+(i))|0)]=chr
    762     i = i + 1;
    763   }
    764 }
    765 Module['writeStringToMemory'] = writeStringToMemory;
    766 function writeArrayToMemory(array, buffer) {
    767   for (var i = 0; i < array.length; i++) {
    768     HEAP8[(((buffer)+(i))|0)]=array[i];
    769   }
    770 }
    771 Module['writeArrayToMemory'] = writeArrayToMemory;
    772 function unSign(value, bits, ignore, sig) {
    773   if (value >= 0) {
    774     return value;
    775   }
    776   return bits <= 32 ? 2*Math.abs(1 << (bits-1)) + value // Need some trickery, since if bits == 32, we are right at the limit of the bits JS uses in bitshifts
    777                     : Math.pow(2, bits)         + value;
    778 }
    779 function reSign(value, bits, ignore, sig) {
    780   if (value <= 0) {
    781     return value;
    782   }
    783   var half = bits <= 32 ? Math.abs(1 << (bits-1)) // abs is needed if bits == 32
    784                         : Math.pow(2, bits-1);
    785   if (value >= half && (bits <= 32 || value > half)) { // for huge values, we can hit the precision limit and always get true here. so don't do that
    786                                                        // but, in general there is no perfect solution here. With 64-bit ints, we get rounding and errors
    787                                                        // TODO: In i64 mode 1, resign the two parts separately and safely
    788     value = -2*half + value; // Cannot bitshift half, as it may be at the limit of the bits JS uses in bitshifts
    789   }
    790   return value;
    791 }
    792 if (!Math.imul) Math.imul = function(a, b) {
    793   var ah  = a >>> 16;
    794   var al = a & 0xffff;
    795   var bh  = b >>> 16;
    796   var bl = b & 0xffff;
    797   return (al*bl + ((ah*bl + al*bh) << 16))|0;
    798 };
    799 // A counter of dependencies for calling run(). If we need to
    800 // do asynchronous work before running, increment this and
    801 // decrement it. Incrementing must happen in a place like
    802 // PRE_RUN_ADDITIONS (used by emcc to add file preloading).
    803 // Note that you can add dependencies in preRun, even though
    804 // it happens right before run - run will be postponed until
    805 // the dependencies are met.
    806 var runDependencies = 0;
    807 var runDependencyTracking = {};
    808 var calledInit = false, calledRun = false;
    809 var runDependencyWatcher = null;
    810 function addRunDependency(id) {
    811   runDependencies++;
    812   if (Module['monitorRunDependencies']) {
    813     Module['monitorRunDependencies'](runDependencies);
    814   }
    815   if (id) {
    816     assert(!runDependencyTracking[id]);
    817     runDependencyTracking[id] = 1;
    818     if (runDependencyWatcher === null && typeof setInterval !== 'undefined') {
    819       // Check for missing dependencies every few seconds
    820       runDependencyWatcher = setInterval(function() {
    821         var shown = false;
    822         for (var dep in runDependencyTracking) {
    823           if (!shown) {
    824             shown = true;
    825             Module.printErr('still waiting on run dependencies:');
    826           }
    827           Module.printErr('dependency: ' + dep);
    828         }
    829         if (shown) {
    830           Module.printErr('(end of list)');
    831         }
    832       }, 6000);
    833     }
    834   } else {
    835     Module.printErr('warning: run dependency added without ID');
    836   }
    837 }
    838 Module['addRunDependency'] = addRunDependency;
    839 function removeRunDependency(id) {
    840   runDependencies--;
    841   if (Module['monitorRunDependencies']) {
    842     Module['monitorRunDependencies'](runDependencies);
    843   }
    844   if (id) {
    845     assert(runDependencyTracking[id]);
    846     delete runDependencyTracking[id];
    847   } else {
    848     Module.printErr('warning: run dependency removed without ID');
    849   }
    850   if (runDependencies == 0) {
    851     if (runDependencyWatcher !== null) {
    852       clearInterval(runDependencyWatcher);
    853       runDependencyWatcher = null;
    854     } 
    855     // If run has never been called, and we should call run (INVOKE_RUN is true, and Module.noInitialRun is not false)
    856     if (!calledRun && shouldRunNow) run();
    857   }
    858 }
    859 Module['removeRunDependency'] = removeRunDependency;
    860 Module["preloadedImages"] = {}; // maps url to image data
    861 Module["preloadedAudios"] = {}; // maps url to audio data
    862 function addPreRun(func) {
    863   if (!Module['preRun']) Module['preRun'] = [];
    864   else if (typeof Module['preRun'] == 'function') Module['preRun'] = [Module['preRun']];
    865   Module['preRun'].push(func);
    866 }
    867 var awaitingMemoryInitializer = false;
    868 function loadMemoryInitializer(filename) {
    869   function applyData(data) {
    870     HEAPU8.set(data, STATIC_BASE);
    871     runPostSets();
    872   }
    873   // always do this asynchronously, to keep shell and web as similar as possible
    874   addPreRun(function() {
    875     if (ENVIRONMENT_IS_NODE || ENVIRONMENT_IS_SHELL) {
    876       applyData(Module['readBinary'](filename));
    877     } else {
    878       Browser.asyncLoad(filename, function(data) {
    879         applyData(data);
    880       }, function(data) {
    881         throw 'could not load memory initializer ' + filename;
    882       });
    883     }
    884   });
    885   awaitingMemoryInitializer = false;
    886 }
    887 // === Body ===
    888 STATIC_BASE = 8;
    889 STATICTOP = STATIC_BASE + 168584;
    890 var _stdout;
    891 var _stderr;
    892 var _stdout = _stdout=allocate([0,0,0,0,0,0,0,0], "i8", ALLOC_STATIC);
    893 var _stderr = _stderr=allocate([0,0,0,0,0,0,0,0], "i8", ALLOC_STATIC);
    894 /* memory initializer */ allocate([0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,40,1,0,0,50,2,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,88,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,228,1,0,0,0,0,0,0,36,2,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,68,2,0,0,0,0,0,0,134,1,0,0,196,1,0,0,166,1,0,0,250,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,216,0,0,0,232,0,0,0,248,0,0,0,8,1,0,0,24,1,0,0,40,1,0,0,56,1,0,0,72,1,0,0,232,0,0,0,232,0,0,0,40,1,0,0,40,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,8,119,2,0,200,104,2,0,232,91,2,0,136,77,2,0,200,65,2,0,240,54,2,0,32,43,2,0,88,29,2,0,152,18,2,0,40,9,2,0,32,1,2,0,88,250,1,0,56,241,1,0,120,231,1,0,168,223,1,0,40,219,1,0,174,0,0,0,0,0,0,0,188,0,0,0,128,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,152,1,0,0,76,1,0,0,0,0,0,0,0,0,0,0,254,1,0,0,0,0,0,0,202,0,0,0,34,1,0,0,90,0,0,0,204,0,0,0,8,0,0,0,0,0,0,0,100,0,0,0,116,0,0,0,92,0,0,0,146,0,0,0,158,2,0,0,98,2,0,0,204,1,0,0,78,0,0,0,2,2,0,0,28,1,0,0,46,0,0,0,146,1,0,0,210,0,0,0,108,0,0,0,26,0,0,0,94,1,0,0,1,0,0,0,1,0,0,0,0,0,0,0,0,0,0,0,0,21,10,0,0,9,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,21,16,12,19,28,30,3,13,31,32,33,34,35,27,26,17,25,25,25,25,25,25,25,25,25,25,22,18,2,14,11,15,28,24,24,24,24,24,24,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,20,28,4,28,22,28,24,24,24,24,24,24,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,28,36,28,28,28,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,7,7,7,7,7,0,0,0,0,0,0,0,0,0,1,1,64,0,0,0,250,1,0,0,210,1,0,0,98,1,0,0,178,0,0,0,210,1,0,0,226,0,0,0,228,0,0,0,236,0,0,0,0,0,0,0,0,4,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,18,0,0,0,0,0,0,0,112,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,216,1,0,0,0,0,0,0,124,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,168,1,0,0,0,0,0,0,62,2,0,0,58,2,0,0,188,1,0,0,230,0,0,0,112,0,0,0,0,0,0,0,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,88,168,53,205,59,78,213,63,37,117,2,154,8,27,218,63,0,0,0,0,0,0,224,63,0,0,0,0,0,0,224,63,44,212,154,230,29,167,234,63,106,222,113,138,142,228,232,63,88,168,53,205,59,78,213,63,88,168,53,205,59,78,213,63,88,168,53,205,59,78,213,63,0,0,0,0,0,0,224,63,93,220,70,3,120,11,226,63,0,0,0,0,0,0,208,63,88,168,53,205,59,78,213,63,0,0,0,0,0,0,208,63,211,188,227,20,29,201,209,63,0,0,0,0,0,0,224,63,0,0,0,0,0,0,224,63,0,0,0,0,0,0,224,63,0,0,0,0,0,0,224,63,0,0,0,0,0,0,224,63,0,0,0,0,0,0,224,63,0,0,0,0,0,0,224,63,0,0,0,0,0,0,224,63,0,0,0,0,0,0,224,63,0,0,0,0,0,0,224,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,93,220,70,3,120,11,226,63,93,220,70,3,120,11,226,63,93,220,70,3,120,11,226,63,13,113,172,139,219,104,220,63,100,93,220,70,3,120,237,63,210,111,95,7,206,25,231,63,16,122,54,171,62,87,229,63,16,122,54,171,62,87,229,63,210,111,95,7,206,25,231,63,120,11,36,40,126,140,227,63,181,21,251,203,238,201,225,63,210,111,95,7,206,25,231,63,210,111,95,7,206,25,231,63,88,168,53,205,59,78,213,63,136,133,90,211,188,227,216,63,210,111,95,7,206,25,231,63,120,11,36,40,126,140,227,63,196,66,173,105,222,113,236,63,210,111,95,7,206,25,231,63,210,111,95,7,206,25,231,63,181,21,251,203,238,201,225,63,210,111,95,7,206,25,231,63,16,122,54,171,62,87,229,63,181,21,251,203,238,201,225,63,120,11,36,40,126,140,227,63,210,111,95,7,206,25,231,63,210,111,95,7,206,25,231,63,134,56,214,197,109,52,238,63,210,111,95,7,206,25,231,63,210,111,95,7,206,25,231,63,120,11,36,40,126,140,227,63,88,168,53,205,59,78,213,63,211,188,227,20,29,201,209,63,88,168,53,205,59,78,213,63,166,10,70,37,117,2,222,63,0,0,0,0,0,0,224,63,88,168,53,205,59,78,213,63,13,113,172,139,219,104,220,63,0,0,0,0,0,0,224,63,13,113,172,139,219,104,220,63,0,0,0,0,0,0,224,63,13,113,172,139,219,104,220,63,88,168,53,205,59,78,213,63,0,0,0,0,0,0,224,63,0,0,0,0,0,0,224,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,0,0,0,0,0,0,224,63,211,188,227,20,29,201,209,63,106,222,113,138,142,228,232,63,0,0,0,0,0,0,224,63,0,0,0,0,0,0,224,63,0,0,0,0,0,0,224,63,0,0,0,0,0,0,224,63,88,168,53,205,59,78,213,63,136,133,90,211,188,227,216,63,211,188,227,20,29,201,209,63,0,0,0,0,0,0,224,63,0,0,0,0,0,0,224,63,210,111,95,7,206,25,231,63,0,0,0,0,0,0,224,63,0,0,0,0,0,0,224,63,13,113,172,139,219,104,220,63,244,108,86,125,174,182,222,63,17,54,60,189,82,150,201,63,244,108,86,125,174,182,222,63,59,1,77,132,13,79,225,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,88,168,53,205,59,78,213,63,0,0,0,0,0,0,224,63,0,0,0,0,0,0,224,63,62,232,217,172,250,92,197,63,0,0,0,0,0,0,224,63,0,0,0,0,0,0,224,63,0,0,0,0,0,0,224,63,0,0,0,0,0,0,224,63,130,115,70,148,246,6,199,63,13,113,172,139,219,104,220,63,0,0,0,0,0,0,224,63,88,168,53,205,59,78,213,63,88,168,53,205,59,78,213,63,181,21,251,203,238,201,225,63,181,21,251,203,238,201,225,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,224,63,0,0,0,0,0,0,224,63,0,0,0,0,0,0,224,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,7,240,22,72,80,252,220,63,162,180,55,248,194,100,214,63,88,168,53,205,59,78,213,63,13,113,172,139,219,104,220,63,13,113,172,139,219,104,220,63,0,0,0,0,0,0,224,63,0,0,0,0,0,0,240,63,0,0,0,0,0,0,240,63,0,0,0,0,0,0,208,63,13,113,172,139,219,104,220,63,0,0,0,0,0,0,208,63,88,168,53,205,59,78,213,63,88,168,53,205,59,78,213,63,88,168,53,205,59,78,213,63,88,168,53,205,59,78,213,63,88,168,53,205,59,78,213,63,88,168,53,205,59,78,213,63,88,168,53,205,59,78,213,63,88,168,53,205,59,78,213,63,0,0,0,0,0,0,208,63,88,168,53,205,59,78,213,63,88,168,53,205,59,78,213,63,0,0,0,0,0,0,208,63,88,168,53,205,59,78,213,63,88,168,53,205,59,78,213,63,88,168,53,205,59,78,213,63,0,0,0,0,0,0,240,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,196,66,173,105,222,113,236,63,0,0,0,0,0,0,208,63,127,217,61,121,88,168,209,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,120,11,36,40,126,140,227,63,210,111,95,7,206,25,231,63,196,66,173,105,222,113,236,63,19,242,65,207,102,213,211,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,16,122,54,171,62,87,229,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,211,188,227,20,29,201,209,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,211,188,227,20,29,201,209,63,0,0,0,0,0,0,224,63,210,111,95,7,206,25,231,63,0,0,0,0,0,0,224,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,0,0,0,0,0,0,208,63,16,219,1,0,58,0,0,0,96,186,1,0,56,1,0,0,32,184,1,0,126,1,0,0,8,127,1,0,194,0,0,0,64,182,1,0,104,0,0,0,8,180,1,0,150,0,0,0,16,205,1,0,146,2,0,0,208,176,1,0,8,1,0,0,120,175,1,0,160,2,0,0,200,173,1,0,202,1,0,0,40,172,1,0,76,0,0,0,248,170,1,0,150,2,0,0,112,125,1,0,182,1,0,0,184,166,1,0,246,0,0,0,56,165,1,0,6,0,0,0,72,163,1,0,6,0,0,0,240,161,1,0,216,0,0,0,112,160,1,0,90,1,0,0,1,0,0,0,1,0,0,0,1,0,0,0,1,0,0,0,2,0,0,0,2,0,0,0,1,0,0,0,2,0,0,0,4,0,0,0,0,0,0,0,192,244,1,0,232,203,1,0,56,183,1,0,0,166,1,0,160,150,1,0,64,134,1,0,48,118,1,0,232,104,1,0,248,122,2,0,248,108,2,0,48,95,2,0,240,81,2,0,40,69,2,0,32,58,2,0,32,46,2,0,64,32,2,0,136,21,2,0,40,12,2,0,56,3,2,0,240,251,1,0,232,243,1,0,240,233,1,0,8,226,1,0,224,219,1,0,184,217,1,0,248,214,1,0,64,212,1,0,48,210,1,0,0,208,1,0,208,205,1,0,168,203,1,0,40,201,1,0,232,198,1,0,232,196,1,0,56,195,1,0,56,193,1,0,48,191,1,0,248,188,1,0,48,187,1,0,32,185,1,0,16,183,1,0,248,180,1,0,40,179,1,0,120,177,1,0,248,175,1,0,72,174,1,0,176,172,1,0,104,171,1,0,8,170,1,0,80,167,1,0,232,165,1,0,248,163,1,0,104,162,1,0,24,161,1,0,136,159,1,0,16,158,1,0,176,156,1,0,72,155,1,0,248,153,1,0,8,152,1,0,144,150,1,0,160,148,1,0,64,147,1,0,200,145,1,0,112,144,1,0,176,142,1,0,16,141,1,0,224,138,1,0,120,137,1,0,104,135,1,0,24,134,1,0,80,132,1,0,240,130,1,0,32,129,1,0,128,127,1,0,16,126,1,0,48,124,1,0,232,122,1,0,16,121,1,0,64,119,1,0,24,118,1,0,136,116,1,0,64,115,1,0,16,114,1,0,216,112,1,0,112,111,1,0,64,110,1,0,192,108,1,0,136,107,1,0,240,105,1,0,184,104,1,0,192,102,1,0,112,101,1,0,64,100,1,0,224,98,1,0,184,97,1,0,144,96,1,0,72,95,1,0,56,125,2,0,216,123,2,0,208,122,2,0,240,120,2,0,136,119,2,0,96,118,2,0,24,117,2,0,224,115,2,0,176,114,2,0,104,113,2,0,224,111,2,0,72,110,2,0,192,108,2,0,8,107,2,0,128,105,2,0,16,104,2,0,120,102,2,0,56,101,2,0,64,100,2,0,8,99,2,0,192,97,2,0,40,96,2,0,248,94,2,0,144,93,2,0,88,92,2,0,8,91,2,0,224,89,2,0,176,88,2,0,64,87,2,0,184,85,2,0,152,84,2,0,8,83,2,0,184,81,2,0,200,79,2,0,80,78,2,0,224,76,2,0,88,75,2,0,104,74,2,0,80,73,2,0,8,72,2,0,240,70,2,0,224,69,2,0,0,69,2,0,88,67,2,0,128,66,2,0,64,65,2,0,56,64,2,0,40,63,2,0,48,62,2,0,0,0,0,0,114,0,0,0,0,0,0,0,232,0,0,0,140,1,0,0,158,1,0,0,118,0,0,0,244,0,0,0,252,0,0,0,50,0,0,0,212,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,196,0,0,0,232,1,0,0,212,0,0,0,238,1,0,0,24,0,0,0,94,2,0,0,0,0,0,0,0,0,0,0,88,0,0,0,0,0,0,0,198,1,0,0,90,2,0,0,154,1,0,0,128,2,0,0,126,2,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,255,255,255,255,0,0,0,0,54,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,230,1,0,0,226,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,8,0,0,0,4,0,0,0,0,0,0,0,0,0,0,0,114,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,32,193,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,0,0,0,0,0,176,193,0,0,0,0,0,0,0,0,0,0,0,0,0,88,1,0,0,16,0,0,0,1,0,0,0,0,0,0,0,0,16,0,2,0,0,0,0,0,0,0,0,0,0,16,64,0,0,0,0,0,0,0,0,5,0,0,0,0,0,0,0,0,176,193,0,0,0,0,0,0,0,0,0,0,0,16,64,16,13,0,0,147,0,0,0,1,0,0,0,0,0,0,0,0,32,3,2,0,0,0,0,0,0,0,0,0,0,16,64,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,4,144,195,0,0,0,0,0,0,0,0,0,0,0,16,64,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,2,16,0,0,0,0,0,0,0,0,0,0,0,0,16,64,80,64,0,0,8,0,0,0,1,0,0,0,0,0,0,0,0,32,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,5,0,0,0,0,0,0,0,66,0,0,0,148,1,0,0,56,2,0,0,70,2,0,0,92,2,0,0,122,2,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,72,135,1,0,8,119,1,0,200,105,1,0,176,123,2,0,24,110,2,0,0,0,0,0,1,0,0,0,2,0,0,0,3,0,0,0,4,0,0,0,5,0,0,0,0,0,0,0,102,1,0,0,142,0,0,0,52,0,0,0,0,0,0,0,136,2,0,0,0,0,0,0,6,1,0,0,36,0,0,0,136,1,0,0,222,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,26,1,0,0,2,0,0,0,130,1,0,0,4,1,0,0,234,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,24,1,0,0,0,0,0,0,116,2,0,0,158,0,0,0,172,0,0,0,218,0,0,0,170,2,0,0,42,2,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,208,108,2,0,48,110,2,0,168,252,1,0,136,206,1,0,136,185,1,0,104,168,1,0,112,152,1,0,248,135,1,0,136,206,1,0,128,119,1,0,56,106,1,0,136,206,1,0,16,124,2,0,184,110,2,0,128,96,2,0,88,83,2,0,32,70,2,0,40,59,2,0,136,47,2,0,104,33,2,0,192,22,2,0,88,13,2,0,0,4,2,0,224,252,1,0,72,245,1,0,16,235,1,0,8,227,1,0,24,220,1,0,8,218,1,0,48,215,1,0,120,212,1,0,96,210,1,0,96,208,1,0,152,206,1,0,136,206,1,0,8,204,1,0,96,201,1,0,48,199,1,0,64,197,1,0,136,206,1,0,120,195,1,0,96,193,1,0,176,190,1,0,192,188,1,0,152,206,1,0,136,206,1,0,0,187,1,0,208,184,1,0,192,182,1,0,152,180,1,0,192,178,1,0,16,177,1,0,208,175,1,0,16,174,1,0,136,172,1,0,64,171,1,0,136,206,1,0,216,169,1,0,24,167,1,0,176,165,1,0,192,163,1,0,48,162,1,0,136,206,1,0,208,160,1,0,96,159,1,0,240,157,1,0,144,156,1,0,32,155,1,0,216,153,1,0,240,151,1,0,104,150,1,0,128,148,1,0,240,146,1,0,168,145,1,0,88,144,1,0,152,206,1,0,136,206,1,0,144,142,1,0,240,140,1,0,184,138,1,0,104,33,2,0,136,206,1,0,56,137,1,0,24,136,1,0,248,133,1,0,40,132,1,0,216,130,1,0,0,129,1,0,96,127,1,0,184,125,1,0,16,124,1,0,200,122,1,0,104,33,2,0,136,206,1,0,208,120,1,0,16,119,1,0,248,117,1,0,104,116,1,0,24,115,1,0,248,113,1,0,192,112,1,0,96,111,1,0,152,206,1,0,136,206,1,0,48,110,1,0,176,108,1,0,120,107,1,0,224,105,1,0,168,104,1,0,168,102,1,0,96,101,1,0,48,100,1,0,208,98,1,0,160,97,1,0,128,96,1,0,152,206,1,0,136,206,1,0,40,95,1,0,32,125,2,0,136,206,1,0,200,123,2,0,160,122,2,0,224,120,2,0,144,119,2,0,104,118,2,0,40,117,2,0,232,115,2,0,192,114,2,0,112,113,2,0,136,206,1,0,240,111,2,0,136,206,1,0,96,110,2,0,32,109,2,0,64,107,2,0,144,105,2,0,32,104,2,0,160,102,2,0,152,206,1,0,136,206,1,0,64,101,2,0,136,206,1,0,72,100,2,0,24,99,2,0,200,97,2,0,56,96,2,0,8,95,2,0,184,93,2,0,112,92,2,0,104,33,2,0,136,206,1,0,16,91,2,0,136,206,1,0,240,89,2,0,248,88,2,0,80,87,2,0,248,85,2,0,160,84,2,0,24,83,2,0,200,81,2,0,136,206,1,0,248,79,2,0,96,78,2,0,232,76,2,0,216,75,2,0,120,74,2,0,88,73,2,0,16,72,2,0,248,70,2,0,136,206,1,0,240,69,2,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,36,64,0,0,0,0,0,0,89,64,0,0,0,0,0,136,195,64,0,0,0,0,132,215,151,65,0,128,224,55,121,195,65,67,23,110,5,181,181,184,147,70,245,249,63,233,3,79,56,77,50,29,48,249,72,119,130,90,60,191,115,127,221,79,21,117,40,116,1,0,96,102,1,0,152,120,2,0,0,0,0,0,0,0,0,0,4,0,0,0,216,106,2,0,0,0,0,0,0,0,0,0,88,93,2,0,96,102,1,0,152,120,2,0,0,0,0,0,160,79,2,0,5,0,0,0,216,106,2,0,0,0,0,0,40,67,2,0,64,56,2,0,96,102,1,0,96,44,2,0,0,0,0,0,0,0,0,0,6,0,0,0,216,106,2,0,176,30,2,0,0,0,0,0,200,19,2,0,96,102,1,0,96,44,2,0,0,0,0,0,160,79,2,0,7,0,0,0,216,106,2,0,176,30,2,0,40,67,2,0,120,10,2,0,224,1,2,0,96,44,2,0,0,0,0,0,0,0,0,0,10,0,0,0,32,251,1,0,176,30,2,0,0,0,0,0,96,242,1,0,224,1,2,0,96,44,2,0,0,0,0,0,40,67,2,0,11,0,0,0,32,251,1,0,176,30,2,0,40,67,2,0,80,232,1,0,224,1,2,0,152,224,1,0,0,0,0,0,0,0,0,0,8,0,0,0,32,251,1,0,0,0,0,0,0,0,0,0,96,219,1,0,224,1,2,0,152,224,1,0,0,0,0,0,40,67,2,0,9,0,0,0,32,251,1,0,0,0,0,0,40,67,2,0,0,217,1,0,0,217,1,0,0,0,0,0,0,0,0,0,0,0,0,0,12,0,0,0,80,214,1,0,0,0,0,0,0,0,0,0,200,211,1,0,0,217,1,0,176,30,2,0,0,0,0,0,0,0,0,0,14,0,0,0,80,214,1,0,176,30,2,0,0,0,0,0,168,209,1,0,0,217,1,0,176,30,2,0,0,0,0,0,160,79,2,0,15,0,0,0,80,214,1,0,176,30,2,0,40,67,2,0,152,207,1,0,0,217,1,0,0,0,0,0,0,0,0,0,160,79,2,0,13,0,0,0,80,214,1,0,0,0,0,0,40,67,2,0,72,205,1,0,72,205,1,0,0,0,0,0,0,0,0,0,0,0,0,0,16,0,0,0,216,106,2,0,0,0,0,0,0,0,0,0,32,203,1,0,72,205,1,0,176,30,2,0,0,0,0,0,0,0,0,0,18,0,0,0,216,106,2,0,176,30,2,0,0,0,0,0,160,200,1,0,72,205,1,0,176,30,2,0,0,0,0,0,160,79,2,0,19,0,0,0,216,106,2,0,176,30,2,0,40,67,2,0,136,198,1,0,72,205,1,0,0,0,0,0,152,196,1,0,0,0,0,0,20,0,0,0,216,106,2,0,0,0,0,0,0,0,0,0,208,194,1,0,72,205,1,0,176,30,2,0,152,196,1,0,0,0,0,0,22,0,0,0,216,106,2,0,176,30,2,0,0,0,0,0,176,192,1,0,72,205,1,0,176,30,2,0,152,196,1,0,160,79,2,0,23,0,0,0,216,106,2,0,176,30,2,0,40,67,2,0,96,190,1,0,72,205,1,0,0,0,0,0,152,196,1,0,160,79,2,0,21,0,0,0,216,106,2,0,0,0,0,0,40,67,2,0,88,188,1,0,72,205,1,0,0,0,0,0,0,0,0,0,160,79,2,0,17,0,0,0,216,106,2,0,0,0,0,0,40,67,2,0,168,186,1,0,80,184,1,0,176,30,2,0,0,0,0,0,0,0,0,0,26,0,0,0,32,251,1,0,176,30,2,0,0,0,0,0,160,182,1,0,80,184,1,0,176,30,2,0,0,0,0,0,40,67,2,0,27,0,0,0,32,251,1,0,176,30,2,0,40,67,2,0,96,180,1,0,80,184,1,0,0,0,0,0,0,0,0,0,40,67,2,0,25,0,0,0,32,251,1,0,0,0,0,0,40,67,2,0,152,178,1,0,80,184,1,0,8,177,1,0,0,0,0,0,0,0,0,0,24,0,0,0,32,251,1,0,0,0,0,0,0,0,0,0,192,175,1,0,248,173,1,0,176,30,2,0,0,0,0,0,0,0,0,0,30,0,0,0,32,251,1,0,176,30,2,0,0,0,0,0,112,172,1,0,248,173,1,0,176,30,2,0,0,0,0,0,40,67,2,0,31,0,0,0,32,251,1,0,176,30,2,0,40,67,2,0,48,171,1,0,248,173,1,0,0,0,0,0,0,0,0,0,40,67,2,0,29,0,0,0,32,251,1,0,0,0,0,0,40,67,2,0,200,169,1,0,248,173,1,0,8,177,1,0,0,0,0,0,0,0,0,0,28,0,0,0,32,251,1,0,0,0,0,0,0,0,0,0,240,166,1,0,240,166,1,0,0,0,0,0,0,0,0,0,0,0,0,0,32,0,0,0,168,165,1,0,0,0,0,0,0,0,0,0,144,163,1,0,32,162,1,0,176,30,2,0,0,0,0,0,0,0,0,0,2,0,0,0,32,251,1,0,176,30,2,0,0,0,0,0,184,160,1,0,32,162,1,0,176,30,2,0,0,0,0,0,40,67,2,0,3,0,0,0,32,251,1,0,176,30,2,0,40,67,2,0,80,159,1,0,32,162,1,0,0,0,0,0,0,0,0,0,40,67,2,0,1,0,0,0,32,251,1,0,0,0,0,0,40,67,2,0,224,157,1,0,32,162,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,32,251,1,0,0,0,0,0,0,0,0,0,96,156,1,0,0,155,1,0,192,153,1,0,0,0,0,0,40,67,2,0,33,0,0,0,32,251,1,0,0,0,0,0,40,67,2,0,176,151,1,0,72,150,1,0,0,0,0,0,0,0,0,0,0,0,0,0,34,0,0,0,168,165,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,220,1,0,0,144,0,0,0,164,1,0,0,208,1,0,0,138,0,0,0,242,1,0,0,152,143,1,0,192,141,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,62,0,0,0,144,0,0,0,164,1,0,0,130,2,0,0,0,0,0,0,34,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,240,63,0,0,0,0,0,0,240,63,0,0,0,0,0,0,240,63,0,0,0,0,0,0,240,63,0,0,0,0,0,0,240,63,0,0,0,0,0,0,240,63,0,0,0,0,0,0,240,63,0,0,0,0,0,0,240,63,0,0,0,0,0,0,240,63,0,0,0,0,0,0,240,63,0,0,0,0,0,0,240,63,0,0,0,0,0,0,240,63,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,3,0,0,0,8,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,3,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,4,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,154,153,153,153,153,153,217,191,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,4,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,64,0,0,0,0,0,0,0,1,0,0,0,1,0,0,0,4,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,7,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,4,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,5,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,4,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,51,51,51,51,51,51,227,63,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,8,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,4,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,32,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,3,0,0,0,0,0,0,0,0,0,0,0,0,128,102,64,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,4,0,0,0,0,0,0,0,0,0,0,0,0,128,102,64,154,153,153,153,153,153,217,191,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,5,0,0,0,0,0,0,0,0,0,0,0,0,128,102,64,123,20,174,71,225,122,228,191,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,5,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,123,20,174,71,225,122,228,191,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,6,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,4,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,128,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,51,51,51,51,51,51,211,191,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,2,0,0,0,8,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,2,0,0,0,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,4,0,0,0,0,0,0,0,0,0,0,0,0,128,70,64,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,4,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,2,0,0,0,0,0,0,1,0,0,0,1,0,0,0,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,4,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,0,0,0,0,0,0,0,1,0,0,0,4,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,1,0,0,0,4,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,4,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,4,0,0,0,0,0,0,0,0,0,0,0,0,128,70,64,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,12,0,0,0,0,0,0,0,1,0,0,0,1,0,0,0,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,12,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,104,7,2,0,32,0,2,0,208,248,1,0,88,239,1,0,24,230,1,0,176,222,1,0,216,218,1,0,88,216,1,0,128,213,1,0,56,211,1,0,8,209,1,0,48,207,1,0,184,204,1,0,120,202,1,0,8,200,1,0,40,198,1,0,32,196,1,0,64,194,1,0,8,192,1,0,192,189,1,0,232,187,1,0,0,0,0,0,2,3,4,5,6,7,8,0,0,9,10,11,12,13,14,15,16,17,0,0,0,0,0,0,0,0,0,0,0,0,18,19,0,20,0,0,0,0,0,0,0,0,0,0,0,0,0,0,21,22,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,23,0,0,0,0,0,0,0,0,0,0,0,0,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,24,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,0,0,0,0,0,0,0,4,254,255,255,135,254,255,255,7,0,0,0,0,0,0,0,0,255,255,127,255,255,255,127,255,255,255,255,255,255,255,243,127,254,253,255,255,255,255,255,127,255,255,255,255,255,255,255,255,15,224,255,255,255,255,49,252,255,255,255,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255,255,255,255,1,0,248,3,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,64,215,255,255,251,255,255,255,255,127,127,84,253,255,15,0,254,223,255,255,255,255,255,255,255,255,254,223,255,255,255,255,3,0,255,255,255,255,255,255,159,25,255,255,255,207,63,3,0,0,0,0,0,0,254,255,255,255,127,2,254,255,255,255,127,0,0,0,0,0,0,0,0,0,255,255,255,7,7,0,0,0,0,0,254,255,255,7,254,7,0,0,0,0,254,255,255,255,255,255,255,255,255,124,255,127,47,0,96,0,0,0,224,255,255,255,255,255,255,35,0,0,0,255,3,0,0,0,224,159,249,255,255,253,197,3,0,0,0,176,3,0,3,0,224,135,249,255,255,253,109,3,0,0,0,94,0,0,28,0,224,175,251,255,255,253,237,35,0,0,0,0,1,0,0,0,224,159,249,255,255,253,205,35,0,0,0,176,3,0,0,0,224,199,61,214,24,199,191,3,0,0,0,0,0,0,0,0,224,223,253,255,255,253,239,3,0,0,0,0,3,0,0,0,224,223,253,255,255,253,239,3,0,0,0,64,3,0,0,0,224,223,253,255,255,253,255,3,0,0,0,0,3,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,254,255,255,255,255,127,13,0,63,0,0,0,0,0,0,0,150,37,240,254,174,108,13,32,31,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,255,254,255,255,255,3,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,255,255,255,255,63,0,255,255,255,255,127,0,237,218,7,0,0,0,0,80,1,80,49,130,171,98,44,0,0,0,0,64,0,201,128,245,7,0,0,0,0,8,1,2,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,15,255,255,255,255,255,255,255,255,255,255,255,3,255,255,63,63,255,255,255,255,63,63,255,170,255,255,255,63,255,255,255,255,255,255,223,95,220,31,207,15,255,31,220,31,0,0,0,0,64,76,0,0,0,0,0,0,0,0,0,0,7,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,128,0,0,0,254,3,0,0,254,255,255,255,255,255,255,255,255,255,31,0,254,255,255,255,255,255,255,255,255,255,255,7].concat([224,255,255,255,255,31,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,63,0,0,0,0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,15,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,96,255,7,254,255,255,135,254,255,255,7,0,0,0,0,0,0,128,0,255,255,127,255,255,255,127,255,255,255,255,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255,255,255,255,1,0,248,3,0,3,0,0,0,0,0,255,255,255,255,255,255,255,255,63,0,0,0,3,0,0,0,192,215,255,255,251,255,255,255,255,127,127,84,253,255,15,0,254,223,255,255,255,255,255,255,255,255,254,223,255,255,255,255,123,0,255,255,255,255,255,255,159,25,255,255,255,207,63,3,0,0,0,0,0,0,254,255,255,255,127,2,254,255,255,255,127,0,254,255,251,255,255,187,22,0,255,255,255,7,7,0,0,0,0,0,254,255,255,7,255,255,7,0,255,3,255,255,255,255,255,255,255,255,255,124,255,127,239,255,255,61,255,3,238,255,255,255,255,255,255,243,255,63,30,255,207,255,0,0,238,159,249,255,255,253,197,211,159,57,128,176,207,255,3,0,228,135,249,255,255,253,109,211,135,57,0,94,192,255,31,0,238,175,251,255,255,253,237,243,191,59,0,0,193,255,0,0,238,159,249,255,255,253,205,243,143,57,192,176,195,255,0,0,236,199,61,214,24,199,191,195,199,61,128,0,128,255,0,0,238,223,253,255,255,253,239,195,223,61,96,0,195,255,0,0,236,223,253,255,255,253,239,195,223,61,96,64,195,255,0,0,236,223,253,255,255,253,255,195,207,61,128,0,195,255,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,254,255,255,255,255,127,255,7,255,127,255,3,0,0,0,0,150,37,240,254,174,108,255,59,95,63,255,3,0,0,0,0,0,0,0,3,255,3,160,194,255,254,255,255,255,3,254,255,223,15,191,254,255,63,254,2,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,255,31,2,0,0,0,160,0,0,0,254,255,62,0,254,255,255,255,255,255,255,255,255,255,31,102,254,255,255,255,255,255,255,255,255,255,255,119,25,3,26,27,28,29,30,0,0,31,32,33,34,35,36,37,16,17,0,0,0,0,0,0,0,0,0,0,0,0,18,19,38,20,0,0,0,0,0,0,0,0,0,0,0,0,0,0,39,22,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,23,0,0,0,0,0,0,0,0,0,0,0,0,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,24,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,45,57,57,57,57,57,57,57,57,57,57,57,57,57,57,57,46,57,57,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,100,1,0,0,118,2,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,108,2,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,144,2,0,0,0,0,0,0,20,2,0,0,8,2,0,0,184,0,0,0,152,0,0,0,96,1,0,0,106,1,0,0,162,1,0,0,214,0,0,0,32,2,0,0,48,1,0,0,132,1,0,0,54,2,0,0,16,0,0,0,224,0,0,0,240,1,0,0,190,0,0,0,2,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,21,10,0,0,9,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,21,16,12,19,28,30,3,13,31,32,33,34,35,27,26,17,25,25,25,25,25,25,25,25,25,25,22,18,2,14,11,15,28,24,24,24,24,24,24,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,20,28,4,28,22,28,24,24,24,24,24,24,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,28,36,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,22,28,28,28,28,28,28,28,28,28,28,22,28,26,28,28,22,28,28,28,28,28,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,28,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,28,22,22,22,22,22,22,22,22,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,100,0,0,0,116,0,0,0,92,0,0,0,146,0,0,0,158,2,0,0,98,2,0,0,204,1,0,0,78,0,0,0,2,2,0,0,28,1,0,0,46,0,0,0,146,1,0,0,210,0,0,0,108,0,0,0,72,0,0,0,214,1,0,0,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,21,10,0,0,9,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,21,16,12,19,28,30,3,13,31,32,33,34,35,27,26,17,25,25,25,25,25,25,25,25,25,25,22,18,2,14,11,15,28,24,24,24,24,24,24,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,20,28,4,28,22,28,24,24,24,24,24,24,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,28,36,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,22,28,28,28,28,28,28,28,28,28,28,22,28,26,28,28,22,28,28,28,28,28,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,28,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,28,22,22,22,22,22,22,22,22,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,192,252,1,0,8,0,0,0,3,0,0,0,232,244,1,0,160,234,1,0,11,0,0,0,6,0,0,0,144,226,1,0,8,220,1,0,2,0,0,0,1,0,0,0,248,217,1,0,32,215,1,0,4,0,0,0,2,0,0,0,104,212,1,0,80,210,1,0,4,0,0,0,4,0,0,0,80,208,1,0,128,206,1,0,5,0,0,0,5,0,0,0,248,203,1,0,80,201,1,0,4,0,0,0,7,0,0,0,32,199,1,0,8,197,1,0,5,0,0,0,9,0,0,0,104,195,1,0,1,208,209,210,211,212,213,214,215,216,217,0,0,0,0,0,0,0,0,0,0,0,0,0,100,0,0,0,116,0,0,0,92,0,0,0,146,0,0,0,158,2,0,0,98,2,0,0,204,1,0,0,78,0,0,0,2,2,0,0,28,1,0,0,46,0,0,0,146,1,0,0,210,0,0,0,108,0,0,0,26,0,0,0,94,1,0,0,1,0,0,0,1,0,0,0,0,0,0,0,0,0,0,0,0,21,10,0,0,21,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,21,16,12,19,28,30,3,13,31,32,33,34,35,27,26,17,25,25,25,25,25,25,25,25,25,25,22,18,2,14,11,15,28,24,24,24,24,24,24,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,20,28,4,28,22,28,24,24,24,24,24,24,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,28,36,28,28,28,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,8,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,5,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,6,7,7,7,7,7,0,0,0,0,0,0,0,0,0,1,1,64,0,0,0,250,1,0,0,210,1,0,0,98,1,0,0,178,0,0,0,210,1,0,0,226,0,0,0,228,0,0,0,236,0,0,0,0,0,0,0,8,0,0,0,8,0,0,0,0,0,0,0,0,0,0,0,66,1,0,0,168,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,120,109,108,61,104,116,116,112,58,47,47,119,119,119,46,119,51,46,111,114,103,47,88,77,76,47,49,57,57,56,47,110,97,109,101,115,112,97,99,101,0,0,0,0,0,0,0,0,136,249,1,0,222,0,0,0,104,240,1,0,70,0,0,0,0,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,0,0,23,16,17,18,19,30,36,38,40,42,44,45,1,27,23,23,23,23,2,28,29,36,38,40,42,47,48,30,203,30,4,30,46,30,83,30,30,5,6,77,78,49,4,7,8,9,10,11,12,5,6,46,72,49,52,7,8,9,10,11,12,66,217,55,66,70,58,72,75,4,23,16,17,18,19,4,85,52,37,39,41,43,5,6,88,90,91,92,7,8,9,10,11,12,4,79,57,55,51,54,71,5,6,80,76,84,0,7,8,9,10,11,12,4,60,0,0,58,0,0,5,6,0,0,0,0,7,8,9,10,11,12,4,0,0,0,0,61,0,5,6,0,0,0,0,7,8,9,10,11,12,4,0,0,0,0,0,63,5,6,0,0,0,4,7,8,9,10,11,12,5,6,0,0,0,0,7,8,9,10,11,12,0,0,0,2,3,3,1,1,2,1,1,1,3,3,3,3,3,3,1,1,1,1,1,1,1,1,1,1,1,1,2,1,1,2,0,6,1,3,3,3,3,1,0,1,2,0,4,1,2,0,4,0,4,0,4,0,3,2,1,0,0,0,0,0,0,0,0,31,32,32,32,33,34,34,35,35,35,35,35,35,35,35,36,37,38,39,40,41,42,43,44,45,46,47,48,48,49,49,51,50,52,52,52,52,52,53,53,54,54,56,55,57,57,59,58,60,58,61,58,62,58,63,63,0,0,0,0,0,0,0,245,245,23,246,247,255,61,0,60,1,55,2,71,245,245,245,245,245,254,62,245,31,34,245,33,245,245,32,245,245,245,245,245,0,0,0,0,0,0,0,11,0,245,255,151,0,13,0,245,255,245,255,16,0,245,255,245,255,245,255,245,255,245,255,245,255,12,0,151,0,245,255,151,0,151,0,151,0,151,0,151,0,151,0,245,255,39,0,245,255,17,0,3,0,245,255,245,255,245,255,245,255,151,0,151,0,151,0,151,0,20,0,30,0,42,0,63,0,40,0,82,0,48,0,101,0,50,0,120,0,139,0,245,255,245,255,245,255,245,255,245,255,245,255,245,255,245,255,245,255,245,255,245,255,245,255,245,255,245,255,245,255,245,255,245,255,245,255,245,255,59,0,245,255,56,0,245,255,43,0,57,0,245,255,18,0,28,0,245,255,20,0,245,255,245,255,72,0,245,255,245,255,74,0,245,255,245,255,245,255,245,255,75,0,76,0,245,255,77,0,245,255,245,255,245,255,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,255,3,13,14,15,31,50,32,53,33,56,34,59,20,62,21,64,22,35,24,65,25,26,67,68,69,73,74,87,86,89,81,82,0,0,0,0,0,0,0,0,4,40,0,30,29,0,16,18,20,22,24,26,0,5,7,40,40,40,40,0,0,9,8,34,0,0,1,28,2,6,0,0,0,0,8,0,0,0,0,0,0,0,0,0,0,31,3,32,17,10,35,19,11,36,21,13,38,23,12,37,25,14,27,15,0,43,0,41,0,40,42,40,0,45,39,33,56,0,49,47,0,51,44,46,55,0,0,54,0,50,48,52,0,0,0,2,2,2,2,2,14,16,17,18,19,20,21,1,0,16,17,18,19,7,3,8,31,32,33,34,8,23,36,10,38,12,40,12,42,6,44,45,19,20,21,22,11,12,25,26,27,28,29,30,19,20,12,24,11,14,25,26,27,28,29,30,5,23,15,5,9,16,24,70,12,72,72,72,72,72,12,4,14,16,17,18,19,19,20,10,10,10,10,25,26,27,28,29,30,12,72,41,15,37,39,67,19,20,72,70,73,255,25,26,27,28,29,30,12,43,255,255,16,255,255,19,20,255,255,255,255,25,26,27,28,29,30,12,255,255,255,255,17,255,19,20,255,255,255,255,25,26,27,28,29,30,12,255,255,255,255,255,18,19,20,255,255,255,12,25,26,27,28,29,30,19,20,255,255,255,255,25,26,27,28,29,30,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,152,114,1,0,1,0,0,0,152,1,0,0,32,17,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,200,181,1,0,1,0,0,0,224,3,0,0,64,17,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,8,253,1,0,1,0,0,0,96,15,0,0,96,17,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,16,69,2,0,1,0,0,0,112,18,0,0,128,17,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,80,135,1,0,1,0,0,0,24,44,0,0,160,17,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,201,1,0,1,0,0,0,112,64,0,0,192,17,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,176,201,1,0,1,0,0,0,168,79,0,0,224,17,0,0,4,0,0,0,64,181,1,0,1,0,0,0,40,0,0,0,0,17,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,40,208,1,0,120,89,1,0,16,208,1,0,248,88,1,0,15,0,0,0,56,151,1,0,1,0,0,0,0,79,0,0,0,0,0,0,16,0,0,0,176,46,2,0,1,0,0,0,0,79,0,0,0,0,0,0,17,0,0,0,232,217,1,0,1,0,0,0,0,79,0,0,0,0,0,0,17,0,0,0,80,195,1,0,1,0,0,0,0,79,0,0,0,0,0,0,17,0,0,0,24,176,1,0,1,0,0,0,0,79,0,0,0,0,0,0,19,0,0,0,168,159,1,0,1,0,0,0,32,79,0,0,0,0,0,0,20,0,0,0,152,144,1,0,1,0,0,0,32,79,0,0,0,0,0,0,21,0,0,0,176,127,1,0,1,0,0,0,32,79,0,0,0,0,0,0,21,0,0,0,16,113,1,0,1,0,0,0,32,79,0,0,0,0,0,0,21,0,0,0,32,99,1,0,1,0,0,0,32,79,0,0,0,0,0,0,22,0,0,0,112,117,2,0,1,0,0,0,240,78,0,0,0,0,0,0,23,0,0,0,8,103,2,0,1,0,0,0,240,78,0,0,0,0,0,0,24,0,0,0,56,90,2,0,1,0,0,0,240,78,0,0,0,0,0,0,24,0,0,0,168,75,2,0,1,0,0,0,240,78,0,0,0,0,0,0,24,0,0,0,112,64,2,0,1,0,0,0,240,78,0,0,0,0,0,0,25,0,0,0,128,53,2,0,1,0,0,0,16,79,0,0,0,0,0,0,25,0,0,0,136,41,2,0,1,0,0,0,16,79,0,0,0,0,0,0,25,0,0,0,168,27,2,0,1,0,0,0,16,79,0,0,0,0,0,0,25,0,0,0,120,17,2,0,1,0,0,0,16,79,0,0,0,0,0,0,26,0,0,0,112,7,2,0,1,0,0,0,8,79,0,0,0,0,0,0,10,0,0,0,40,0,2,0,1,0,0,0,24,79,0,0,0,0,0,0,11,0,0,0,224,248,1,0,1,0,0,0,24,79,0,0,0,0,0,0,12,0,0,0,112,239,1,0,1,0,0,0,24,79,0,0,0,0,0,0,12,0,0,0,32,230,1,0,1,0,0,0,24,79,0,0,0,0,0,0,12,0,0,0,184,222,1,0,1,0,0,0,24,79,0,0,0,0,0,0,14,0,0,0,224,218,1,0,1,0,0,0,24,79,0,0,0,0,0,0,14,0,0,0,96,216,1,0,1,0,0,0,24,79,0,0,0,0,0,0,13,0,0,0,136,213,1,0,1,0,0,0,24,79,0,0,0,0,0,0,5,0,0,0,64,211,1,0,1,0,0,0,24,79,0,0,0,0,0,0,6,0,0,0,16,209,1,0,1,0,0,0,24,79,0,0,0,0,0,0,7,0,0,0,56,207,1,0,1,0,0,0,24,79,0,0,0,0,0,0,7,0,0,0,192,204,1,0,1,0,0,0,24,79,0,0,0,0,0,0,7,0,0,0,128,202,1,0,1,0,0,0,24,79,0,0,0,0,0,0,9,0,0,0,16,200,1,0,1,0,0,0,24,79,0,0,0,0,0,0,9,0,0,0,48,198,1,0,1,0,0,0,24,79,0,0,0,0,0,0,8,0,0,0,40,196,1,0,1,0,0,0,24,79,0,0,0,0,0,0,0,0,0,0,72,194,1,0,1,0,0,0,232,78,0,0,0,0,0,0,1,0,0,0,16,192,1,0,1,0,0,0,232,78,0,0,0,0,0,0,2,0,0,0,200,189,1,0,1,0,0,0,232,78,0,0,0,0,0,0,2,0,0,0,240,187,1,0,1,0,0,0,232,78,0,0,0,0,0,0,2,0,0,0,64,186,1,0,1,0,0,0,232,78,0,0,0,0,0,0,4,0,0,0,8,184,1,0,1,0,0,0,232,78,0,0,0,0,0,0,4,0,0,0,32,182,1,0,1,0,0,0,232,78,0,0,0,0,0,0,3,0,0,0,232,179,1,0,1,0,0,0,232,78,0,0,0,0,0,0,18,0,0,0,64,178,1,0,1,0,0,0,0,79,0,0,0,0,0,0,27,0,0,0,184,176,1,0,1,0,0,0,248,78,0,0,0,0,0,0,28,0,0,0,96,175,1,0,1,0,0,0,248,78,0,0,0,0,0,0,29,0,0,0,168,173,1,0,1,0,0,0,248,78,0,0,0,0,0,0,29,0,0,0,16,172,1,0,1,0,0,0,248,78,0,0,0,0,0,0,29,0,0,0,224,170,1,0,1,0,0,0,248,78,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,240,84,2,0,0,0,0,0,160,79,0,0,152,79,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,14,0,0,0,0,0,0,0,48,193,1,0,200,1,0,0,144,73,2,0,76,2,0,0,152,228,1,0,76,2,0,0,120,199,1,0,162,2,0,0,88,179,1,0,162,2,0,0,144,162,1,0,10,0,0,0,232,146,1,0,10,0,0,0,176,130,1,0,180,0,0,0,232,114,1,0,180,0,0,0,32,101,1,0,40,0,0,0,40,119,2,0,40,0,0,0,8,105,2,0,156,0,0,0,200,91,2,0,156,0,0,0,128,81,2,0,86,1,0,0,0,0,0,0,240,100,1,0,1,0,0,0,0,0,0,0,144,80,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,208,67,2,0,1,0,0,0,0,0,0,0,200,80,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,8,96,2,0,1,0,0,0,0,0,0,0,0,81,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,120,67,2,0,1,0,0,0,0,0,0,0,56,81,0,0,1,0,0,0,136,66,2,0,1,0,0,0,0,0,0,0,56,81,0,0,2,0,0,0,72,65,2,0,1,0,0,0,0,0,0,0,24,82,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,240,29,2,0,1,0,0,0,0,0,0,0,112,81,0,0,2,0,0,0,192,213,1,0,1,0,0,0,0,0,0,0,168,81,0,0,0,0,0,0,32,192,1,0,1,0,0,0,0,0,0,0,168,81,0,0,3,0,0,0,136,173,1,0,1,0,0,0,0,0,0,0,168,81,0,0,0,0,0,0,80,157,1,0,1,0,0,0,0,0,0,0,112,81,0,0,3,0,0,0,128,141,1,0,1,0,0,0,0,0,0,0,112,81,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,160,75,2,0,1,0,0,0,0,0,0,0,224,81,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,72,164,1,0,1,0,0,0,0,0,0,0,80,82,0,0,0,0,0,0,232,148,1,0,1,0,0,0,0,0,0,0,80,82,0,0,1,0,0,0,152,132,1,0,1,0,0,0,0,0,0,0,136,82,0,0,2,0,0,0,208,116,1,0,1,0,0,0,0,0,0,0,80,82,0,0,3,0,0,0,32,103,1,0,1,0,0,0,0,0,0,0,80,82,0,0,4,0,0,0,72,121,2,0,1,0,0,0,0,0,0,0,80,82,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,82,0,0,0,208,0,0,0,22,2,0,0,166,2,0,0,48,2,0,0,2,1,0,0,114,2,0,0,154,2,0,0,174,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,248,207,1,0,192,205,1,0,160,203,1,0,0,0,0,0,100,0,0,0,101,0,0,0,102,0,0,0,100,0,0,0,32,201,1,0,224,198,1,0,224,196,1,0,0,0,0,0,0,0,0,0,1,0,0,0,2,0,0,0,255,255,255,255,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,176,139,2,0,72,139,2,0,24,139,2,0,64,139,2,0,48,139,2,0,32,139,2,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,102,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,102,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,16,205,1,0,104,2,0,0,168,202,1,0,140,2,0,0,40,200,1,0,60,2,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,128,228,1,0,112,199,1,0,80,179,1,0,136,162,1,0,224,146,1,0,168,130,1,0,224,114,1,0,24,101,1,0,0,0,0,0,0,0,0,0,14,2,0,0,144,1,0,0,0,0,0,0,0,0,0,0,84,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,74,2,0,0,148,2,0,0,82,1,0,0,18,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,42,1,0,0,82,2,0,0,224,1,0,0,156,2,0,0,32,0,0,0,30,2,0,0,108,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,120,2,0,0,126,0,0,0,164,1,0,0,60,0,0,0,0,0,0,0,38,0,0,0,80,191,1,0,198,0,0,0,24,189,1,0,193,0,0,0,80,187,1,0,194,0,0,0,152,185,1,0,192,0,0,0,64,183,1,0,145,3,0,0,32,181,1,0,197,0,0,0,72,179,1,0,195,0,0,0,152,177,1,0,196,0,0,0,48,176,1,0,146,3,0,0,128,174,1,0,199,0,0,0,224,172,1,0,167,3,0,0,144,171,1,0,33,32,0,0,40,170,1,0,148,3,0,0,112,168,1,0,208,0,0,0,32,166,1,0,201,0,0,0,32,164,1,0,202,0,0,0,168,162,1,0,200,0,0,0,88,161,1,0,149,3,0,0,192,159,1,0,151,3,0,0,56,158,1,0,203,0,0,0,216,156,1,0,147,3,0,0,120,155,1,0,205,0,0,0,56,154,1,0,206,0,0,0,144,152,1,0,204,0,0,0,184,150,1,0,153,3,0,0,192,148,1,0,207,0,0,0,104,147,1,0,154,3,0,0,240,145,1,0,155,3,0,0,176,144,1,0,156,3,0,0,248,142,1,0,209,0,0,0,64,141,1,0,157,3,0,0,8,139,1,0,82,1,0,0,168,137,1,0,211,0,0,0,16,136,1,0,212,0,0,0,88,134,1,0,210,0,0,0,120,132,1,0,169,3,0,0,32,131,1,0,159,3,0,0,88,129,1,0,216,0,0,0,208,127,1,0,213,0,0,0,64,126,1,0,214,0,0,0,112,124,1,0,166,3,0,0,16,123,1,0,160,3,0,0,56,121,1,0,51,32,0,0,192,119,1,0,168,3,0,0,72,118,1,0,161,3,0,0,176,116,1,0,96,1,0,0,104,115,1,0,163,3,0,0,72,114,1,0,222,0,0,0,40,113,1,0,164,3,0,0,152,111,1,0,152,3,0,0,104,110,1,0,218,0,0,0,248,108,1,0,219,0,0,0,184,107,1,0,217,0,0,0,88,106,1,0,165,3,0,0,240,104,1,0,220,0,0,0,240,102,1,0,158,3,0,0,160,101,1,0,221,0,0,0,136,100,1,0,120,1,0,0,40,99,1,0,150,3,0,0,232,97,1,0,225,0,0,0,200,96,1,0,226,0,0,0,120,95,1,0,180,0,0,0,104,125,2,0,230,0,0,0,56,124,2,0,224,0,0,0,8,123,2,0,53,33,0,0,24,121,2,0,177,3,0,0,184,119,2,0,38,0,0,0,168,118,2,0,39,34,0,0,144,117,2,0,32,34,0,0,16,116,2,0,229,0,0,0,232,114,2,0,72,34,0,0,152,113,2,0,227,0,0,0,56,112,2,0,228,0,0,0,200,110,2,0,30,32,0,0,8,109,2,0,178,3,0,0,120,107,2,0,166,0,0,0,72,106,2,0,34,32,0,0,160,104,2,0,41,34,0,0,80,103,2,0,231,0,0,0,240,101,2,0,184,0,0,0,176,100,2,0,162,0,0,0,160,99,2,0,199,3,0,0,80,98,2,0,198,2,0,0,176,96,2,0,99,38,0,0,128,95,2,0,69,34,0,0,40,94,2,0,169,0,0,0,248,92,2,0,181,33,0,0,112,91,2,0,42,34,0,0,128,90,2,0,164,0,0,0,56,89,2,0,211,33,0,0,224,87,2,0,32,32,0,0,104,86,2,0,147,33,0,0,40,85,2,0,176,0,0,0,224,83,2,0,180,3,0,0,96,82,2,0,102,38,0,0,184,80,2,0,247,0,0,0,32,79,2,0,233,0,0,0,120,76,2,0,234,0,0,0,48,76,2,0,232,0,0,0,216,74,2,0,5,34,0,0,216,73,2,0,3,32,0,0,120,72,2,0,2,32,0,0,64,71,2,0,181,3,0,0,112,70,2,0,97,34,0,0,104,69,2,0,183,3,0,0,232,67,2,0,240,0,0,0,208,66,2,0,235,0,0,0,176,65,2,0,172,32,0,0,192,64,2,0,3,34,0,0,192,63,2,0,146,1,0,0,176,62,2,0,0,34,0,0,80,61,2,0,189,0,0,0,96,60,2,0,188,0,0,0,144,59,2,0,190,0,0,0,112,58,2,0,68,32,0,0,8,57,2,0,179,3,0,0,232,55,2,0,101,34,0,0,216,54,2,0,62,0,0,0,216,53,2,0,212,33,0,0,200,52,2,0,148,33,0,0,232,51,2,0,101,38,0,0,248,50,2,0,38,32,0,0,72,49,2,0,237,0,0,0,72,48,2,0,238,0,0,0,128,46,2,0,161,0,0,0,72,45,2,0,236,0,0,0,0,44,2,0,17,33,0,0,8,43,2,0,30,34,0,0,248,41,2,0,43,34,0,0,232,40,2,0,185,3,0,0,224,39,2,0,191,0,0,0,248,38,2,0,8,34,0,0,160,34,2,0,239,0,0,0,200,33,2,0,186,3,0,0,144,32,2,0,208,33,0,0,128,31,2,0,187,3,0,0,80,30,2,0,41,35,0,0,64,29,2,0,171,0,0,0,88,28,2,0,144,33,0,0,32,27,2,0,8,35,0,0,64,26,2,0,28,32,0,0,88,25,2,0,100,34,0,0,24,24,2,0,10,35,0,0,32,23,2,0,23,34,0,0,16,22,2,0,202,37,0,0,184,20,2,0,14,32,0,0,120,19,2,0,57,32,0,0,128,18,2,0,24,32,0,0,200,17,2,0,60,0,0,0,8,17,2,0,175,0,0,0,56,16,2,0,20,32,0,0,72,15,2,0,181,0,0,0,112,14,2,0,183,0,0,0,184,13,2,0,18,34,0,0,168,12,2,0,188,3,0,0,136,11,2,0,7,34,0,0,32,10,2,0,160,0,0,0,32,9,2,0,19,32,0,0,224,7,2,0,96,34,0,0,240,6,2,0,11,34,0,0,40,6,2,0,172,0,0,0,128,5,2,0,9,34,0,0,224,4,2,0,132,34,0,0,80,4,2,0,241,0,0,0,136,3,2,0,189,3,0,0,192,2,2,0,243,0,0,0,192,1,2,0,244,0,0,0,24,1,2,0,83,1,0,0,112,0,2,0,242,0,0,0,208,255,1,0,62,32,0,0,72,255,1,0,201,3,0,0,136,254,1,0,191,3,0,0,224,253,1,0,149,34,0,0,64,253,1,0,40,34,0,0,72,252,1,0,170,0,0,0,168,251,1,0,186,0,0,0,0,251,1,0,248,0,0,0,80,250,1,0,245,0,0,0,80,249,1,0,151,34,0,0,160,248,1,0,246,0,0,0,248,247,1,0,182,0,0,0,72,247,1,0,2,34,0,0,136,246,1,0,48,32,0,0,200,245,1,0,165,34,0,0,96,244,1,0,198,3,0,0,104,243,1,0,192,3,0,0,40,242,1,0,214,3,0,0,48,241,1,0,177,0,0,0,216,239,1,0,163,0,0,0,248,238,1,0,50,32,0,0,0,238,1,0,15,34,0,0,96,237,1,0,29,34,0,0,120,236,1,0,200,3,0,0,144,235,1,0,34,0,0,0,72,234,1,0,210,33,0,0,24,233,1,0,26,34,0,0,24,232,1,0,42,35,0,0,112,231,1,0,187,0,0,0,144,230,1,0,146,33,0,0,208,229,1,0,9,35,0,0,88,229,1,0,29,32,0,0,224,228,1,0,28,33,0,0,8,228,1,0,174,0,0,0,120,227,1,0,11,35,0,0,80,226,1,0,193,3,0,0,104,225,1,0,15,32,0,0,112,224,1,0,58,32,0,0,192,223,1,0,25,32,0,0,144,222,1,0,26,32,0,0,104,222,1,0,97,1,0,0,160,221,1,0,197,34,0,0,32,221,1,0,167,0,0,0,120,220,1,0,173,0,0,0,96,220,1,0,195,3,0,0,0,220,1,0,194,3,0,0,192,219,1,0,60,34,0,0,88,219,1,0,96,38,0,0,48,219,1,0,130,34,0,0,8,219,1,0,134,34,0,0,208,218,1,0,17,34,0,0,176,218,1,0,131,34,0,0,136,218,1,0,185,0,0,0,104,218,1,0,178,0,0,0,80,218,1,0,179,0,0,0,224,217,1,0,135,34,0,0,88,217,1,0,223,0,0,0,248,216,1,0,196,3,0,0,208,216,1,0,52,34,0,0,160,216,1,0,184,3,0,0,64,216,1,0,209,3,0,0,8,216,1,0,9,32,0,0,224,215,1,0,254,0,0,0,144,215,1,0,220,2,0,0,120,215,1,0,215,0,0,0,24,215,1,0,34,33,0,0,168,214,1,0,209,33,0,0,72,214,1,0,250,0,0,0,232,213,1,0,145,33,0,0,176,213,1,0,251,0,0,0,120,213,1,0,249,0,0,0,80,213,1,0,168,0,0,0,40,213,1,0,210,3,0,0,8,213,1,0,197,3,0,0,176,212,1,0,252,0,0,0,96,212,1,0,24,33,0,0,16,212,1,0,190,3,0,0,192,211,1,0,253,0,0,0,160,211,1,0,165,0,0,0,88,211,1,0,255,0,0,0,32,211,1,0,182,3,0,0,0,211,1,0,13,32,0,0,216,210,1,0,12,32,0,0,186,0,0,0,0,0,0,0,10,1,0,0,0,0,0,0,164,0,0,0,0,0,0,0,44,2,0,0,0,0,0,0,118,1,0,0,0,0,0,0,44,1,0,0,0,0,0,0,160,1,0,0,0,0,0,0,140,0,0,0,0,0,0,0,64,46,0,0,136,79,1,0,16,2,0,0,176,77,1,0,176,77,1,0,200,44,0,0,16,2,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,0,0,0,0,78,2,0,0,28,0,0,0,0,0,0,0,0,0,0,0,40,1,0,0,50,2,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0])
    895 .concat([0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,78,79,84,65,84,73,79,78,40,0,0,0,0,0,0,0,40,0,0,0,0,0,0,0,124,0,0,0,0,0,0,0,78,77,84,79,75,69,78,83,0,0,0,0,0,0,0,0,78,77,84,79,75,69,78,0,73,68,82,69,70,83,0,0,73,68,82,69,70,0,0,0,73,68,0,0,0,0,0,0,69,78,84,73,84,89,0,0,69,78,84,73,84,73,69,83,0,0,0,0,0,0,0,0,67,68,65,84,65,0,0,0,0,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,88,64,0,0,0,0,0,0,88,64,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,88,64,0,0,0,0,0,0,88,64,0,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,82,64,0,0,0,0,0,0,82,64,96,0,0,0,0,0,0,0,0,0,0,0,0,0,66,64,0,0,0,0,0,0,66,64,0,0,0,0,0,32,131,64,0,0,0,0,0,192,136,64,0,0,0,0,0,0,82,64,0,0,0,0,0,0,82,64,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,88,64,0,0,0,0,0,0,88,64,0,0,12,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,88,64,0,0,0,0,0,0,88,64,2,16,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,128,150,64,0,0,0,0,0,128,150,64,0,0,0,0,0,0,0,0,0,0,0,0,0,0,66,64,0,0,0,0,0,0,66,64,0,0,0,0,0,32,131,64,0,0,0,0,0,192,136,64,0,0,0,0,0,0,82,64,0,0,0,0,0,0,82,64,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,82,64,0,0,0,0,0,0,82,64,0,0,0,4,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,82,64,0,0,0,0,0,0,82,64,0,153,1,0,224,150,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,81,218,27,124,97,50,227,63,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,184,212,1,0,85,93,201,127,201,127,255,0,104,191,1,0,187,45,212,190,174,212,255,0,232,172,1,0,20,119,253,253,192,134,255,0,224,156,1,0,85,93,201,127,201,127,255,0,72,141,1,0,187,45,212,190,174,212,255,0,120,124,1,0,20,119,253,253,192,134,255,0,128,110,1,0,42,102,255,255,255,153,255,0,224,96,1,0,85,93,201,127,201,127,255,0,0,115,2,0,187,45,212,190,174,212,255,0,128,100,2,0,20,119,253,253,192,134,255,0,176,87,2,0,42,102,255,255,255,153,255,0,168,73,2,0,151,173,176,56,108,176,255,0,112,62,2,0,85,93,201,127,201,127,255,0,168,51,2,0,187,45,212,190,174,212,255,0,160,39,2,0,20,119,253,253,192,134,255,0,0,26,2,0,42,102,255,255,255,153,255,0,248,15,2,0,151,173,176,56,108,176,255,0,216,5,2,0,232,252,240,240,2,127,255,0,16,255,1,0,85,93,201,127,201,127,255,0,192,247,1,0,187,45,212,190,174,212,255,0,192,237,1,0,20,119,253,253,192,134,255,0,32,229,1,0,42,102,255,255,255,153,255,0,96,221,1,0,151,173,176,56,108,176,255,0,144,218,1,0,232,252,240,240,2,127,255,0,232,215,1,0,17,224,191,191,91,23,255,0,48,213,1,0,85,93,201,127,201,127,255,0,224,210,1,0,187,45,212,190,174,212,255,0,192,208,1,0,20,119,253,253,192,134,255,0,240,206,1,0,42,102,255,255,255,153,255,0,120,204,1,0,151,173,176,56,108,176,255,0,8,202,1,0,232,252,240,240,2,127,255,0,200,199,1,0,17,224,191,191,91,23,255,0,224,197,1,0,0,0,102,102,102,102,255,0,232,195,1,0,147,25,247,222,235,247,255,0,248,193,1,0,142,75,225,158,202,225,255,0,168,191,1,0,145,188,189,49,130,189,255,0,128,189,1,0,159,16,255,239,243,255,255,0,168,187,1,0,143,46,231,189,215,231,255,0,248,185,1,0,143,127,214,107,174,214,255,0,144,183,1,0,147,208,181,33,113,181,255,0,136,181,1,0,159,16,255,239,243,255,255,0,176,179,1,0,143,46,231,189,215,231,255,0,0,178,1,0,143,127,214,107,174,214,255,0,128,176,1,0,145,188,189,49,130,189,255,0,0,175,1,0,149,241,156,8,81,156,255,0,56,173,1,0,159,16,255,239,243,255,255,0,216,171,1,0,148,43,239,198,219,239,255,0,112,170,1,0,142,75,225,158,202,225,255,0,216,168,1,0,143,127,214,107,174,214,255,0,96,166,1,0,145,188,189,49,130,189,255,0,136,164,1,0,149,241,156,8,81,156,255,0,232,162,1,0,159,16,255,239,243,255,255,0,152,161,1,0,148,43,239,198,219,239,255,0,24,160,1,0,142,75,225,158,202,225,255,0,176,158,1,0,143,127,214,107,174,214,255,0,64,157,1,0,144,169,198,66,146,198,255,0,192,155,1,0,147,208,181,33,113,181,255,0,136,154,1,0,151,241,148,8,69,148,255,0,24,153,1,0,148,8,255,247,251,255,255,0,16,151,1,0,147,25,247,222,235,247,255,0,56,149,1,0,148,43,239,198,219,239,255,0,176,147,1,0,142,75,225,158,202,225,255,0,64,146,1,0,143,127,214,107,174,214,255,0,240,144,1,0,144,169,198,66,146,198,255,0,112,143,1,0,147,208,181,33,113,181,255,0,160,141,1,0,151,241,148,8,69,148,255,0,32,140,1,0,148,8,255,247,251,255,255,0,240,137,1,0,147,25,247,222,235,247,255,0,144,136,1,0,148,43,239,198,219,239,255,0,160,134,1,0,142,75,225,158,202,225,255,0,224,132,1,0,143,127,214,107,174,214,255,0,96,131,1,0,144,169,198,66,146,198,255,0,152,129,1,0,147,208,181,33,113,181,255,0,24,128,1,0,149,241,156,8,81,156,255,0,184,126,1,0,152,235,107,8,48,107,255,0,192,124,1,0,23,239,84,84,48,5,255,0,104,123,1,0,119,255,60,0,60,48,255,0,168,121,1,0,23,236,140,140,81,10,255,0,56,120,1,0,24,194,191,191,129,45,255,0,136,118,1,0,29,112,223,223,194,125,255,0,56,117,1,0,30,52,246,246,232,195,255,0,216,115,1,0,121,38,234,199,234,229,255,0,136,114,1,0,120,95,205,128,205,193,255,0,112,113,1,0,124,165,151,53,151,143,255,0,32,112,1,0,124,252,102,1,102,94,255,0,208,110,1,0,23,239,84,84,48,5,255,0,104,109,1,0,124,252,102,1,102,94,255,0,24,108,1,0,119,255,60,0,60,48,255,0,176,106,1,0,23,236,140,140,81,10,255,0,64,105,1,0,24,194,191,191,129,45,255,0,176,103,1,0,29,112,223,223,194,125,255,0,0,102,1,0,30,52,246,246,232,195,255,0,208,100,1,0,0,0,245,245,245,245,255,0,112,99,1,0,121,38,234,199,234,229,255,0,88,98,1,0,120,95,205,128,205,193,255,0,32,97,1,0,124,165,151,53,151,143,255,0,240,95,1,0,28,135,216,216,179,101,255,0,144,94,1,0,0,0,245,245,245,245,255,0,144,124,2,0,123,127,180,90,180,172,255,0,72,123,2,0,21,215,166,166,97,26,255,0,184,121,2,0,29,112,223,223,194,125,255,0,24,120,2,0,120,95,205,128,205,193,255,0,232,118,2,0,121,253,133,1,133,113,255,0,208,117,2,0,21,215,166,166,97,26,255,0,120,116,2,0,29,112,223,223,194,125,255,0,56,115,2,0,0,0,245,245,245,245,255,0,232,113,2,0,120,95,205,128,205,193,255,0,168,112,2,0,121,253,133,1,133,113,255,0,96,111,2,0,23,236,140,140,81,10,255,0,128,109,2,0,28,135,216,216,179,101,255,0,216,107,2,0,30,52,246,246,232,195,255,0,80,106,2,0,121,38,234,199,234,229,255,0,168,104,2,0,123,127,180,90,180,172,255,0,88,103,2,0,124,252,102,1,102,94,255,0,248,101,2,0,23,236,140,140,81,10,255,0,184,100,2,0,28,135,216,216,179,101,255,0,168,99,2,0,30,52,246,246,232,195,255,0,96,98,2,0,0,0,245,245,245,245,255,0,32,97,2,0,121,38,234,199,234,229,255,0,136,95,2,0,123,127,180,90,180,172,255,0,72,94,2,0,124,252,102,1,102,94,255,0,0,93,2,0,23,236,140,140,81,10,255,0,184,91,2,0,24,194,191,191,129,45,255,0,136,90,2,0,29,112,223,223,194,125,255,0,64,89,2,0,30,52,246,246,232,195,255,0,232,87,2,0,121,38,234,199,234,229,255,0,112,86,2,0,120,95,205,128,205,193,255,0,56,85,2,0,124,165,151,53,151,143,255,0,232,83,2,0,124,252,102,1,102,94,255,0,104,82,2,0,23,236,140,140,81,10,255,0,192,80,2,0,24,194,191,191,129,45,255,0,40,79,2,0,29,112,223,223,194,125,255,0,104,77,2,0,30,52,246,246,232,195,255,0,56,76,2,0,0,0,245,245,245,245,255,0,224,74,2,0,121,38,234,199,234,229,255,0,224,73,2,0,120,95,205,128,205,193,255,0,128,72,2,0,124,165,151,53,151,143,255,0,80,71,2,0,124,252,102,1,102,94,255,0,120,70,2,0,135,20,249,229,245,249,255,0,112,69,2,0,117,74,216,153,216,201,255,0,248,67,2,0,103,185,162,44,162,95,255,0,216,66,2,0,136,14,251,237,248,251,255,0,184,65,2,0,127,54,226,178,226,226,255,0,200,64,2,0,113,120,194,102,194,164,255,0,200,63,2,0,98,190,139,35,139,69,255,0,184,62,2,0,136,14,251,237,248,251,255,0,88,61,2,0,127,54,226,178,226,226,255,0,112,60,2,0,113,120,194,102,194,164,255,0,152,59,2,0,103,185,162,44,162,95,255,0,120,58,2,0,102,255,109,0,109,44,255,0,16,57,2,0,136,14,251,237,248,251,255,0,240,55,2,0,119,34,236,204,236,230,255,0,224,54,2,0,117,74,216,153,216,201,255,0,224,53,2,0,113,120,194,102,194,164,255,0,208,52,2,0,103,185,162,44,162,95,255,0,240,51,2,0,102,255,109,0,109,44,255,0,0,51,2,0,136,14,251,237,248,251,255,0,96,49,2,0,119,34,236,204,236,230,255,0,80,48,2,0,117,74,216,153,216,201,255,0,136,46,2,0,113,120,194,102,194,164,255,0,80,45,2,0,105,159,174,65,174,118,255,0,8,44,2,0,98,190,139,35,139,69,255,0,16,43,2,0,102,255,88,0,88,36,255,0,0,42,2,0,134,6,253,247,252,253,255,0,240,40,2,0,135,20,249,229,245,249,255,0,232,39,2,0,119,34,236,204,236,230,255,0,0,39,2,0,117,74,216,153,216,201,255,0,176,34,2,0,113,120,194,102,194,164,255,0,208,33,2,0,105,159,174,65,174,118,255,0,152,32,2,0,98,190,139,35,139,69,255,0,136,31,2,0,102,255,88,0,88,36,255,0,88,30,2,0,134,6,253,247,252,253,255,0,72,29,2,0,135,20,249,229,245,249,255,0,96,28,2,0,119,34,236,204,236,230,255,0,40,27,2,0,117,74,216,153,216,201,255,0,72,26,2,0,113,120,194,102,194,164,255,0,96,25,2,0,105,159,174,65,174,118,255,0,40,24,2,0,98,190,139,35,139,69,255,0,40,23,2,0,102,255,109,0,109,44,255,0,24,22,2,0,101,255,68,0,68,27,255,0,192,20,2,0,144,20,244,224,236,244,255,0,128,19,2,0,148,70,218,158,188,218,255,0,136,18,2,0,196,123,167,136,86,167,255,0,208,17,2,0,136,14,251,237,248,251,255,0,16,17,2,0,146,53,227,179,205,227,255,0,64,16,2,0,162,74,198,140,150,198,255,0,80,15,2,0,202,149,157,136,65,157,255,0,128,14,2,0,136,14,251,237,248,251,255,0,192,13,2,0,146,53,227,179,205,227,255,0,176,12,2,0,162,74,198,140,150,198,255,0,144,11,2,0,196,123,167,136,86,167,255,0,40,10,2,0,214,225,129,129,15,124,255,0,240,9,2,0,136,14,251,237,248,251,255,0,240,8,2,0,148,43,230,191,211,230,255,0,176,7,2,0,148,70,218,158,188,218,255,0,192,6,2,0,162,74,198,140,150,198,255,0,248,5,2,0,196,123,167,136,86,167,255,0,96,5,2,0,214,225,129,129,15,124,255,0,192,4,2,0,136,14,251,237,248,251,255,0,48,4,2,0,148,43,230,191,211,230,255,0,104,3,2,0,148,70,218,158,188,218,255,0,152,2,2,0,162,74,198,140,150,198,255,0,152,1,2,0,190,100,177,140,107,177,255,0,248,0,2,0,202,149,157,136,65,157,255,0,80,0,2,0,213,252,110,110,1,107,255,0,176,255,1,0,134,6,253,247,252,253,255,0,40,255,1,0,144,20,244,224,236,244,255,0,96,254,1,0,148,43,230,191,211,230,255,0,184,253,1,0,148,70,218,158,188,218,255,0,32,253,1,0,162,74,198,140,150,198,255,0,40,252,1,0,190,100,177,140,107,177,255,0,128,251,1,0,202,149,157,136,65,157,255,0,224,250,1,0,213,252,110,110,1,107,255,0,48,250,1,0,134,6,253,247,252,253,255,0,48,249,1,0,144,20,244,224,236,244,255,0,128,248,1,0,148,43,230,191,211,230,255,0,216,247,1,0,148,70,218,158,188,218,255,0,40,247,1,0,162,74,198,140,150,198,255,0,88,246,1,0,190,100,177,140,107,177,255,0,168,245,1,0,202,149,157,136,65,157,255,0,120,244,1,0,214,225,129,129,15,124,255,0,48,243,1,0,213,255,77,77,0,75,255,0,248,241,1,0,114,211,158,27,158,119,255,0,192,240,1,0,18,252,217,217,95,2,255,0,168,239,1,0,173,95,179,117,112,179,255,0,200,238,1,0,114,211,158,27,158,119,255,0,216,237,1,0,18,252,217,217,95,2,255,0,64,237,1,0,173,95,179,117,112,179,255,0,72,236,1,0,233,209,231,231,41,138,255,0,96,235,1,0,114,211,158,27,158,119,255,0,24,234,1,0,18,252,217,217,95,2,255,0,232,232,1,0,173,95,179,117,112,179,255,0,240,231,1,0,233,209,231,231,41,138,255,0,64,231,1,0,62,208,166,102,166,30,255,0,88,230,1,0,114,211,158,27,158,119,255,0,176,229,1,0,18,252,217,217,95,2,255,0,56,229,1,0,173,95,179,117,112,179,255,0,176,228,1,0,233,209,231,231,41,138,255,0,224,227,1,0,62,208,166,102,166,30,255,0,72,227,1,0,31,252,230,230,171,2,255,0,48,226,1,0,114,211,158,27,158,119,255,0,48,225,1,0,18,252,217,217,95,2,255,0,80,224,1,0,173,95,179,117,112,179,255,0,136,223,1,0,233,209,231,231,41,138,255,0,248,222,1,0,62,208,166,102,166,30,255,0,56,222,1,0,31,252,230,230,171,2,255,0,128,221,1,0,27,210,166,166,118,29,255,0,0,221,1,0,114,211,158,27,158,119,255,0,104,220,1,0,18,252,217,217,95,2,255,0,64,220,1,0,173,95,179,117,112,179,255,0,240,219,1,0,233,209,231,231,41,138,255,0,160,219,1,0,62,208,166,102,166,30,255,0,72,219,1,0,31,252,230,230,171,2,255,0,24,219,1,0,27,210,166,166,118,29,255,0,248,218,1,0,0,0,102,102,102,102,255,0,192,218,1,0,76,25,243,224,243,219,255,0,160,218,1,0,95,61,221,168,221,181,255,0,120,218,1,0,140,170,202,67,162,202,255,0,88,218,1,0,65,17,249,240,249,232,255,0,48,218,1,0,87,46,228,186,228,188,255,0,200,217,1,0,123,101,204,123,204,196,255,0,64,217,1,0,141,197,190,43,140,190,255,0,232,216,1,0,65,17,249,240,249,232,255,0,176,216,1,0,87,46,228,186,228,188,255,0,144,216,1,0,123,101,204,123,204,196,255,0,48,216,1,0,140,170,202,67,162,202,255,0,248,215,1,0,145,243,172,8,104,172,255,0,184,215,1,0,65,17,249,240,249,232,255,0,128,215,1,0,77,41,235,204,235,197,255,0,80,215,1,0,95,61,221,168,221,181,255,0,8,215,1,0,123,101,204,123,204,196,255,0,144,214,1,0,140,170,202,67,162,202,255,0,56,214,1,0,145,243,172,8,104,172,255,0,208,213,1,0,65,17,249,240,249,232,255,0,160,213,1,0,77,41,235,204,235,197,255,0,104,213,1,0,95,61,221,168,221,181,255,0,64,213,1,0,123,101,204,123,204,196,255,0,24,213,1,0,137,160,211,78,179,211,255,0,224,212,1,0,141,197,190,43,140,190,255,0,152,212,1,0,147,242,158,8,88,158,255,0,80,212,1,0,60,12,252,247,252,240,255,0,248,211,1,0,76,25,243,224,243,219,255,0,176,211,1,0,77,41,235,204,235,197,255,0,104,211,1,0,95,61,221,168,221,181,255,0,72,211,1,0,123,101,204,123,204,196,255,0,16,211,1,0,137,160,211,78,179,211,255,0,240,210,1,0,141,197,190,43,140,190,255,0,200,210,1,0,147,242,158,8,88,158,255,0,160,210,1,0,60,12,252,247,252,240,255,0,136,210,1,0,76,25,243,224,243,219,255,0,64,210,1,0,77,41,235,204,235,197,255,0,224,209,1,0,95,61,221,168,221,181,255,0,152,209,1,0,123,101,204,123,204,196,255,0,80,209,1,0,137,160,211,78,179,211,255,0,24,209,1,0,141,197,190,43,140,190,255,0,232,208,1,0,145,243,172,8,104,172,255,0,208,208,1,0,150,239,129,8,64,129,255,0,176,208,1,0,74,21,245,229,245,224,255,0,136,208,1,0,80,72,217,161,217,155,255,0,112,208,1,0,98,178,163,49,163,84,255,0,24,208,1,0,73,15,248,237,248,233,255,0,224,207,1,0,78,54,228,186,228,179,255,0,136,207,1,0,86,104,196,116,196,118,255,0,112,207,1,0,98,190,139,35,139,69,255,0,72,207,1,0,73,15,248,237,248,233,255,0,24,207,1,0,78,54,228,186,228,179,255,0,0,207,1,0,86,104,196,116,196,118,255,0,224,206,1,0,98,178,163,49,163,84,255,0,200,206,1,0,102,255,109,0,109,44,255,0,176,206,1,0,73,15,248,237,248,233,255,0,232,205,1,0,77,44,233,199,233,192,255,0,152,205,1,0,80,72,217,161,217,155,255,0,56,205,1,0,86,104,196,116,196,118,255,0,32,205,1,0,98,178,163,49,163,84,255,0,0,205,1,0,102,255,109,0,109,44,255,0,160,204,1,0,73,15,248,237,248,233,255,0,136,204,1,0,77,44,233,199,233,192,255,0,104,204,1,0,80,72,217,161,217,155,255,0,80,204,1,0,86,104,196,116,196,118,255,0,64,204,1,0,96,158,171,65,171,93,255,0,216,203,1,0,98,190,139,35,139,69,255,0,88,203,1,0,108,255,90,0,90,50,255,0,16,203,1,0,72,7,252,247,252,245,255,0,240,202,1,0,74,21,245,229,245,224,255,0,152,202,1,0,77,44,233,199,233,192,255,0,88,202,1,0,80,72,217,161,217,155,255,0,24,202,1,0,86,104,196,116,196,118,255,0,248,201,1,0,96,158,171,65,171,93,255,0,184,201,1,0,98,190,139,35,139,69,255,0,152,201,1,0,108,255,90,0,90,50,255,0,64,201,1,0,72,7,252,247,252,245,255,0,240,200,1,0,74,21,245,229,245,224,255,0,144,200,1,0,77,44,233,199,233,192,255,0,64,200,1,0,80,72,217,161,217,155,255,0,24,200,1,0,86,104,196,116,196,118,255,0,248,199,1,0,96,158,171,65,171,93,255,0,216,199,1,0,98,190,139,35,139,69,255,0,184,199,1,0,102,255,109,0,109,44,255,0,128,199,1,0,101,255,68,0,68,27,255,0,96,199,1,0,0,0,240,240,240,240,255,0,240,198,1,0,0,0,189,189,189,189,255,0,200,198,1,0,0,0,99,99,99,99,255,0,120,198,1,0,0,0,247,247,247,247,255,0,96,198,1,0,0,0,204,204,204,204,255,0,56,198,1,0,0,0,150,150,150,150,255,0,24,198,1,0,0,0,82,82,82,82,255,0,240,197,1,0,0,0,247,247,247,247,255,0,208,197,1,0,0,0,204,204,204,204,255,0,160,197,1,0,0,0,150,150,150,150,255,0,136,197,1,0,0,0,99,99,99,99,255,0,248,196,1,0,0,0,37,37,37,37,255,0,200,196,1,0,0,0,247,247,247,247,255,0,136,196,1,0,0,0,217,217,217,217,255,0,104,196,1,0,0,0,189,189,189,189,255,0,48,196,1,0,0,0,150,150,150,150,255,0,16,196,1,0,0,0,99,99,99,99,255,0,248,195,1,0,0,0,37,37,37,37,255,0,216,195,1,0,0,0,247,247,247,247,255,0,176,195,1,0,0,0,217,217,217,217,255,0,160,195,1,0,0,0,189,189,189,189,255,0,88,195,1,0,0,0,150,150,150,150,255,0,24,195,1,0,0,0,115,115,115,115,255,0,192,194,1,0,0,0,82,82,82,82,255,0,160,194,1,0,0,0,37,37,37,37,255,0,88,194,1,0,0,0,255,255,255,255,255,0,48,194,1,0,0,0,240,240,240,240,255,0,8,194,1,0,0,0,217,217,217,217,255,0,232,193,1,0,0,0,189,189,189,189,255,0,136,193,1,0,0,0,150,150,150,150,255,0,120,193,1,0,0,0,115,115,115,115,255,0,72,193,1,0,0,0,82,82,82,82,255,0,240,192,1,0,0,0,37,37,37,37,255,0,160,192,1,0,0,0,255,255,255,255,255,0,128,192,1,0,0,0,240,240,240,240,255,0,48,192,1,0,0,0,217,217,217,217,255,0,248,191,1,0,0,0,189,189,189,189,255,0,216,191,1,0,0,0,150,150,150,150,255,0,152,191,1,0,0,0,115,115,115,115,255,0,120,191,1,0,0,0,82,82,82,82,255,0,88,191,1,0,0,0,37,37,37,37,255,0,64,191,1,0,0,0,0,0,0,0,255,0,224,190,1,0,21,48,254,254,230,206,255,0,80,190,1,0,19,147,253,253,174,107,255,0,8,190,1,0,14,240,230,230,85,13,255,0,216,189,1,0,19,32,254,254,237,222,255,0,176,189,1,0,20,120,253,253,190,133,255,0,144,189,1,0,17,194,253,253,141,60,255,0,112,189,1,0,13,253,217,217,71,1,255,0,48,189,1,0,19,32,254,254,237,222,255,0,32,189,1,0,20,120,253,253,190,133,255,0,8,189,1,0,17,194,253,253,141,60,255,0,208,188,1,0,14,240,230,230,85,13,255,0,72,188,1,0,13,250,166,166,54,3,255,0,48,188,1,0,19,32,254,254,237,222,255,0,0,188,1,0,21,91,253,253,208,162,255,0,216,187,1,0,19,147,253,253,174,107,255,0,184,187,1,0,17,194,253,253,141,60,255,0,152,187,1,0,14,240,230,230,85,13,255,0,104,187,1,0,13,250,166,166,54,3,255,0,88,187,1,0,19,32,254,254,237,222,255,0,64,187,1,0,21,91,253,253,208,162,255,0,16,187,1,0,19,147,253,253,174,107,255,0,152,186,1,0,17,194,253,253,141,60,255,0,128,186,1,0,16,234,241,241,105,19,255,0,80,186,1,0,13,253,217,217,72,1,255,0,40,186,1,0,12,247,140,140,45,4,255,0,8,186,1,0,21,20,255,255,245,235,255,0,232,185,1,0,21,48,254,254,230,206,255,0,184,185,1,0,21,91,253,253,208,162,255,0,160,185,1,0,19,147,253,253,174,107,255,0,72,185,1,0,17,194,253,253,141,60,255,0,248,184,1,0,16,234,241,241,105,19,255,0,64,184,1,0,13,253,217,217,72,1,255,0,48,184,1,0,12,247,140,140,45,4,255,0,16,184,1,0,21,20,255,255,245,235,255,0,248,183,1,0,21,48,254,254,230,206,255,0,160,183,1,0,21,91,253,253,208,162,255,0,128,183,1,0,19,147,253,253,174,107,255,0,88,183,1,0,17,194,253,253,141,60,255,0,72,183,1,0,16,234,241,241,105,19,255,0,40,183,1,0,13,253,217,217,72,1,255,0,0,183,1,0,13,250,166,166,54,3,255,0,120,182,1,0,12,246,127,127,39,4,255,0,104,182,1,0,25,54,254,254,232,200,255,0,48,182,1,0,19,121,253,253,187,132,255,0,8,182,1,0,5,197,227,227,74,51,255,0,152,181,1,0,26,37,254,254,240,217,255,0,120,181,1,0,24,115,253,253,204,138,255,0,72,181,1,0,13,164,252,252,141,89,255,0,40,181,1,0,3,218,215,215,48,31,255,0,16,181,1,0,26,37,254,254,240,217,255,0,232,180,1,0,24,115,253,253,204,138,255,0,80,180,1,0,13,164,252,252,141,89,255,0,56,180,1,0,5,197,227,227,74,51,255,0,248,179,1,0,0,255,179,179,0,0,255,0,216,179,1,0,26,37,254,254,240,217,255,0,192,179,1,0,24,95,253,253,212,158,255,0,160,179,1,0,19,121,253,253,187,132,255,0,120,179,1,0,13,164,252,252,141,89,255,0,104,179,1,0,5,197,227,227,74,51,255,0,56,179,1,0,0,255,179,179,0,0,255,0,24,179,1,0,26,37,254,254,240,217,255,0,136,178,1,0,24,95,253,253,212,158,255,0,120,178,1,0,19,121,253,253,187,132,255,0,72,178,1,0,13,164,252,252,141,89,255,0,48,178,1,0,7,178,239,239,101,72,255,0,16,178,1,0,3,218,215,215,48,31,255,0,240,177,1,0,0,255,153,153,0,0,255,0,200,177,1,0,24,18,255,255,247,236,255,0,184,177,1,0,25,54,254,254,232,200,255,0,136,177,1,0,24,95,253,253,212,158,255,0,104,177,1,0,19,121,253,253,187,132,255,0,248,176,1,0,13,164,252,252,141,89,255,0,232,176,1,0,7,178,239,239,101,72,255,0,192,176,1,0,3,218,215,215,48,31,255,0,168,176,1,0,0,255,153,153,0,0,255,0,144,176,1,0,24,18,255,255,247,236,255,0,112,176,1,0,25,54,254,254,232,200,255,0,72,176,1,0,24,95,253,253,212,158,255,0,56,176,1,0,19,121,253,253,187,132,255,0,32,176,1,0,13,164,252,252,141,89,255,0,232,175,1,0,7,178,239,239,101,72,255,0,176,175,1,0,3,218,215,215,48,31,255,0,152,175,1,0,0,255,179,179,0,0,255,0,104,175,1,0,0,255,127,127,0,0,255,0,80,175,1,0,142,68,227,166,206,227,255,0,16,175,1,0,190,153,154,106,61,154,255,0,240,174,1,0,144,211,180,31,120,180,255,0,160,174,1,0,65,97,223,178,223,138,255,0,144,174,1,0,82,184,160,51,160,44,255,0,112,174,1,0,0,99,251,251,154,153,255,0,40,174,1,0,254,225,227,227,26,28,255,0,232,173,1,0,23,143,253,253,191,111,255,0,216,173,1,0,21,255,255,255,127,0,255,0,184,173,1,0,198,42,214,202,178,214,255,0,152,173,1,0,142,68,227,166,206,227,255,0,72,173,1,0,190,153,154,106,61,154,255,0,40,173,1,0,42,102,255,255,255,153,255,0,8,173,1,0,144,211,180,31,120,180,255,0,248,172,1,0,65,97,223,178,223,138,255,0,208,172,1,0,82,184,160,51,160,44,255,0,160,172,1,0,0,99,251,251,154,153,255,0,96,172,1,0,254,225,227,227,26,28,255,0,80,172,1,0,23,143,253,253,191,111,255,0,24,172,1,0,21,255,255,255,127,0,255,0,0,172,1,0,198,42,214,202,178,214,255,0,232,171,1,0,142,68,227,166,206,227,255,0,200,171,1,0,190,153,154,106,61,154,255,0,168,171,1,0,42,102,255,255,255,153,255,0,152,171,1,0,15,197,177,177,89,40,255,0,128,171,1,0,144,211,180,31,120,180,255,0,88,171,1,0,65,97,223,178,223,138,255,0,32,171,1,0,82,184,160,51,160,44,255,0,16,171,1,0,0,99,251,251,154,153,255,0,232,170,1,0,254,225,227,227,26,28,255,0,208,170,1,0,23,143,253,253,191,111,255,0,128,170,1,0,21,255,255,255,127,0,255,0,96,170,1,0,198,42,214,202,178,214,255,0,64,170,1,0,142,68,227,166,206,227,255,0,48,170,1,0,144,211,180,31,120,180,255,0,24,170,1,0,65,97,223,178,223,138,255,0,248,169,1,0,142,68,227,166,206,227,255,0,184,169,1,0,144,211,180,31,120,180,255,0,168,169,1,0,65,97,223,178,223,138,255,0,136,169,1,0,82,184,160,51,160,44,255,0,24,169,1,0,142,68,227,166,206,227,255,0,232,168,1,0,144,211,180,31,120,180,255,0,200,168,1,0,65,97,223,178,223,138,255,0,168,168,1,0,82,184,160,51,160,44,255,0,144,168,1,0,0,99,251,251,154,153,255,0,184,167,1,0,142,68,227,166,206,227,255,0,64,167,1,0,144,211,180,31,120,180,255,0,224,166,1,0,65,97,223,178,223,138,255,0,208,166,1,0,82,184,160,51,160,44,255,0,168,166,1,0,0,99,251,251,154,153,255,0,144,166,1,0,254,225,227,227,26,28,255,0,120,166,1,0,142,68,227,166,206,227,255,0,80,166,1,0,144,211,180,31,120,180,255,0,56,166,1,0,65,97,223,178,223,138,255,0,40,166,1,0,82,184,160,51,160,44,255,0,16,166,1,0,0,99,251,251,154,153,255,0,216,165,1,0,254,225,227,227,26,28,255,0,152,165,1,0,23,143,253,253,191,111,255,0,72,165,1,0,142,68,227,166,206,227,255,0,24,165,1,0,144,211,180,31,120,180,255,0,232,164,1,0,65,97,223,178,223,138,255,0,168,164,1,0,82,184,160,51,160,44,255,0,120,164,1,0,0,99,251,251,154,153,255,0,80,164,1,0,254,225,227,227,26,28,255,0,40,164,1,0,23,143,253,253,191,111,255,0,16,164,1,0,21,255,255,255,127,0,255,0,232,163,1,0,142,68,227,166,206,227,255,0,128,163,1,0,144,211,180,31,120,180,255,0,88,163,1,0,65,97,223,178,223,138,255,0,56,163,1,0,82,184,160,51,160,44,255,0,24,163,1,0,0,99,251,251,154,153,255,0,248,162,1,0,254,225,227,227,26,28,255,0,216,162,1,0,23,143,253,253,191,111,255,0,192,162,1,0,21,255,255,255,127,0,255,0,176,162,1,0,198,42,214,202,178,214,255,0,152,162,1,0,3,78,251,251,180,174,255,0,88,162,1,0,146,53,227,179,205,227,255,0,16,162,1,0,77,41,235,204,235,197,255,0,0,162,1,0,3,78,251,251,180,174,255,0,224,161,1,0,146,53,227,179,205,227,255,0,200,161,1,0,77,41,235,204,235,197,255,0,168,161,1,0,202,27,228,222,203,228,255,0,136,161,1,0,3,78,251,251,180,174,255,0,112,161,1,0,146,53,227,179,205,227,255,0,96,161,1,0,77,41,235,204,235,197,255,0,72,161,1,0,202,27,228,222,203,228,255,0,8,161,1,0,24,88,254,254,217,166,255,0,168,160,1,0,3,78,251,251,180,174,255,0,152,160,1,0,146,53,227,179,205,227,255,0,96,160,1,0,77,41,235,204,235,197,255,0,64,160,1,0,202,27,228,222,203,228,255,0,40,160,1,0,24,88,254,254,217,166,255,0,8,160,1,0,42,50,255,255,255,204,255,0,240,159,1,0,3,78,251,251,180,174,255,0,224,159,1,0,146,53,227,179,205,227,255,0,176,159,1,0,77,41,235,204,235,197,255,0,120,159,1,0,202,27,228,222,203,228,255,0,64,159,1,0,24,88,254,254,217,166,255,0,48,159,1,0,42,50,255,255,255,204,255,0,16,159,1,0,28,44,229,229,216,189,255,0,248,158,1,0,3,78,251,251,180,174,255,0,192,158,1,0,146,53,227,179,205,227,255,0,160,158,1,0,77,41,235,204,235,197,255,0,88,158,1,0,202,27,228,222,203,228,255,0,72,158,1,0,24,88,254,254,217,166,255,0,40,158,1,0,42,50,255,255,255,204,255,0,0,158,1,0,28,44,229,229,216,189,255,0,208,157,1,0,233,35,253,253,218,236,255,0,192,157,1,0,3,78,251,251,180,174,255,0,144,157,1,0,146,53,227,179,205,227,255,0,120,157,1,0,77,41,235,204,235,197,255,0,96,157,1,0,202,27,228,222,203,228,255,0,48,157,1,0,24,88,254,254,217,166,255,0,16,157,1,0,42,50,255,255,255,204,255,0,240,156,1,0,28,44,229,229,216,189,255,0,200,156,1,0,233,35,253,253,218,236,255,0,160,156,1,0,0,0,242,242,242,242,255,0,128,156,1,0,108,53,226,179,226,205,255,0,80,156,1,0,17,81,253,253,205,172,255,0,40,156,1,0,155,31,232,203,213,232,255,0,232,155,1,0,108,53,226,179,226,205,255,0,208,155,1,0,17,81,253,253,205,172,255,0,176,155,1,0,155,31,232,203,213,232,255,0,144,155,1,0,228,43,244,244,202,228,255,0,128,155,1,0,108,53,226,179,226,205,255,0,104,155,1,0,17,81,253,253,205,172,255,0,56,155,1,0,155,31,232,203,213,232,255,0,16,155,1,0,228,43,244,244,202,228,255,0,240,154,1,0,56,45,245,230,245,201,255,0,208,154,1,0,108,53,226,179,226,205,255,0,176,154,1,0,17,81,253,253,205,172,255,0,152,154,1,0,155,31,232,203,213,232,255,0,120,154,1,0,228,43,244,244,202,228,255,0,80,154,1,0,56,45,245,230,245,201,255,0,64,154,1,0,35,81,255,255,242,174,255,0,40,154,1,0,108,53,226,179,226,205,255,0,232,153,1,0,17,81,253,253,205,172,255,0,200,153,1,0,155,31,232,203,213,232,255,0,176,153,1,0,228,43,244,244,202,228,255,0,112,153,1,0,56,45,245,230,245,201,255,0,64,153,1,0,35,81,255,255,242,174,255,0,40,153,1,0,25,39,241,241,226,204,255,0,8,153,1,0,108,53,226,179,226,205,255,0,184,152,1,0,17,81,253,253,205,172,255,0,152,152,1,0,155,31,232,203,213,232,255,0,88,152,1,0,228,43,244,244,202,228,255,0,248,151,1,0,56,45,245,230,245,201,255,0,192,151,1,0,35,81,255,255,242,174,255,0,160,151,1,0,25,39,241,241,226,204,255,0,96,151,1,0,0,0,204,204,204,204,255,0,64,151,1,0,230,253,142,142,1,82,255,0,32,151,1,0,77,191,100,39,100,25,255,0,240,150,1,0,230,220,197,197,27,125,255,0,208,150,1,0,232,118,222,222,119,174,255,0,192,150,1,0])
    896 .concat([229,62,241,241,182,218,255,0,168,150,1,0,233,29,253,253,224,239,255,0,128,150,1,0,59,38,245,230,245,208,255,0,88,150,1,0,61,103,225,184,225,134,255,0,56,150,1,0,63,166,188,127,188,65,255,0,176,149,1,0,68,197,146,77,146,33,255,0,128,149,1,0,230,253,142,142,1,82,255,0,72,149,1,0,68,197,146,77,146,33,255,0,40,149,1,0,77,191,100,39,100,25,255,0,240,148,1,0,230,220,197,197,27,125,255,0,200,148,1,0,232,118,222,222,119,174,255,0,176,148,1,0,229,62,241,241,182,218,255,0,144,148,1,0,233,29,253,253,224,239,255,0,96,148,1,0,0,0,247,247,247,247,255,0,56,148,1,0,59,38,245,230,245,208,255,0,248,147,1,0,61,103,225,184,225,134,255,0,224,147,1,0,63,166,188,127,188,65,255,0,192,147,1,0,231,76,233,233,163,201,255,0,160,147,1,0,0,0,247,247,247,247,255,0,128,147,1,0,63,129,215,161,215,106,255,0,112,147,1,0,228,220,208,208,28,139,255,0,88,147,1,0,229,62,241,241,182,218,255,0,48,147,1,0,61,103,225,184,225,134,255,0,192,146,1,0,72,198,172,77,172,38,255,0,176,146,1,0,228,220,208,208,28,139,255,0,136,146,1,0,229,62,241,241,182,218,255,0,112,146,1,0,0,0,247,247,247,247,255,0,80,146,1,0,61,103,225,184,225,134,255,0,48,146,1,0,72,198,172,77,172,38,255,0,8,146,1,0,230,220,197,197,27,125,255,0,248,145,1,0,231,76,233,233,163,201,255,0,224,145,1,0,233,29,253,253,224,239,255,0,184,145,1,0,59,38,245,230,245,208,255,0,136,145,1,0,63,129,215,161,215,106,255,0,120,145,1,0,68,197,146,77,146,33,255,0,56,145,1,0,230,220,197,197,27,125,255,0,24,145,1,0,231,76,233,233,163,201,255,0,0,145,1,0,233,29,253,253,224,239,255,0,224,144,1,0,0,0,247,247,247,247,255,0,200,144,1,0,59,38,245,230,245,208,255,0,184,144,1,0,63,129,215,161,215,106,255,0,160,144,1,0,68,197,146,77,146,33,255,0,96,144,1,0,230,220,197,197,27,125,255,0,72,144,1,0,232,118,222,222,119,174,255,0,56,144,1,0,229,62,241,241,182,218,255,0,208,143,1,0,233,29,253,253,224,239,255,0,160,143,1,0,59,38,245,230,245,208,255,0,128,143,1,0,61,103,225,184,225,134,255,0,96,143,1,0,63,166,188,127,188,65,255,0,72,143,1,0,68,197,146,77,146,33,255,0,8,143,1,0,230,220,197,197,27,125,255,0,208,142,1,0,232,118,222,222,119,174,255,0,160,142,1,0,229,62,241,241,182,218,255,0,128,142,1,0,233,29,253,253,224,239,255,0,112,142,1,0,0,0,247,247,247,247,255,0,248,141,1,0,59,38,245,230,245,208,255,0,200,141,1,0,61,103,225,184,225,134,255,0,176,141,1,0,63,166,188,127,188,65,255,0,144,141,1,0,68,197,146,77,146,33,255,0,104,141,1,0,206,255,75,64,0,75,255,0,88,141,1,0,101,255,68,0,68,27,255,0,48,141,1,0,206,173,131,118,42,131,255,0,0,141,1,0,199,87,171,153,112,171,255,0,224,140,1,0,199,51,207,194,165,207,255,0,208,140,1,0,210,21,232,231,212,232,255,0,128,140,1,0,76,30,240,217,240,211,255,0,80,140,1,0,80,68,219,166,219,160,255,0,48,140,1,0,88,123,174,90,174,97,255,0,56,139,1,0,97,197,120,27,120,55,255,0,32,139,1,0,206,255,75,64,0,75,255,0,16,139,1,0,97,197,120,27,120,55,255,0,248,138,1,0,101,255,68,0,68,27,255,0,208,138,1,0,206,173,131,118,42,131,255,0,144,138,1,0,199,87,171,153,112,171,255,0,128,138,1,0,199,51,207,194,165,207,255,0,72,138,1,0,210,21,232,231,212,232,255,0,24,138,1,0,0,0,247,247,247,247,255,0,0,138,1,0,76,30,240,217,240,211,255,0,224,137,1,0,80,68,219,166,219,160,255,0,192,137,1,0,88,123,174,90,174,97,255,0,176,137,1,0,196,70,195,175,141,195,255,0,152,137,1,0,0,0,247,247,247,247,255,0,104,137,1,0,82,90,191,127,191,123,255,0,40,137,1,0,201,168,148,123,50,148,255,0,24,137,1,0,199,51,207,194,165,207,255,0,224,136,1,0,80,68,219,166,219,160,255,0,200,136,1,0,102,255,136,0,136,55,255,0,160,136,1,0,201,168,148,123,50,148,255,0,112,136,1,0,199,51,207,194,165,207,255,0,80,136,1,0,0,0,247,247,247,247,255,0,56,136,1,0,80,68,219,166,219,160,255,0,232,135,1,0,102,255,136,0,136,55,255,0,88,135,1,0,206,173,131,118,42,131,255,0,56,135,1,0,196,70,195,175,141,195,255,0,40,135,1,0,210,21,232,231,212,232,255,0,0,135,1,0,76,30,240,217,240,211,255,0,200,134,1,0,82,90,191,127,191,123,255,0,176,134,1,0,97,197,120,27,120,55,255,0,144,134,1,0,206,173,131,118,42,131,255,0,112,134,1,0,196,70,195,175,141,195,255,0,96,134,1,0,210,21,232,231,212,232,255,0,72,134,1,0,0,0,247,247,247,247,255,0,8,134,1,0,76,30,240,217,240,211,255,0,232,133,1,0,82,90,191,127,191,123,255,0,216,133,1,0,97,197,120,27,120,55,255,0,96,133,1,0,206,173,131,118,42,131,255,0,40,133,1,0,199,87,171,153,112,171,255,0,240,132,1,0,199,51,207,194,165,207,255,0,208,132,1,0,210,21,232,231,212,232,255,0,168,132,1,0,76,30,240,217,240,211,255,0,128,132,1,0,80,68,219,166,219,160,255,0,104,132,1,0,88,123,174,90,174,97,255,0,64,132,1,0,97,197,120,27,120,55,255,0,0,132,1,0,206,173,131,118,42,131,255,0,216,131,1,0,199,87,171,153,112,171,255,0,176,131,1,0,199,51,207,194,165,207,255,0,144,131,1,0,210,21,232,231,212,232,255,0,120,131,1,0,0,0,247,247,247,247,255,0,80,131,1,0,76,30,240,217,240,211,255,0,56,131,1,0,80,68,219,166,219,160,255,0,40,131,1,0,88,123,174,90,174,97,255,0,16,131,1,0,97,197,120,27,120,55,255,0,224,130,1,0,189,11,242,236,231,242,255,0,184,130,1,0,151,61,219,166,189,219,255,0,152,130,1,0,141,197,190,43,140,190,255,0,112,130,1,0,185,8,246,241,238,246,255,0,240,129,1,0,155,40,225,189,201,225,255,0,168,129,1,0,145,112,207,116,169,207,255,0,136,129,1,0,143,247,176,5,112,176,255,0,112,129,1,0,185,8,246,241,238,246,255,0,96,129,1,0,155,40,225,189,201,225,255,0,72,129,1,0,145,112,207,116,169,207,255,0,16,129,1,0,141,197,190,43,140,190,255,0,232,128,1,0,143,247,141,4,90,141,255,0,192,128,1,0,185,8,246,241,238,246,255,0,160,128,1,0,168,24,230,208,209,230,255,0,104,128,1,0,151,61,219,166,189,219,255,0,40,128,1,0,145,112,207,116,169,207,255,0,8,128,1,0,141,197,190,43,140,190,255,0,232,127,1,0,143,247,141,4,90,141,255,0,216,127,1,0,185,8,246,241,238,246,255,0,192,127,1,0,168,24,230,208,209,230,255,0,112,127,1,0,151,61,219,166,189,219,255,0,80,127,1,0,145,112,207,116,169,207,255,0,64,127,1,0,142,183,192,54,144,192,255,0,24,127,1,0,143,247,176,5,112,176,255,0,224,126,1,0,143,248,123,3,78,123,255,0,200,126,1,0,233,8,255,255,247,251,255,0,168,126,1,0,189,11,242,236,231,242,255,0,144,126,1,0,168,24,230,208,209,230,255,0,80,126,1,0,151,61,219,166,189,219,255,0,48,126,1,0,145,112,207,116,169,207,255,0,0,126,1,0,142,183,192,54,144,192,255,0,168,125,1,0,143,247,176,5,112,176,255,0,152,125,1,0,143,248,123,3,78,123,255,0,120,125,1,0,233,8,255,255,247,251,255,0,16,125,1,0,189,11,242,236,231,242,255,0,208,124,1,0,168,24,230,208,209,230,255,0,176,124,1,0,151,61,219,166,189,219,255,0,152,124,1,0,145,112,207,116,169,207,255,0,136,124,1,0,142,183,192,54,144,192,255,0,96,124,1,0,143,247,176,5,112,176,255,0,24,124,1,0,143,247,141,4,90,141,255,0,0,124,1,0,143,249,88,2,56,88,255,0,240,123,1,0,200,14,240,236,226,240,255,0,216,123,1,0,151,61,219,166,189,219,255,0,160,123,1,0,130,208,153,28,144,153,255,0,128,123,1,0,207,8,247,246,239,247,255,0,88,123,1,0,155,40,225,189,201,225,255,0,40,123,1,0,143,128,207,103,169,207,255,0,24,123,1,0,130,251,138,2,129,138,255,0,0,123,1,0,207,8,247,246,239,247,255,0,216,122,1,0,155,40,225,189,201,225,255,0,184,122,1,0,143,128,207,103,169,207,255,0,168,122,1,0,130,208,153,28,144,153,255,0,144,122,1,0,119,252,108,1,108,89,255,0,8,122,1,0,207,8,247,246,239,247,255,0,184,121,1,0,168,24,230,208,209,230,255,0,152,121,1,0,151,61,219,166,189,219,255,0,80,121,1,0,143,128,207,103,169,207,255,0,64,121,1,0,130,208,153,28,144,153,255,0,40,121,1,0,119,252,108,1,108,89,255,0,0,121,1,0,207,8,247,246,239,247,255,0,192,120,1,0,168,24,230,208,209,230,255,0,176,120,1,0,151,61,219,166,189,219,255,0,112,120,1,0,143,128,207,103,169,207,255,0,88,120,1,0,142,183,192,54,144,192,255,0,72,120,1,0,130,251,138,2,129,138,255,0,40,120,1,0,118,252,100,1,100,80,255,0,232,119,1,0,233,8,255,255,247,251,255,0,200,119,1,0,200,14,240,236,226,240,255,0,176,119,1,0,168,24,230,208,209,230,255,0,32,119,1,0,151,61,219,166,189,219,255,0,248,118,1,0,143,128,207,103,169,207,255,0,232,118,1,0,142,183,192,54,144,192,255,0,200,118,1,0,130,251,138,2,129,138,255,0,168,118,1,0,118,252,100,1,100,80,255,0,152,118,1,0,233,8,255,255,247,251,255,0,120,118,1,0,200,14,240,236,226,240,255,0,96,118,1,0,168,24,230,208,209,230,255,0,80,118,1,0,151,61,219,166,189,219,255,0,56,118,1,0,143,128,207,103,169,207,255,0,8,118,1,0,142,183,192,54,144,192,255,0,232,117,1,0,130,251,138,2,129,138,255,0,216,117,1,0,119,252,108,1,108,89,255,0,176,117,1,0,117,251,70,1,70,54,255,0,152,117,1,0,18,238,127,127,59,8,255,0,72,117,1,0,195,255,75,45,0,75,255,0,40,117,1,0,20,246,179,179,88,6,255,0,224,116,1,0,22,232,224,224,130,20,255,0,192,116,1,0,23,155,253,253,184,99,255,0,160,116,1,0,24,72,254,254,224,182,255,0,120,116,1,0,165,20,235,216,218,235,255,0,72,116,1,0,177,47,210,178,171,210,255,0,56,116,1,0,179,84,172,128,115,172,255,0,16,116,1,0,189,181,136,84,39,136,255,0,0,116,1,0,18,238,127,127,59,8,255,0,232,115,1,0,189,181,136,84,39,136,255,0,200,115,1,0,195,255,75,45,0,75,255,0,128,115,1,0,20,246,179,179,88,6,255,0,112,115,1,0,22,232,224,224,130,20,255,0,88,115,1,0,23,155,253,253,184,99,255,0,48,115,1,0,24,72,254,254,224,182,255,0,0,115,1,0,0,0,247,247,247,247,255,0,240,114,1,0,165,20,235,216,218,235,255,0,192,114,1,0,177,47,210,178,171,210,255,0,176,114,1,0,179,84,172,128,115,172,255,0,160,114,1,0,23,187,241,241,163,64,255,0,120,114,1,0,0,0,247,247,247,247,255,0,96,114,1,0,178,69,195,153,142,195,255,0,80,114,1,0,17,253,230,230,97,1,255,0,56,114,1,0,23,155,253,253,184,99,255,0,32,114,1,0,177,47,210,178,171,210,255,0,224,113,1,0,185,155,153,94,60,153,255,0,208,113,1,0,17,253,230,230,97,1,255,0,160,113,1,0,23,155,253,253,184,99,255,0,144,113,1,0,0,0,247,247,247,247,255,0,128,113,1,0,177,47,210,178,171,210,255,0,96,113,1,0,185,155,153,94,60,153,255,0,64,113,1,0,20,246,179,179,88,6,255,0,48,113,1,0,23,187,241,241,163,64,255,0,24,113,1,0,24,72,254,254,224,182,255,0,224,112,1,0,165,20,235,216,218,235,255,0,176,112,1,0,178,69,195,153,142,195,255,0,160,112,1,0,189,181,136,84,39,136,255,0,80,112,1,0,20,246,179,179,88,6,255,0,64,112,1,0,23,187,241,241,163,64,255,0,48,112,1,0,24,72,254,254,224,182,255,0,16,112,1,0,0,0,247,247,247,247,255,0,240,111,1,0,165,20,235,216,218,235,255,0,184,111,1,0,178,69,195,153,142,195,255,0,160,111,1,0,189,181,136,84,39,136,255,0,120,111,1,0,20,246,179,179,88,6,255,0,80,111,1,0,22,232,224,224,130,20,255,0,64,111,1,0,23,155,253,253,184,99,255,0,0,111,1,0,24,72,254,254,224,182,255,0,240,110,1,0,165,20,235,216,218,235,255,0,224,110,1,0,177,47,210,178,171,210,255,0,192,110,1,0,179,84,172,128,115,172,255,0,160,110,1,0,189,181,136,84,39,136,255,0,144,110,1,0,20,246,179,179,88,6,255,0,112,110,1,0,22,232,224,224,130,20,255,0,72,110,1,0,23,155,253,253,184,99,255,0,240,109,1,0,24,72,254,254,224,182,255,0,224,109,1,0,0,0,247,247,247,247,255,0,152,109,1,0,165,20,235,216,218,235,255,0,136,109,1,0,177,47,210,178,171,210,255,0,120,109,1,0,179,84,172,128,115,172,255,0,88,109,1,0,189,181,136,84,39,136,255,0,32,109,1,0,188,14,239,231,225,239,255,0,16,109,1,0,214,67,201,201,148,199,255,0,0,109,1,0,234,222,221,221,28,119,255,0,216,108,1,0,185,8,246,241,238,246,255,0,160,108,1,0,211,41,216,215,181,216,255,0,144,108,1,0,228,139,223,223,101,176,255,0,72,108,1,0,239,232,206,206,18,86,255,0,56,108,1,0,185,8,246,241,238,246,255,0,40,108,1,0,211,41,216,215,181,216,255,0,8,108,1,0,228,139,223,223,101,176,255,0,224,107,1,0,234,222,221,221,28,119,255,0,208,107,1,0,236,255,152,152,0,67,255,0,192,107,1,0,185,8,246,241,238,246,255,0,152,107,1,0,204,38,218,212,185,218,255,0,104,107,1,0,214,67,201,201,148,199,255,0,88,107,1,0,228,139,223,223,101,176,255,0,224,106,1,0,234,222,221,221,28,119,255,0,208,106,1,0,236,255,152,152,0,67,255,0,192,106,1,0,185,8,246,241,238,246,255,0,160,106,1,0,204,38,218,212,185,218,255,0,136,106,1,0,214,67,201,201,148,199,255,0,112,106,1,0,228,139,223,223,101,176,255,0,96,106,1,0,233,209,231,231,41,138,255,0,8,106,1,0,239,232,206,206,18,86,255,0,208,105,1,0,236,255,145,145,0,63,255,0,184,105,1,0,195,5,249,247,244,249,255,0,112,105,1,0,188,14,239,231,225,239,255,0,96,105,1,0,204,38,218,212,185,218,255,0,80,105,1,0,214,67,201,201,148,199,255,0,48,105,1,0,228,139,223,223,101,176,255,0,24,105,1,0,233,209,231,231,41,138,255,0,8,105,1,0,239,232,206,206,18,86,255,0,248,104,1,0,236,255,145,145,0,63,255,0,200,104,1,0,195,5,249,247,244,249,255,0,152,104,1,0,188,14,239,231,225,239,255,0,136,104,1,0,204,38,218,212,185,218,255,0,40,104,1,0,214,67,201,201,148,199,255,0,16,104,1,0,228,139,223,223,101,176,255,0,224,103,1,0,233,209,231,231,41,138,255,0,128,103,1,0,239,232,206,206,18,86,255,0,48,103,1,0,236,255,152,152,0,67,255,0,16,103,1,0,242,255,103,103,0,31,255,0,248,102,1,0,180,8,245,239,237,245,255,0,208,102,1,0,168,37,220,188,189,220,255,0,128,102,1,0,176,100,177,117,107,177,255,0,112,102,1,0,182,7,247,242,240,247,255,0,56,102,1,0,173,28,226,203,201,226,255,0,40,102,1,0,173,58,200,158,154,200,255,0,24,102,1,0,182,128,163,106,81,163,255,0,240,101,1,0,182,7,247,242,240,247,255,0,208,101,1,0,173,28,226,203,201,226,255,0,184,101,1,0,173,58,200,158,154,200,255,0,168,101,1,0,176,100,177,117,107,177,255,0,128,101,1,0,188,185,143,84,39,143,255,0,80,101,1,0,182,7,247,242,240,247,255,0,64,101,1,0,170,18,235,218,218,235,255,0,40,101,1,0,168,37,220,188,189,220,255,0,8,101,1,0,173,58,200,158,154,200,255,0,248,100,1,0,176,100,177,117,107,177,255,0,224,100,1,0,188,185,143,84,39,143,255,0,176,100,1,0,182,7,247,242,240,247,255,0,160,100,1,0,170,18,235,218,218,235,255,0,144,100,1,0,168,37,220,188,189,220,255,0,88,100,1,0,173,58,200,158,154,200,255,0,24,100,1,0,172,83,186,128,125,186,255,0,8,100,1,0,182,128,163,106,81,163,255,0,176,99,1,0,190,216,134,74,20,134,255,0,160,99,1,0,191,2,253,252,251,253,255,0,144,99,1,0,180,8,245,239,237,245,255,0,128,99,1,0,170,18,235,218,218,235,255,0,80,99,1,0,168,37,220,188,189,220,255,0,64,99,1,0,173,58,200,158,154,200,255,0,48,99,1,0,172,83,186,128,125,186,255,0,240,98,1,0,182,128,163,106,81,163,255,0,192,98,1,0,190,216,134,74,20,134,255,0,176,98,1,0,191,2,253,252,251,253,255,0,152,98,1,0,180,8,245,239,237,245,255,0,136,98,1,0,170,18,235,218,218,235,255,0,120,98,1,0,168,37,220,188,189,220,255,0,104,98,1,0,173,58,200,158,154,200,255,0,64,98,1,0,172,83,186,128,125,186,255,0,32,98,1,0,182,128,163,106,81,163,255,0,240,97,1,0,188,185,143,84,39,143,255,0,200,97,1,0,191,255,125,63,0,125,255,0,144,97,1,0,242,255,103,103,0,31,255,0,128,97,1,0,150,241,97,5,48,97,255,0,96,97,1,0,249,220,178,178,24,43,255,0,80,97,1,0,5,163,214,214,96,77,255,0,64,97,1,0,13,119,244,244,165,130,255,0,48,97,1,0,15,54,253,253,219,199,255,0,0,97,1,0,142,32,240,209,229,240,255,0,240,96,1,0,141,87,222,146,197,222,255,0,208,96,1,0,143,167,195,67,147,195,255,0,160,96,1,0,148,206,172,33,102,172,255,0,112,96,1,0,242,255,103,103,0,31,255,0,88,96,1,0,148,206,172,33,102,172,255,0,48,96,1,0,150,241,97,5,48,97,255,0,32,96,1,0,249,220,178,178,24,43,255,0,16,96,1,0,5,163,214,214,96,77,255,0,0,96,1,0,13,119,244,244,165,130,255,0,208,95,1,0,15,54,253,253,219,199,255,0,144,95,1,0,0,0,247,247,247,247,255,0,128,95,1,0,142,32,240,209,229,240,255,0,88,95,1,0,141,87,222,146,197,222,255,0,24,95,1,0,143,167,195,67,147,195,255,0,8,95,1,0,12,150,239,239,138,98,255,0,208,94,1,0,0,0,247,247,247,247,255,0,192,94,1,0,143,128,207,103,169,207,255,0,176,94,1,0,248,255,202,202,0,32,255,0,160,94,1,0,13,119,244,244,165,130,255,0,144,125,2,0,141,87,222,146,197,222,255,0,128,125,2,0,143,247,176,5,113,176,255,0,112,125,2,0,248,255,202,202,0,32,255,0,72,125,2,0,13,119,244,244,165,130,255,0,16,125,2,0,0,0,247,247,247,247,255,0,0,125,2,0,141,87,222,146,197,222,255,0,208,124,2,0,143,247,176,5,113,176,255,0,192,124,2,0,249,220,178,178,24,43,255,0,176,124,2,0,12,150,239,239,138,98,255,0,160,124,2,0,15,54,253,253,219,199,255,0,112,124,2,0,142,32,240,209,229,240,255,0,80,124,2,0,143,128,207,103,169,207,255,0,64,124,2,0,148,206,172,33,102,172,255,0,240,123,2,0,249,220,178,178,24,43,255,0,184,123,2,0,12,150,239,239,138,98,255,0,160,123,2,0,15,54,253,253,219,199,255,0,136,123,2,0,0,0,247,247,247,247,255,0,120,123,2,0,142,32,240,209,229,240,255,0,104,123,2,0,143,128,207,103,169,207,255,0,88,123,2,0,148,206,172,33,102,172,255,0,48,123,2,0,249,220,178,178,24,43,255,0,32,123,2,0,5,163,214,214,96,77,255,0,16,123,2,0,13,119,244,244,165,130,255,0,224,122,2,0,15,54,253,253,219,199,255,0,144,122,2,0,142,32,240,209,229,240,255,0,128,122,2,0,141,87,222,146,197,222,255,0,32,122,2,0,143,167,195,67,147,195,255,0,8,122,2,0,148,206,172,33,102,172,255,0,216,121,2,0,249,220,178,178,24,43,255,0,200,121,2,0,5,163,214,214,96,77,255,0,88,121,2,0,13,119,244,244,165,130,255,0,56,121,2,0,15,54,253,253,219,199,255,0,32,121,2,0,0,0,247,247,247,247,255,0,248,120,2,0,142,32,240,209,229,240,255,0,176,120,2,0,141,87,222,146,197,222,255,0,160,120,2,0,143,167,195,67,147,195,255,0,88,120,2,0,148,206,172,33,102,172,255,0,72,120,2,0,242,255,103,103,0,31,255,0,56,120,2,0,0,0,26,26,26,26,255,0,40,120,2,0,249,220,178,178,24,43,255,0,240,119,2,0,5,163,214,214,96,77,255,0,224,119,2,0,13,119,244,244,165,130,255,0,192,119,2,0,15,54,253,253,219,199,255,0,160,119,2,0,0,0,224,224,224,224,255,0,120,119,2,0,0,0,186,186,186,186,255,0,104,119,2,0,0,0,135,135,135,135,255,0,64,119,2,0,0,0,77,77,77,77,255,0,48,119,2,0,242,255,103,103,0,31,255,0,16,119,2,0,0,0,77,77,77,77,255,0,248,118,2,0,0,0,26,26,26,26,255,0,208,118,2,0,249,220,178,178,24,43,255,0,192,118,2,0,5,163,214,214,96,77,255,0,176,118,2,0,13,119,244,244,165,130,255,0,120,118,2,0,15,54,253,253,219,199,255,0,72,118,2,0,0,0,255,255,255,255,255,0,56,118,2,0,0,0,224,224,224,224,255,0,16,118,2,0,0,0,186,186,186,186,255,0,0,118,2,0,0,0,135,135,135,135,255,0,240,117,2,0,12,150,239,239,138,98,255,0,224,117,2,0,0,0,255,255,255,255,255,0,184,117,2,0,0,0,153,153,153,153,255,0,168,117,2,0,248,255,202,202,0,32,255,0,152,117,2,0,13,119,244,244,165,130,255,0,56,117,2,0,0,0,186,186,186,186,255,0,8,117,2,0,0,0,64,64,64,64,255,0,248,116,2,0,248,255,202,202,0,32,255,0,184,116,2,0,13,119,244,244,165,130,255,0,168,116,2,0,0,0,255,255,255,255,255,0,152,116,2,0,0,0,186,186,186,186,255,0,136,116,2,0,0,0,64,64,64,64,255,0,96,116,2,0,249,220,178,178,24,43,255,0,48,116,2,0,12,150,239,239,138,98,255,0,24,116,2,0,15,54,253,253,219,199,255,0,248,115,2,0,0,0,224,224,224,224,255,0,208,115,2,0,0,0,153,153,153,153,255,0,192,115,2,0,0,0,77,77,77,77,255,0,120,115,2,0,249,220,178,178,24,43,255,0,104,115,2,0,12,150,239,239,138,98,255,0,88,115,2,0,15,54,253,253,219,199,255,0,72,115,2,0,0,0,255,255,255,255,255,0,32,115,2,0,0,0,224,224,224,224,255,0,16,115,2,0,0,0,153,153,153,153,255,0,240,114,2,0,0,0,77,77,77,77,255,0,200,114,2,0,249,220,178,178,24,43,255,0,160,114,2,0,5,163,214,214,96,77,255,0,144,114,2,0,13,119,244,244,165,130,255,0,40,114,2,0,15,54,253,253,219,199,255,0,24,114,2,0,0,0,224,224,224,224,255,0,8,114,2,0,0,0,186,186,186,186,255,0,248,113,2,0,0,0,135,135,135,135,255,0,200,113,2,0,0,0,77,77,77,77,255,0,184,113,2,0,249,220,178,178,24,43,255,0,160,113,2,0,5,163,214,214,96,77,255,0,120,113,2,0,13,119,244,244,165,130,255,0,88,113,2,0,15,54,253,253,219,199,255,0,72,113,2,0,0,0,255,255,255,255,255,0,232,112,2,0,0,0,224,224,224,224,255,0,216,112,2,0,0,0,186,186,186,186,255,0,200,112,2,0,0,0,135,135,135,135,255,0,184,112,2,0,0,0,77,77,77,77,255,0,96,112,2,0,3,32,253,253,224,221,255,0,80,112,2,0,244,92,250,250,159,181,255,0,64,112,2,0,227,220,197,197,27,138,255,0,24,112,2,0,13,28,254,254,235,226,255,0,208,111,2,0,252,72,251,251,180,185,255,0,192,111,2,0,238,147,247,247,104,161,255,0,160,111,2,0,224,253,174,174,1,126,255,0,144,111,2,0,13,28,254,254,235,226,255,0,128,111,2,0,252,72,251,251,180,185,255,0,112,111,2,0,238,147,247,247,104,161,255,0,40,111,2,0,227,220,197,197,27,138,255,0,224,110,2,0,213,252,122,122,1,119,255,0,208,110,2,0,13,28,254,254,235,226,255,0,152,110,2,0,3,60,252,252,197,192,255,0,32,110,2,0,244,92,250,250,159,181,255,0,8,110,2,0,238,147,247,247,104,161,255,0,224,109,2,0,227,220,197,197,27,138,255,0,176,109,2,0,213,252,122,122,1,119,255,0,160,109,2,0,13,28,254,254,235,226,255,0,144,109,2,0,3,60,252,252,197,192,255,0,96,109,2,0,244,92,250,250,159,181,255,0,80,109,2,0,238,147,247,247,104,161,255,0,16,109,2,0,230,195,221,221,52,151,255,0,224,108,2,0,224,253,174,174,1,126,255,0,176,108,2,0,213,252,122,122,1,119,255,0,160,108,2,0,14,12,255,255,247,243,255,0,72,108,2,0,3,32,253,253,224,221,255,0,48,108,2,0,3,60,252,252,197,192,255,0,248,107,2,0,244,92,250,250,159,181,255,0,232,107,2,0,238,147,247,247,104,161,255,0,184,107,2,0,230,195,221,221,52,151,255,0,152,107,2,0,224,253,174,174,1,126,255,0,128,107,2,0,213,252,122,122,1,119,255,0,88,107,2,0,14,12,255,255,247,243,255,0,248,106,2,0,3,32,253,253,224,221,255,0,232,106,2,0,3,60,252,252,197,192,255,0,144,106,2,0,244,92,250,250,159,181,255,0,128,106,2,0,238,147,247,247,104,161,255,0,112,106,2,0,230,195,221,221,52,151,255,0,96,106,2,0,224,253,174,174,1,126,255,0,56,106,2,0,213,252,122,122,1,119,255,0,24,106,2,0,199,255,106,73,0,106,255,0,8,106,2,0,245,255,165,165,0,38,255,0,176,105,2,0,167,171,149,49,54,149,255,0,112,105,2,0,2,208,215,215,48,39,255,0,88,105,2,0,10,184,244,244,109,67,255,0,48,105,2,0,20,157,253,253,174,97,255,0,32,105,2,0,30,110,254,254,224,144,255,0,16,105,2,0,136,24,248,224,243,248,255,0,184,104,2,0,138,67,233,171,217,233,255,0,144,104,2,0,143,113,209,116,173,209,255,0,128,104,2,0,151,157,180,69,117,180,255,0,112,104,2,0,245,255,165,165,0,38,255,0,80,104,2,0,151,157,180,69,117,180,255,0,232,103,2,0,167,171,149,49,54,149,255,0,216,103,2,0,2,208,215,215,48,39,255,0,152,103,2,0,10,184,244,244,109,67,255,0,136,103,2,0,20,157,253,253,174,97,255,0,120,103,2,0,30,110,254,254,224,144,255,0,104,103,2,0,42,64,255,255,255,191,255,0,64,103,2,0,136,24,248,224,243,248,255,0,48,103,2,0,138,67,233,171,217,233,255,0,32,103,2,0,143,113,209,116,173,209,255,0,248,102,2,0,13,164,252,252,141,89,255,0,104,102,2,0,42,64,255,255,255,191,255,0,88,102,2,0,143,86,219,145,191,219,255,0,56,102,2,0,254,225,215,215,25,28,255,0,40,102,2,0,20,157,253,253,174,97,255,0,24,102,2,0,138,67,233,171,217,233,255,0,8,102,2,0,145,193,182,44,123,182,255,0,224,101,2,0,254,225,215,215,25,28,255,0,152,101,2,0,20,157,253,253,174,97,255,0,136,101,2,0,42,64,255,255,255,191,255,0,104,101,2,0,138,67,233,171,217,233,255,0,40,101,2,0,145,193,182,44,123,182,255,0,24,101,2,0,2,208,215,215,48,39,255,0,248,100,2,0,13,164,252,252,141,89,255,0,232,100,2,0,30,110,254,254,224,144,255,0,216,100,2,0,136,24,248,224,243,248,255,0,200,100,2,0,143,86,219,145,191,219,255,0,160,100,2,0,151,157,180,69,117,180,255,0,144,100,2,0,2,208,215,215,48,39,255,0,112,100,2,0,13,164,252,252,141,89,255,0,88,100,2,0,30,110,254,254,224,144,255,0,48,100,2,0,42,64,255,255,255,191,255,0,32,100,2,0,136,24,248,224,243,248,255,0,240,99,2,0,143,86,219,145,191,219,255,0,216,99,2,0,151,157,180,69,117,180,255,0,200,99,2,0,2,208,215,215,48,39,255,0,184,99,2,0,10,184,244,244,109,67,255,0,144,99,2,0,20,157,253,253,174,97,255,0,128,99,2,0,30,110,254,254,224,144,255,0,112,99,2,0,136,24,248,224,243,248,255,0,48,99,2,0,138,67,233,171,217,233,255,0,248,98,2,0,143,113,209,116,173,209,255,0,232,98,2,0,151,157,180,69,117,180,255,0,160,98,2,0,2,208,215,215,48,39,255,0,144,98,2,0,10,184,244,244,109,67,255,0,128,98,2,0,20,157,253,253,174,97,255,0,112,98,2,0,30,110,254,254,224,144,255,0,64,98,2,0,42,64,255,255,255,191,255,0,48,98,2,0,136,24,248,224,243,248,255,0,32,98,2,0,138,67,233,171,217,233,255,0,224,97,2,0,143,113,209,116,173,209,255,0,176,97,2,0,151,157,180,69,117,180,255,0,160,97,2,0,245,255,165,165,0,38,255,0,96,97,2,0,107,255,104,0,104,55,255,0,80,97,2,0,2,208,215,215,48,39,255,0,64,97,2,0,10,184,244,244,109,67,255,0,48,97,2,0,20,157,253,253,174,97,255,0,224,96,2,0,31,115,254,254,224,139,255,0,208,96,2,0,51,106,239,217,239,139,255,0,184,96,2,0,62,130,217,166,217,106,255,0,80,96,2,0,83,121,189,102,189,99,255,0,24,96,2,0,103,211,152,26,152,80,255,0,248,95,2,0,245,255,165,165,0,38,255,0,216,95,2,0,103,211,152,26,152,80,255,0,200,95,2,0,107,255,104,0,104,55,255,0,184,95,2,0,2,208,215,215,48,39,255,0,152,95,2,0,10,184,244,244,109,67,255,0,112,95,2,0,20,157,253,253,174,97,255,0,96,95,2,0,31,115,254,254,224,139,255,0,80,95,2,0,42,64,255,255,255,191,255,0,32,95,2,0,51,106,239,217,239,139,255,0,232,94,2,0,62,130,217,166,217,106,255,0,216,94,2,0,83,121,189,102,189,99,255,0,176,94,2,0,13,164,252,252,141,89,255,0,152,94,2,0,42,64,255,255,255,191,255,0,104,94,2,0,66,136,207,145,207,96,255,0,88,94,2,0,254,225,215,215,25,28,255,0,24,94,2,0,20,157,253,253,174,97,255,0,0,94,2,0,62,130,217,166,217,106,255,0,224,93,2,0,98,210,150,26,150,65,255,0,192,93,2,0,254,225,215,215,25,28,255,0,128,93,2,0,20,157,253,253,174,97,255,0,112,93,2,0,42,64,255,255,255,191,255,0,64,93,2,0,62,130,217,166,217,106,255,0,48,93,2,0,98,210,150,26,150,65,255,0,32,93,2,0,2,208,215,215,48,39,255,0,16,93,2,0,13,164,252,252,141,89,255,0,232,92,2,0,31,115,254,254,224,139,255,0,216,92,2,0,51,106,239,217,239,139,255,0,184,92,2,0,66,136,207,145,207,96,255,0,128,92,2,0,103,211,152,26,152,80,255,0,72,92,2,0,2,208,215,215,48,39,255,0,48,92,2,0,13,164,252,252,141,89,255,0,32,92,2,0,31,115,254,254,224,139,255,0,0,92,2,0,42,64,255,255,255,191,255,0,240,91,2,0,51,106,239,217,239,139,255,0,216,91,2,0,66,136,207,145,207,96,255,0,96,91,2,0,103,211,152,26,152,80,255,0,72,91,2,0,2,208,215,215,48,39,255,0,56,91,2,0,10,184,244,244,109,67,255,0,32,91,2,0,20,157,253,253,174,97,255,0,240,90,2,0,31,115,254,254,224,139,255,0,224,90,2,0,51,106,239,217,239,139,255,0,208,90,2,0,62,130,217,166,217,106,255,0,184,90,2,0,83,121,189,102,189,99,255,0,168,90,2,0,103,211,152,26,152,80,255,0,152,90,2,0,2,208,215,215,48,39,255,0,112,90,2,0,10,184,244,244,109,67,255,0,96,90,2,0,20,157,253,253,174,97,255,0,80,90,2,0,31,115,254,254,224,139,255,0,40,90,2,0,42,64,255,255,255,191,255,0,208,89,2,0,51,106,239,217,239,139,255,0,192,89,2,0,62,130,217,166,217,106,255,0,176,89,2,0,83,121,189,102,189,99,255,0,112,89,2,0,103,211,152,26,152,80,255,0,96,89,2,0,13,44,254,254,224,210,255,0,80,89,2,0,9,139,252,252,146,114,255,0,40,89,2,0,1,211,222,222,45,38,255,0,8,89,2,0,13,37,254,254,229,217,255,0,232,88,2,0,11,108,252,252,174,145,255,0,200,88,2,0,7,179,251,251,106,74,255,0,160,88,2,0,253,224,203,203,24,29,255,0,144,88,2,0,13,37,254,254,229,217,255,0,120,88,2,0,11,108,252,252,174,145,255,0,24,88,2,0,7,179,251,251,106,74,255,0,8,88,2,0,1,211,222,222,45,38,255,0,248,87,2,0,253,231,165,165,15,21,255,0,208,87,2,0,13,37,254,254,229,217,255,0,192,87,2,0,12,92,252,252,187,161,255,0,160,87,2,0,9,139,252,252,146,114,255,0,96,87,2,0,7,179,251,251,106,74,255,0,48,87,2,0,1,211,222,222,45,38,255,0,32,87,2,0,253,231,165,165,15,21,255,0,16,87,2,0,13,37,254,254,229,217,255,0,224,86,2,0,12,92,252,252,187,161,255,0,144,86,2,0,9,139,252,252,146,114,255,0,128,86,2,0,7,179,251,251,106,74,255,0,88,86,2,0,3,208,239,239,59,44,255,0,72,86,2,0,253,224,203,203,24,29,255,0,56,86,2,0,251,255,153,153,0,13,255,0,24,86,2,0,14,15,255,255,245,240,255,0,168,85,2,0,13,44,254,254,224,210,255,0,152,85,2,0,12,92,252,252,187,161,255,0,136,85,2,0,9,139,252,252,146,114,255,0,104,85,2,0,7,179,251,251,106,74,255,0,88,85,2,0,3,208,239,239,59,44,255,0,72,85,2,0,253,224,203,203,24,29,255,0,24,85,2,0,251,255,153,153,0,13,255,0,8,85,2,0,14,15,255,255,245,240,255,0,248,84,2,0,13,44,254,254,224,210,255,0,176,84,2,0,12,92,252,252,187,161,255,0,136,84,2,0,9,139,252,252,146,114,255,0,120,84,2,0,7,179,251,251,106,74,255,0,104,84,2,0,3,208,239,239,59,44,255,0,24,84,2,0,253,224,203,203,24,29,255,0,8,84,2,0,253,231,165,165,15,21,255,0,248,83,2,0,249,255,103,103,0,13,255,0,208,83,2,0,254,225,228,228,26,28,255,0,192,83,2,0,146,178,184,55,126,184,255,0,168,83,2,0,83,147,175,77,175,74,255,0,64,83,2,0,254,225,228,228,26,28,255,0,248,82,2,0,146,178,184,55,126,184,255,0,232,82,2,0,83,147,175,77,175,74,255,0,216,82,2,0,207,132,163,152,78,163,255,0,184,82,2,0,254,225,228,228,26,28,255,0,168,82,2,0,146,178,184,55,126,184,255,0,152,82,2,0,83,147,175,77,175,74,255,0,80,82,2,0,207,132,163,152,78,163,255,0,64,82,2,0,21,255,255,255,127,0,255,0,48,82,2,0,254,225,228,228,26,28,255,0,224,81,2,0,146,178,184,55,126,184,255,0,168,81,2,0,83,147,175,77,175,74,255,0,152,81,2,0,207,132,163,152,78,163,255,0,112,81,2,0,21,255,255,255,127,0,255,0,16,81,2,0,42,204,255,255,255,51,255,0,232,80,2,0,254,225,228,228,26,28,255,0,216,80,2,0,146,178,184,55,126,184,255,0,168,80,2,0,83,147,175,77,175,74,255,0,120,80,2,0,207,132,163,152,78,163,255,0,96,80,2,0,21,255,255,255,127,0,255,0,72,80,2,0,42,204,255,255,255,51,255,0,184,79,2,0,15,193,166,166,86,40,255,0,168,79,2,0,254,225,228,228,26,28,255,0,144,79,2,0,146,178,184,55,126,184,255,0,88,79,2,0,83,147,175,77,175,74,255,0,72,79,2,0,207,132,163,152,78,163,255,0,56,79,2,0,21,255,255,255,127,0,255,0,16,79,2,0,42,204,255,255,255,51,255,0,0,79,2,0,15,193,166,166,86,40,255,0,240,78,2,0,232,121,247,247,129,191,255,0,168,78,2,0,254,225,228,228,26,28,255,0,64,78,2,0,146,178,184,55,126,184,255,0,224,77,2,0,83,147,175,77,175,74,255,0,208,77,2,0,207,132,163,152,78,163,255,0,160,77,2,0,21,255,255,255,127,0,255,0,144,77,2,0,42,204,255,255,255,51,255,0,120,77,2,0,15,193,166,166,86,40,255,0,80,77,2,0,232,121,247,247,129,191,255,0,56,77,2,0,0,0,153,153,153,153,255,0,40,77,2,0,114,120,194,102,194,165,255,0,24,77,2,0,11,155,252,252,141,98,255,0,200,76,2,0,156,77,203,141,160,203,255,0,184,76,2,0,114,120,194,102,194,165,255,0,168,76,2,0,11,155,252,252,141,98,255,0,104,76,2,0,156,77,203,141,160,203,255,0,88,76,2,0,228,102,231,231,138,195,255,0,72,76,2,0,114,120,194,102,194,165,255,0,32,76,2,0,11,155,252,252,141,98,255,0,16,76,2,0,156,77,203,141,160,203,255,0,200,75,2,0,228,102,231,231,138,195,255,0,184,75,2,0,58,155,216,166,216,84,255,0,72,75,2,0,114,120,194,102,194,165,255,0,56,75,2,0,11,155,252,252,141,98,255,0,40,75,2,0,156,77,203,141,160,203,255,0,16,75,2,0,228,102,231,231,138,195,255,0,0,75,2,0,58,155,216,166,216,84,255,0,240,74,2,0,34,208,255,255,217,47,255,0,200,74,2,0,114,120,194,102,194,165,255,0,168,74,2,0,11,155,252,252,141,98,255,0,152,74,2,0,156,77,203,141,160,203,255,0,128,74,2,0,228,102,231,231,138,195,255,0,88,74,2,0,58,155,216,166,216,84,255,0,72,74,2,0,34,208,255,255,217,47,255,0,56,74,2,0,25,90,229,229,196,148,255,0,16,74,2,0,114,120,194,102,194,165,255,0,0,74,2,0,11,155,252,252,141,98,255,0,240,73,2,0,156,77,203,141,160,203,255,0,200,73,2,0,228,102,231,231,138,195,255,0,184,73,2,0,58,155,216,166,216,84,255,0,152,73,2,0,34,208,255,255,217,47,255,0,128,73,2,0,25,90,229,229,196,148,255,0,64,73,2,0,0,0,179,179,179,179,255,0,48,73,2,0,120,84,211,141,211,199,255,0,32,73,2,0,211,82,189,188,128,189,255,0,0,73,2,0,42,76,255,255,255,179,255,0,240,72,2,0,175,37,218,190,186,218,255,0,144,72,2,0,4,139,251,251,128,114,255,0,104,72,2,0,144,100,211,128,177,211,255,0,88,72,2,0,22,156,253,253,180,98,255,0,72,72,2,0,58,134,222,179,222,105,255,0,56,72,2,0,233,47,252,252,205,229,255,0,248,71,2,0,0,0,217,217,217,217,255,0,168,71,2,0,120,84,211,141,211,199,255,0,152,71,2,0,211,82,189,188,128,189,255,0,128,71,2,0,77,41,235,204,235,197,255,0,112,71,2,0,42,76,255,255,255,179,255,0,96,71,2,0,175,37,218,190,186,218,255,0,48,71,2,0,4,139,251,251,128,114,255,0,32,71,2,0,144,100,211,128,177,211,255,0,16,71,2,0,22,156,253,253,180,98,255,0,0,71,2,0,58,134,222,179,222,105,255,0,224,70,2,0,233,47,252,252,205,229,255,0,208,70,2,0,0,0,217,217,217,217,255,0,192,70,2,0,120,84,211,141,211,199,255,0,168,70,2,0,211,82,189,188,128,189,255,0,152,70,2,0,77,41,235,204,235,197,255,0,136,70,2,0,37,144,255,255,237,111,255,0,96,70,2,0,42,76,255,255,255,179,255,0,80,70,2,0,175,37,218,190,186,218,255,0,56,70,2,0,4,139,251,251,128,114,255,0,0,70,2,0,144,100,211,128,177,211,255,0,208,69,2,0,22,156,253,253,180,98,255,0,192,69,2,0,58,134,222,179,222,105,255,0,176,69,2,0,233,47,252,252,205,229,255,0,160,69,2,0,0,0,217,217,217,217,255,0,144,69,2,0,120,84,211,141,211,199,255,0,128,69,2,0,42,76,255,255,255,179,255,0,88,69,2,0,175,37,218,190,186,218,255,0,72,69,2,0,120,84,211,141,211,199,255,0,56,69,2,0,42,76,255,255,255,179,255,0,24,69,2,0,175,37,218,190,186,218,255,0,240,68,2,0,4,139,251,251,128,114,255,0,224,68,2,0,120,84,211,141,211,199,255,0,96,68,2,0,42,76,255,255,255,179,255,0,72,68,2,0,175,37,218,190,186,218,255,0,24,68,2,0,4,139,251,251,128,114,255,0,8,68,2,0,144,100,211,128,177,211,255,0,216,67,2,0,120,84,211,141,211,199,255,0,168,67,2,0,42,76,255,255,255,179,255,0,144,67,2,0,175,37,218,190,186,218,255,0,128,67,2,0,4,139,251,251,128,114,255,0,64,67,2,0,144,100,211,128,177,211,255,0,48,67,2,0,22,156,253,253,180,98,255,0,24,67,2,0,120,84,211,141,211,199,255,0,8,67,2,0,42,76,255,255,255,179,255,0,248,66,2,0,175,37,218,190,186,218,255,0,232,66,2,0,4,139,251,251,128,114,255,0,192,66,2,0,144,100,211,128,177,211,255,0,176,66,2,0,22,156,253,253,180,98,255,0,160,66,2,0,58,134,222,179,222,105,255,0,144,66,2,0,120,84,211,141,211,199,255,0,112,66,2,0,42,76,255,255,255,179,255,0,72,66,2,0,175,37,218,190,186,218,255,0,0,66,2,0,4,139,251,251,128,114,255,0,240,65,2,0,144,100,211,128,177,211,255,0,224,65,2,0,22,156,253,253,180,98,255,0,208,65,2,0,58,134,222,179,222,105,255,0,160,65,2,0,233,47,252,252,205,229,255,0,136,65,2,0,120,84,211,141,211,199,255,0,96,65,2,0,42,76,255,255,255,179,255,0,80,65,2,0,175,37,218,190,186,218,255,0,48,65,2,0,4,139,251,251,128,114,255,0,24,65,2,0,144,100,211,128,177,211,255,0,8,65,2,0,22,156,253,253,180,98,255,0,248,64,2,0,58,134,222,179,222,105,255,0,232,64,2,0,233,47,252,252,205,229,255,0,216,64,2,0,0,0,217,217,217,217,255,0,176,64,2,0,237,253,158,158,1,66,255,0,160,64,2,0,177,130,162,94,79,162,255,0,144,64,2,0,250,180,213,213,62,79,255,0,128,64,2,0,10,184,244,244,109,67,255,0,40,64,2,0,20,157,253,253,174,97,255,0,24,64,2,0,31,115,254,254,224,139,255,0,8,64,2,0,49,96,245,230,245,152,255,0,248,63,2,0,79,65,221,171,221,164,255,0,232,63,2,0,114,120,194,102,194,165,255,0,216,63,2,0,143,187,189,50,136,189,255,0,176,63,2,0,237,253,158,158,1,66,255,0,104,63,2,0,143,187,189,50,136,189,255,0,88,63,2,0,177,130,162,94,79,162,255,0,64,63,2,0,250,180,213,213,62,79,255,0,24,63,2,0,10,184,244,244])
    897 .concat([109,67,255,0,8,63,2,0,20,157,253,253,174,97,255,0,248,62,2,0,31,115,254,254,224,139,255,0,232,62,2,0,42,64,255,255,255,191,255,0,216,62,2,0,49,96,245,230,245,152,255,0,200,62,2,0,79,65,221,171,221,164,255,0,160,62,2,0,114,120,194,102,194,165,255,0,144,62,2,0,13,164,252,252,141,89,255,0,128,62,2,0,42,64,255,255,255,191,255,0,80,62,2,0,81,77,213,153,213,148,255,0,32,62,2,0,254,225,215,215,25,28,255,0,16,62,2,0,20,157,253,253,174,97,255,0,0,62,2,0,79,65,221,171,221,164,255,0,240,61,2,0,143,196,186,43,131,186,255,0,224,61,2,0,254,225,215,215,25,28,255,0,208,61,2,0,20,157,253,253,174,97,255,0,64,61,2,0,42,64,255,255,255,191,255,0,48,61,2,0,79,65,221,171,221,164,255,0,32,61,2,0,143,196,186,43,131,186,255,0,16,61,2,0,250,180,213,213,62,79,255,0,224,60,2,0,13,164,252,252,141,89,255,0,208,60,2,0,31,115,254,254,224,139,255,0,176,60,2,0,49,96,245,230,245,152,255,0,160,60,2,0,81,77,213,153,213,148,255,0,144,60,2,0,143,187,189,50,136,189,255,0,128,60,2,0,250,180,213,213,62,79,255,0,80,60,2,0,13,164,252,252,141,89,255,0,64,60,2,0,31,115,254,254,224,139,255,0,48,60,2,0,42,64,255,255,255,191,255,0,32,60,2,0,49,96,245,230,245,152,255,0,248,59,2,0,81,77,213,153,213,148,255,0,232,59,2,0,143,187,189,50,136,189,255,0,216,59,2,0,250,180,213,213,62,79,255,0,200,59,2,0,10,184,244,244,109,67,255,0,184,59,2,0,20,157,253,253,174,97,255,0,168,59,2,0,31,115,254,254,224,139,255,0,128,59,2,0,49,96,245,230,245,152,255,0,112,59,2,0,79,65,221,171,221,164,255,0,80,59,2,0,114,120,194,102,194,165,255,0,16,59,2,0,143,187,189,50,136,189,255,0,216,58,2,0,250,180,213,213,62,79,255,0,200,58,2,0,10,184,244,244,109,67,255,0,184,58,2,0,20,157,253,253,174,97,255,0,168,58,2,0,31,115,254,254,224,139,255,0,152,58,2,0,42,64,255,255,255,191,255,0,136,58,2,0,49,96,245,230,245,152,255,0,96,58,2,0,79,65,221,171,221,164,255,0,80,58,2,0,114,120,194,102,194,165,255,0,64,58,2,0,143,187,189,50,136,189,255,0,48,58,2,0,147,15,255,240,248,255,255,0,224,57,2,0,24,35,250,250,235,215,255,0,208,57,2,0,127,255,255,0,255,255,255,0,152,57,2,0,113,128,255,127,255,212,255,0,120,57,2,0,127,15,255,240,255,255,255,0,72,57,2,0,42,26,245,245,245,220,255,0,56,57,2,0,23,58,255,255,228,196,255,0,248,56,2,0,0,0,0,0,0,0,255,0,216,56,2,0,25,49,255,255,235,205,255,0,192,56,2,0,170,255,255,0,0,255,255,0,176,56,2,0,192,206,226,138,43,226,255,0,104,56,2,0,0,190,165,165,42,42,255,0,80,56,2,0,23,99,222,222,184,135,255,0,48,56,2,0,128,103,160,95,158,160,255,0,32,56,2,0,63,255,255,127,255,0,255,0,16,56,2,0,17,218,210,210,105,30,255,0,0,56,2,0,11,175,255,255,127,80,255,0,208,55,2,0,154,147,237,100,149,237,255,0,192,55,2,0,33,34,255,255,248,220,255,0,176,55,2,0,246,231,220,220,20,60,255,0,160,55,2,0,127,255,255,0,255,255,255,0,128,55,2,0,170,255,139,0,0,139,255,0,104,55,2,0,127,255,139,0,139,139,255,0,80,55,2,0,30,239,184,184,134,11,255,0,24,55,2,0,0,0,169,169,169,169,255,0,8,55,2,0,85,255,100,0,100,0,255,0,248,54,2,0,0,0,169,169,169,169,255,0,200,54,2,0,39,110,189,189,183,107,255,0,176,54,2,0,212,255,139,139,0,139,255,0,152,54,2,0,58,142,107,85,107,47,255,0,128,54,2,0,23,255,255,255,140,0,255,0,96,54,2,0,198,192,204,153,50,204,255,0,72,54,2,0,0,255,139,139,0,0,255,0,56,54,2,0,10,121,233,233,150,122,255,0,32,54,2,0,85,61,188,143,188,143,255,0,8,54,2,0,175,143,139,72,61,139,255,0,240,53,2,0,127,103,79,47,79,79,255,0,192,53,2,0,127,103,79,47,79,79,255,0,168,53,2,0,128,255,209,0,206,209,255,0,152,53,2,0,199,255,211,148,0,211,255,0,136,53,2,0,232,235,255,255,20,147,255,0,56,53,2,0,138,255,255,0,191,255,255,0,40,53,2,0,0,0,105,105,105,105,255,0,24,53,2,0,0,0,105,105,105,105,255,0,8,53,2,0,148,225,255,30,144,255,255,0,248,52,2,0,0,206,178,178,34,34,255,0,224,52,2,0,28,15,255,255,250,240,255,0,176,52,2,0,85,192,139,34,139,34,255,0,160,52,2,0,212,255,255,255,0,255,255,0,144,52,2,0,0,0,220,220,220,220,255,0,120,52,2,0,170,7,255,248,248,255,255,0,88,52,2,0,35,255,255,255,215,0,255,0,72,52,2,0,30,217,218,218,165,32,255,0,56,52,2,0,0,0,128,128,128,128,255,0,40,52,2,0,85,255,128,0,128,0,255,0,16,52,2,0,59,208,255,173,255,47,255,0,0,52,2,0,0,0,128,128,128,128,255,0,216,51,2,0,85,15,255,240,255,240,255,0,200,51,2,0,233,150,255,255,105,180,255,0,184,51,2,0,0,140,205,205,92,92,255,0,152,51,2,0,194,255,130,75,0,130,255,0,112,51,2,0,42,15,255,255,255,240,255,0,96,51,2,0,38,106,240,240,230,140,255,0,80,51,2,0,170,20,250,230,230,250,255,0,56,51,2,0,240,15,255,255,240,245,255,0,40,51,2,0,64,255,252,124,252,0,255,0,16,51,2,0,38,49,255,255,250,205,255,0,232,50,2,0,137,63,230,173,216,230,255,0,96,50,2,0,0,119,240,240,128,128,255,0,80,50,2,0,127,31,255,224,255,255,255,0,48,50,2,0,42,40,250,250,250,210,255,0,240,49,2,0,0,0,211,211,211,211,255,0,224,49,2,0,85,100,238,144,238,144,255,0,208,49,2,0,0,0,211,211,211,211,255,0,160,49,2,0,248,73,255,255,182,193,255,0,136,49,2,0,12,132,255,255,160,122,255,0,112,49,2,0,125,209,178,32,178,170,255,0,48,49,2,0,143,117,250,135,206,250,255,0,24,49,2,0,148,56,153,119,136,153,255,0,0,49,2,0,148,56,153,119,136,153,255,0,232,48,2,0,151,52,222,176,196,222,255,0,176,48,2,0,42,31,255,255,255,224,255,0,160,48,2,0,85,255,255,0,255,0,255,0,144,48,2,0,85,192,205,50,205,50,255,0,128,48,2,0,21,20,250,250,240,230,255,0,112,48,2,0,212,255,255,255,0,255,255,0,96,48,2,0,0,255,128,128,0,0,255,0,48,48,2,0,113,128,205,102,205,170,255,0,232,47,2,0,170,255,205,0,0,205,255,0,176,47,2,0,204,152,211,186,85,211,255,0,96,47,2,0,183,124,219,147,112,219,255,0,24,47,2,0,103,169,179,60,179,113,255,0,0,47,2,0,176,143,238,123,104,238,255,0,232,46,2,0,111,255,250,0,250,154,255,0,208,46,2,0,125,167,209,72,209,204,255,0,184,46,2,0,228,228,199,199,21,133,255,0,152,46,2,0,170,198,112,25,25,112,255,0,104,46,2,0,106,9,255,245,255,250,255,0,88,46,2,0,4,30,255,255,228,225,255,0,72,46,2,0,26,73,255,255,228,181,255,0,48,46,2,0,25,81,255,255,222,173,255,0,248,45,2,0,170,255,128,0,0,128,255,0,232,45,2,0,27,23,253,253,245,230,255,0,176,45,2,0,42,255,128,128,128,0,255,0,152,45,2,0,56,192,142,107,142,35,255,0,112,45,2,0,27,255,255,255,165,0,255,0,96,45,2,0,11,255,255,255,69,0,255,0,56,45,2,0,214,123,218,218,112,214,255,0,248,44,2,0,38,72,238,238,232,170,255,0,224,44,2,0,85,100,251,152,251,152,255,0,200,44,2,0,127,67,238,175,238,238,255,0,120,44,2,0,241,124,219,219,112,147,255,0,104,44,2,0,26,41,255,255,239,213,255,0,72,44,2,0,20,70,255,255,218,185,255,0,56,44,2,0,20,176,205,205,133,63,255,0,40,44,2,0,247,63,255,255,192,203,255,0,24,44,2,0,212,70,221,221,160,221,255,0,240,43,2,0,132,59,230,176,224,230,255,0,224,43,2,0,212,255,128,128,0,128,255,0,208,43,2,0,0,255,255,255,0,0,255,0,192,43,2,0,0,61,188,188,143,143,255,0,152,43,2,0,159,181,225,65,105,225,255,0,112,43,2,0,17,220,139,139,69,19,255,0,96,43,2,0,4,138,250,250,128,114,255,0,80,43,2,0,19,154,244,244,164,96,255,0,56,43,2,0,103,170,139,46,139,87,255,0,40,43,2,0,17,16,255,255,245,238,255,0,248,42,2,0,13,183,160,160,82,45,255,0,232,42,2,0,0,0,192,192,192,192,255,0,216,42,2,0,139,108,235,135,206,235,255,0,200,42,2,0,175,143,205,106,90,205,255,0,112,42,2,0,148,56,144,112,128,144,255,0,88,42,2,0,148,56,144,112,128,144,255,0,72,42,2,0,0,5,255,255,250,250,255,0,48,42,2,0,106,255,255,0,255,127,255,0,32,42,2,0,146,155,180,70,130,180,255,0,16,42,2,0,24,84,210,210,180,140,255,0,232,41,2,0,127,255,128,0,128,128,255,0,216,41,2,0,212,29,216,216,191,216,255,0,200,41,2,0,6,184,255,255,99,71,255,0,168,41,2,0,123,182,224,64,224,208,255,0,88,41,2,0,212,115,238,238,130,238,255,0,72,41,2,0,27,68,245,245,222,179,255,0,56,41,2,0,0,0,255,255,255,255,255,0,40,41,2,0,0,0,245,245,245,245,255,0,24,41,2,0,42,255,255,255,255,0,255,0,0,41,2,0,56,192,205,154,205,50,255,0,216,40,2,0,45,67,252,247,252,185,255,0,200,40,2,0,68,91,221,173,221,142,255,0,184,40,2,0,98,178,163,49,163,84,255,0,112,40,2,0,42,50,255,255,255,204,255,0,72,40,2,0,62,85,230,194,230,153,255,0,56,40,2,0,85,100,198,120,198,121,255,0,40,40,2,0,99,187,132,35,132,67,255,0,24,40,2,0,42,50,255,255,255,204,255,0,8,40,2,0,62,85,230,194,230,153,255,0,248,39,2,0,85,100,198,120,198,121,255,0,208,39,2,0,98,178,163,49,163,84,255,0,192,39,2,0,107,255,104,0,104,55,255,0,176,39,2,0,42,50,255,255,255,204,255,0,144,39,2,0,55,81,240,217,240,163,255,0,96,39,2,0,68,91,221,173,221,142,255,0,80,39,2,0,85,100,198,120,198,121,255,0,64,39,2,0,98,178,163,49,163,84,255,0,48,39,2,0,107,255,104,0,104,55,255,0,32,39,2,0,42,50,255,255,255,204,255,0,16,39,2,0,55,81,240,217,240,163,255,0,200,35,2,0,68,91,221,173,221,142,255,0,184,35,2,0,85,100,198,120,198,121,255,0,128,35,2,0,96,158,171,65,171,93,255,0,112,35,2,0,99,187,132,35,132,67,255,0,64,35,2,0,108,255,90,0,90,50,255,0,0,35,2,0,42,25,255,255,255,229,255,0,240,34,2,0,45,67,252,247,252,185,255,0,224,34,2,0,55,81,240,217,240,163,255,0,208,34,2,0,68,91,221,173,221,142,255,0,192,34,2,0,85,100,198,120,198,121,255,0,144,34,2,0,96,158,171,65,171,93,255,0,128,34,2,0,99,187,132,35,132,67,255,0,112,34,2,0,108,255,90,0,90,50,255,0,96,34,2,0,42,25,255,255,255,229,255,0,48,34,2,0,45,67,252,247,252,185,255,0,32,34,2,0,55,81,240,217,240,163,255,0,16,34,2,0,68,91,221,173,221,142,255,0,0,34,2,0,85,100,198,120,198,121,255,0,240,33,2,0,96,158,171,65,171,93,255,0,224,33,2,0,99,187,132,35,132,67,255,0,168,33,2,0,107,255,104,0,104,55,255,0,152,33,2,0,110,255,69,0,69,41,255,0,112,33,2,0,49,73,248,237,248,177,255,0,88,33,2,0,117,97,205,127,205,187,255,0,248,32,2,0,144,194,184,44,127,184,255,0,232,32,2,0,42,50,255,255,255,204,255,0,216,32,2,0,99,66,218,161,218,180,255,0,200,32,2,0,132,170,196,65,182,196,255,0,184,32,2,0,150,203,168,34,94,168,255,0,168,32,2,0,42,50,255,255,255,204,255,0,128,32,2,0,99,66,218,161,218,180,255,0,112,32,2,0,132,170,196,65,182,196,255,0,96,32,2,0,144,194,184,44,127,184,255,0,80,32,2,0,164,191,148,37,52,148,255,0,24,32,2,0,42,50,255,255,255,204,255,0,8,32,2,0,69,58,233,199,233,180,255,0,232,31,2,0,117,97,205,127,205,187,255,0,208,31,2,0,132,170,196,65,182,196,255,0,168,31,2,0,144,194,184,44,127,184,255,0,152,31,2,0,164,191,148,37,52,148,255,0,112,31,2,0,42,50,255,255,255,204,255,0,88,31,2,0,69,58,233,199,233,180,255,0,64,31,2,0,117,97,205,127,205,187,255,0,48,31,2,0,132,170,196,65,182,196,255,0,200,30,2,0,139,216,192,29,145,192,255,0,184,30,2,0,150,203,168,34,94,168,255,0,160,30,2,0,158,231,132,12,44,132,255,0,136,30,2,0,42,38,255,255,255,217,255,0,120,30,2,0,49,73,248,237,248,177,255,0,104,30,2,0,69,58,233,199,233,180,255,0,64,30,2,0,117,97,205,127,205,187,255,0,48,30,2,0,132,170,196,65,182,196,255,0,16,30,2,0,139,216,192,29,145,192,255,0,0,30,2,0,150,203,168,34,94,168,255,0,200,29,2,0,158,231,132,12,44,132,255,0,176,29,2,0,42,38,255,255,255,217,255,0,160,29,2,0,49,73,248,237,248,177,255,0,144,29,2,0,69,58,233,199,233,180,255,0,128,29,2,0,117,97,205,127,205,187,255,0,96,29,2,0,132,170,196,65,182,196,255,0,48,29,2,0,139,216,192,29,145,192,255,0,32,29,2,0,150,203,168,34,94,168,255,0,16,29,2,0,164,191,148,37,52,148,255,0,0,29,2,0,158,231,88,8,29,88,255,0,208,28,2,0,37,66,255,255,247,188,255,0,176,28,2,0,28,175,254,254,196,79,255,0,160,28,2,0,16,238,217,217,95,14,255,0,144,28,2,0,42,42,255,255,255,212,255,0,128,28,2,0,28,112,254,254,217,142,255,0,112,28,2,0,22,213,254,254,153,41,255,0,72,28,2,0,15,252,204,204,76,2,255,0,56,28,2,0,42,42,255,255,255,212,255,0,40,28,2,0,28,112,254,254,217,142,255,0,16,28,2,0,22,213,254,254,153,41,255,0,136,27,2,0,16,238,217,217,95,14,255,0,120,27,2,0,13,248,153,153,52,4,255,0,104,27,2,0,42,42,255,255,255,212,255,0,88,27,2,0,31,109,254,254,227,145,255,0,72,27,2,0,28,175,254,254,196,79,255,0,56,27,2,0,22,213,254,254,153,41,255,0,16,27,2,0,16,238,217,217,95,14,255,0,0,27,2,0,13,248,153,153,52,4,255,0,240,26,2,0,42,42,255,255,255,212,255,0,208,26,2,0,31,109,254,254,227,145,255,0,168,26,2,0,28,175,254,254,196,79,255,0,152,26,2,0,22,213,254,254,153,41,255,0,136,26,2,0,18,233,236,236,112,20,255,0,120,26,2,0,15,252,204,204,76,2,255,0,104,26,2,0,12,247,140,140,45,4,255,0,88,26,2,0,42,25,255,255,255,229,255,0,48,26,2,0,37,66,255,255,247,188,255,0,32,26,2,0,31,109,254,254,227,145,255,0,16,26,2,0,28,175,254,254,196,79,255,0,240,25,2,0,22,213,254,254,153,41,255,0,192,25,2,0,18,233,236,236,112,20,255,0,176,25,2,0,15,252,204,204,76,2,255,0,160,25,2,0,12,247,140,140,45,4,255,0,144,25,2,0,42,25,255,255,255,229,255,0,128,25,2,0,37,66,255,255,247,188,255,0,112,25,2,0,31,109,254,254,227,145,255,0,72,25,2,0,28,175,254,254,196,79,255,0,56,25,2,0,22,213,254,254,153,41,255,0,40,25,2,0,18,233,236,236,112,20,255,0,192,24,2,0,15,252,204,204,76,2,255,0,144,24,2,0,13,248,153,153,52,4,255,0,128,24,2,0,13,240,102,102,37,6,255,0,112,24,2,0,34,95,255,255,237,160,255,0,96,24,2,0,24,178,254,254,178,76,255,0,80,24,2,0,5,221,240,240,59,32,255,0,56,24,2,0,42,77,255,255,255,178,255,0,8,24,2,0,29,162,254,254,204,92,255,0,248,23,2,0,17,194,253,253,141,60,255,0,232,23,2,0,254,225,227,227,26,28,255,0,216,23,2,0,42,77,255,255,255,178,255,0,152,23,2,0,29,162,254,254,204,92,255,0,136,23,2,0,17,194,253,253,141,60,255,0,120,23,2,0,5,221,240,240,59,32,255,0,88,23,2,0,246,255,189,189,0,38,255,0,72,23,2,0,42,77,255,255,255,178,255,0,56,23,2,0,30,136,254,254,217,118,255,0,16,23,2,0,24,178,254,254,178,76,255,0,0,23,2,0,17,194,253,253,141,60,255,0,240,22,2,0,5,221,240,240,59,32,255,0,224,22,2,0,246,255,189,189,0,38,255,0,120,22,2,0,42,77,255,255,255,178,255,0,104,22,2,0,30,136,254,254,217,118,255,0,88,22,2,0,24,178,254,254,178,76,255,0,72,22,2,0,17,194,253,253,141,60,255,0,56,22,2,0,7,212,252,252,78,42,255,0,40,22,2,0,254,225,227,227,26,28,255,0,0,22,2,0,245,255,177,177,0,38,255,0,240,21,2,0,42,50,255,255,255,204,255,0,224,21,2,0,34,95,255,255,237,160,255,0,160,21,2,0,30,136,254,254,217,118,255,0,96,21,2,0,24,178,254,254,178,76,255,0,80,21,2,0,17,194,253,253,141,60,255,0,16,21,2,0,7,212,252,252,78,42,255,0,248,20,2,0,254,225,227,227,26,28,255,0,232,20,2,0,245,255,177,177,0,38,255,0,208,20,2,0,42,50,255,255,255,204,255,0,168,20,2,0,34,95,255,255,237,160,255,0,136,20,2,0,30,136,254,254,217,118,255,0,120,20,2,0,24,178,254,254,178,76,255,0,96,20,2,0,17,194,253,253,141,60,255,0,0,20,2,0,7,212,252,252,78,42,255,0,240,19,2,0,254,225,227,227,26,28,255,0,224,19,2,0,246,255,189,189,0,38,255,0,184,19,2,0,242,255,128,128,0,38,255,0,160,19,2,0,147,15,255,240,248,255,255,0,144,19,2,0,24,35,250,250,235,215,255,0,104,19,2,0,23,36,255,255,239,219,255,0,88,19,2,0,23,36,238,238,223,204,255,0,72,19,2,0,23,36,205,205,192,176,255,0,56,19,2,0,24,34,139,139,131,120,255,0,248,18,2,0,113,128,255,127,255,212,255,0,216,18,2,0,113,128,255,127,255,212,255,0,200,18,2,0,113,128,238,118,238,198,255,0,184,18,2,0,113,128,205,102,205,170,255,0,168,18,2,0,113,128,139,69,139,116,255,0,160,18,2,0,127,15,255,240,255,255,255,0,120,18,2,0,127,15,255,240,255,255,255,0,112,18,2,0,127,15,238,224,238,238,255,0,104,18,2,0,127,14,205,193,205,205,255,0,96,18,2,0,127,14,139,131,139,139,255,0,48,18,2,0,42,26,245,245,245,220,255,0,8,18,2,0,23,58,255,255,228,196,255,0,248,17,2,0,23,58,255,255,228,196,255,0,240,17,2,0,23,58,238,238,213,183,255,0,232,17,2,0,22,58,205,205,183,158,255,0,224,17,2,0,23,58,139,139,125,107,255,0,192,17,2,0,0,0,0,0,0,0,255,0,176,17,2,0,25,49,255,255,235,205,255,0,168,17,2,0,170,255,255,0,0,255,255,0,160,17,2,0,170,255,255,0,0,255,255,0,104,17,2,0,170,255,238,0,0,238,255,0,72,17,2,0,170,255,205,0,0,205,255,0,64,17,2,0,170,255,139,0,0,139,255,0,48,17,2,0,192,206,226,138,43,226,255,0,40,17,2,0,0,190,165,165,42,42,255,0,32,17,2,0,0,191,255,255,64,64,255,0,0,17,2,0,0,191,238,238,59,59,255,0,248,16,2,0,0,191,205,205,51,51,255,0,240,16,2,0,0,190,139,139,35,35,255,0,216,16,2,0,23,99,222,222,184,135,255,0,184,16,2,0,23,100,255,255,211,155,255,0,144,16,2,0,23,99,238,238,197,145,255,0,128,16,2,0,23,99,205,205,170,125,255,0,112,16,2,0,23,99,139,139,115,85,255,0,96,16,2,0,128,103,160,95,158,160,255,0,80,16,2,0,131,103,255,152,245,255,255,0,40,16,2,0,131,102,238,142,229,238,255,0,24,16,2,0,131,103,205,122,197,205,255,0,8,16,2,0,131,102,139,83,134,139,255,0,232,15,2,0,63,255,255,127,255,0,255,0,200,15,2,0,63,255,255,127,255,0,255,0,160,15,2,0,63,255,238,118,238,0,255,0,144,15,2,0,63,255,205,102,205,0,255,0,128,15,2,0,63,255,139,69,139,0,255,0,112,15,2,0,17,218,210,210,105,30,255,0,96,15,2,0,17,219,255,255,127,36,255,0,56,15,2,0,17,219,238,238,118,33,255,0,40,15,2,0,17,218,205,205,102,29,255,0,24,15,2,0,17,220,139,139,69,19,255,0,16,15,2,0,11,175,255,255,127,80,255,0,216,14,2,0,7,169,255,255,114,86,255,0,192,14,2,0,6,169,238,238,106,80,255,0,184,14,2,0,6,169,205,205,91,69,255,0,176,14,2,0,6,168,139,139,62,47,255,0,160,14,2,0,154,147,237,100,149,237,255,0,144,14,2,0,33,34,255,255,248,220,255,0,96,14,2,0,33,34,255,255,248,220,255,0,80,14,2,0,34,35,238,238,232,205,255,0,64,14,2,0,34,34,205,205,200,177,255,0,48,14,2,0,35,34,139,139,136,120,255,0,16,14,2,0,246,231,220,220,20,60,255,0,240,13,2,0,127,255,255,0,255,255,255,0,232,13,2,0,127,255,255,0,255,255,255,0,224,13,2,0,127,255,238,0,238,238,255,0,216,13,2,0,127,255,205,0,205,205,255,0,208,13,2,0,127,255,139,0,139,139,255,0,168,13,2,0,30,239,184,184,134,11,255,0,152,13,2,0,30,240,255,255,185,15,255,0,136,13,2,0,30,240,238,238,173,14,255,0,120,13,2,0,30,240,205,205,149,12,255,0,32,13,2,0,30,240,139,139,101,8,255,0,0,13,2,0,85,255,100,0,100,0,255,0,240,12,2,0,39,110,189,189,183,107,255,0,224,12,2,0,58,142,107,85,107,47,255,0,208,12,2,0,58,143,255,202,255,112,255,0,192,12,2,0,58,143,238,188,238,104,255,0,152,12,2,0,58,143,205,162,205,90,255,0,136,12,2,0,58,143,139,110,139,61,255,0,120,12,2,0,23,255,255,255,140,0,255,0,104,12,2,0,21,255,255,255,127,0,255,0,24,12,2,0,21,255,238,238,118,0,255,0,248,11,2,0,21,255,205,205,102,0,255,0,224,11,2,0,21,255,139,139,69,0,255,0,192,11,2,0,198,192,204,153,50,204,255,0,176,11,2,0,198,193,255,191,62,255,255,0,160,11,2,0,198,192,238,178,58,238,255,0,120,11,2,0,198,192,205,154,50,205,255,0,96,11,2,0,198,192,139,104,34,139,255,0,80,11,2,0,10,121,233,233,150,122,255,0,56,11,2,0,85,61,188,143,188,143,255,0,176,10,2,0,85,62,255,193,255,193,255,0,152,10,2,0,85,62,238,180,238,180,255,0,136,10,2,0,85,62,205,155,205,155,255,0,104,10,2,0,85,62,139,105,139,105,255,0,88,10,2,0,175,143,139,72,61,139,255,0,56,10,2,0,127,103,79,47,79,79,255,0,16,10,2,0,127,104,255,151,255,255,255,0,0,10,2,0,127,103,238,141,238,238,255,0,224,9,2,0,127,104,205,121,205,205,255,0,208,9,2,0,127,104,139,82,139,139,255,0,144,9,2,0,127,103,79,47,79,79,255,0,120,9,2,0,128,255,209,0,206,209,255,0,96,9,2,0,199,255,211,148,0,211,255,0,80,9,2,0,232,235,255,255,20,147,255,0,64,9,2,0,232,235,255,255,20,147,255,0,48,9,2,0,232,235,238,238,18,137,255,0,16,9,2,0,232,235,205,205,16,118,255,0,0,9,2,0,231,236,139,139,10,80,255,0,216,8,2,0,138,255,255,0,191,255,255,0,200,8,2,0,138,255,255,0,191,255,255,0,168,8,2,0,138,255,238,0,178,238,255,0,96,8,2,0,138,255,205,0,154,205,255,0,80,8,2,0,138,255,139,0,104,139,255,0,0,8,2,0,0,0,105,105,105,105,255,0,248,7,2,0,0,0,105,105,105,105,255,0,232,7,2,0,148,225,255,30,144,255,255,0,208,7,2,0,148,225,255,30,144,255,255,0,192,7,2,0,148,225,238,28,134,238,255,0,160,7,2,0,148,225,205,24,116,205,255,0,144,7,2,0,148,225,139,16,78,139,255,0,88,7,2,0,0,206,178,178,34,34,255,0,56,7,2,0,0,207,255,255,48,48,255,0,40,7,2,0,0,207,238,238,44,44,255,0,24,7,2,0,0,207,205,205,38,38,255,0,8,7,2,0,0,207,139,139,26,26,255,0,248,6,2,0,28,15,255,255,250,240,255,0,224,6,2,0,85,192,139,34,139,34,255,0,208,6,2,0,0,0,220,220,220,220,255,0,176,6,2,0,170,7,255,248,248,255,255,0,152,6,2,0,35,255,255,255,215,0,255,0,120,6,2,0,35,255,255,255,215,0,255,0,96,6,2,0,35,255,238,238,201,0,255,0,88,6,2,0,35,255,205,205,173,0,255,0,80,6,2,0,35,255,139,139,117,0,255,0,64,6,2,0,30,217,218,218,165,32,255,0,48,6,2,0,30,218,255,255,193,37,255,0,24,6,2,0,30,218,238,238,180,34,255,0,8,6,2,0,30,218,205,205,155,29,255,0,232,5,2,0,30,218,139,139,105,20,255,0,208,5,2,0,0,0,192,192,192,192,255,0,184,5,2,0,0,0,0,0,0,0,255,0,168,5,2,0,0,0,3,3,3,3,255,0,160,5,2,0,0,0,26,26,26,26,255,0,152,5,2,0,0,0,255,255,255,255,255,0,144,5,2,0,0,0,28,28,28,28,255,0,136,5,2,0,0,0,31,31,31,31,255,0,120,5,2,0,0,0,33,33,33,33,255,0,112,5,2,0,0,0,36,36,36,36,255,0,88,5,2,0,0,0,38,38,38,38,255,0,80,5,2,0,0,0,41,41,41,41,255,0,48,5,2,0,0,0,43,43,43,43,255,0,24,5,2,0,0,0,46,46,46,46,255,0,16,5,2,0,0,0,48,48,48,48,255,0,8,5,2,0,0,0,5,5,5,5,255,0,0,5,2,0,0,0,51,51,51,51,255,0,248,4,2,0,0,0,54,54,54,54,255,0,216,4,2,0,0,0,56,56,56,56,255,0,208,4,2,0,0,0,59,59,59,59,255,0,184,4,2,0,0,0,61,61,61,61,255,0,176,4,2,0,0,0,64,64,64,64,255,0,136,4,2,0,0,0,66,66,66,66,255,0,120,4,2,0,0,0,69,69,69,69,255,0,112,4,2,0,0,0,71,71,71,71,255,0,104,4,2,0,0,0,74,74,74,74,255,0,96,4,2,0,0,0,8,8,8,8,255,0,88,4,2,0,0,0,77,77,77,77,255,0,72,4,2,0,0,0,79,79,79,79,255,0,64,4,2,0,0,0,82,82,82,82,255,0,40,4,2,0,0,0,84,84,84,84,255,0,32,4,2,0,0,0,87,87,87,87,255,0,208,3,2,0,0,0,89,89,89,89,255,0,176,3,2,0,0,0,92,92,92,92,255,0,168,3,2,0,0,0,94,94,94,94,255,0,160,3,2,0,0,0,97,97,97,97,255,0,152,3,2,0,0,0,99,99,99,99,255,0,144,3,2,0,0,0,10,10,10,10,255,0,128,3,2,0,0,0,102,102,102,102,255,0,120,3,2,0,0,0,105,105,105,105,255,0,96,3,2,0,0,0,107,107,107,107,255,0,88,3,2,0,0,0,110,110,110,110,255,0,48,3,2,0,0,0,112,112,112,112,255,0,32,3,2,0,0,0,115,115,115,115,255,0,16,3,2,0,0,0,117,117,117,117,255,0,0,3,2,0,0,0,120,120,120,120,255,0,248,2,2,0,0,0,122,122,122,122,255,0,240,2,2,0,0,0,125,125,125,125,255,0,184,2,2,0,0,0,13,13,13,13,255,0,168,2,2,0,0,0,127,127,127,127,255,0,144,2,2,0,0,0,130,130,130,130,255,0,128,2,2,0,0,0,133,133,133,133,255,0,8,2,2,0,0,0,135,135,135,135,255,0,248,1,2,0,0,0,138,138,138,138,255,0,240,1,2,0,0,0,140,140,140,140,255,0,216,1,2,0,0,0,143,143,143,143,255,0,208,1,2,0,0,0,145,145,145,145,255,0,200,1,2,0,0,0,148,148,148,148,255,0,176,1,2,0,0,0,150,150,150,150,255,0,168,1,2,0,0,0,15,15,15,15,255,0,144,1,2,0,0,0,153,153,153,153,255,0,136,1,2,0,0,0,156,156,156,156,255,0,112,1,2,0,0,0,158,158,158,158,255,0,88,1,2,0,0,0,161,161,161,161,255,0,64,1,2,0,0,0,163,163,163,163,255,0,56,1,2,0,0,0,166,166,166,166,255,0,48,1,2,0,0,0,168,168,168,168,255,0,40,1,2,0,0,0,171,171,171,171,255,0,16,1,2,0,0,0,173,173,173,173,255,0,8,1,2,0,0,0,176,176,176,176,255,0,240,0,2,0,0,0,18,18,18,18,255,0,232,0,2,0,0,0,179,179,179,179,255,0,208,0,2,0,0,0,181,181,181,181,255,0,176,0,2,0,0,0,184,184,184,184,255,0,168,0,2,0,0,0,186,186,186,186,255,0,160,0,2,0,0,0,189,189,189,189,255,0,128,0,2,0,0,0,191,191,191,191,255,0,120,0,2,0,0,0,194,194,194,194,255,0,104,0,2,0,0,0,196,196,196,196,255,0,96,0,2,0,0,0,199,199,199,199,255,0,72,0,2,0,0,0,201,201,201,201,255,0,64,0,2,0,0,0,20,20,20,20,255,0,24,0,2,0,0,0,204,204,204,204,255,0,248,255,1,0,0,0,207,207,207,207,255,0,240,255,1,0,0,0,209,209,209,209,255,0,232,255,1,0,0,0,212,212,212,212,255,0,224,255,1,0,0,0,214,214,214,214,255,0,216,255,1,0,0,0,217,217,217,217,255,0,200,255,1,0,0,0,219,219,219,219,255,0,192,255,1,0,0,0,222,222,222,222,255,0,168,255,1,0,0,0,224,224,224,224,255,0,152,255,1,0,0,0,227,227,227,227,255,0,136,255,1,0,0,0,23,23,23,23,255,0,112,255,1,0,0,0,229,229,229,229,255,0,104,255,1,0,0,0,232,232,232,232,255,0,96,255,1,0,0,0,235,235,235,235,255,0,88,255,1,0,0,0,237,237,237,237,255,0,80,255,1,0,0,0,240,240,240,240,255,0,64,255,1,0,0,0,242,242,242,242,255,0,56,255,1,0,0,0,245,245,245,245,255,0,32,255,1,0,0,0,247,247,247,247,255,0,8,255,1,0,0,0,250,250,250,250,255,0,240,254,1,0,0,0,252,252,252,252,255,0,176,254,1,0,85,255,255,0,255,0,255,0,168,254,1,0,85,255,255,0,255,0,255,0,160,254,1,0,85,255,238,0,238,0,255,0,152,254,1,0,85,255,205,0,205,0,255,0,144,254,1,0,85,255,139,0,139,0,255,0,120,254,1,0,59,208,255,173,255,47,255,0,112,254,1,0,0,0,192,192,192,192,255,0,88,254,1,0,0,0,0,0,0,0,255,0,80,254,1,0,0,0,3,3,3,3,255,0,56,254,1,0,0,0,26,26,26,26,255,0,24,254,1,0,0,0,255,255,255,255,255,0,8,254,1,0,0,0,28,28,28,28,255,0,0,254,1,0,0,0,31,31,31,31,255,0,248,253,1,0,0,0,33,33,33,33,255,0,240,253,1,0,0,0,36,36,36,36,255,0,216,253,1,0,0,0,38,38,38,38,255,0,200,253,1,0,0,0,41,41,41,41,255,0,176,253,1,0,0,0,43,43,43,43,255,0,168,253,1,0,0,0,46,46,46,46,255,0,120,253,1,0,0,0,48,48,48,48,255,0,104,253,1,0,0,0,5,5,5,5,255,0,96,253,1,0,0,0,51,51,51,51,255,0,88,253,1,0,0,0,54,54,54,54,255,0,80,253,1,0,0,0,56,56,56,56,255,0,72,253,1,0,0,0,59,59,59,59,255,0,56,253,1,0,0,0,61,61,61,61,255,0,48,253,1,0,0,0,64,64,64,64,255,0,24,253,1,0,0,0,66,66,66,66,255,0,16,253,1,0,0,0,69,69,69,69,255,0,208,252,1,0,0,0,71,71,71,71,255,0,144,252,1,0,0,0,74,74,74,74,255,0,136,252,1,0,0,0,8,8,8,8,255,0,128,252,1,0,0,0,77,77,77,77,255,0,88,252,1,0,0,0,79,79,79,79,255,0,80,252,1,0,0,0,82,82,82,82,255,0,64,252,1,0,0,0,84,84,84,84,255,0,56,252,1,0,0,0,87,87,87,87,255,0,32,252,1,0,0,0,89,89,89,89,255,0,24,252,1,0,0,0,92,92,92,92,255,0,232,251,1,0,0,0,94,94,94,94,255,0,224,251,1,0,0,0,97,97,97,97,255,0,208,251,1,0,0,0,99,99,99,99,255,0,192,251,1,0,0,0,10,10,10,10,255,0,184,251,1,0,0,0,102,102,102,102,255,0,176,251,1,0,0,0,105,105,105,105,255,0,160,251,1,0,0,0,107,107,107,107,255,0,144,251,1,0,0,0,110,110,110,110,255,0,120,251,1,0,0,0,112,112,112,112,255,0,112,251,1,0,0,0,115,115,115,115,255,0,56,251,1,0,0,0,117,117,117,117,255,0,48,251,1,0,0,0,120,120,120,120,255,0,40,251,1,0,0,0,122,122,122,122,255,0,24,251,1,0,0,0,125,125,125,125,255,0,16,251,1,0,0,0,13,13,13,13,255,0,8,251,1,0,0,0,127,127,127,127,255,0,248,250,1,0,0,0,130,130,130,130,255,0,240,250,1,0,0,0,133,133,133,133,255,0,216,250,1,0,0,0,135,135,135,135,255,0,208,250,1,0,0,0,138,138,138,138,255,0,160,250,1,0,0,0,140,140,140,140,255,0,152,250,1,0,0,0,143,143,143,143,255,0,120,250,1,0,0,0,145,145,145,145,255,0,112,250,1,0,0,0,148,148,148,148,255,0,104,250,1,0,0,0,150,150,150,150,255,0,96,250,1,0,0,0,15,15,15,15,255,0,72,250,1,0,0,0,153,153,153,153,255,0,64,250,1,0,0,0,156,156,156,156,255,0,40,250,1,0,0,0,158,158,158,158,255,0,232,249,1,0,0,0,161,161,161,161,255,0,192,249,1,0,0,0,163,163,163,163,255,0,128,249,1,0,0,0,166,166,166,166,255,0,120,249,1,0,0,0,168,168,168,168,255,0,112,249,1,0,0,0,171,171,171,171,255,0,104,249,1,0,0,0,173,173,173,173,255,0,88,249,1,0,0,0,176,176,176,176,255,0,72,249,1,0,0,0,18,18,18,18,255,0,64,249,1,0,0,0,179,179,179,179,255,0,40,249,1,0,0,0,181,181,181,181,255,0,16,249,1,0,0,0,184,184,184,184,255,0,216,248,1,0,0,0,186,186,186,186,255,0,200,248,1,0,0,0,189,189,189,189,255,0,192,248,1,0,0,0,191,191,191,191,255,0,184,248,1,0,0,0,194,194,194,194,255,0,176,248,1,0,0,0,196,196,196,196,255,0,168,248,1,0,0,0,199,199,199,199,255,0,152,248,1,0,0,0,201,201,201,201,255,0,144,248,1,0,0,0,20,20,20,20,255,0,120,248,1,0,0,0,204,204,204,204,255,0,112,248,1,0,0,0,207,207,207,207,255,0,40,248,1,0,0,0,209,209,209,209,255,0,32,248,1,0,0,0,212,212,212,212,255,0,24,248,1,0,0,0,214,214,214,214,255,0,16,248,1,0,0,0,217,217,217,217,255,0,8,248,1,0,0,0,219,219,219,219,255,0,0,248,1,0,0,0,222,222,222,222,255,0,240,247,1,0,0,0,224,224,224,224,255,0,232,247,1,0,0,0,227,227,227,227,255,0,208,247,1,0,0,0,23,23,23,23,255,0,184,247,1,0,0,0,229,229,229,229,255,0,120,247,1,0,0,0,232,232,232,232,255,0,112,247,1,0,0,0,235,235,235,235,255,0,104,247,1,0,0,0,237,237,237,237,255,0,96,247,1,0,0,0,240,240,240,240,255,0,88,247,1,0,0,0,242,242,242,242,255,0,80,247,1,0,0,0,245,245,245,245,255,0,64,247,1,0,0,0,247,247,247,247,255,0,56,247,1,0,0,0,250,250,250,250,255,0,32,247,1,0,0,0,252,252,252,252,255,0,16,247,1,0,85,15,255,240,255,240,255,0,240,246,1,0,85,15,255,240,255,240,255,0,224,246,1,0,85,15,238,224,238,224,255,0,208,246,1,0,85,14,205,193,205,193,255,0,176,246,1,0,85,14,139,131,139,131,255,0,168,246,1,0,233,150,255,255,105,180,255,0,152,246,1,0,234,145,255,255,110,180,255,0,120,246,1,0,235,141,238,238,106,167,255,0,104,246,1,0,236,135,205,205,96,144,255,0,72,246,1,0,234,148,139,139,58,98,255,0,56,246,1,0,0,140,205,205,92,92,255,0,16,246,1,0,0,148,255,255,106,106,255,0,0,246,1,0,0,148,238,238,99,99,255,0,240,245,1,0,0,149,205,205,85,85,255,0,224,245,1,0,0,148,139,139,58,58,255,0,216,245,1,0,194,255,130,75,0,130,255,0,208,245,1,0,42,0,255,255,255,254,0,0,192,245,1,0,42,15,255,255,255,240,255,0,184,245,1,0,42,15,255,255,255,240,255,0,160,245,1,0,42,15,238,238,238,224,255,0,128,245,1,0,42,14,205,205,205,193,255,0,240,244,1,0,42,14,139,139,139,131,255,0,224,244,1,0,38,106,240,240,230,140,255,0,216,244,1,0,39,112,255,255,246,143,255,0,208,244,1,0,39,112,238,238,230,133,255,0,184,244,1,0,39,111,205,205,198,115,255,0,176,244,1,0,39,111,139,139,134,78,255,0,152,244,1,0,170,20,250,230,230,250,255,0,136,244,1,0,240,15,255,255,240,245,255,0,104,244,1,0,240,15,255,255,240,245,255,0,32,244,1,0,239,15,238,238,224,229,255,0,216,243,1,0,240,14,205,205,193,197,255,0,200,243,1,0,239,14,139,139,131,134,255,0,176,243,1,0,64,255,252,124,252,0,255,0,144,243,1,0,38,49,255,255,250,205,255,0,128,243,1,0,38,49,255,255,250,205,255,0,112,243,1,0,37,50,238,238,233,191,255,0,88,243,1,0,38,49,205,205,201,165,255,0,64,243,1,0,39,49,139,139,137,112,255,0,32,243,1,0,137,63,230,173,216,230,255,0,16,243,1,0,138,64,255,191,239,255,255,0,152,242,1,0,138,64,238,178,223,238,255,0,136,242,1,0,138,63,205,154,192,205,255,0,120,242,1,0,137,64,139,104,131,139,255,0,80,242,1,0,0,119,240,240,128,128,255,0,64,242,1,0,127,31,255,224,255,255,255,0,48,242,1,0,127,31,255,224,255,255,255,0,24,242,1,0,127,31,238,209,238,238,255,0,8,242,1,0,127,31,205,180,205,205,255,0,232,241,1,0,127,31,139,122,139,139,255,0,216,241,1,0,35,115,238,238,221,130,255,0,176,241,1,0,35,116,255,255,236,139,255,0,160,241,1,0,35,115,238,238,220,130,255,0,120,241,1,0,35,115,205,205,190,112,255,0,104,241,1,0,35,115,139,139,129,76,255,0,80,241,1,0,42,40,250,250,250,210,255,0,64,241,1,0,0,0,211,211,211,211,255,0,32,241,1,0,0,0,211,211,211,211,255,0,208,240,1,0,248,73,255,255,182,193,255,0,176,240,1,0,249,81,255,255,174,185,255,0,144,240,1,0,248,81,238,238,162,173,255,0,112,240,1,0,249,80,205,205,140,149,255,0,88,240,1,0,249,80,139,139,95,101,255,0,72,240,1,0,12,132,255,255,160,122,255,0,56,240,1,0,12,132,255,255,160,122,255,0,40,240,1,0,11,132,238,238,149,114,255,0,24,240,1,0,12,133,205,205,129,98,255,0,200,239,1,0,12,133,139,139,87,66,255,0,184,239,1,0,125,209,178,32,178,170,255,0,152,239,1,0,143,117,250,135,206,250,255,0,136,239,1,0,143,79,255,176,226,255,255,0,96,239,1,0,143,79,238,164,211,238,255,0,72,239,1,0,142,79,205,141,182,205,255,0,56,239,1,0,143,78,139,96,123,139,255,0,32,239,1,0,175,143,255,132,112,255,255,0,16,239,1,0,148,56,153,119,136,153,255,0,0,239,1,0,148,56,153,119,136,153,255,0,232,238,1,0,151,52,222,176,196,222,255,0,216,238,1,0,151,53,255,202,225,255,255,0,184,238,1,0,151,53,238,188,210,238,255,0,144,238,1,0,151,53,205,162,181,205,255,0,104,238,1,0,150,53,139,110,123,139,255,0,88,238,1,0,42,31,255,255,255,224,255,0,56,238,1,0,42,31,255,255,255,224,255,0,40,238,1,0,42,31,238,238,238,209,255,0,24,238,1,0,42,31,205,205,205,180,255,0,8,238,1,0,42,31,139,139,139,122,255,0,240,237,1,0,85,192,205,50,205,50,255,0,232,237,1,0,21,20,250,250,240,230,255,0,208,237,1,0,212,255,255,255,0,255,255,0,176,237,1,0,212,255,255,255,0,255,255,0,160,237,1,0,212,255,238,238,0,238,255,0,144,237,1,0,212,255,205,205,0,205,255,0,128,237,1,0,212,255,139,139,0,139,255,0,120,237,1,0,239,185,176,176,48,96,255,0,112,237,1,0,228,203,255,255,52,179,255,0,104,237,1,0,228,203,238,238,48,167,255,0,88,237,1,0,228,204,205,205,41,144,255,0,80,237,1,0,228,203,139,139,28,98,255,0,40,237,1,0,113,128,205,102,205,170,255,0,24,237,1,0,170,255,205,0,0,205,255,0,232,236,1,0,204,152,211,186,85,211,255,0,216,236,1,0,203,153,255,224,102,255,255,0,200,236,1,0,203,153,238,209,95,238,255,0,184,236,1,0,203,153,205,180,82,205,255,0,152,236,1,0,203,154,139,122,55,139,255,0,136,236,1,0,183,124,219,147,112,219,255,0,104,236,1,0,183,125,255,171,130,255,255,0,88,236,1,0,183,125,238,159,121,238,255,0,56,236,1,0,183,125,205,137,104,205,255,0,40,236,1,0,183,124,139,93,71,139,255,0,240,235,1,0,103,169,179,60,179,113,255,0,224,235,1,0,176,143,238,123,104,238,255,0,200,235,1,0,111,255,250,0,250,154,255,0,184,235,1,0,125,167,209,72,209,204,255,0,168,235,1,0,228,228,199,199,21,133,255,0,152,235,1,0,170,198,112,25,25,112,255,0,128,235,1,0,106,9,255,245,255,250,255,0,112,235,1,0,4,30,255,255,228,225,255,0,80,235,1,0,4,30,255,255,228,225,255,0,56,235,1,0,4,30,238,238,213,210,255,0,176,234,1,0,3,29,205,205,183,181,255,0,144,234,1,0,5,29,139,139,125,123,255,0,128,234,1,0,26,73,255,255,228,181,255,0,112,234,1,0,25,81,255,255,222,173,255,0,96,234,1,0,25,81,255,255,222,173,255,0,80,234,1,0,25,82,238,238,207,161,255,0,56,234,1,0,25,82,205,205,179,139,255,0,40,234,1,0,25,82,139,139,121,94,255,0,16,234,1,0,170,255,128,0,0,128,255,0,0,234,1,0,170,255,128,0,0,128,255,0,232,233,1,0,42,0,255,255,255,254,0,0,224,233,1,0,27,23,253,253,245,230,255,0,192,233,1,0,56,192,142,107,142,35,255,0,168,233,1,0,56,193,255,192,255,62,255,0])
    898 .concat([144,233,1,0,56,192,238,179,238,58,255,0,128,233,1,0,56,192,205,154,205,50,255,0,8,233,1,0,56,192,139,105,139,34,255,0,248,232,1,0,27,255,255,255,165,0,255,0,208,232,1,0,27,255,255,255,165,0,255,0,160,232,1,0,27,255,238,238,154,0,255,0,120,232,1,0,27,255,205,205,133,0,255,0,112,232,1,0,27,255,139,139,90,0,255,0,96,232,1,0,11,255,255,255,69,0,255,0,64,232,1,0,11,255,255,255,69,0,255,0,48,232,1,0,11,255,238,238,64,0,255,0,32,232,1,0,11,255,205,205,55,0,255,0,8,232,1,0,11,255,139,139,37,0,255,0,0,232,1,0,214,123,218,218,112,214,255,0,232,231,1,0,214,124,255,255,131,250,255,0,224,231,1,0,214,124,238,238,122,233,255,0,208,231,1,0,214,124,205,205,105,201,255,0,200,231,1,0,213,124,139,139,71,137,255,0,176,231,1,0,38,72,238,238,232,170,255,0,160,231,1,0,85,100,251,152,251,152,255,0,144,231,1,0,85,101,255,154,255,154,255,0,128,231,1,0,85,100,238,144,238,144,255,0,96,231,1,0,85,100,205,124,205,124,255,0,80,231,1,0,85,100,139,84,139,84,255,0,48,231,1,0,127,67,238,175,238,238,255,0,32,231,1,0,127,68,255,187,255,255,255,0,16,231,1,0,127,68,238,174,238,238,255,0,216,230,1,0,127,68,205,150,205,205,255,0,200,230,1,0,127,67,139,102,139,139,255,0,184,230,1,0,241,124,219,219,112,147,255,0,168,230,1,0,241,125,255,255,130,171,255,0,152,230,1,0,241,125,238,238,121,159,255,0,128,230,1,0,241,125,205,205,104,137,255,0,104,230,1,0,241,124,139,139,71,93,255,0,72,230,1,0,26,41,255,255,239,213,255,0,56,230,1,0,20,70,255,255,218,185,255,0,40,230,1,0,20,70,255,255,218,185,255,0,8,230,1,0,19,69,238,238,203,173,255,0,248,229,1,0,19,69,205,205,175,149,255,0,232,229,1,0,20,69,139,139,119,101,255,0,224,229,1,0,20,176,205,205,133,63,255,0,216,229,1,0,247,63,255,255,192,203,255,0,200,229,1,0,245,73,255,255,181,197,255,0,192,229,1,0,245,73,238,238,169,184,255,0,168,229,1,0,245,74,205,205,145,158,255,0,160,229,1,0,245,73,139,139,99,108,255,0,144,229,1,0,212,70,221,221,160,221,255,0,136,229,1,0,212,68,255,255,187,255,255,0,128,229,1,0,212,68,238,238,174,238,255,0,120,229,1,0,212,68,205,205,150,205,255,0,112,229,1,0,212,67,139,139,102,139,255,0,96,229,1,0,132,59,230,176,224,230,255,0,80,229,1,0,196,221,240,160,32,240,255,0,72,229,1,0,191,207,255,155,48,255,255,0,48,229,1,0,192,207,238,145,44,238,255,0,24,229,1,0,192,207,205,125,38,205,255,0,16,229,1,0,192,207,139,85,26,139,255,0,8,229,1,0,0,255,255,255,0,0,255,0,0,229,1,0,0,255,255,255,0,0,255,0,248,228,1,0,0,255,238,238,0,0,255,0,240,228,1,0,0,255,205,205,0,0,255,0,232,228,1,0,0,255,139,139,0,0,255,0,208,228,1,0,0,61,188,188,143,143,255,0,192,228,1,0,0,62,255,255,193,193,255,0,160,228,1,0,0,62,238,238,180,180,255,0,136,228,1,0,0,62,205,205,155,155,255,0,112,228,1,0,0,62,139,139,105,105,255,0,96,228,1,0,159,181,225,65,105,225,255,0,80,228,1,0,159,183,255,72,118,255,255,0,64,228,1,0,159,183,238,67,110,238,255,0,48,228,1,0,159,182,205,58,95,205,255,0,24,228,1,0,159,183,139,39,64,139,255,0,248,227,1,0,17,220,139,139,69,19,255,0,240,227,1,0,4,138,250,250,128,114,255,0,216,227,1,0,9,150,255,255,140,105,255,0,208,227,1,0,9,150,238,238,130,98,255,0,200,227,1,0,9,150,205,205,112,84,255,0,192,227,1,0,9,150,139,139,76,57,255,0,176,227,1,0,19,154,244,244,164,96,255,0,160,227,1,0,103,170,139,46,139,87,255,0,144,227,1,0,103,171,255,84,255,159,255,0,128,227,1,0,103,171,238,78,238,148,255,0,104,227,1,0,103,171,205,67,205,128,255,0,88,227,1,0,103,170,139,46,139,87,255,0,56,227,1,0,17,16,255,255,245,238,255,0,40,227,1,0,17,16,255,255,245,238,255,0,152,226,1,0,18,17,238,238,229,222,255,0,128,226,1,0,18,17,205,205,197,191,255,0,112,226,1,0,18,16,139,139,134,130,255,0,104,226,1,0,13,183,160,160,82,45,255,0,96,226,1,0,13,184,255,255,130,71,255,0,88,226,1,0,13,184,238,238,121,66,255,0,72,226,1,0,13,184,205,205,104,57,255,0,64,226,1,0,13,185,139,139,71,38,255,0,40,226,1,0,139,108,235,135,206,235,255,0,24,226,1,0,144,120,255,135,206,255,255,0,248,225,1,0,144,120,238,126,192,238,255,0,232,225,1,0,144,120,205,108,166,205,255,0,192,225,1,0,145,119,139,74,112,139,255,0,168,225,1,0,175,143,205,106,90,205,255,0,128,225,1,0,175,144,255,131,111,255,255,0,112,225,1,0,175,144,238,122,103,238,255,0,88,225,1,0,175,144,205,105,89,205,255,0,64,225,1,0,175,144,139,71,60,139,255,0,32,225,1,0,148,56,144,112,128,144,255,0,16,225,1,0,149,56,255,198,226,255,255,0,192,224,1,0,149,56,238,185,211,238,255,0,176,224,1,0,148,57,205,159,182,205,255,0,160,224,1,0,149,56,139,108,123,139,255,0,136,224,1,0,148,56,144,112,128,144,255,0,128,224,1,0,0,5,255,255,250,250,255,0,120,224,1,0,0,5,255,255,250,250,255,0,104,224,1,0,0,5,238,238,233,233,255,0,96,224,1,0,0,4,205,205,201,201,255,0,72,224,1,0,0,3,139,139,137,137,255,0,56,224,1,0,106,255,255,0,255,127,255,0,40,224,1,0,106,255,255,0,255,127,255,0,24,224,1,0,106,255,238,0,238,118,255,0,248,223,1,0,106,255,205,0,205,102,255,0,232,223,1,0,106,255,139,0,139,69,255,0,216,223,1,0,146,155,180,70,130,180,255,0,200,223,1,0,146,156,255,99,184,255,255,0,176,223,1,0,146,156,238,92,172,238,255,0,152,223,1,0,146,156,205,79,148,205,255,0,120,223,1,0,147,155,139,54,100,139,255,0,112,223,1,0,24,84,210,210,180,140,255,0,104,223,1,0,20,176,255,255,165,79,255,0,96,223,1,0,20,176,238,238,154,73,255,0,80,223,1,0,20,176,205,205,133,63,255,0,72,223,1,0,20,176,139,139,90,43,255,0,64,223,1,0,212,29,216,216,191,216,255,0,48,223,1,0,212,30,255,255,225,255,255,0,24,223,1,0,212,30,238,238,210,238,255,0,8,223,1,0,212,29,205,205,181,205,255,0,208,222,1,0,212,29,139,139,123,139,255,0,200,222,1,0,6,184,255,255,99,71,255,0,192,222,1,0,6,184,255,255,99,71,255,0,168,222,1,0,6,184,238,238,92,66,255,0,160,222,1,0,6,184,205,205,79,57,255,0,152,222,1,0,6,185,139,139,54,38,255,0,128,222,1,0,42,0,255,255,255,254,0,0,112,222,1,0,123,182,224,64,224,208,255,0,88,222,1,0,129,255,255,0,245,255,255,0,72,222,1,0,129,255,238,0,229,238,255,0,40,222,1,0,129,255,205,0,197,205,255,0,24,222,1,0,129,255,139,0,134,139,255,0,0,222,1,0,212,115,238,238,130,238,255,0,232,221,1,0,227,215,208,208,32,144,255,0,216,221,1,0,235,193,255,255,62,150,255,0,200,221,1,0,235,192,238,238,58,140,255,0,184,221,1,0,235,192,205,205,50,120,255,0,168,221,1,0,235,192,139,139,34,82,255,0,152,221,1,0,27,68,245,245,222,179,255,0,144,221,1,0,27,69,255,255,231,186,255,0,120,221,1,0,27,68,238,238,216,174,255,0,112,221,1,0,27,68,205,205,186,150,255,0,88,221,1,0,27,67,139,139,126,102,255,0,80,221,1,0,0,0,255,255,255,255,255,0,64,221,1,0,0,0,245,245,245,245,255,0,56,221,1,0,42,255,255,255,255,0,255,0,48,221,1,0,42,255,255,255,255,0,255,0,40,221,1,0,42,255,238,238,238,0,255,0,24,221,1,0,42,255,205,205,205,0,255,0,16,221,1,0,42,255,139,139,139,0,255,0,240,220,1,0,56,192,205,154,205,50,255,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,16,219,1,0,30,0,0,0,16,188,1,0,252,1,0,0,96,186,1,0,56,1,0,0,32,184,1,0,126,1,0,0,64,182,1,0,104,0,0,0,8,180,1,0,150,0,0,0,16,205,1,0,146,2,0,0,88,178,1,0,206,1,0,0,208,176,1,0,8,1,0,0,120,175,1,0,160,2,0,0,200,173,1,0,202,1,0,0,40,172,1,0,76,0,0,0,248,170,1,0,150,2,0,0,152,169,1,0,4,2,0,0,184,166,1,0,246,0,0,0,56,165,1,0,6,0,0,0,72,163,1,0,6,0,0,0,240,161,1,0,216,0,0,0,112,160,1,0,90,1,0,0,8,0,0,0,4,0,0,0,0,0,0,0,0,0,0,0,102,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,16,219,1,0,220,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0])
    899 .concat([0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0])
    900 .concat([0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0])
    901 .concat([0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,132,2,0,0,30,1,0,0,20,0,0,0,24,2,0,0,240,0,0,0,170,1,0,0,110,0,0,0,134,2,0,0,100,2,0,0,36,1,0,0,152,2,0,0,20,1,0,0,106,2,0,0,64,1,0,0,198,0,0,0,102,2,0,0,2,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,21,10,0,0,9,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,21,16,12,19,28,30,3,13,31,32,33,34,35,27,26,17,25,25,25,25,25,25,25,25,25,25,22,18,2,14,11,15,28,24,24,24,24,24,24,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,20,28,4,28,22,28,24,24,24,24,24,24,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,28,36,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,28,22,28,28,28,28,28,28,28,28,28,28,22,28,26,28,28,22,28,28,28,28,28,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,28,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,28,22,22,22,22,22,22,22,22,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,24,140,2,0,216,139,2,0,208,139,2,0,200,139,2,0,232,139,2,0,240,139,2,0,160,139,2,0,144,139,2,0,100,0,0,0,116,0,0,0,92,0,0,0,146,0,0,0,158,2,0,0,98,2,0,0,204,1,0,0,78,0,0,0,2,2,0,0,28,1,0,0,46,0,0,0,146,1,0,0,210,0,0,0,108,0,0,0,96,0,0,0,214,1,0,0,1,0,0,0,1,0,0,0,0,0,0,0,0,0,0,0,0,21,10,0,0,9,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,21,16,12,19,28,30,3,13,31,32,33,34,35,27,26,17,25,25,25,25,25,25,25,25,25,25,22,18,2,14,11,15,28,24,24,24,24,24,24,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,20,28,4,28,22,28,24,24,24,24,24,24,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,22,28,36,28,28,28,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,244,108,86,125,174,182,214,63,181,21,251,203,238,201,225,63,181,21,251,203,238,201,225,63,196,66,173,105,222,113,236,63,16,122,54,171,62,87,229,63,245,219,215,129,115,70,204,63,88,168,53,205,59,78,213,63,88,168,53,205,59,78,213,63,136,133,90,211,188,227,216,63,1,77,132,13,79,175,226,63,211,188,227,20,29,201,209,63,88,168,53,205,59,78,213,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,181,21,251,203,238,201,225,63,181,21,251,203,238,201,225,63,181,21,251,203,238,201,225,63,181,21,251,203,238,201,225,63,181,21,251,203,238,201,225,63,181,21,251,203,238,201,225,63,181,21,251,203,238,201,225,63,181,21,251,203,238,201,225,63,181,21,251,203,238,201,225,63,181,21,251,203,238,201,225,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,1,77,132,13,79,175,226,63,1,77,132,13,79,175,226,63,1,77,132,13,79,175,226,63,181,21,251,203,238,201,225,63,204,93,75,200,7,61,240,63,16,122,54,171,62,87,229,63,16,122,54,171,62,87,229,63,210,111,95,7,206,25,231,63,210,111,95,7,206,25,231,63,16,122,54,171,62,87,229,63,120,11,36,40,126,140,227,63,106,222,113,138,142,228,232,63,210,111,95,7,206,25,231,63,211,188,227,20,29,201,209,63,0,0,0,0,0,0,224,63,16,122,54,171,62,87,229,63,181,21,251,203,238,201,225,63,44,212,154,230,29,167,234,63,210,111,95,7,206,25,231,63,106,222,113,138,142,228,232,63,16,122,54,171,62,87,229,63,106,222,113,138,142,228,232,63,210,111,95,7,206,25,231,63,16,122,54,171,62,87,229,63,120,11,36,40,126,140,227,63,210,111,95,7,206,25,231,63,16,122,54,171,62,87,229,63,134,56,214,197,109,52,238,63,16,122,54,171,62,87,229,63,16,122,54,171,62,87,229,63,120,11,36,40,126,140,227,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,166,10,70,37,117,2,222,63,181,21,251,203,238,201,225,63,72,191,125,29,56,103,204,63,181,21,251,203,238,201,225,63,181,21,251,203,238,201,225,63,0,0,0,0,0,0,224,63,181,21,251,203,238,201,225,63,181,21,251,203,238,201,225,63,211,188,227,20,29,201,209,63,181,21,251,203,238,201,225,63,181,21,251,203,238,201,225,63,72,191,125,29,56,103,204,63,72,191,125,29,56,103,204,63,0,0,0,0,0,0,224,63,72,191,125,29,56,103,204,63,44,212,154,230,29,167,234,63,181,21,251,203,238,201,225,63,181,21,251,203,238,201,225,63,181,21,251,203,238,201,225,63,181,21,251,203,238,201,225,63,88,168,53,205,59,78,213,63,0,0,0,0,0,0,224,63,211,188,227,20,29,201,209,63,181,21,251,203,238,201,225,63,0,0,0,0,0,0,224,63,210,111,95,7,206,25,231,63,0,0,0,0,0,0,224,63,0,0,0,0,0,0,224,63,0,0,0,0,0,0,224,63,2,154,8,27,158,94,213,63,224,190,14,156,51,162,208,63,2,154,8,27,158,94,213,63,1,77,132,13,79,175,226,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,88,168,53,205,59,78,213,63,181,21,251,203,238,201,225,63,181,21,251,203,238,201,225,63,62,232,217,172,250,92,197,63,181,21,251,203,238,201,225,63,181,21,251,203,238,201,225,63,181,21,251,203,238,201,225,63,181,21,251,203,238,201,225,63,29,56,103,68,105,111,200,63,88,168,53,205,59,78,213,63,181,21,251,203,238,201,225,63,88,168,53,205,59,78,213,63,88,168,53,205,59,78,213,63,0,0,0,0,0,0,224,63,0,0,0,0,0,0,224,63,211,188,227,20,29,201,209,63,181,21,251,203,238,201,225,63,181,21,251,203,238,201,225,63,181,21,251,203,238,201,225,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,231,29,167,232,72,46,225,63,162,180,55,248,194,100,214,63,72,191,125,29,56,103,204,63,88,168,53,205,59,78,213,63,88,168,53,205,59,78,213,63,181,21,251,203,238,201,225,63,0,0,0,0,0,0,240,63,0,0,0,0,0,0,240,63,211,188,227,20,29,201,209,63,120,11,36,40,126,140,227,63,211,188,227,20,29,201,209,63,88,168,53,205,59,78,213,63,88,168,53,205,59,78,213,63,88,168,53,205,59,78,213,63,88,168,53,205,59,78,213,63,88,168,53,205,59,78,213,63,88,168,53,205,59,78,213,63,88,168,53,205,59,78,213,63,88,168,53,205,59,78,213,63,211,188,227,20,29,201,209,63,88,168,53,205,59,78,213,63,88,168,53,205,59,78,213,63,211,188,227,20,29,201,209,63,88,168,53,205,59,78,213,63,88,168,53,205,59,78,213,63,88,168,53,205,59,78,213,63,0,0,0,0,0,0,240,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,0,0,0,0,0,0,240,63,211,188,227,20,29,201,209,63,234,149,178,12,113,172,215,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,181,21,251,203,238,201,225,63,106,222,113,138,142,228,232,63,0,0,0,0,0,0,240,63,152,221,147,135,133,90,215,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,196,66,173,105,222,113,236,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,72,191,125,29,56,103,204,63,120,11,36,40,126,140,227,63,134,56,214,197,109,52,238,63,120,11,36,40,126,140,227,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,211,188,227,20,29,201,209,63,3,0,0,0,104,62,0,0,3,0,0,0,64,62,0,0,3,0,0,0,176,61,0,0,3,0,0,0,96,61,0,0,3,0,0,0,56,61,0,0,3,0,0,0,16,61,0,0,3,0,0,0,232,60,0,0,0,0,0,0,200,55,0,0,0,0,0,0,160,55,0,0,0,0,0,0,120,55,0,0,0,0,0,0,80,55,0,0,0,0,0,0,40,55,0,0,0,0,0,0,0,55,0,0,0,0,0,0,216,54,0,0,4,0,0,0,72,56,0,0,0,0,0,0,0,0,0,0,1,0,0,0,72,60,0,0,0,0,0,0,0,0,0,0,144,191,1,0,24,191,1,0,224,188,1,0,32,187,1,0,8,185,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,20,14,2,2,2,2,2,2,2,2,2,2,2,2,2,19,18,2,17,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,15,2,16,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,12,2,13,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,1,2,3,4,5,6,7,8,9,10,11,0,0,0,0,0,13,65,57,55,251,1,9,2,3,4,85,16,87,19,66,20,68,40,21,22,17,10,11,23,24,229,10,11,23,24,7,8,57,88,89,18,59,42,10,11,19,39,20,64,44,21,22,67,10,11,23,24,230,10,11,46,76,210,207,49,52,62,53,70,69,78,59,203,71,80,81,200,86,82,73,74,0,75,0,0,45,0,0,0,0,0,0,0,0,2,0,6,1,0,1,0,1,2,1,2,1,1,1,3,0,0,1,3,2,0,1,3,1,1,1,0,1,2,1,2,1,1,1,1,1,2,1,2,1,0,2,4,0,3,0,0,5,0,0,5,2,0,4,2,0,4,4,0,5,0,4,1,2,1,1,1,3,0,0,0,0,21,23,22,22,22,24,24,25,25,25,25,26,26,26,27,27,28,28,29,30,30,31,32,33,33,34,34,35,35,36,36,36,37,37,37,37,38,38,39,40,41,41,41,43,42,45,46,44,47,48,44,49,50,49,49,51,49,52,53,52,54,52,52,55,56,56,57,57,0,0,0,188,188,188,188,188,188,1,188,14,188,189,216,188,218,188,52,188,188,13,188,188,188,188,188,188,188,188,188,206,188,188,15,188,188,188,250,188,0,0,0,4,188,188,188,27,6,44,188,188,188,188,188,188,188,247,8,25,12,188,188,188,188,188,29,188,22,188,31,39,188,37,188,49,40,188,188,50,48,45,188,188,12,44,188,188,188,188,188,53,44,188,53,12,44,12,51,47,188,54,45,22,188,17,188,46,188,56,188,57,188,188,188,44,188,59,63,188,188,44,188,188,188,188,53,53,188,188,188,188,188,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,239,187,191,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,255,5,15,12,6,25,56,72,43,60,61,26,58,27,28,29,30,31,32,33,50,34,47,35,48,77,51,79,63,83,84,36,54,41,37,38,14,0,0,0,0,4,8,10,0,0,7,9,11,1,65,67,2,6,66,0,0,0,68,32,12,13,14,0,61,0,38,0,0,28,30,35,44,41,33,34,36,63,40,59,64,0,16,37,3,29,31,21,0,0,39,0,0,0,0,0,0,24,17,25,22,45,0,47,42,50,0,23,0,62,19,18,16,20,52,55,40,21,0,21,58,60,15,0,0,48,43,51,54,57,0,0,0,0,0,0,6,51,42,41,0,1,0,3,4,5,77,20,79,1,52,3,54,23,6,7,12,9,10,11,12,13,9,10,11,12,3,4,72,83,84,10,42,15,9,10,1,12,3,49,13,6,7,53,9,10,11,12,13,9,10,18,62,8,8,19,12,8,17,16,13,19,72,8,14,13,13,8,78,72,60,62,255,62,255,255,28,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,255,255,255,255,0,0,0,0,0,0,0,0,72,2,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,16,0,0,0,4,0,0,0,255,255,255,255,0,0,0,0,0,0,0,0,176,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,12,0,0,0,255,255,255,255,255,255,255,255,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,255,255,255,255,255,255,255,255,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,255,255,255,255,0,0,0,0,0,0,0,0,244,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,20,0,0,0,4,0,0,0,255,255,255,255,0,0,0,0,0,0,0,0,176,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,104,116,116,112,58,47,47,119,119,119,46,119,51,46,111,114,103,47,50,48,48,48,47,120,109,108,110,115,47,0,0,0,104,116,116,112,58,47,47,119,119,119,46,119,51,46,111,114,103,47,88,77,76,47,49,57,57,56,47,110,97,109,101,115,112,97,99,101,0,0,0,0,47,98,114,98,103,51,47,50,0,0,0,0,0,0,0,0,47,114,100,98,117,52,47,50,0,0,0,0,0,0,0,0,47,114,100,98,117,52,47,49,0,0,0,0,0,0,0,0,47,114,100,98,117,51,47,51,0,0,0,0,0,0,0,0,47,114,100,98,117,51,47,50,0,0,0,0,0,0,0,0,32,32,32,60,83,67,82,73,80,84,32,76,65,78,71,85,65,71,69,61,39,74,97,118,97,115,99,114,105,112,116,39,62,10,0,0,0,0,0,0,47,114,100,98,117,51,47,49,0,0,0,0,0,0,0,0,47,114,100,98,117,49,49,47,57,0,0,0,0,0,0,0,47,101,110,100,112,97,103,101,32,123,32,115,104,111,119,112,97,103,101,32,125,32,98,105,110,100,32,100,101,102,0,0,109,105,110,116,99,114,101,97,109,0,0,0,0,0,0,0,47,114,100,98,117,49,49,47,56,0,0,0,0,0,0,0,116,97,105,108,99,108,105,112,0,0,0,0,0,0,0,0,97,99,117,116,101,0,0,0,47,114,100,98,117,49,49,47,55,0,0,0,0,0,0,0,47,114,100,98,117,49,49,47,54,0,0,0,0,0,0,0,111,114,100,101,114,105,110,103,32,39,37,115,39,32,110,111,116,32,114,101,99,111,103,110,105,122,101,100,32,102,111,114,32,110,111,100,101,32,39,37,115,39,46,10,0,0,0,0,47,114,100,98,117,49,49,47,53,0,0,0,0,0,0,0,108,97,98,101,108,116,111,111,108,116,105,112,0,0,0,0,47,98,114,98,103,51,47,49,0,0,0,0,0,0,0,0,47,114,100,98,117,49,49,47,52,0,0,0,0,0,0,0,47,114,100,98,117,49,49,47,51,0,0,0,0,0,0,0,47,114,100,98,117,49,49,47,50,0,0,0,0,0,0,0,47,114,100,98,117,49,49,47,49,49,0,0,0,0,0,0,60,33,45,45,32,80,97,103,101,115,58,32,37,100,32,45,45,62,10,0,0,0,0,0,47,114,100,98,117,49,49,47,49,48,0,0,0,0,0,0,112,111,108,121,32,37,115,0,47,114,100,98,117,49,49,47,49,0,0,0,0,0,0,0,9,115,101,116,109,97,116,114,105,120,0,0,0,0,0,0,109,105,100,110,105,103,104,116,98,108,117,101,0,0,0,0,47,114,100,98,117,49,48,47,57,0,0,0,0,0,0,0,99,111,110,115,116,114,97,105,110,116,0,0,0,0,0,0,98,103,99,111,108,111,114,0,97,99,105,114,99,0,0,0,47,114,100,98,117,49,48,47,56,0,0,0,0,0,0,0,47,97,99,99,101,110,116,53,47,49,0,0,0,0,0,0,47,114,100,98,117,49,48,47,55,0,0,0,0,0,0,0,47,114,100,98,117,49,48,47,54,0,0,0,0,0,0,0,101,100,103,101,116,111,111,108,116,105,112,0,0,0,0,0,47,98,114,98,103,49,49,47,57,0,0,0,0,0,0,0,47,114,100,98,117,49,48,47,53,0,0,0,0,0,0,0,47,114,100,98,117,49,48,47,52,0,0,0,0,0,0,0,47,114,100,98,117,49,48,47,51,0,0,0,0,0,0,0,47,114,100,98,117,49,48,47,50,0,0,0,0,0,0,0,60,47,84,73,84,76,69,62,0,0,0,0,0,0,0,0,47,114,100,98,117,49,48,47,49,48,0,0,0,0,0,0,47,114,100,98,117,49,48,47,49,0,0,0,0,0,0,0,9,48,32,48,32,49,32,48,32,51,54,48,32,97,114,99,0,0,0,0,0,0,0,0,109,101,100,105,117,109,118,105,111,108,101,116,114,101,100,0,47,112,117,114,112,108,101,115,57,47,57,0,0,0,0,0,97,114,114,111,119,115,105,122,101,0,0,0,0,0,0,0,97,97,99,117,116,101,0,0,47,112,117,114,112,108,101,115,57,47,56,0,0,0,0,0,117,115,105,110,103,32,37,115,32,102,111,114,32,117,110,107,110,111,119,110,32,115,104,97,112,101,32,37,115,10,0,0,47,112,117,114,112,108,101,115,57,47,55,0,0,0,0,0,37,100,32,98,111,120,101,115,58,10,0,0,0,0,0,0,47,112,117,114,112,108,101,115,57,47,54,0,0,0,0,0,116,111,111,108,116,105,112,0,47,98,114,98,103,49,49,47,56,0,0,0,0,0,0,0,47,112,117,114,112,108,101,115,57,47,53,0,0,0,0,0,47,112,117,114,112,108,101,115,57,47,52,0,0,0,0,0,47,112,117,114,112,108,101,115,57,47,51,0,0,0,0,0,47,112,117,114,112,108,101,115,57,47,50,0,0,0,0,0,60,84,73,84,76,69,62,0,47,112,117,114,112,108,101,115,57,47,49,0,0,0,0,0,47,112,117,114,112,108,101,115,56,47,56,0,0,0,0,0,9,114,120,32,114,121,32,115,99,97,108,101,0,0,0,0,109,101,100,105,117,109,116,117,114,113,117,111,105,115,101,0,47,112,117,114,112,108,101,115,56,47,55,0,0,0,0,0,100,101,108,121,32,62,61,32,48,0,0,0,0,0,0,0,100,101,99,111,114,97,116,101,0,0,0,0,0,0,0,0,106,112,103,58,102,105,103,0,90,101,116,97,0,0,0,0,47,112,117,114,112,108,101,115,56,47,54,0,0,0,0,0,47,112,117,114,112,108,101,115,56,47,53,0,0,0,0,0,47,112,117,114,112,108,101,115,56,47,52,0,0,0,0,0,104,101,97,100,116,97,114,103,101,116,0,0,0,0,0,0,47,98,114,98,103,49,49,47,55,0,0,0,0,0,0,0,47,112,117,114,112,108,101,115,56,47,51,0,0,0,0,0,47,112,117,114,112,108,101,115,56,47,50,0,0,0,0,0,47,112,117,114,112,108,101,115,56,47,49,0,0,0,0,0,47,112,117,114,112,108,101,115,55,47,55,0,0,0,0,0,60,77,69,84,65,32,104,116,116,112,45,101,113,117,105,118,61,34,67,111,110,116,101,110,116,45,84,121,112,101,34,32,99,111,110,116,101,110,116,61,34,116,101,120,116,47,104,116,109,108,59,32,99,104,97,114,115,101,116,61,85,84,70,45,56,34,62,10,0,0,0,0,47,112,117,114,112,108,101,115,55,47,54,0,0,0,0,0,47,112,117,114,112,108,101,115,55,47,53,0,0,0,0,0,84,68,0,0,0,0,0,0,9,120,32,121,32,116,114,97,110,115,108,97,116,101,0,0,109,101,100,105,117,109,115,112,114,105,110,103,103,114,101,101,110,0,0,0,0,0,0,0,47,112,117,114,112,108,101,115,55,47,52,0,0,0,0,0,97,103,115,116,114,102,114,101,101,32,108,111,115,116,32,37,115,10,0,0,0,0,0,0,109,105,110,108,101,110,0,0,89,117,109,108,0,0,0,0,47,112,117,114,112,108,101,115,55,47,51,0,0,0,0,0,47,112,117,114,112,108,101,115,55,47,50,0,0,0,0,0,47,112,117,114,112,108,101,115,55,47,49,0,0,0,0,0,116,97,105,108,116,97,114,103,101,116,0,0,0,0,0,0,47,98,114,98,103,49,49,47,54,0,0,0,0,0,0,0,47,112,117,114,112,108,101,115,54,47,54,0,0,0,0,0,118,109,108,58,118,109,108,0,47,112,117,114,112,108,101,115,54,47,53,0,0,0,0,0,47,112,117,114,112,108,101,115,54,47,52,0,0,0,0,0,121,101,108,108,111,119,0,0,112,108,117,115,0,0,0,0,47,112,117,114,112,108,101,115,54,47,51,0,0,0,0,0,60,72,69,65,68,62,0,0,47,112,117,114,112,108,101,115,54,47,50,0,0,0,0,0,47,112,117,114,112,108,101,115,54,47,49,0,0,0,0,0,9,110,101,119,112,97,116,104,0,0,0,0,0,0,0,0,109,101,100,105,117,109,115,108,97,116,101,98,108,117,101,0,47,112,117,114,112,108,101,115,53,47,53,0,0,0,0,0,108,97,98,101,108,97,110,103,108,101,0,0,0,0,0,0,89,97,99,117,116,101,0,0,47,112,117,114,112,108,101,115,53,47,52,0,0,0,0,0,47,112,117,114,112,108,101,115,53,47,51,0,0,0,0,0,58,32,0,0,0,0,0,0,47,112,117,114,112,108,101,115,53,47,50,0,0,0,0,0,108,97,98,101,108,116,97,114,103,101,116,0,0,0,0,0,47,112,117,114,112,108,101,115,53,47,49,0,0,0,0,0,47,98,114,98,103,49,49,47,53,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,47,112,117,114,112,108,101,115,52,47,52,0,0,0,0,0,47,112,117,114,112,108,101,115,52,47,51,0,0,0,0,0,47,112,117,114,112,108,101,115,52,47,50,0,0,0,0,0,60,47,66,79,68,89,62,10,60,47,72,84,77,76,62,10,0,0,0,0,0,0,0,0,85,82,87,32,71,111,116,104,105,99,32,76,0,0,0,0,47,112,117,114,112,108,101,115,52,47,49,0,0,0,0,0,47,112,117,114,112,108,101,115,51,47,51,0,0,0,0,0,100,117,112,108,105,99,97,116,101,32,97,116,116,114,105,98,117,116,101,0,0,0,0,0,9,109,97,116,114,105,120,32,99,117,114,114,101,110,116,109,97,116,114,105,120,0,0,0,109,101,100,105,117,109,115,101,97,103,114,101,101,110,0,0,47,112,117,114,112,108,101,115,51,47,50,0,0,0,0,0,108,97,98,101,108,100,105,115,116,97,110,99,101,0,0,0,88,105,0,0,0,0,0,0,47,112,117,114,112,108,101,115,51,47,49,0,0,0,0,0,38,103,116,59,0,0,0,0,47,112,117,114,100,57,47,57,0,0,0,0,0,0,0,0,112,108,97,105,110,45,101,120,116,58,100,111,116,0,0,0,47,112,117,114,100,57,47,56,0,0,0,0,0,0,0,0,101,100,103,101,116,97,114,103,101,116,0,0,0,0,0,0,37,115,32,45,62,32,37,115,58,32,104,101,97,100,32,105,115,32,105,110,115,105,100,101,32,116,97,105,108,32,99,108,117,115,116,101,114,32,37,115,10,0,0,0,0,0,0,0,47,112,117,114,100,57,47,55,0,0,0,0,0,0,0,0,110,111,32,109,101,109,111,114,121,32,102,114,111,109,32,122,109,97,108,108,111,99,40,41,10,0,0,0,0,0,0,0,47,98,114,98,103,49,49,47,52,0,0,0,0,0,0,0,109,101,109,111,114,121,32,97,108,108,111,99,97,116,105,111,110,32,102,97,105,108,117,114,101,10,0,0,0,0,0,0,47,112,117,114,100,57,47,54,0,0,0,0,0,0,0,0,32,123,10,0,0,0,0,0,99,97,110,110,111,116,32,109,97,108,108,111,99,32,112,110])
    902 .concat([108,115,0,0,0,0,0,0,47,112,117,114,100,57,47,53,0,0,0,0,0,0,0,0,112,111,115,0,0,0,0,0,47,112,117,114,100,57,47,52,0,0,0,0,0,0,0,0,60,33,45,45,32,105,110,115,101,114,116,32,97,110,121,32,111,116,104,101,114,32,78,79,78,45,73,69,32,104,116,109,108,32,99,111,110,116,101,110,116,32,104,101,114,101,32,45,45,62,10,0,0,0,0,0,95,76,84,88,95,108,105,98,114,97,114,121,0,0,0,0,84,82,65,73,76,69,82,0,47,112,117,114,100,57,47,51,0,0,0,0,0,0,0,0,47,112,117,114,100,57,47,50,0,0,0,0,0,0,0,0,9,47,120,32,101,120,99,104,32,100,101,102,0,0,0,0,109,101,100,105,117,109,112,117,114,112,108,101,0,0,0,0,47,112,117,114,100,57,47,49,0,0,0,0,0,0,0,0,108,97,98,101,108,102,111,110,116,99,111,108,111,114,0,0,98,108,97,99,107,0,0,0,85,117,109,108,0,0,0,0,47,112,117,114,100,56,47,56,0,0,0,0,0,0,0,0,47,112,117,114,100,56,47,55,0,0,0,0,0,0,0,0,47,112,117,114,100,56,47,54,0,0,0,0,0,0,0,0,116,97,114,103,101,116,0,0,47,112,117,114,100,56,47,53,0,0,0,0,0,0,0,0,47,98,114,98,103,49,49,47,51,0,0,0,0,0,0,0,47,112,117,114,100,56,47,52,0,0,0,0,0,0,0,0,47,112,117,114,100,56,47,51,0,0,0,0,0,0,0,0,47,112,117,114,100,56,47,50,0,0,0,0,0,0,0,0,60,68,73,86,32,105,100,61,39,95,110,111,116,86,77,76,50,95,39,32,115,116,121,108,101,61,34,112,111,115,105,116,105,111,110,58,114,101,108,97,116,105,118,101,59,34,62,10,0,0,0,0,0,0,0,0,47,112,117,114,100,56,47,49,0,0,0,0,0,0,0,0,115,111,117,114,99,101,0,0,47,112,117,114,100,55,47,55,0,0,0,0,0,0,0,0,9,47,121,32,101,120,99,104,32,100,101,102,0,0,0,0,109,101,100,105,117,109,111,114,99,104,105,100,0,0,0,0,105,110,0,0,0,0,0,0,47,112,117,114,100,55,47,54,0,0,0,0,0,0,0,0,108,97,98,101,108,102,111,110,116,110,97,109,101,0,0,0,104,112,0,0,0,0,0,0,110,111,0,0,0,0,0,0,69,110,99,111,100,105,110,103,86,101,99,116,111,114,32,52,53,32,47,104,121,112,104,101,110,32,112,117,116,0,0,0,85,112,115,105,108,111,110,0,47,112,117,114,100,55,47,53,0,0,0,0,0,0,0,0,47,112,117,114,100,55,47,52,0,0,0,0,0,0,0,0,102,105,108,108,101,100,0,0,47,112,117,114,100,55,47,51,0,0,0,0,0,0,0,0,104,101,97,100,85,82,76,0,47,112,117,114,100,55,47,50,0,0,0,0,0,0,0,0,47,98,114,98,103,49,49,47,50,0,0,0,0,0,0,0,47,112,117,114,100,55,47,49,0,0,0,0,0,0,0,0,47,112,117,114,100,54,47,54,0,0,0,0,0,0,0,0,47,112,117,114,100,54,47,53,0,0,0,0,0,0,0,0,60,72,50,62,83,111,114,114,121,44,32,116,104,105,115,32,100,105,97,103,114,97,109,32,119,105,108,108,32,111,110,108,121,32,100,105,115,112,108,97,121,32,99,111,114,114,101,99,116,108,121,32,111,110,32,73,110,116,101,114,110,101,116,32,69,120,112,108,111,114,101,114,32,53,32,40,97,110,100,32,117,112,41,32,98,114,111,119,115,101,114,115,46,60,47,72,50,62,10,0,0,0,0,0,47,112,117,114,100,54,47,52,0,0,0,0,0,0,0,0,47,112,117,114,100,54,47,51,0,0,0,0,0,0,0,0,9,47,114,120,32,101,120,99,104,32,100,101,102,0,0,0,109,101,100,105,117,109,98,108,117,101,0,0,0,0,0,0,47,112,117,114,100,54,47,50,0,0,0,0,0,0,0,0,108,97,98,101,108,102,111,110,116,115,105,122,101,0,0,0,85,103,114,97,118,101,0,0,47,112,117,114,100,54,47,49,0,0,0,0,0,0,0,0,47,112,117,114,100,53,47,53,0,0,0,0,0,0,0,0,47,112,117,114,100,53,47,52,0,0,0,0,0,0,0,0,104,101,97,100,104,114,101,102,0,0,0,0,0,0,0,0,112,101,110,0,0,0,0,0,47,112,117,114,100,53,47,51,0,0,0,0,0,0,0,0,47,98,114,98,103,49,49,47,49,49,0,0,0,0,0,0,47,112,117,114,100,53,47,50,0,0,0,0,0,0,0,0,47,112,117,114,100,53,47,49,0,0,0,0,0,0,0,0,47,112,117,114,100,52,47,52,0,0,0,0,0,0,0,0,60,33,45,45,32,116,104,105,115,32,115,104,111,117,108,100,32,111,110,108,121,32,100,105,115,112,108,97,121,32,111,110,32,78,79,78,45,73,69,32,98,114,111,119,115,101,114,115,32,45,45,62,10,0,0,0,47,112,117,114,100,52,47,51,0,0,0,0,0,0,0,0,47,112,117,114,100,52,47,50,0,0,0,0,0,0,0,0,9,47,114,121,32,101,120,99,104,32,100,101,102,0,0,0,109,101,100,105,117,109,97,113,117,97,109,97,114,105,110,101,0,0,0,0,0,0,0,0,47,112,117,114,100,52,47,49,0,0,0,0,0,0,0,0,116,97,105,108,108,97,98,101,108,0,0,0,0,0,0,0,85,99,105,114,99,0,0,0,47,112,117,114,100,51,47,51,0,0,0,0,0,0,0,0,47,112,117,114,100,51,47,50,0,0,0,0,0,0,0,0,47,112,117,114,100,51,47,49,0,0,0,0,0,0,0,0,116,97,105,108,85,82,76,0,111,114,100,101,114,105,110,103,32,39,37,115,39,32,110,111,116,32,114,101,99,111,103,110,105,122,101,100,46,10,0,0,47,112,117,111,114,57,47,57,0,0,0,0,0,0,0,0,47,98,114,98,103,49,49,47,49,48,0,0,0,0,0,0,47,112,117,111,114,57,47,56,0,0,0,0,0,0,0,0,47,112,117,111,114,57,47,55,0,0,0,0,0,0,0,0,47,112,117,111,114,57,47,54,0,0,0,0,0,0,0,0,60,68,73,86,32,105,100,61,39,95,110,111,116,86,77,76,49,95,39,32,115,116,121,108,101,61,34,112,111,115,105,116,105,111,110,58,114,101,108,97,116,105,118,101,59,34,62,10,0,0,0,0,0,0,0,0,47,112,117,111,114,57,47,53,0,0,0,0,0,0,0,0,47,112,117,111,114,57,47,52,0,0,0,0,0,0,0,0,99,105,114,99,108,101,32,37,115,32,37,100,44,37,100,44,37,100,10,0,0,0,0,0,109,101,109,111,114,121,32,101,120,104,97,117,115,116,101,100,0,0,0,0,0,0,0,0,47,101,108,108,105,112,115,101,95,112,97,116,104,32,123,0,109,97,114,111,111,110,0,0,47,112,117,111,114,57,47,51,0,0,0,0,0,0,0,0,104,101,97,100,108,97,98,101,108,0,0,0,0,0,0,0,85,97,99,117,116,101,0,0,47,112,117,111,114,57,47,50,0,0,0,0,0,0,0,0,47,97,99,99,101,110,116,52,47,52,0,0,0,0,0,0,47,112,117,111,114,57,47,49,0,0,0,0,0,0,0,0,47,112,117,111,114,56,47,56,0,0,0,0,0,0,0,0,116,97,105,108,104,114,101,102,0,0,0,0,0,0,0,0,47,112,117,111,114,56,47,55,0,0,0,0,0,0,0,0,47,98,114,98,103,49,49,47,49,0,0,0,0,0,0,0,47,112,117,111,114,56,47,54,0,0,0,0,0,0,0,0,47,112,117,111,114,56,47,53,0,0,0,0,0,0,0,0,47,112,117,111,114,56,47,52,0,0,0,0,0,0,0,0,60,33,45,45,32,105,110,115,101,114,116,32,97,110,121,32,111,116,104,101,114,32,104,116,109,108,32,99,111,110,116,101,110,116,32,104,101,114,101,32,45,45,62,10,0,0,0,0,47,112,117,111,114,56,47,51,0,0,0,0,0,0,0,0,47,112,117,111,114,56,47,50,0,0,0,0,0,0,0,0,9,9,99,108,111,115,101,112,97,116,104,0,0,0,0,0,109,97,103,101,110,116,97,0,47,112,117,111,114,56,47,49,0,0,0,0,0,0,0,0,97,114,114,111,119,116,97,105,108,0,0,0,0,0,0,0,84,104,101,116,97,0,0,0,47,112,117,111,114,55,47,55,0,0,0,0,0,0,0,0,119,0,0,0,0,0,0,0,47,112,117,111,114,55,47,54,0,0,0,0,0,0,0,0,105,110,32,99,104,101,99,107,112,97,116,104,44,32,101,110,100,32,112,111,114,116,32,110,111,116,32,105,110,32,108,97,115,116,32,98,111,120,10,0,47,112,117,111,114,55,47,53,0,0,0,0,0,0,0,0,108,97,98,101,108,85,82,76,0,0,0,0,0,0,0,0,47,112,117,111,114,55,47,52,0,0,0,0,0,0,0,0,47,98,114,98,103,49,48,47,57,0,0,0,0,0,0,0,47,112,117,111,114,55,47,51,0,0,0,0,0,0,0,0,47,112,117,111,114,55,47,50,0,0,0,0,0,0,0,0,47,112,117,111,114,55,47,49,0,0,0,0,0,0,0,0,60,68,73,86,32,105,100,61,39,95,86,77,76,50,95,39,32,115,116,121,108,101,61,34,112,111,115,105,116,105,111,110,58,114,101,108,97,116,105,118,101,59,118,105,115,105,98,105,108,105,116,121,58,104,105,100,100,101,110,34,62,10,0,0,47,112,117,111,114,54,47,54,0,0,0,0,0,0,0,0,47,112,117,111,114,54,47,53,0,0,0,0,0,0,0,0,9,9,112,111,112,32,110,101,103,32,48,32,114,108,105,110,101,116,111,0,0,0,0,0,108,105,110,101,110,0,0,0,47,112,117,111,114,54,47,52,0,0,0,0,0,0,0,0,100,101,108,120,32,62,61,32,48,0,0,0,0,0,0,0,97,114,114,111,119,104,101,97,100,0,0,0,0,0,0,0,106,112,101,58,102,105,103,0,47,112,117,111,114,54,47,51,0,0,0,0,0,0,0,0,84,97,117,0,0,0,0,0,47,112,117,111,114,54,47,50,0,0,0,0,0,0,0,0,47,112,117,111,114,54,47,49,0,0,0,0,0,0,0,0,108,97,98,101,108,104,114,101,102,0,0,0,0,0,0,0,47,112,117,111,114,53,47,53,0,0,0,0,0,0,0,0,47,98,114,98,103,49,48,47,56,0,0,0,0,0,0,0,47,112,117,111,114,53,47,52,0,0,0,0,0,0,0,0,47,112,117,111,114,53,47,51,0,0,0,0,0,0,0,0,47,112,117,111,114,53,47,50,0,0,0,0,0,0,0,0,60,47,68,73,86,62,10,0,69,68,95,116,111,95,118,105,114,116,40,101,41,32,61,61,32,78,85,76,76,0,0,0,47,112,117,111,114,53,47,49,0,0,0,0,0,0,0,0,47,112,117,111,114,52,47,52,0,0,0,0,0,0,0,0,84,72,0,0,0,0,0,0,9,9,48,32,101,120,99,104,32,114,108,105,110,101,116,111,0,0,0,0,0,0,0,0,108,105,109,101,103,114,101,101,110,0,0,0,0,0,0,0,47,112,117,111,114,52,47,51,0,0,0,0,0,0,0,0,100,105,114,0,0,0,0,0,47,112,117,111,114,52,47,50,0,0,0,0,0,0,0,0,84,72,79,82,78,0,0,0,47,112,117,111,114,52,47,49,0,0,0,0,0,0,0,0,47,112,117,111,114,51,47,51,0,0,0,0,0,0,0,0,101,100,103,101,85,82,76,0,47,112,117,111,114,51,47,50,0,0,0,0,0,0,0,0,47,98,114,98,103,49,48,47,55,0,0,0,0,0,0,0,118,109,108,0,0,0,0,0,47,112,117,111,114,51,47,49,0,0,0,0,0,0,0,0,47,112,117,111,114,49,49,47,57,0,0,0,0,0,0,0,47,112,117,111,114,49,49,47,56,0,0,0,0,0,0,0,60,47,118,58,103,114,111,117,112,62,10,0,0,0,0,0,119,104,105,116,101,0,0,0,75,80,95,68,111,119,110,0,47,112,117,111,114,49,49,47,55,0,0,0,0,0,0,0,47,112,117,111,114,49,49,47,54,0,0,0,0,0,0,0,110,111,100,101,0,0,0,0,9,9,101,120,99,104,32,48,32,114,108,105,110,101,116,111,0,0,0,0,0,0,0,0,47,112,117,111,114,49,49,47,53,0,0,0,0,0,0,0,108,105,109,101,0,0,0,0,108,97,98,101,108,102,108,111,97,116,0,0,0,0,0,0,47,112,117,111,114,49,49,47,52,0,0,0,0,0,0,0,83,105,103,109,97,0,0,0,47,112,117,111,114,49,49,47,51,0,0,0,0,0,0,0,47,112,117,111,114,49,49,47,50,0,0,0,0,0,0,0,101,100,103,101,104,114,101,102,0,0,0,0,0,0,0,0,117,115,101,114,111,117,116,58,32,99,111,117,108,100,32,110,111,116,32,97,108,108,111,99,97,116,101,32,109,101,109,111,114,121,10,0,0,0,0,0,47,112,117,111,114,49,49,47,49,49,0,0,0,0,0,0,47,98,114,98,103,49,48,47,54,0,0,0,0,0,0,0,47,112,117,111,114,49,49,47,49,48,0,0,0,0,0,0,97,117,120,103,0,0,0,0,47,112,117,111,114,49,49,47,49,0,0,0,0,0,0,0,47,112,117,111,114,49,48,47,57,0,0,0,0,0,0,0,62,10,0,0,0,0,0,0,65,118,97,110,116,71,97,114,100,101,45,66,111,111,107,0,47,112,117,111,114,49,48,47,56,0,0,0,0,0,0,0,47,112,117,111,114,49,48,47,55,0,0,0,0,0,0,0,109,105,115,109,97,116,99,104,101,100,32,116,97,103,0,0,9,9,50,32,99,111,112,121,0,0,0,0,0,0,0,0,47,112,117,111,114,49,48,47,54,0,0,0,0,0,0,0,108,105,103,104,116,121,101,108,108,111,119,0,0,0,0,0,119,101,105,103,104,116,0,0,47,112,117,111,114,49,48,47,53,0,0,0,0,0,0,0,83,99,97,114,111,110,0,0,38,108,116,59,0,0,0,0,47,112,117,111,114,49,48,47,52,0,0,0,0,0,0,0,112,108,97,105,110,58,100,111,116,0,0,0,0,0,0,0,47,112,117,111,114,49,48,47,51,0,0,0,0,0,0,0,85,82,76,0,0,0,0,0,37,115,32,45,62,32,37,115,58,32,116,97,105,108,32,110,111,116,32,105,110,115,105,100,101,32,116,97,105,108,32,99,108,117,115,116,101,114,32,37,115,10,0,0,0,0,0,0,47,112,117,111,114,49,48,47,50,0,0,0,0,0,0,0,47,98,114,98,103,49,48,47,53,0,0,0,0,0,0,0,47,112,117,111,114,49,48,47,49,48,0,0,0,0,0,0,37,100,32,116,101,120,116,115,10,0,0,0,0,0,0,0,95,97,110,111,110,121,109,111,117,115,0,0,0,0,0,0,99,97,110,110,111,116,32,114,101,97,108,108,111,99,32,100,113,46,112,110,108,115,0,0,48,0,0,0,0,0,0,0,47,112,117,111,114,49,48,47,49,0,0,0,0,0,0,0,115,116,111,112,10,0,0,0,47,112,117,98,117,103,110,57,47,57,0,0,0,0,0,0,32,116,97,114,103,101,116,61,34,37,115,34,0,0,0,0,69,78,68,0,0,0,0,0,47,112,117,98,117,103,110,57,47,56,0,0,0,0,0,0,47,112,117,98,117,103,110,57,47,55,0,0,0,0,0,0,9,9,109,111,118,101,116,111,0,0,0,0,0,0,0,0,47,112,117,98,117,103,110,57,47,54,0,0,0,0,0,0,108,105,103,104,116,115,116,101,101,108,98,108,117,101,0,0,122,0,0,0,0,0,0,0,98,105,115,113,117,101,0,0,47,112,117,98,117,103,110,57,47,53,0,0,0,0,0,0,82,104,111,0,0,0,0,0,47,112,117,98,117,103,110,57,47,52,0,0,0,0,0,0,47,112,117,98,117,103,110,57,47,51,0,0,0,0,0,0,104,114,101,102,0,0,0,0,47,112,117,98,117,103,110,57,47,50,0,0,0,0,0,0,47,98,114,98,103,49,48,47,52,0,0,0,0,0,0,0,47,112,117,98,117,103,110,57,47,49,0,0,0,0,0,0,47,112,117,98,117,103,110,56,47,56,0,0,0,0,0,0,46,46,46,32,37,115,32,46,46,46,10,0,0,0,0,0,47,112,117,98,117,103,110,56,47,55,0,0,0,0,0,0,32,116,105,116,108,101,61,34,37,115,34,0,0,0,0,0,47,112,117,98,117,103,110,56,47,54,0,0,0,0,0,0,47,112,117,98,117,103,110,56,47,53,0,0,0,0,0,0,109,105,110,0,0,0,0,0,9,9,52,32,50,32,114,111,108,108,0,0,0,0,0,0,47,112,117,98,117,103,110,56,47,52,0,0,0,0,0,0,114,101,109,105,110,99,114,111,115,115,0,0,0,0,0,0,108,105,103,104,116,115,108,97,116,101,103,114,101,121,0,0,104,101,105,103,104,116,61,34,37,108,102,37,50,115,34,0,118,101,114,116,105,99,101,115,0,0,0,0,0,0,0,0,110,115,108,105,109,105,116,0,102,97,108,115,101,0,0,0,73,83,79,76,97,116,105,110,49,69,110,99,111,100,105,110,103,32,48,32,50,53,53,32,103,101,116,105,110,116,101,114,118,97,108,32,112,117,116,105,110,116,101,114,118,97,108,0,47,112,117,98,117,103,110,56,47,51,0,0,0,0,0,0,80,115,105,0,0,0,0,0,47,112,117,98,117,103,110,56,47,50,0,0,0,0,0,0,115,101,116,108,105,110,101,119,105,100,116,104,0,0,0,0,47,112,117,98,117,103,110,56,47,49,0,0,0,0,0,0,78,111,32,99,111,109,109,97,32,105,110,32,99,111,108,111,114,32,115,112,101,99,32,34,37,115,34,32,105,110,32,99,111,108,111,114,32,97,116,116,114,105,98,117,116,101,32,0,47,112,117,98,117,103,110,55,47,55,0,0,0,0,0,0,47,98,114,98,103,49,48,47,51,0,0,0,0,0,0,0,47,112,117,98,117,103,110,55,47,54,0,0,0,0,0,0,47,112,117,98,117,103,110,55,47,53,0,0,0,0,0,0,47,62,10,0,0,0,0,0,47,112,117,98,117,103,110,55,47,52,0,0,0,0,0,0,67,69,76,76,83,66,79,82,68,69,82,0,0,0,0,0,35,37,50,120,37,50,120,37,50,120,37,50,120,0,0,0,32,104,114,101,102,61,34,37,115,34,0,0,0,0,0,0,47,112,117,98,117,103,110,55,47,51,0,0,0,0,0,0,47,112,117,98,117,103,110,55,47,50,0,0,0,0,0,0,47,98,111,120,112,114,105,109,32,123,9,9,9,9,37,32,120,99,111,114,110,101,114,32,121,99,111,114,110,101,114,32,120,115,105,122,101,32,121,115,105,122,101,0,0,0,0,0,47,112,117,98,117,103,110,55,47,49,0,0,0,0,0,0,108,105,103,104,116,115,108,97,116,101,103,114,97,121,0,0,99,111,109,109,101,110,116,0,47,112,117,98,117,103,110,54,47,54,0,0,0,0,0,0,80,114,105,109,101,0,0,0,47,112,117,98,117,103,110,54,47,53,0,0,0,0,0,0,47,112,117,98,117,103,110,54,47,52,0,0,0,0,0,0,73,108,108,101,103,97,108,32,108,101,110,103,116,104,32,118,97,108,117,101,32,105,110,32,34,37,115,34,32,99,111,108,111,114,32,97,116,116,114,105,98,117,116,101,32,0,0,0,118,101,101,0,0,0,0,0,47,112,117,98,117,103,110,54,47,51,0,0,0,0,0,0,47,98,114,98,103,49,48,47,50,0,0,0,0,0,0,0,47,112,117,98,117,103,110,54,47,50,0,0,0,0,0,0,115,112,108,105,110,101,115,46,99,0,0,0,0,0,0,0,110,111,100,101,32,39,37,115,39,44,32,103,114,97,112,104,32,39,37,115,39,32,115,105,122,101,32,116,111,111,32,115,109,97,108,108,32,102,111,114,32,108,97,98,101,108,10,0,47,112,117,98,117,103,110,54,47,49,0,0,0,0,0,0,34,32,119,105,100,116,104,61,34,37,103,112,120,34,32,104,101,105,103,104,116,61,34,37,103,112,120,34,32,112,114,101,115,101,114,118,101,65,115,112,101,99,116,82,97,116,105,111,61,34,120,77,105,110,89,77,105,110,32,109,101,101,116,34,32,120,61,34,37,103,34,32,121,61,34,37,103,34,0,0,73,108,108,101,103,97,108,32,118,97,108,117,101,32,37,115,32,102,111,114,32,83,84,89,76,69,32,45,32,105,103,110,111,114,101,100,10,0,0,0,47,112,117,98,117,103,110,53,47,53,0,0,0,0,0,0,60,97,0,0,0,0,0,0,47,112,117,98,117,103,110,53,47,52,0,0,0,0,0,0,47,112,117,98,117,103,110,53,47,51,0,0,0,0,0,0,9,103,114,101,115,116,111,114,101,0,0,0,0,0,0,0,47,112,117,98,117,103,110,53,47,50,0,0,0,0,0,0,108,105,103,104,116,115,107,121,98,108,117,101,0,0,0,0,103,114,111,117,112,0,0,0,47,112,117,98,117,103,110,53,47,49,0,0,0,0,0,0,80,105,0,0,0,0,0,0,47,112,117,98,117,103,110,52,47,52,0,0,0,0,0,0,47,112,117,98,117,103,110,52,47,51,0,0,0,0,0,0,48,45,108,101,110,103,116,104,32,105,110,32,99,111,108,111,114,32,115,112,101,99,32,34,37,115,34,10,0,0,0,0,47,112,117,98,117,103,110,52,47,50,0,0,0,0,0,0,47,98,114,98,103,49,48,47,49,48,0,0,0,0,0,0,105,110,0,0,0,0,0,0,47,112,117,98,117,103,110,52,47,49,0,0,0,0,0,0,108,97,98,101,108,108,111,99,0,0,0,0,0,0,0,0,47,112,117,98,117,103,110,51,47,51,0,0,0,0,0,0,32,116,114,97,110,115,102,111,114,109,61,34,114,111,116,97,116,101,40,37,100,32,37,103,32,37,103,41,34,0,0,0,79,85,78,68,69,68,0,0,47,112,117,98,117,103,110,51,47,50,0,0,0,0,0,0,60,47,97,62,10,0,0,0,47,112,117,98,117,103,110,51,47,49,0,0,0,0,0,0,47,112,117,98,117,57,47,57,0,0,0,0,0,0,0,0,9,9,125,32,105,102,0,0,47,112,117,98,117,57,47,56,0,0,0,0,0,0,0,0,102,108,97,116,46,99,0,0,108,105,103,104,116,115,101,97,103,114,101,101,110,0,0,0,108,97,121,101,114,0,0,0,114,101,99,116,32,37,115,32,37,100,44,37,100,32,37,100,44,37,100,10,0,0,0,0,47,112,117,98,117,57,47,55,0,0,0,0,0,0,0,0,80,104,105,0,0,0,0,0,47,97,99,99,101,110,116,52,47,51,0,0,0,0,0,0,47,112,117,98,117,57,47,54,0,0,0,0,0,0,0,0,47,112,117,98,117,57,47,53,0,0,0,0,0,0,0,0,32,45,45,32,0,0,0,0,47,112,117,98,117,57,47,52,0,0,0,0,0,0,0,0,47,98,114,98,103,49,48,47,49,0,0,0,0,0,0,0,47,112,117,98,117,57,47,51,0,0,0,0,0,0,0,0,78,111,32,111,114,32,105,109,112,114,111,112,101,114,32,105,109,97,103,101,61,34,37,115,34,32,102,111,114,32,110,111,100,101,32,34,37,115,34,10,0,0,0,0,0,0,0,0,47,112,117,98,117,57,47,50,0,0,0,0,0,0,0,0,34,32,119,105,100,116,104,61,34,37,103,112,120,34,32,104,101,105,103,104,116,61,34,37,103,112,120,34,32,112,114,101,115,101,114,118,101,65,115,112,101,99,116,82,97,116,105,111,61,34,120,77,105,100,89,77,105,100,32,109,101,101,116,34,32,120,61,34,37,103,34,32,121,61,34,37,103,34,0,0,115,116,121,108,101,0,0,0,47,112,117,98,117,57,47,49,0,0,0,0,0,0,0,0,60,47,118,58,114,101,99,116,62,10,0,0,0,0,0,0,47,112,117,98,117,56,47,56,0,0,0,0,0,0,0,0,47,112,117,98,117,56,47,55,0,0,0,0,0,0,0,0,9,9,9,116,101,120,116,32,115,116,114,105,110,103,119,105,100,116,104,32,112,111,112,32,119,105,100,116,104,32,101,120,99,104,32,115,117,98,32,116,101,120,116,32,108,101,110,103,116,104,32,100,105,118,32,48,32,116,101,120,116,32,97,115,104,111,119,0,0,0,0,0,47,112,117,98,117,56,47,54,0,0,0,0,0,0,0,0,108,105,103,104,116,115,97,108,109,111,110,0,0,0,0,0,110,111,106,117,115,116,105,102,121,0,0,0,0,0,0,0,47,112,117,98,117,56,47,53,0,0,0,0,0,0,0,0,79,117,109,108,0,0,0,0,110,0,0,0,0,0,0,0,47,112,117,98,117,56,47,52,0,0,0,0,0,0,0,0,105,110,32,99,104,101,99,107,112,97,116,104,44,32,115,116,97,114,116,32,112,111,114,116,32,110,111,116,32,105,110,32,102,105,114,115,116,32,98,111,120,10,0,0,0,0,0,0,47,112,117,98,117,56,47,51,0,0,0,0,0,0,0,0,32,45,62,32,0,0,0,0,47,112,117,98,117,56,47,50,0,0,0,0,0,0,0,0,47,98,108,117,101,115,57,47,57,0,0,0,0,0,0,0,47,112,117,98,117,56,47,49,0,0,0,0,0,0,0,0,60,110,105,108,62,0,0,0,47,112,117,98,117,55,47,55,0,0,0,0,0,0,0,0,60,105,109,97,103,101,32,120,108,105,110,107,58,104,114,101,102,61,34,0,0,0,0,0,99,101,108,108,98,111,114,100,101,114,0,0,0,0,0,0,47,112,117,98,117,55,47,54,0,0,0,0,0,0,0,0,60,47,99,101,110,116,101,114,62,60,47,118,58,116,101,120,116,98,111,120,62,10,0,0,47,112,117,98,117,55,47,53,0,0,0,0,0,0,0,0,47,112,117,98,117,55,47,52,0,0,0,0,0,0,0,0,9,9,9,91,93,32,48,32,115,101,116,100,97,115,104,0,47,112,117,98,117,55,47,51,0,0,0,0,0,0,0,0,108,105,103,104,116,112,105,110,107,0,0,0,0,0,0,0,104,116,109,108,116,97,98,108,101,46,99,0,0,0,0,0,105,109,97,103,101,115,99,97,108,101,0,0,0,0,0,0,106,112,101,103,58,102,105,103,0,0,0,0,0,0,0,0,47,112,117,98,117,55,47,50,0,0,0,0,0,0,0,0,79,116,105,108,100,101,0,0,47,112,117,98,117,55,47,49,0,0,0,0,0,0,0,0,47,112,117,98,117,54,47,54,0,0,0,0,0,0,0,0,105,110,32,101,100,103,101,32,37,115,37,115,37,115,10,0,47,112,117,98,117,54,47,53,0,0,0,0,0,0,0,0,47,98,108,117,101,115,57,47,56,0,0,0,0,0,0,0,47,112,117,98,117,54,47,52,0,0,0,0,0,0,0,0,78,111,32,111,114,32,105,109,112,114,111,112,101,114,32,115,104,97,112,101,102,105,108,101,61,34,37,115,34,32,102,111,114,32,110,111,100,101,32,34,37,115,34,10,0,0,0,0,47,112,117,98,117,54,47,51,0,0,0,0,0,0,0,0,32,37,100,32,37,100,32,37,100,32,37,100,32,37,100,32,37,100,32,37,100,32,37,100,32,37,100,32,37,100,10,0,60,84,65,66,76,69,62,0,47,112,117,98,117,54,47,50,0,0,0,0,0,0,0,0,34,62,60,99,101,110,116,101,114,62,0,0,0,0,0,0,47,112,117,98,117,54,47,49,0,0,0,0,0,0,0,0,109,101,114,103,101,95,111,110,101,119,97,121,32,103,108,105,116,99,104,10,0,0,0,0,47,112,117,98,117,53,47,53,0,0,0,0,0,0,0,0,84,82,0,0,0,0,0,0,9,9,119,105,100,116,104,32,48,32,103,116,32,123,0,0,47,112,117,98,117,53,47,52,0,0,0,0,0,0,0,0,108,105,103,104,116,103,114,101,121,0,0,0,0,0,0,0,45,45,0,0,0,0,0,0,102,105,120,101,100,115,105,122,101,0,0,0,0,0,0,0,47,112,117,98,117,53,47,51,0,0,0,0,0,0,0,0,79,115,108,97,115,104,0,0,47,112,117,98,117,53,47,50,0,0,0,0,0,0,0,0,47,112,117,98,117,53,47,49,0,0,0,0,0,0,0,0,58,0,0,0,0,0,0,0,47,112,117,98,117,52,47,52,0,0,0,0,0,0,0,0,47,98,108,117,101,115,57,47,55,0,0,0,0,0,0,0,47,112,117,98,117,52,47,51,0,0,0,0,0,0,0,0,69,114,114,111,114,32,100,117,114,105,110,103,32,99,111,110,118,101,114,115,105,111,110,32,116,111,32,34,85,84,70,45,56,34,46,32,32,81,117,105,116,105,110,103,46,10,0,0,114,101,103,117,108,97,114,0,47,112,117,98,117,52,47,50,0,0,0,0,0,0,0,0,37,100,32,37,100,32,37,100,32,37,100,32,37,100,32,37,100,32,37,100,32,37,100,32,37,100,32,37,46,49,102,32,37,100,32,37,100,32,37,100,32,37,100,32,37,100,32,37,100,10,32,37,100,32,37,115,10,0,0,0,0,0,0,0,73,108,108,101,103,97,108,32,118,97,108,117,101,32,37,115,32,102,111,114,32,65,76,73,71,78,32,105,110,32,84,68,32,45,32,105,103,110,111,114,101,100,10,0,0,0,0,0,47,112,117,98,117,52,47,49,0,0,0,0,0,0,0,0,99,111,108,111,114,58,35,37,48,50,120,37,48,50,120,37,48,50,120,59,0,0,0,0,47,112,117,98,117,51,47,51,0,0,0,0,0,0,0,0,114,101,100,0,0,0,0,0,68,111,119,110,0,0,0,0,47,112,117,98,117,51,47,50,0,0,0,0,0,0,0,0,32,45,100,97,115,104,32,50,0,0,0,0,0,0,0,0,9,103,115,97,118,101,0,0,47,112,117,98,117,51,47,49,0,0,0,0,0,0,0,0,108,105,103,104,116,103,114,101,101,110,0,0,0,0,0,0,100,105,115,116,111,114,116,105,111,110,0,0,0,0,0,0,47,112,114,103,110,57,47,57,0,0,0,0,0,0,0,0,79,109,105,99,114,111,110,0,47,112,114,103,110,57,47,56,0,0,0,0,0,0,0,0,47,112,114,103,110,57,47,55,0,0,0,0,0,0,0,0,37,115,45,37,115,0,0,0,47,112,114,103,110,57,47,54,0,0,0,0,0,0,0,0,47,98,108,117,101,115,57,47,54,0,0,0,0,0,0,0,87,97,114,110,105,110,103,0,47,112,114,103,110,57,47,53,0,0,0,0,0,0,0,0,37,108,102,44,37,108,102,0,47,112,114,103,110,57,47,52,0,0,0,0,0,0,0,0,125,10,0,0,0,0,0,0,108,97,98,101,108,0,0,0,47,112,114,103,110,57,47,51,0,0,0,0,0,0,0,0,69,88,84,0,0,0,0,0,99,111,108,111,114,58,37,115,59,0,0,0,0,0,0,0,47,112,114,103,110,57,47,50,0,0,0,0,0,0,0,0,91,105,110,116,101,114,110,97,108,32,116,105,109,101,115,93,0,0,0,0,0,0,0,0,47,112,114,103,110,57,47,49,0,0,0,0,0,0,0,0,112,97,114,116,105,97,108,32,99,104,97,114,97,99,116,101,114,0,0,0,0,0,0,0,9,47,119,105,100,116,104,32,101,120,99,104,32,100,101,102,0,0,0,0,0,0,0,0,47,112,114,103,110,56,47,56,0,0,0,0,0,0,0,0,108,105,103,104,116,103,114,97,121,0,0,0,0,0,0,0,115,107,101,119,0,0,0,0,47,112,114,103,110,56,47,55,0,0,0,0,0,0,0,0,79,109,101,103,97,0,0,0,47,112,114,103,110,56,47,54,0,0,0,0,0,0,0,0,38,97,109,112,59,0,0,0,99,97,110,111,110,58,100,111,116,0,0,0,0,0,0,0,47,112,114,103,110,56,47,53,0,0,0,0,0,0,0,0,48,0,0,0,0,0,0,0,98,101,122,45,62,101,102,108,97,103,0,0,0,0,0,0,47,112,114,103,110,56,47,52,0,0,0,0,0,0,0,0,47,98,108,117,101,115,57,47,53,0,0,0,0,0,0,0,47,112,114,103,110,56,47,51,0,0,0,0,0,0,0,0,109,97,114,103,105,110,0,0,103,114,97,112,104,0,0,0,99,97,110,110,111,116,32,109,97,108,108,111,99,32,100,113,46,112,110,108,115,0,0,0,47,112,114,103,110,56,47,50,0,0,0,0,0,0,0,0,37,100,32,101,108,108,105,112,115,101,115,10,0,0,0,0,32,32,125,10,0,0,0,0,101,100,103,101,0,0,0,0,32,0,0,0,0,0,0,0,47,112,114,103,110,56,47,49,0,0,0,0,0,0,0,0,73,108,108,101,103,97,108,32,118,97,108,117,101,32,37,115,32,102,111,114,32,66,65,76,73,71,78,32,105,110,32,84,68,32,45,32,105,103,110,111,114,101,100,10,0,0,0,0,32,102,111,110,116,45,115,105,122,101,58,32,37,46,50,102,112,116,59,0,0,0,0,0,76,97,121,111,117,116,32,119,97,115,32,110,111,116,32,100,111,110,101,10,0,0,0,0,66,69,71,73,78,0,0,0,47,112,114,103,110,55,47,55,0,0,0,0,0,0,0,0,47,112,114,103,110,55,47,54,0,0,0,0,0,0,0,0,9,47,116,101,120,116,32,101,120,99,104,32,100,101,102,0,47,112,114,103,110,55,47,53,0,0,0,0,0,0,0,0,108,105,103,104,116,103,111,108,100,101,110,114,111,100,121,101,108,108,111,119,0,0,0,0,112,101,114,105,112,104,101,114,105,101,115,0,0,0,0,0,98,101,105,103,101,0,0,0,47,112,114,103,110,55,47,52,0,0,0,0,0,0,0,0,79,103,114,97,118,101,0,0,47,112,114,103,110,55,47,51,0,0,0,0,0,0,0,0,47,112,114,103,110,55,47,50,0,0,0,0,0,0,0,0,116,97,105,108,108,97,98,101,108,0,0,0,0,0,0,0,47,112,114,103,110,55,47,49,0,0,0,0,0,0,0,0,47,98,108,117,101,115,57,47,52,0,0,0,0,0,0,0,47,112,114,103,110,54,47,54,0,0,0,0,0,0,0,0,92,78,0,0,0,0,0,0,47,112,114,103,110,54,47,53,0,0,0,0,0,0,0,0,32,32,32,32,116,101,120,116,117,114,101,32,73,109,97,103,101,84,101,120,116,117,114,101,32,123,32,117,114,108,32,34,37,115,34,32,125,10,0,0,47,112,114,103,110,54,47,52,0,0,0,0,0,0,0,0,66,79,82,68,69,82,0,0,102,111,110,116,45,115,116,121,108,101,58,32,37,115,59,0,47,112,114,103,110,54,47,51,0,0,0,0,0,0,0,0,47,112,114,103,110,54,47,50,0,0,0,0,0,0,0,0,115,97,109,101,0,0,0,0,109,97,112,0,0,0,0,0,47,112,114,103,110,54,47,49,0,0,0,0,0,0,0,0,108,105,103,104,116,99,121,97,110,0,0,0,0,0,0,0,119,105,100,116,104,61,34,37,108,102,37,50,115,34,0,0,115,105,100,101,115,0,0,0,69,100,103,101,32,108,101,110,103,116,104,32,37,102,32,108,97,114,103,101,114,32,116,104,97,110,32,109,97,120,105,109,117,109,32,37,117,32,97,108,108,111,119,101,100,46,10,67,104,101,99,107,32,102,111,114,32,111,118,101,114,119,105,100,101,32,110,111,100,101,40,115,41,46,10,0,0,0,0,0,47,0,0,0,0,0,0,0,47,112,114,103,110,53,47,53,0,0,0,0,0,0,0,0,32,69,110,99,111,100,105,110,103,86,101,99,116,111,114,32,48,0,0,0,0,0,0,0,79,99,105,114,99,0,0,0,47,97,108,105,103,110,101,100,116,101,120,116,32,123,9,9,9,37,32,119,105,100,116,104,32,116,101,120,116,0,0,0,47,112,114,103,110,53,47,52,0,0,0,0,0,0,0,0,98,111,108,100,0,0,0,0,47,112,114,103,110,53,47,51,0,0,0,0,0,0,0,0,104,101,97,100,108,97,98,101,108,0,0,0,0,0,0,0,47,112,114,103,110,53,47,50,0,0,0,0,0,0,0,0,115,104,97,112,101,115,46,99,0,0,0,0,0,0,0,0,47,98,108,117,101,115,57,47,51,0,0,0,0,0,0,0,47,112,114,103,110,53,47,49,0,0,0,0,0,0,0,0,98,97,100,32,108,97,98,101,108,32,102,111,114,109,97,116,32,37,115,10,0,0,0,0,47,112,114,103,110,52,47,52,0,0,0,0,0,0,0,0,32,32,32,32,125,10,0,0,47,112,114,103,110,52,47,51,0,0,0,0,0,0,0,0,67,69,76,76,80,65,68,68,73,78,71,0,0,0,0,0,102,111,110,116,45,115,116,114,101,116,99,104,58,32,37,115,59,0,0,0,0,0,0,0,47,112,114,103,110,52,47,50,0,0,0,0,0,0,0,0,47,112,114,103,110,52,47,49,0,0,0,0,0,0,0,0,37,32,100,114,97,119,32,116,101,120,116,32,102,105,116,116,101,100,32,116,111,32,105,116,115,32,101,120,112,101,99,116,101,100,32,119,105,100,116,104,0,0,0,0,0,0,0,0,47,112,114,103,110,51,47,51,0,0,0,0,0,0,0,0,108,105,103,104,116,99,111,114,97,108,0,0,0,0,0,0,112,101,110,119,105,100,116,104,0,0,0,0,0,0,0,0,47,112,114,103,110,51,47,50,0,0,0,0,0,0,0,0,79,97,99,117,116,101,0,0,47,112,114,103,110,51,47,49,0,0,0,0,0,0,0,0,47,112,114,103,110,49,49,47,57,0,0,0,0,0,0,0,108,97,98,101,108,0,0,0,105,110,118,0,0,0,0,0,47,112,114,103,110,49,49,47,56,0,0,0,0,0,0,0,47,98,108,117,101,115,57,47,50,0,0,0,0,0,0,0,47,112,114,103,110,49,49,47,55,0,0,0,0,0,0,0,102,97,108,115,101,0,0,0,47,112,114,103,110,49,49,47,54,0,0,0,0,0,0,0,32,32,32,32,32,32,32,32,100,105,102,102,117,115,101,67,111,108,111,114,32,49,32,49,32,49,10,0,0,0,0,0,47,112,114,103,110,49,49,47,53,0,0,0,0,0,0,0,67,69,76,76,83,80,65,67,73,78,71,0,0,0,0,0,102,111,110,116,45,119,101,105,103,104,116,58,32,37,115,59,0,0,0,0,0,0,0,0,47,112,114,103,110,49,49,47,52,0,0,0,0,0,0,0,47,112,114,103,110,49,49,47,51,0,0,0,0,0,0,0,99,97,110,110,111,116,32,97,108,108,111,99,97,116,101,32,112,115,10,0,0,0,0,0,9,115,99,97,108,101,102,111,110,116,32,115,101,116,102,111,110,116,0,0,0,0,0,0,47,112,114,103,110,49,49,47,50,0,0,0,0,0,0,0,108,105,103,104,116,98,108,117,101,0,0,0,0,0,0,0,120,108,97,98,101,108,0,0,47,112,114,103,110,49,49,47,49,49,0,0,0,0,0,0,79,69,108,105,103,0,0,0,47,112,114,103,110,49,49,47,49,48,0,0,0,0,0,0,47,112,114,103,110,49,49,47,49,0,0,0,0,0,0,0,102,97,108,115,101,0,0,0,47,112,114,103,110,49,48,47,57,0,0,0,0,0,0,0,47,112,97,116,104,98,111,120,32,123,10,32,32,32,32,47,88,32,101,120,99,104,32,110,101,103,32,37,46,53,103,32,115,117,98,32,100,101,102,10,32,32,32,32,47,89,32,101,120,99,104,32,37,46,53,103,32,115,117,98,32,100,101,102,10,32,32,32,32,47,120,32,101,120,99,104,32,110,101,103,32,37,46,53,103,32,115,117,98,32,100,101,102,10,32,32,32,32,47,121,32,101,120,99,104,32,37,46,53,103,32,115,117,98,32,100,101,102,10,32,32,32,32,110,101,119,112,97,116,104,32,120,32,121,32,109,111,118,101,116,111,10,32,32,32,32,88,32,121,32,108,105,110,101,116,111,10,32,32,32,32,88,32,89,32,108,105,110,101,116,111,10,32,32,32,32,120,32,89,32,108,105,110,101,116,111,10,32,32,32,32,99,108,111,115,101,112,97,116,104,32,115,116,114,111,107,101,10,125,32,100,101,102,10,0,0,47,98,108,117,101,115,57,47,49,0,0,0,0,0,0,0,47,112,114,103,110,49,48,47,56,0,0,0,0,0,0,0,105,109,97,103,101,0,0,0,111,117,116,0,0,0,0,0,47,112,114,103,110,49,48,47,55,0,0,0,0,0,0,0,32,32,32,32,32,32,97,109,98,105,101,110,116,73,110,116,101,110,115,105,116,121,32,48,46,51,51,10,0,0,0,0,47,112,114,103,110,49,48,47,54,0,0,0,0,0,0,0,67,79,76,83,80,65,78,32,118,97,108,117,101,32,99,97,110,110,111,116,32,98,101,32,48,32,45,32,105,103,110,111,114,101,100,10,0,0,0,0,102,111,110,116,45,102,97,109,105,108,121,58,32,39,37,115,39,59,0,0,0,0,0,0,47,112,114,103,110,49,48,47,53,0,0,0,0,0,0,0,47,112,114,103,110,49,48,47,52,0,0,0,0,0,0,0,9,102,105,110,100,102,111,110,116,32,101,120,99,104,0,0,47,112,114,103,110,49,48,47,51,0,0,0,0,0,0,0,108,101,109,111,110,99,104,105,102,102,111,110,0,0,0,0,102,111,110,116,99,111,108,111,114,0,0,0,0,0,0,0,47,112,114,103,110,49,48,47,50,0,0,0,0,0,0,0,78,117,0,0,0,0,0,0,47,97,99,99,101,110,116,52,47,50,0,0,0,0,0,0,47,112,114,103,110,49,48,47,49,48,0,0,0,0,0,0,47,112,114,103,110,49,48,47,49,0,0,0,0,0,0,0,105,110,118,105,115,0,0,0,99,109,97,112,120,95,110,112,58,109,97,112,0,0,0,0,47,112,105,121,103,57,47,57,0,0,0,0,0,0,0,0,47,98,108,117,101,115,56,47,56,0,0,0,0,0,0,0,47,112,105,121,103,57,47,56,0,0,0,0,0,0,0,0,102,105,108,108,101,100,0,0,47,112,105,121,103,57,47,55,0,0,0,0,0,0,0,0,32,32,32,32,109,97,116,101,114,105,97,108,32,77,97,116,101,114,105,97,108,32,123,10,0,0,0,0,0,0,0,0,47,112,105,121,103,57,47,54,0,0,0,0,0,0,0,0,67,79,76,83,80,65,78,0,60,118,58,116,101,120,116,98,111,120,32,105,110,115,101,116,61,34,48,44,48,44,48,44,48,34,32,115,116,121,108,101,61,34,112,111,115,105,116,105,111,110,58,97,98,115,111,108,117,116,101,59,32,118,45,116,101,120,116,45,119,114,97,112,112,105,110,103,58,39,102,97,108,115,101,39,59,112,97,100,100,105,110,103,58,39,48,39,59,0,0,0,0,0,0,0,47,112,105,121,103,57,47,53,0,0,0,0,0,0,0,0,47,112,105,121,103,57,47,52,0,0,0,0,0,0,0,0,47,115,101,116,95,102,111,110,116,32,123,0,0,0,0,0,47,112,105,121,103,57,47,51,0,0,0,0,0,0,0,0,108,97,119,110,103,114,101,101,110,0,0,0,0,0,0,0,102,111,110,116,110,97,109,101,0,0,0,0,0,0,0,0,47,112,105,121,103,57,47,50,0,0,0,0,0,0,0,0,110,101,116,119,111,114,107,32,115,105,109,112,108,101,120,58,32,0,0,0,0,0,0,0,78,116,105,108,100,101,0,0,101,0,0,0,0,0,0,0,47,112,105,121,103,57,47,49,0,0,0,0,0,0,0,0,105,110,32,99,104,101,99,107,112,97,116,104,44,32,98,111,120,101,115,32,37,100,32,97,110,100,32,37,100,32,100,111,110,39,116,32,116,111,117,99,104,10,0,0,0,0,0,0,47,112,105,121,103,56,47,56,0,0,0,0,0,0,0,0,45,45,0,0,0,0,0,0,47,112,105,121,103,56,47,55,0,0,0,0,0,0,0,0,47,98,108,117,101,115,56,47,55,0,0,0,0,0,0,0,47,112,105,121,103,56,47,54,0,0,0,0,0,0,0,0,9,37,115,32,37,100,10,0,105,110,118,105,115,0,0,0,47,112,105,121,103,56,47,53,0,0,0,0,0,0,0,0,32,32,97,112,112,101,97,114,97,110,99,101,32,65,112,112,101,97,114,97,110,99,101,32,123,10,0,0,0,0,0,0,47,112,105,121,103,56,47,52,0,0,0,0,0,0,0,0,73,108,108,101,103,97,108,32,118,97,108,117,101,32,37,115,32,102,111,114,32,70,73,88,69,68,83,73,90,69,32,45,32,105,103,110,111,114,101,100])
    903 .concat([10,0,0,0,0,0,0,0,32,115,116,114,111,107,101,100,61,34,102,97,108,115,101,34,32,102,105,108,108,101,100,61,34,102,97,108,115,101,34,62,10,0,0,0,0,0,0,0,47,112,105,121,103,56,47,51,0,0,0,0,0,0,0,0,47,112,105,121,103,56,47,50,0,0,0,0,0,0,0,0,9,125,32,105,102,0,0,0,47,112,105,121,103,56,47,49,0,0,0,0,0,0,0,0,108,97,118,101,110,100,101,114,98,108,117,115,104,0,0,0,102,111,110,116,115,105,122,101,0,0,0,0,0,0,0,0,60,84,65,66,76,69,62,0,103,105,102,58,102,105,103,0,47,112,105,121,103,55,47,55,0,0,0,0,0,0,0,0,77,117,0,0,0,0,0,0,47,112,105,121,103,55,47,54,0,0,0,0,0,0,0,0,47,112,105,121,103,55,47,53,0,0,0,0,0,0,0,0,45,62,0,0,0,0,0,0,47,112,105,121,103,55,47,52,0,0,0,0,0,0,0,0,47,98,108,117,101,115,56,47,54,0,0,0,0,0,0,0,47,112,105,121,103,55,47,51,0,0,0,0,0,0,0,0,35,102,56,102,56,102,56,0,47,112,105,121,103,55,47,50,0,0,0,0,0,0,0,0,83,104,97,112,101,32,123,10,0,0,0,0,0,0,0,0,47,112,105,121,103,55,47,49,0,0,0,0,0,0,0,0,65,76,83,69,0,0,0,0,60,118,58,114,101,99,116,32,115,116,121,108,101,61,34,112,111,115,105,116,105,111,110,58,97,98,115,111,108,117,116,101,59,32,0,0,0,0,0,0,47,112,105,121,103,54,47,54,0,0,0,0,0,0,0,0,47,112,105,121,103,54,47,53,0,0,0,0,0,0,0,0,118,105,114,116,117,97,108,0,84,65,66,76,69,0,0,0,9,9,103,114,101,115,116,111,114,101,0,0,0,0,0,0,47,112,105,121,103,54,47,52,0,0,0,0,0,0,0,0,108,97,118,101,110,100,101,114,0,0,0,0,0,0,0,0,115,116,121,108,101,0,0,0,47,112,105,121,103,54,47,51,0,0,0,0,0,0,0,0,76,97,109,98,100,97,0,0,47,112,105,121,103,54,47,50,0,0,0,0,0,0,0,0,47,112,105,121,103,54,47,49,0,0,0,0,0,0,0,0,98,122,46,115,105,122,101,32,37,32,51,32,61,61,32,49,0,0,0,0,0,0,0,0,47,112,105,121,103,53,47,53,0,0,0,0,0,0,0,0,47,98,108,117,101,115,56,47,53,0,0,0,0,0,0,0,47,112,105,121,103,53,47,52,0,0,0,0,0,0,0,0,38,35,51,57,59,0,0,0,35,49,48,49,48,49,48,0,47,112,105,121,103,53,47,51,0,0,0,0,0,0,0,0,110,0,0,0,0,0,0,0,47,112,105,121,103,53,47,50,0,0,0,0,0,0,0,0,82,85,69,0,0,0,0,0,60,47,118,58,111,118,97,108,62,10,0,0,0,0,0,0,47,112,105,121,103,53,47,49,0,0,0,0,0,0,0,0,47,112,105,121,103,52,47,52,0,0,0,0,0,0,0,0,32,45,100,97,115,104,32,53,0,0,0,0,0,0,0,0,109,97,103,101,110,116,97,0,75,80,95,85,112,0,0,0,9,9,9,40,92,40,41,32,115,104,111,119,32,105,32,115,116,114,32,99,118,115,32,115,104,111,119,32,40,44,41,32,115,104,111,119,32,106,32,115,116,114,32,99,118,115,32,115,104,111,119,32,40,92,41,41,32,115,104,111,119,0,0,0,47,112,105,121,103,52,47,51,0,0,0,0,0,0,0,0,107,104,97,107,105,0,0,0,102,105,108,108,99,111,108,111,114,0,0,0,0,0,0,0,47,112,105,121,103,52,47,50,0,0,0,0,0,0,0,0,75,97,112,112,97,0,0,0,47,112,105,121,103,52,47,49,0,0,0,0,0,0,0,0,47,112,105,121,103,51,47,51,0,0,0,0,0,0,0,0,98,122,46,115,105,122,101,32,62,32,48,0,0,0,0,0,47,112,105,121,103,51,47,50,0,0,0,0,0,0,0,0,47,98,108,117,101,115,56,47,52,0,0,0,0,0,0,0,47,112,105,121,103,51,47,49,0,0,0,0,0,0,0,0,35,102,48,102,48,102,48,0,69,114,114,111,114,0,0,0,47,112,105,121,103,49,49,47,57,0,0,0,0,0,0,0,111,98,106,0,0,0,0,0,47,112,105,121,103,49,49,47,56,0,0,0,0,0,0,0,72,69,73,71,72,84,0,0,32,119,105,100,116,104,58,32,37,46,50,102,59,32,104,101,105,103,104,116,58,32,37,46,50,102,34,0,0,0,0,0,123,37,115,125,0,0,0,0,47,112,105,121,103,49,49,47,55,0,0,0,0,0,0,0,91,105,110,116,101,114,110,97,108,32,97,114,105,97,108,93,0,0,0,0,0,0,0,0,47,112,105,121,103,49,49,47,54,0,0,0,0,0,0,0,117,110,99,108,111,115,101,100,32,116,111,107,101,110,0,0,9,9,9,48,32,48,32,109,111,118,101,116,111,0,0,0,47,112,105,121,103,49,49,47,53,0,0,0,0,0,0,0,105,118,111,114,121,0,0,0,99,111,108,111,114,0,0,0,47,112,105,121,103,49,49,47,52,0,0,0,0,0,0,0,73,117,109,108,0,0,0,0,47,112,105,121,103,49,49,47,51,0,0,0,0,0,0,0,107,105,110,100,32,61,61,32,76,84,95,78,79,78,69,0,103,118,58,100,111,116,0,0,47,112,105,121,103,49,49,47,50,0,0,0,0,0,0,0,115,112,108,45,62,115,105,122,101,32,62,32,48,0,0,0,98,101,122,45,62,115,102,108,97,103,0,0,0,0,0,0,100,105,103,114,97,112,104,0,47,112,105,121,103,49,49,47,49,49,0,0,0,0,0,0,47,98,108,117,101,115,56,47,51,0,0,0,0,0,0,0,47,112,105,121,103,49,49,47,49,48,0,0,0,0,0,0,35,101,48,101,48,101,48,0,37,100,0,0,0,0,0,0,99,97,110,110,111,116,32,114,101,97,108,108,111,99,32,111,112,115,0,0,0,0,0,0,47,112,105,121,103,49,49,47,49,0,0,0,0,0,0,0,103,114,101,115,116,111,114,101,10,0,0,0,0,0,0,0,108,105,103,104,116,103,114,101,121,0,0,0,0,0,0,0,47,112,105,121,103,49,48,47,57,0,0,0,0,0,0,0,37,100,32,98,101,122,105,101,114,115,32,37,100,32,112,111,105,110,116,115,10,0,0,0,82,79,87,83,80,65,78,32,118,97,108,117,101,32,99,97,110,110,111,116,32,98,101,32,48,32,45,32,105,103,110,111,114,101,100,10,0,0,0,0,32,108,101,102,116,58,32,37,46,50,102,59,32,116,111,112,58,32,37,46,50,102,59,0,78,111,32,108,105,98,122,32,115,117,112,112,111,114,116,10,0,0,0,0,0,0,0,0,69,79,70,0,0,0,0,0,47,112,105,121,103,49,48,47,56,0,0,0,0,0,0,0,68,105,110,103,98,97,116,115,0,0,0,0,0,0,0,0,47,112,105,121,103,49,48,47,55,0,0,0,0,0,0,0,9,9,9,99,111,111,114,100,102,111,110,116,32,115,101,116,102,111,110,116,0,0,0,0,47,112,105,121,103,49,48,47,54,0,0,0,0,0,0,0,105,110,100,105,103,111,0,0,115,104,97,112,101,0,0,0,97,122,117,114,101,0,0,0,47,112,105,121,103,49,48,47,53,0,0,0,0,0,0,0,73,111,116,97,0,0,0,0,47,112,105,121,103,49,48,47,52,0,0,0,0,0,0,0,47,112,105,121,103,49,48,47,51,0,0,0,0,0,0,0,115,101,116,108,105,110,101,119,105,100,116,104,0,49,0,0,47,112,105,121,103,49,48,47,50,0,0,0,0,0,0,0,105,109,97,103,101,115,99,97,108,101,0,0,0,0,0,0,47,98,108,117,101,115,56,47,50,0,0,0,0,0,0,0,47,112,105,121,103,49,48,47,49,48,0,0,0,0,0,0,35,101,56,101,56,101,56,0,112,110,103,58,115,118,103,0,47,112,105,121,103,49,48,47,49,0,0,0,0,0,0,0,117,115,101,114,95,115,104,97,112,101,95,37,100,10,0,0,47,112,97,115,116,101,108,50,56,47,56,0,0,0,0,0,82,79,87,83,80,65,78,0,32,32,60,118,58,111,118,97,108,32,115,116,121,108,101,61,34,112,111,115,105,116,105,111,110,58,97,98,115,111,108,117,116,101,59,0,0,0,0,0,47,112,97,115,116,101,108,50,56,47,55,0,0,0,0,0,90,97,112,102,68,105,110,103,98,97,116,115,0,0,0,0,47,112,97,115,116,101,108,50,56,47,54,0,0,0,0,0,97,103,116,97,105,108,40,101,41,32,61,61,32,85,70,95,102,105,110,100,40,97,103,116,97,105,108,40,101,41,41,0,9,9,103,115,97,118,101,0,47,112,97,115,116,101,108,50,56,47,53,0,0,0,0,0,105,110,100,105,97,110,114,101,100,0,0,0,0,0,0,0,32,0,0,0,0,0,0,0,119,105,100,116,104,0,0,0,99,111,110,116,97,105,110,95,110,111,100,101,115,32,99,108,117,115,116,32,37,115,32,114,97,110,107,32,37,100,32,109,105,115,115,105,110,103,32,110,111,100,101,10,0,0,0,0,47,112,97,115,116,101,108,50,56,47,52,0,0,0,0,0,37,115,37,115,37,115,0,0,47,69,110,99,111,100,105,110,103,86,101,99,116,111,114,32,50,53,54,32,97,114,114,97,121,32,100,101,102,0,0,0,73,103,114,97,118,101,0,0,47,112,97,115,116,101,108,50,56,47,51,0,0,0,0,0,105,110,118,105,115,105,98,108,101,0,0,0,0,0,0,0,47,112,97,115,116,101,108,50,56,47,50,0,0,0,0,0,83,121,110,116,97,120,32,101,114,114,111,114,58,32,110,111,110,45,115,112,97,99,101,32,115,116,114,105,110,103,32,117,115,101,100,32,98,101,102,111,114,101,32,60,84,65,66,76,69,62,0,0,0,0,0,0,115,111,108,105,100,0,0,0,47,112,97,115,116,101,108,50,56,47,49,0,0,0,0,0,47,98,108,117,101,115,56,47,49,0,0,0,0,0,0,0,47,112,97,115,116,101,108,50,55,47,55,0,0,0,0,0,35,51,48,51,48,51,48,0,47,112,97,115,116,101,108,50,55,47,54,0,0,0,0,0,103,115,97,118,101,32,37,103,32,37,103,32,116,114,97,110,115,108,97,116,101,32,110,101,119,112,97,116,104,10,0,0,47,112,97,115,116,101,108,50,55,47,53,0,0,0,0,0,73,108,108,101,103,97,108,32,118,97,108,117,101,32,37,115,32,102,111,114,32,86,65,76,73,71,78,32,45,32,105,103,110,111,114,101,100,10,0,0,120,32,101,32,34,47,62,0,47,112,97,115,116,101,108,50,55,47,52,0,0,0,0,0,109,101,100,105,117,109,0,0,47,112,97,115,116,101,108,50,55,47,51,0,0,0,0,0,9,110,112,97,103,101,115,32,49,32,103,116,32,123,0,0,47,112,97,115,116,101,108,50,55,47,50,0,0,0,0,0,104,111,116,112,105,110,107,0,104,101,105,103,104,116,0,0,100,121,110,97,109,105,99,32,108,111,97,100,105,110,103,32,110,111,116,32,97,118,97,105,108,97,98,108,101,10,0,0,47,112,97,115,116,101,108,50,55,47,49,0,0,0,0,0,73,99,105,114,99,0,0,0,47,112,97,115,116,101,108,50,54,47,54,0,0,0,0,0,47,112,97,115,116,101,108,50,54,47,53,0,0,0,0,0,84,105,109,101,115,45,82,111,109,97,110,0,0,0,0,0,110,111,110,101,0,0,0,0,47,112,97,115,116,101,108,50,54,47,52,0,0,0,0,0,47,98,108,117,101,115,55,47,55,0,0,0,0,0,0,0,47,112,97,115,116,101,108,50,54,47,51,0,0,0,0,0,35,102,99,102,99,102,99,0,47,112,97,115,116,101,108,50,54,47,50,0,0,0,0,0,93,32,32,37,100,32,102,97,108,115,101,32,37,115,10,0,47,112,97,115,116,101,108,50,54,47,49,0,0,0,0,0,73,68,68,76,69,0,0,0,108,32,0,0,0,0,0,0,47,112,97,115,116,101,108,50,53,47,53,0,0,0,0,0,85,82,87,32,67,104,97,110,99,101,114,121,32,76,0,0,47,112,97,115,116,101,108,50,53,47,52,0,0,0,0,0,9,47,115,116,114,32,49,48,32,115,116,114,105,110,103,32,100,101,102,0,0,0,0,0,47,112,97,115,116,101,108,50,53,47,51,0,0,0,0,0,104,111,110,101,121,100,101,119,0,0,0,0,0,0,0,0,111,114,100,101,114,105,110,103,0,0,0,0,0,0,0,0,47,112,97,115,116,101,108,50,53,47,50,0,0,0,0,0,73,97,99,117,116,101,0,0,47,112,97,115,116,101,108,50,53,47,49,0,0,0,0,0,47,112,97,115,116,101,108,50,52,47,52,0,0,0,0,0,112,101,110,119,105,100,116,104,0,0,0,0,0,0,0,0,47,112,97,115,116,101,108,50,52,47,51,0,0,0,0,0,47,98,108,117,101,115,55,47,54,0,0,0,0,0,0,0,47,112,97,115,116,101,108,50,52,47,50,0,0,0,0,0,35,56,48,56,48,56,48,0,47,112,97,115,116,101,108,50,52,47,49,0,0,0,0,0,93,32,32,37,100,32,116,114,117,101,32,37,115,10,0,0,78,68,95,111,114,100,101,114,40,118,41,32,60,32,78,68,95,111,114,100,101,114,40,119,41,0,0,0,0,0,0,0,47,112,97,115,116,101,108,50,51,47,51,0,0,0,0,0,79,80,0,0,0,0,0,0,37,46,48,102,32,37,46,48,102,32,0,0,0,0,0,0,47,112,97,115,116,101,108,50,51,47,50,0,0,0,0,0,90,97,112,102,67,104,97,110,99,101,114,121,45,77,101,100,105,117,109,73,116,97,108,105,99,0,0,0,0,0,0,0,47,112,97,115,116,101,108,50,51,47,49,0,0,0,0,0,9,47,105,32,101,120,99,104,32,100,101,102,0,0,0,0,47,112,97,115,116,101,108,49,57,47,57,0,0,0,0,0,103,114,101,121,0,0,0,0,114,101,115,111,108,117,116,105,111,110,0,0,0,0,0,0,47,112,97,115,116,101,108,49,57,47,56,0,0,0,0,0,71,97,109,109,97,0,0,0,47,97,99,99,101,110,116,52,47,49,0,0,0,0,0,0,47,112,97,115,116,101,108,49,57,47,55,0,0,0,0,0,115,121,110,116,97,120,32,101,114,114,111,114,0,0,0,0,47,112,97,115,116,101,108,49,57,47,54,0,0,0,0,0,112,101,114,105,112,104,101,114,105,101,115,0,0,0,0,0,47,112,97,115,116,101,108,49,57,47,53,0,0,0,0,0,47,98,108,117,101,115,55,47,53,0,0,0,0,0,0,0,105,109,97,112,95,110,112,58,109,97,112,0,0,0,0,0,47,112,97,115,116,101,108,49,57,47,52,0,0,0,0,0,105,110,118,105,115,0,0,0,47,112,97,115,116,101,108,49,57,47,51,0,0,0,0,0,37,103,32,37,103,32,0,0,47,112,97,115,116,101,108,49,57,47,50,0,0,0,0,0,79,84,84,79,77,0,0,0,32,102,105,108,108,101,100,61,34,102,97,108,115,101,34,32,0,0,0,0,0,0,0,0,47,112,97,115,116,101,108,49,57,47,49,0,0,0,0,0,47,112,97,115,116,101,108,49,56,47,56,0,0,0,0,0,84,105,109,101,115,45,82,111,109,97,110,0,0,0,0,0,9,47,106,32,101,120,99,104,32,100,101,102,0,0,0,0,47,112,97,115,116,101,108,49,56,47,55,0,0,0,0,0,103,114,101,101,110,121,101,108,108,111,119,0,0,0,0,0,100,112,105,0,0,0,0,0,47,112,97,115,116,101,108,49,56,47,54,0,0,0,0,0,69,117,109,108,0,0,0,0,115,0,0,0,0,0,0,0,47,112,97,115,116,101,108,49,56,47,53,0,0,0,0,0,47,112,97,115,116,101,108,49,56,47,52,0,0,0,0,0,112,97,103,101,100,105,114,0,105,110,32,99,104,101,99,107,112,97,116,104,44,32,98,111,120,32,37,100,32,104,97,115,32,76,76,32,99,111,111,114,100,32,62,32,85,82,32,99,111,111,114,100,10,0,0,0,47,112,97,115,116,101,108,49,56,47,51,0,0,0,0,0,47,98,108,117,101,115,55,47,52,0,0,0,0,0,0,0,47,112,97,115,116,101,108,49,56,47,50,0,0,0,0,0,116,114,111,117,98,108,101,32,105,110,32,105,110,105,116,95,114,97,110,107,10,0,0,0,100,105,97,103,111,110,97,108,115,0,0,0,0,0,0,0,47,112,97,115,116,101,108,49,56,47,49,0,0,0,0,0,91,32,0,0,0,0,0,0,47,112,97,115,116,101,108,49,55,47,55,0,0,0,0,0,87,73,68,84,72,0,0,0,34,32,0,0,0,0,0,0,47,112,97,115,116,101,108,49,55,47,54,0,0,0,0,0,47,112,97,115,116,101,108,49,55,47,53,0,0,0,0,0,84,105,109,101,115,45,73,116,97,108,105,99,0,0,0,0,9,47,110,112,97,103,101,115,32,101,120,99,104,32,100,101,102,0,0,0,0,0,0,0,47,112,97,115,116,101,108,49,55,47,52,0,0,0,0,0,103,114,101,101,110,0,0,0,99,111,110,99,101,110,116,114,97,116,101,0,0,0,0,0,99,111,108,103,0,0,0,0,112,110,103,58,102,105,103,0,47,112,97,115,116,101,108,49,55,47,51,0,0,0,0,0,69,116,97,0,0,0,0,0,109,101,109,111,114,121,32,101,120,104,97,117,115,116,101,100,0,0,0,0,0,0,0,0,47,112,97,115,116,101,108,49,55,47,50,0,0,0,0,0,47,112,97,115,116,101,108,49,55,47,49,0,0,0,0,0,66,76,0,0,0,0,0,0,47,112,97,115,116,101,108,49,54,47,54,0,0,0,0,0,47,98,108,117,101,115,55,47,51,0,0,0,0,0,0,0,47,112,97,115,116,101,108,49,54,47,53,0,0,0,0,0,114,111,117,110,100,101,100,0,47,112,97,115,116,101,108,49,54,47,52,0,0,0,0,0,117,115,45,62,110,97,109,101,0,0,0,0,0,0,0,0,47,112,97,115,116,101,108,49,54,47,51,0,0,0,0,0,119,105,100,116,104,0,0,0,32,102,105,108,108,101,100,61,34,116,114,117,101,34,32,102,105,108,108,99,111,108,111,114,61,34,0,0,0,0,0,0,47,112,97,115,116,101,108,49,54,47,50,0,0,0,0,0,47,112,97,115,116,101,108,49,54,47,49,0,0,0,0,0,84,105,109,101,115,45,66,111,108,100,73,116,97,108,105,99,0,0,0,0,0,0,0,0,47,98,101,103,105,110,112,97,103,101,32,123,9,37,32,105,32,106,32,110,112,97,103,101,115,0,0,0,0,0,0,0,85,110,99,108,111,115,101,100,32,99,111,109,109,101,110,116,10,0,0,0,0,0,0,0,47,112,97,115,116,101,108,49,53,47,53,0,0,0,0,0,103,114,97,121,0,0,0,0,99,108,117,115,116,101,114,114,97,110,107,0,0,0,0,0,102,105,110,100,95,102,97,115,116,95,110,111,100,101,40,103,44,32,110,41,0,0,0,0,47,112,97,115,116,101,108,49,53,47,52,0,0,0,0,0,69,112,115,105,108,111,110,0,47,112,97,115,116,101,108,49,53,47,51,0,0,0,0,0,47,112,97,115,116,101,108,49,53,47,50,0,0,0,0,0,112,97,100,0,0,0,0,0,47,112,97,115,116,101,108,49,53,47,49,0,0,0,0,0,47,98,108,117,101,115,55,47,50,0,0,0,0,0,0,0,47,112,97,115,116,101,108,49,52,47,52,0,0,0,0,0,38,113,117,111,116,59,0,0,102,105,108,108,101,100,0,0,47,112,97,115,116,101,108,49,52,47,51,0,0,0,0,0,117,115,0,0,0,0,0,0,47,112,97,115,116,101,108,49,52,47,50,0,0,0,0,0,118,97,108,105,103,110,0,0,34,0,0,0,0,0,0,0,47,112,97,115,116,101,108,49,52,47,49,0,0,0,0,0,47,112,97,115,116,101,108,49,51,47,51,0,0,0,0,0,84,105,109,101,115,0,0,0,32,45,102,105,108,108,32,0,47,110,111,112,99,111,108,111,114,32,123,112,111,112,32,112,111,112,32,112,111,112,125,32,98,105,110,100,32,100,101,102,0,0,0,0,0,0,0,0,47,112,97,115,116,101,108,49,51,47,50,0,0,0,0,0,103,111,108,100,101,110,114,111,100,0,0,0,0,0,0,0,108,97,110,100,115,99,97,112,101,0,0,0,0,0,0,0,103,114,101,101,110,0,0,0,85,112,0,0,0,0,0,0,47,112,97,115,116,101,108,49,51,47,49,0,0,0,0,0,69,103,114,97,118,101,0,0,47,112,97,105,114,101,100,57,47,57,0,0,0,0,0,0,47,112,97,105,114,101,100,57,47,56,0,0,0,0,0,0,37,108,102,44,37,108,102,0,47,112,97,105,114,101,100,57,47,55,0,0,0,0,0,0,47,98,108,117,101,115,55,47,49,0,0,0,0,0,0,0,47,112,97,105,114,101,100,57,47,54,0,0,0,0,0,0,108,105,103,104,116,103,114,101,121,0,0,0,0,0,0,0,47,112,97,105,114,101,100,57,47,53,0,0,0,0,0,0,106,111,98,0,0,0,0,0,37,115,58,32,0,0,0,0,47,112,97,105,114,101,100,57,47,52,0,0,0,0,0,0,116,111,111,108,116,105,112,0,0,0,0,0,0,0,0,0,47,112,97,105,114,101,100,57,47,51,0,0,0,0,0,0,104,101,108,118,101,116,105,99,97,0,0,0,0,0,0,0,49,48,48,48,48,0,0,0,47,112,97,105,114,101,100,57,47,50,0,0,0,0,0,0,84,105,109,101,115,45,66,111,108,100,0,0,0,0,0,0,110,111,116,32,119,101,108,108,45,102,111,114,109,101,100,32,40,105,110,118,97,108,105,100,32,116,111,107,101,110,41,0,47,103,114,97,112,104,99,111,108,111,114,32,123,32,115,101,116,104,115,98,99,111,108,111,114,32,125,32,98,105,110,100,32,100,101,102,0,0,0,0,47,112,97,105,114,101,100,57,47,49,0,0,0,0,0,0,103,111,108,100,0,0,0,0,111,114,105,101,110,116,97,116,105,111,110,0,0,0,0,0,47,112,97,105,114,101,100,56,47,56,0,0,0,0,0,0,69,99,105,114,99,0,0,0,47,112,97,105,114,101,100,56,47,55,0,0,0,0,0,0,108,97,98,101,108,115,46,99,0,0,0,0,0,0,0,0,100,111,116,58,100,111,116,0,47,112,97,105,114,101,100,56,47,54,0,0,0,0,0,0,109,97,114,103,105,110,0,0,99,111,109,112,111,117,110,100,46,99,0,0,0,0,0,0,47,112,97,105,114,101,100,56,47,53,0,0,0,0,0,0,47,98,108,117,101,115,54,47,54,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,115,116,114,105,99,116,32,0,47,112,97,105,114,101,100,56,47,52,0,0,0,0,0,0,98,108,97,99,107,0,0,0,104,101,97,100,112,111,114,116,0,0,0,0,0,0,0,0,108,105,98,112,97,116,104,47,37,115,58,37,100,58,32,37,115,10,0,0,0,0,0,0,47,112,97,105,114,101,100,56,47,51,0,0,0,0,0,0,0,0,0,0,0,0,0,0,103,118,108,111,97,100,105,109,97,103,101,95,99,111,114,101,46,99,0,0,0,0,0,0,47,112,97,105,114,101,100,56,47,50,0,0,0,0,0,0,37,115,32,105,110,32,108,105,110,101,32,37,100,32,10,0,116,105,116,108,101,0,0,0,99,32,0,0,0,0,0,0,47,112,97,105,114,101,100,56,47,49,0,0,0,0,0,0,0,0,0,0,0,0,0,0,99,97,110,39,116,32,111,112,101,110,32,108,105,98,114,97,114,121,32,102,105,108,101,32,37,115,10,0,0,0,0,0,37,100,32,112,111,108,121,108,105,110,101,115,32,37,100,32,112,111,105,110,116,115,10,0,47,112,97,105,114,101,100,55,47,55,0,0,0,0,0,0,102,97,110,116,97,115,121,0,47,101,100,103,101,99,111,108,111,114,32,123,32,115,101,116,104,115,98,99,111,108,111,114,32,125,32,98,105,110,100,32,100,101,102,0,0,0,0,0,47,112,97,105,114,101,100,55,47,54,0,0,0,0,0,0,103,104,111,115,116,119,104,105,116,101,0,0,0,0,0,0,114,111,116,97,116,101,0,0,97,113,117,97,109,97,114,105,110,101,0,0,0,0,0,0,47,112,97,105,114,101,100,55,47,53,0,0,0,0,0,0,69,97,99,117,116,101,0,0,47,112,97,105,114,101,100,55,47,52,0,0,0,0,0,0,47,112,97,105,114,101,100,55,47,51,0,0,0,0,0,0,58,9,32,0,0,0,0,0,47,112,97,105,114,101,100,55,47,50,0,0,0,0,0,0,47,98,108,117,101,115,54,47,53,0,0,0,0,0,0,0,1,112,114,111,116,111,0,0,47,112,97,105,114,101,100,55,47,49,0,0,0,0,0,0,0,0,0,0,0,0,0,0,47,112,97,105,114,101,100,54,47,54,0,0,0,0,0,0,32,47,62,10,0,0,0,0,47,112,97,105,114,101,100,54,47,53,0,0,0,0,0,0,116,97,114,103,101,116,0,0,37,115,37,46,48,102,44,37,46,48,102,32,0,0,0,0,47,112,97,105,114,101,100,54,47,52,0,0,0,0,0,0,47,112,97,105,114,101,100,54,47,51,0,0,0,0,0,0,83,121,109,98,111,108,0,0,97,103,104,101,97,100,40,101,41,32,61,61,32,85,70,95,102,105,110,100,40,97,103,104,101,97,100,40,101,41,41,0,47,110,111,100,101,99,111,108,111,114,32,123,32,115,101,116,104,115,98,99,111,108,111,114,32,125,32,98,105,110,100,32,100,101,102,0,0,0,0,0,47,112,97,105,114,101,100,54,47,50,0,0,0,0,0,0,103,97,105,110,115,98,111,114,111,0,0,0,0,0,0,0,34,37,115,34,32,119,97,115,32,110,111,116,32,102,111,117,110,100,32,97,115,32,97,32,102,105,108,101,32,111,114,32,97,115,32,97,32,115,104,97,112,101,32,108,105,98,114,97,114,121,32,109,101,109,98,101,114,10,0,0,0,0,0,0,99,101,110,116,101,114,0,0,110,101,120,116,35,105,116,101,114,61,37,100,10,0,0,0,47,112,97,105,114,101,100,54,47,49,0,0,0,0,0,0,80,97,116,104,32,112,114,111,118,105,100,101,100,32,116,111,32,102,105,108,101,58,32,34,37,115,34,32,104,97,115,32,98,101,101,110,32,105,103,110,111,114,101,100,32,98,101,99,97,117,115,101,32,102,105,108,101,115,32,97,114,101,32,111,110,108,121,32,112,101,114,109,105,116,116,101,100,32,116,111,32,98,101,32,108,111,97,100,101,100,32,102,114,111,109,32,116,104,101,32,100,105,114,101,99,116,111,114,105,101,115,32,105,110,32,34,37,115,34,32,119,104,101,110,32,114,117,110,110,105,110,103,32,105,110,32,97,110,32,104,116,116,112,32,115,101,114,118,101,114,46,10,0,0,0,0,0,0,0,0,109,97,114,107,0,0,0,0,69,84,72,0,0,0,0,0,99,97,110,110,111,116,32,109,97,108,108,111,99,32,111,112,115,0,0,0,0,0,0,0,47,112,97,105,114,101,100,53,47,53,0,0,0,0,0,0,105,110,118,105,115,0,0,0,47,112,97,105,114,101,100,53,47,52,0,0,0,0,0,0,108,97,121,101,114,115,101,112,0,0,0,0,0,0,0,0,47,112,97,105,114,101,100,53,47,51,0,0,0,0,0,0,47,98,108,117,101,115,54,47,52,0,0,0,0,0,0,0,47,112,97,105,114,101,100,53,47,50,0,0,0,0,0,0,110,111,100,101,32,37,115,44,32,112,111,114,116,32,37,115,32,117,110,114,101,99,111,103,110,105,122,101,100,10,0,0,47,112,97,105,114,101,100,53,47,49,0,0,0,0,0,0,60,118,58,105,109,97,103,101,32,115,114,99,61,34,37,115,34,32,115,116,121,108,101,61,34,32,112,111,115,105,116,105,111,110,58,97,98,115,111,108,117,116,101,59,32,119,105,100,116,104,58,37,46,50,102,59,32,104,101,105,103,104,116,58,37,46,50,102,59,32,108,101,102,116,58,37,46,50,102,32,59,32,116,111,112,58,37,46,50,102,34,0,0,0,0,0,47,112,97,105,114,101,100,52,47,52,0,0,0,0,0,0,114,111,119,115,112,97,110,0,109,32,0,0,0,0,0,0,47,112,97,105,114,101,100,52,47,51,0,0,0,0,0,0,47,112,97,105,114,101,100,52,47,50,0,0,0,0,0,0,80,97,108,97,116,105,110,111,45,82,111,109,97,110,0,0,37,32,104,111,111,107,115,32,102,111,114,32,115,101,116,116,105,110,103,32,99,111,108,111,114,32,0,0,0,0,0,0,47,112,97,105,114,101,100,52,47,49,0,0,0,0,0,0,102,117,99,104,115,105,97,0,112,97,103,101,0,0,0,0,47,112,97,105,114,101,100,51,47,51,0,0,0,0,0,0,68,101,108,116,97,0,0,0,47,112,97,105,114,101,100,51,47,50,0,0,0,0,0,0,47,112,97,105,114,101,100,51,47,49,0,0,0,0,0,0,108,97,121,101,114,115,0,0,100,111,116,0,0,0,0,0,47,112,97,105,114,101,100,49,50,47,57,0,0,0,0,0,47,98,108,117,101,115,54,47,51,0,0,0,0,0,0,0,47,112,97,105,114,101,100,49,50,47,56,0,0,0,0,0,110,111,100,101,32,37,115,44,32,112,111,114,116,32,37,115,44,32,117,110,114,101,99,111,103,110,105,122,101,100,32,99,111,109,112,97,115,115,32,112,111,105,110,116,32,39,37,115,39,32,45,32,105,103,110,111,114,101,100,10,0,0,0,0,47,112,97,105,114,101,100,49,50,47,55,0,0,0,0,0,106,112,103,58,118,109,108,0,47,112,97,105,114,101,100,49,50,47,54,0,0,0,0,0,112,111,114,116,0,0,0,0,47,62,60,47,118,58,115,104,97,112,101,62,10,0,0,0,47,112,97,105,114,101,100,49,50,47,53,0,0,0,0,0,47,112,97,105,114,101,100,49,50,47,52,0,0,0,0,0,80,97,108,97,116,105,110,111,45,73,116,97,108,105,99,0,47,100,105,97,103,111,110,97,108,115,32,123,32,125,32,98,105,110,100,32,100,101,102,0,47,112,97,105,114,101,100,49,50,47,51,0,0,0,0,0,102,111,114,101,115,116,103,114,101,101,110,0,0,0,0,0,115,105,122,101,0,0,0,0,47,112,97,105,114,101,100,49,50,47,50,0,0,0,0,0,68,97,103,103,101,114,0,0,47,112,97,105,114,101,100,49,50,47,49,50,0,0,0,0,47,112,97,105,114,101,100,49,50,47,49,49,0,0,0,0,100,103,101,115,102,105,114,115,116,0,0,0,0,0,0,0,47,112,97,105,114,101,100,49,50,47,49,48,0,0,0,0,47,98,108,117,101,115,54,47,50,0,0,0,0,0,0,0,47,112,97,105,114,101,100,49,50,47,49,0,0,0,0,0,95,0,0,0,0,0,0,0,47,112,97,105,114,101,100,49,49,47,57,0,0,0,0,0,106,112,101,58,118,109,108,0,47,112,97,105,114,101,100,49,49,47,56,0,0,0,0,0,105,100,0,0,0,0,0,0,115,117,114,112,114,105,115,101,10,0,0,0,0,0,0,0,60,118,58,112,97,116,104,32,32,118,61,34,0,0,0,0,47,112,97,105,114,101,100,49,49,47,55,0,0,0,0,0,47,112,97,105,114,101,100,49,49,47,54,0,0,0,0,0,80,97,108,97,116,105,110,111,45,66,111,108,100,73,116,97,108,105,99,0,0,0,0,0,47,114,111,117,110,100,101,100,32,123,32,125,32,98,105,110,100,32,100,101,102,0,0,0,47,112,97,105,114,101,100,49,49,47,53,0,0,0,0,0,102,108,111,114,97,108,119,104,105,116,101,0,0,0,0,0,102,111,110,116,110,97,109,101,115,0,0,0,0,0,0,0,47,112,97,105,114,101,100,49,49,47,52,0,0,0,0,0,67,104,105,0,0,0,0,0,47,97,99,99,101,110,116,51,47,51,0,0,0,0,0,0,47,112,97,105,114,101,100,49,49,47,51,0,0,0,0,0,47,112,97,105,114,101,100,49,49,47,50,0,0,0,0,0,111,100,101,115,102,105,114,115,116,0,0,0,0,0,0,0,47,112,97,105,114,101,100,49,49,47,49,49,0,0,0,0,47,98,108,117,101,115,54,47,49,0,0,0,0,0,0,0,47,112,97,105,114,101,100,49,49,47,49,48,0,0,0,0,37,46,53,103,32,37,46,53,103,32,116,114,97,110,115,108,97,116,101,32,110,101,119,112,97,116,104,32,117,115,101,114,95,115,104,97,112,101,95,37,100,10,0,0,0,0,0,0,99,109,97,112,120,58,109,97,112,0,0,0,0,0,0,0,47,112,97,105,114,101,100,49,49,47,49,0,0,0,0,0,106,112,101,103,58,118,109,108,0,0,0,0,0,0,0,0,47,112,97,105,114,101,100,49,48,47,57,0,0,0,0,0,104,114,101,102,0,0,0,0,32,62,0,0,0,0,0,0,47,112,97,105,114,101,100,49,48,47,56,0,0,0,0,0,47,112,97,105,114,101,100,49,48,47,55,0,0,0,0,0,80,97,108,97,116,105,110,111,32,76,105,110,111,116,121,112,101,0,0,0,0,0,0,0,47,117,110,102,105,108,108,101,100,32,123,32,125,32,98,105,110,100,32,100,101,102,0,0,47,112,97,105,114,101,100,49,48,47,54,0,0,0,0,0,102,97,115,116,103,114,46,99,0,0,0,0,0,0,0,0,102,105,114,101,98,114,105,99,107,0,0,0,0,0,0,0,115,104,111,119,98,111,120,101,115,0,0,0,0,0,0,0,38,97,109,112,59,0,0,0,47,112,97,105,114,101,100,49,48,47,53,0,0,0,0,0,67,99,101,100,105,108,0,0,99,117,115,116,111,109,0,0,47,112,97,105,114,101,100,49,48,47,52,0,0,0,0,0,47,112,97,105,114,101,100,49,48,47,51,0,0,0,0,0,111,117,116,112,117,116,111,114,100,101,114,0,0,0,0,0,105,110,32,99,104,101,99,107,112,97,116,104,44,32,98,111,120,32,48,32,104,97,115,32,76,76,32,99,111,111,114,100,32,62,32,85,82,32,99,111,111,114,100,10,0,0,0,0,47,112,97,105,114,101,100,49,48,47,50,0,0,0,0,0,47,98,108,117,101,115,53,47,53,0,0,0,0,0,0,0,47,112,97,105,114,101,100,49,48,47,49,48,0,0,0,0,37,115,37,100,32,110,111,100,101,115,32,37,100,32,101,100,103,101,115,32,37,100,32,105,116,101,114,32,37,46,50,102,32,115,101,99,10,0,0,0,77,114,101,99,111,114,100,0,47,112,97,105,114,101,100,49,48,47,49,0,0,0,0,0,103,105,102,58,118,109,108,0,47,111,114,114,100,57,47,57,0,0,0,0,0,0,0,0,104,101,105,103,104,116,0,0,32,119,105,100,116,104,58,32,37,100,59,32,104,101,105,103,104,116,58,32,37,100,34,0,47,111,114,114,100,57,47,56,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,47,111,114,114,100,57,47,55,0,0,0,0,0,0,0,0,80,97,108,97,116,105,110,111,45,66,111,108,100,0,0,0,47,102,105,108,108,101,100,32,123,32,125,32,98,105,110,100,32,100,101,102,0,0,0,0,47,111,114,114,100,57,47,54,0,0,0,0,0,0,0,0,100,111,100,103,101,114,98,108,117,101,0,0,0,0,0,0,101,113,117,97,108,108,121,0,114,111,119,103,0,0,0,0,106,112,103,58,115,118,103,0,47,111,114,114,100,57,47,53,0,0,0,0,0,0,0,0,66,101,116,97,0,0,0,0,47,111,114,114,100,57,47,52,0,0,0,0,0,0,0,0,47,111,114,114,100,57,47,51,0,0,0,0,0,0,0,0,37,108,102,44,37,108,102,44,37,108,102,44,37,108,102,44,37,108,102,0,0,0,0,0,47,111,114,114,100,57,47,50,0,0,0,0,0,0,0,0,47,98,108,117,101,115,53,47,52,0,0,0,0,0,0,0,47,111,114,114,100,57,47,49,0,0,0,0,0,0,0,0,114,101,99,111,114,100,0,0,47,111,114,114,100,56,47,56,0,0,0,0,0,0,0,0,112,110,103,58,118,109,108,0,47,111,114,114,100,56,47,55,0,0,0,0,0,0,0,0,102,105,120,101,100,115,105,122,101,0,0,0,0,0,0,0,48,0,0,0,0,0,0,0,47,111,114,114,100,56,47,54,0,0,0,0,0,0,0,0,47,111,114,114,100,56,47,53,0,0,0,0,0,0,0,0,114,111,109,97,110,0,0,0,47,98,111,108,100,32,123,32,50,32,115,101,116,108,105,110,101,119,105,100,116,104,32,125,32,98,105,110,100,32,100,101,102,0,0,0,0,0,0,0,76,97,98,101,108,32,99,108,111,115,101,100,32,98,101,102,111,114,101,32,101,110,100,32,111,102,32,72,84,77,76,32,101,108,101,109,101,110,116,10,0,0,0,0,0,0,0,0,47,111,114,114,100,56,47,52,0,0,0,0,0,0,0,0,100,105,109,103,114,101,121,0,114,97,110,107,115,101,112,0,47,111,114,114,100,56,47,51,0,0,0,0,0,0,0,0,65,117,109,108,0,0,0,0,78,68,95,110,101,120,116,40,118,41,32,61,61,32,78,85,76,76,0,0,0,0,0,0,47,111,114,114,100,56,47,50,0,0,0,0,0,0,0,0,47,111,114,114,100,56,47,49,0,0,0,0,0,0,0,0,37,108,102,44,37,108,102,44,37,108,102,44,37,91,94,44,93,37,115,0,0,0,0,0,47,111,114,114,100,55,47,55,0,0,0,0,0,0,0,0,47,98,108,117,101,115,53,47,51,0,0,0,0,0,0,0,47,111,114,114,100,55,47,54,0,0,0,0,0,0,0,0,38,35,49,54,48,59,0,0,77,99,105,114,99,108,101,0,47,111,114,114,100,55,47,53,0,0,0,0,0,0,0,0,115,118,103,58,115,118,103,0,47,111,114,114,100,55,47,52,0,0,0,0,0,0,0,0,99,111,108,115,112,97,110,0,103,118,114,101,110,100,101,114,95,99,111,114,101,95,118,109,108,46,99,0,0,0,0,0,47,111,114,114,100,55,47,51,0,0,0,0,0,0,0,0,47,111,114,114,100,55,47,50,0,0,0,0,0,0,0,0,78,101,119,67,101,110,116,117,114,121,83,99,104,108,98,107,45,82,111,109,97,110,0,0,32,99,114,101,97,116,101,32,108,105,110,101,32,0,0,0,47,105,110,118,105,115,32,123,47,102,105,108,108,32,123,110,101,119,112,97,116,104,125,32,100,101,102,32,47,115,116,114,111,107,101,32,123,110,101,119,112,97,116,104,125,32,100,101,102,32,47,115,104,111,119,32,123,112,111,112,32,110,101,119,112,97,116,104,125,32,100,101,102,125,32,98,105,110,100,32,100,101,102,0,0,0,0,0,47,111,114,114,100,55,47,49,0,0,0,0,0,0,0,0,100,105,109,103,114,97,121,0,110,111,100,101,115,101,112,0,47,111,114,114,100,54,47,54,0,0,0,0,0,0,0,0,65,116,105,108,100,101,0,0,99,121,97,110,0,0,0,0,75,80,95,82,105,103,104,116,0,0,0,0,0,0,0,0,47,111,114,114,100,54,47,53,0,0,0,0,0,0,0,0,47,111,114,114,100,54,47,52,0,0,0,0,0,0,0,0,37,108,102,44,37,108,102,44,37,108,102,44,39,37,91,94,39,93,39,0,0,0,0,0,47,111,114,114,100,54,47,51,0,0,0,0,0,0,0,0,47,98,108,117,101,115,53,47,50,0,0,0,0,0,0,0,47,111,114,114,100,54,47,50,0,0,0,0,0,0,0,0,77,115,113,117,97,114,101,0,47,111,114,114,100,54,47,49,0,0,0,0,0,0,0,0,115,118,103,58,120,100,111,116,0,0,0,0,0,0,0,0,47,111,114,114,100,53,47,53,0,0,0,0,0,0,0,0,99,101,108,108,115,112,97,99,105,110,103,0,0,0,0,0,60,117,110,107,110,111,119,110,62,0,0,0,0,0,0,0,35,37,48,50,120,37,48,50,120,37,48,50,120,0,0,0,47,111,114,114,100,53,47,52,0,0,0,0,0,0,0,0,97,114,105,97,108,0,0,0,47,111,114,114,100,53,47,51,0,0,0,0,0,0,0,0,78,101,119,67,101,110,116,117,114,121,83,99,104,108,98,107,45,73,116,97,108,105,99,0,115,111,117,114,99,101,0,0,110,111,32,101,108,101,109,101,110,116,32,102,111,117,110,100,0,0,0,0,0,0,0,0,47,100,111,116,116,101,100,32,123,32,91,49,32,73,110,118,83,99,97,108,101,70,97,99,116,111,114,32,109,117,108,32,54,32,73,110,118,83,99,97,108,101,70,97,99,116,111,114,32,109,117,108,93,32,48,32,115,101,116,100,97,115,104,32,125,32,98,105,110,100,32,100,101,102,0,0,0,0,0,0,47,111,114,114,100,53,47,50,0,0,0,0,0,0,0,0,100,101,101,112,115,107,121,98,108,117,101,0,0,0,0,0,82,76,0,0,0,0,0,0,47,111,114,114,100,53,47,49,0,0,0,0,0,0,0,0,65,114,105,110,103,0,0,0,47,111,114,114,100,52,47,52,0,0,0,0,0,0,0,0,45,45,0,0,0,0,0,0,120,100,111,116,0,0,0,0,47,111,114,114,100,52,47,51,0,0,0,0,0,0,0,0,118,105,101,119,112,111,114,116,0,0,0,0,0,0,0,0,99,108,117,115,116,101,114,46,99,0,0,0,0,0,0,0,47,111,114,114,100,52,47,50,0,0,0,0,0,0,0,0,47,98,108,117,101,115,53,47,49,0,0,0,0,0,0,0,47,111,114,114,100,52,47,49,0,0,0,0,0,0,0,0,116,97,105,108,112,111,114,116,0,0,0,0,0,0,0,0,77,100,105,97,109,111,110,100,0,0,0,0,0,0,0,0,116,107,0,0,0,0,0,0,84,97,114,103,101,116,32,65,82,32,61,32,37,103,10,0,99,97,110,110,111,116,32,102,105,110,100,32,116,114,105,97,110,103,108,101,32,112,97,116,104,0,0,0,0,0,0,0,32,45,45,32,0,0,0,0,47,111,114,114,100,51,47,51,0,0,0,0,0,0,0,0,98,108,97,99,107,0,0,0,101,112,115,58,120,100,111,116,0,0,0,0,0,0,0,0,47,111,114,114,100,51,47,50,0,0,0,0,0,0,0,0,99,101,108,108,112,97,100,100,105,110,103,0,0,0,0,0,110,111,110,101,0,0,0,0,98,98,0,0,0,0,0,0,114,0,0,0,0,0,0,0,47,111,114,114,100,51,47,49,0,0,0,0,0,0,0,0,47,111,114,97,110,103,101,115,57,47,57,0,0,0,0,0,37,100,32,112,111,108,121,103,111,110,115,32,37,100,32,112,111,105,110,116,115,10,0,0,78,101,119,67,101,110,116,117,114,121,83,99,104,108,98,107,45,66,111,108,100,73,116,97,108,105,99,0,0,0,0,0,47,100,97,115,104,101,100,32,123,32,91,57,32,73,110,118,83,99,97,108,101,70,97,99,116,111,114,32,109,117,108,32,100,117,112,32,93,32,48,32,115,101,116,100,97,115,104,32,125,32,98,105,110,100,32,100,101,102,0,0,0,0,0,0,47,111,114,97,110,103,101,115,57,47,56,0,0,0,0,0,100,101,101,112,112,105,110,107,0,0,0,0,0,0,0,0,66,84,0,0,0,0,0,0,47,111,114,97,110,103,101,115,57,47,55,0,0,0,0,0,97,113,117,97,0,0,0,0,65,108,112,104,97,0,0,0,47,111,114,97,110,103,101,115,57,47,54,0,0,0,0,0,47,111,114,97,110,103,101,115,57,47,53,0,0,0,0,0,112,97,103,101,100,105,114,61,37,115,32,105,103,110,111,114,101,100,10,0,0,0,0,0,47,111,114,97,110,103,101,115,57,47,52,0,0,0,0,0,47,98,108,117,101,115,52,47,52,0,0,0,0,0,0,0,47,111,114,97,110,103,101,115,57,47,51,0,0,0,0,0,105,110,118,104,111,117,115,101,0,0,0,0,0,0,0,0,37,115,32,45,62,32,37,115,58,32,116,97,105,108,32,105,115,32,105,110,115,105,100,101,32,104,101,97,100,32,99,108,117,115,116,101,114,32,37,115,10,0,0,0,0,0,0,0,101,100,103,101,0,0,0,0,47,111,114,97,110,103,101,115,57,47,50,0,0,0,0,0])
    904 .concat([112,115,58,120,100,111,116,0,47,111,114,97,110,103,101,115,57,47,49,0,0,0,0,0,98,111,114,100,101,114,0,0,34,32,47,62,0,0,0,0,47,111,114,97,110,103,101,115,56,47,56,0,0,0,0,0,47,111,114,97,110,103,101,115,56,47,55,0,0,0,0,0,67,101,110,116,117,114,121,32,83,99,104,111,111,108,98,111,111,107,32,76,0,0,0,0,40,78,68,95,85,70,95,115,105,122,101,40,110,41,32,60,61,32,49,41,32,124,124,32,40,110,32,61,61,32,108,101,97,100,101,114,41,0,0,0,112,114,101,102,105,120,32,109,117,115,116,32,110,111,116,32,98,101,32,98,111,117,110,100,32,116,111,32,111,110,101,32,111,102,32,116,104,101,32,114,101,115,101,114,118,101,100,32,110,97,109,101,115,112,97,99,101,32,110,97,109,101,115,0,47,115,111,108,105,100,32,123,32,91,93,32,48,32,115,101,116,100,97,115,104,32,125,32,98,105,110,100,32,100,101,102,0,0,0,0,0,0,0,0,47,111,114,97,110,103,101,115,56,47,54,0,0,0,0,0,108,111,97,100,105,109,97,103,101,0,0,0,0,0,0,0,76,82,0,0,0,0,0,0,100,97,114,107,118,105,111,108,101,116,0,0,0,0,0,0,37,115,32,119,104,105,108,101,32,111,112,101,110,105,110,103,32,37,115,10,0,0,0,0,47,111,114,97,110,103,101,115,56,47,53,0,0,0,0,0,71,111,105,110,103,32,116,111,32,97,112,112,108,121,32,97,110,111,116,104,101,114,32,101,120,112,97,110,115,105,111,110,46,10,0,0,0,0,0,0,58,0,0,0,0,0,0,0,47,115,101,116,117,112,76,97,116,105,110,49,32,123,0,0,65,103,114,97,118,101,0,0,47,111,114,97,110,103,101,115,56,47,52,0,0,0,0,0,100,111,116,116,101,100,0,0,47,111,114,97,110,103,101,115,56,47,51,0,0,0,0,0,114,101,110,100,101,114,101,114,32,102,111,114,32,37,115,32,105,115,32,117,110,97,118,97,105,108,97,98,108,101,10,0,47,111,114,97,110,103,101,115,56,47,50,0,0,0,0,0,47,98,108,117,101,115,52,47,51,0,0,0,0,0,0,0,47,111,114,97,110,103,101,115,56,47,49,0,0,0,0,0,105,110,118,116,114,97,112,101,122,105,117,109,0,0,0,0,47,111,114,97,110,103,101,115,55,47,55,0,0,0,0,0,95,37,100,0,0,0,0,0,106,112,103,58,120,100,111,116,0,0,0,0,0,0,0,0,47,111,114,97,110,103,101,115,55,47,54,0,0,0,0,0,98,103,99,111,108,111,114,0,34,32,100,97,115,104,115,116,121,108,101,61,34,100,111,116,0,0,0,0,0,0,0,0,47,111,114,97,110,103,101,115,55,47,53,0,0,0,0,0,41,10,0,0,0,0,0,0,47,111,114,97,110,103,101,115,55,47,52,0,0,0,0,0,78,101,119,67,101,110,116,117,114,121,83,99,104,108,98,107,45,66,111,108,100,0,0,0,114,101,115,101,114,118,101,100,32,112,114,101,102,105,120,32,40,120,109,108,110,115,41,32,109,117,115,116,32,110,111,116,32,98,101,32,100,101,99,108,97,114,101,100,32,111,114,32,117,110,100,101,99,108,97,114,101,100,0,0,0,0,0,0,37,32,115,116,121,108,101,115,0,0,0,0,0,0,0,0,47,111,114,97,110,103,101,115,55,47,51,0,0,0,0,0,100,101,118,105,99,101,0,0,114,97,110,107,100,105,114,0,100,97,114,107,116,117,114,113,117,111,105,115,101,0,0,0,47,111,114,97,110,103,101,115,55,47,50,0,0,0,0,0,65,99,105,114,99,0,0,0,47,111,114,97,110,103,101,115,55,47,49,0,0,0,0,0,47,111,114,97,110,103,101,115,54,47,54,0,0,0,0,0,108,97,121,111,117,116,32,119,97,115,32,110,111,116,32,100,111,110,101,10,0,0,0,0,100,105,97,109,111,110,100,0,47,111,114,97,110,103,101,115,54,47,53,0,0,0,0,0,47,98,108,117,101,115,52,47,50,0,0,0,0,0,0,0,47,111,114,97,110,103,101,115,54,47,52,0,0,0,0,0,105,110,118,116,114,105,97,110,103,108,101,0,0,0,0,0,47,111,114,97,110,103,101,115,54,47,51,0,0,0,0,0,50,48,0,0,0,0,0,0,106,112,101,58,120,100,111,116,0,0,0,0,0,0,0,0,47,111,114,97,110,103,101,115,54,47,50,0,0,0,0,0,98,97,108,105,103,110,0,0,34,32,100,97,115,104,115,116,121,108,101,61,34,100,97,115,104,0,0,0,0,0,0,0,47,111,114,97,110,103,101,115,54,47,49,0,0,0,0,0,32,40,0,0,0,0,0,0,47,111,114,97,110,103,101,115,53,47,53,0,0,0,0,0,72,101,108,118,101,116,105,99,97,45,79,98,108,105,113,117,101,0,0,0,0,0,0,0,114,101,115,101,114,118,101,100,32,112,114,101,102,105,120,32,40,120,109,108,41,32,109,117,115,116,32,110,111,116,32,98,101,32,117,110,100,101,99,108,97,114,101,100,32,111,114,32,98,111,117,110,100,32,116,111,32,97,110,111,116,104,101,114,32,110,97,109,101,115,112,97,99,101,32,110,97,109,101,0,32,32,32,32,32,32,32,115,99,97,108,101,0,0,0,0,47,111,114,97,110,103,101,115,53,47,52,0,0,0,0,0,116,101,120,116,108,97,121,111,117,116,0,0,0,0,0,0,113,117,97,110,116,117,109,0,100,97,114,107,115,108,97,116,101,103,114,101,121,0,0,0,47,111,114,97,110,103,101,115,53,47,51,0,0,0,0,0,65,97,99,117,116,101,0,0,47,111,114,97,110,103,101,115,53,47,50,0,0,0,0,0,47,111,114,97,110,103,101,115,53,47,49,0,0,0,0,0,76,97,121,111,117,116,32,119,97,115,32,110,111,116,32,100,111,110,101,46,32,32,77,105,115,115,105,110,103,32,108,97,121,111,117,116,32,112,108,117,103,105,110,115,63,32,10,0,47,111,114,97,110,103,101,115,52,47,52,0,0,0,0,0,47,98,108,117,101,115,52,47,49,0,0,0,0,0,0,0,47,111,114,97,110,103,101,115,52,47,51,0,0,0,0,0,116,114,105,112,108,101,111,99,116,97,103,111,110,0,0,0,47,111,114,97,110,103,101,115,52,47,50,0,0,0,0,0,49,57,0,0,0,0,0,0,106,112,101,103,58,120,100,111,116,0,0,0,0,0,0,0,47,111,114,97,110,103,101,115,52,47,49,0,0,0,0,0,60,84,68,62,0,0,0,0,34,32,119,101,105,103,104,116,61,34,37,46,48,102,112,116,0,0,0,0,0,0,0,0,47,111,114,97,110,103,101,115,51,47,51,0,0,0,0,0,32,118,101,114,115,105,111,110,32,0,0,0,0,0,0,0,71,68,95,114,97,110,107,40,103,41,91,114,93,46,110,32,60,61,32,71,68,95,114,97,110,107,40,103,41,91,114,93,46,97,110,0,0,0,0,0,47,111,114,97,110,103,101,115,51,47,50,0,0,0,0,0,72,101,108,118,101,116,105,99,97,45,78,97,114,114,111,119,45,79,98,108,105,113,117,101,0,0,0,0,0,0,0,0,99,97,110,110,111,116,32,115,117,115,112,101,110,100,32,105,110,32,101,120,116,101,114,110,97,108,32,112,97,114,97,109,101,116,101,114,32,101,110,116,105,116,121,0,0,0,0,0,32,32,32,32,32,32,32,100,117,112,32,49,32,101,120,99,104,32,100,105,118,32,47,73,110,118,83,99,97,108,101,70,97,99,116,111,114,32,101,120,99,104,32,100,101,102,0,0,47,111,114,97,110,103,101,115,51,47,49,0,0,0,0,0,100,101,115,116,105,110,97,116,105,111,110,32,112,111,105,110,116,32,110,111,116,32,105,110,32,97,110,121,32,116,114,105,97,110,103,108,101,0,0,0,108,97,121,111,117,116,0,0,71,68,70,79,78,84,80,65,84,72,61,0,0,0,0,0,100,97,114,107,115,108,97,116,101,103,114,97,121,0,0,0,47,103,114,101,121,115,57,47,57,0,0,0,0,0,0,0,65,69,108,105,103,0,0,0,47,103,114,101,121,115,57,47,56,0,0,0,0,0,0,0,47,97,99,99,101,110,116,51,47,50,0,0,0,0,0,0,47,103,114,101,121,115,57,47,55,0,0,0,0,0,0,0,67,0,0,0,0,0,0,0,114,101,110,100,101,114,0,0,47,103,114,101,121,115,57,47,54,0,0,0,0,0,0,0,47,98,108,117,101,115,51,47,51,0,0,0,0,0,0,0,115,121,110,116,97,120,32,101,114,114,111,114,44,32,115,116,97,116,101,109,101,110,116,32,115,107,105,112,112,101,100,0,47,103,114,101,121,115,57,47,53,0,0,0,0,0,0,0,100,111,117,98,108,101,111,99,116,97,103,111,110,0,0,0,47,103,114,101,121,115,57,47,52,0,0,0,0,0,0,0,49,56,0,0,0,0,0,0,103,105,102,58,120,100,111,116,0,0,0,0,0,0,0,0,105,109,97,112,58,109,97,112,0,0,0,0,0,0,0,0,47,103,114,101,121,115,57,47,51,0,0,0,0,0,0,0,37,115,32,118,97,108,117,101,32,37,115,32,60,32,37,100,32,45,32,116,111,111,32,115,109,97,108,108,32,45,32,105,103,110,111,114,101,100,0,0,60,118,58,115,116,114,111,107,101,32,99,111,108,111,114,61,34,0,0,0,0,0,0,0,47,103,114,101,121,115,57,47,50,0,0,0,0,0,0,0,35,32,71,101,110,101,114,97,116,101,100,32,98,121,32,0,47,103,114,101,121,115,57,47,49,0,0,0,0,0,0,0,72,101,108,118,101,116,105,99,97,45,78,97,114,114,111,119,45,66,111,108,100,79,98,108,105,113,117,101,0,0,0,0,112,97,114,115,105,110,103,32,102,105,110,105,115,104,101,100,0,0,0,0,0,0,0,0,32,50,10,0,0,0,0,0,47,103,114,101,121,115,56,47,56,0,0,0,0,0,0,0,78,68,95,114,97,110,107,40,102,114,111,109,41,32,60,32,78,68,95,114,97,110,107,40,116,111,41,0,0,0,0,0,68,79,84,70,79,78,84,80,65,84,72,0,0,0,0,0,81,0,0,0,0,0,0,0,100,97,114,107,115,108,97,116,101,98,108,117,101,0,0,0,47,103,114,101,121,115,56,47,55,0,0,0,0,0,0,0,98,122,46,115,105,122,101,0,47,115,101,116,95,115,99,97,108,101,32,123,0,0,0,0,101,112,115,102,0,0,0,0,47,103,114,101,121,115,56,47,54,0,0,0,0,0,0,0,47,103,114,101,121,115,56,47,53,0,0,0,0,0,0,0,117,110,109,97,116,99,104,101,100,32,39,40,39,32,105,110,32,115,116,121,108,101,58,32,37,115,10,0,0,0,0,0,105,110,32,114,111,117,116,101,115,112,108,105,110,101,115,44,32,99,97,110,110,111,116,32,102,105,110,100,32,78,79,82,77,65,76,32,101,100,103,101,10,0,0,0,0,0,0,0,47,103,114,101,121,115,56,47,52,0,0,0,0,0,0,0,47,98,108,117,101,115,51,47,50,0,0,0,0,0,0,0,47,103,114,101,121,115,56,47,51,0,0,0,0,0,0,0,37,100,32,0,0,0,0,0,100,111,117,98,108,101,99,105,114,99,108,101,0,0,0,0,47,103,114,101,121,115,56,47,50,0,0,0,0,0,0,0,49,55,0,0,0,0,0,0,112,110,103,58,120,100,111,116,0,0,0,0,0,0,0,0,47,103,114,101,121,115,56,47,49,0,0,0,0,0,0,0,37,115,32,118,97,108,117,101,32,37,115,32,62,32,37,100,32,45,32,116,111,111,32,108,97,114,103,101,32,45,32,105,103,110,111,114,101,100,0,0,60,47,118,58,115,104,97,112,101,62,10,0,0,0,0,0,47,103,114,101,121,115,55,47,55,0,0,0,0,0,0,0,32,80,97,103,101,115,58,32,37,100,10,0,0,0,0,0,47,103,114,101,121,115,55,47,54,0,0,0,0,0,0,0,72,101,108,118,101,116,105,99,97,45,78,97,114,114,111,119,45,66,111,108,100,0,0,0,112,97,114,115,105,110,103,32,97,98,111,114,116,101,100,0,85,115,105,110,103,32,37,115,58,32,37,115,58,37,115,10,0,0,0,0,0,0,0,0,49,50,48,48,0,0,0,0,47,103,114,101,121,115,55,47,53,0,0,0,0,0,0,0,102,111,110,116,112,97,116,104,0,0,0,0,0,0,0,0,100,97,114,107,115,101,97,103,114,101,101,110,0,0,0,0,37,100,0,0,0,0,0,0,106,112,101,58,115,118,103,0,47,103,114,101,121,115,55,47,52,0,0,0,0,0,0,0,120,109,108,0,0,0,0,0,115,112,108,105,110,101,115,0,47,73,110,118,83,99,97,108,101,70,97,99,116,111,114,32,49,46,48,32,100,101,102,0,34,32,110,97,109,101,61,34,0,0,0,0,0,0,0,0,47,103,114,101,121,115,55,47,51,0,0,0,0,0,0,0,47,103,114,101,121,115,55,47,50,0,0,0,0,0,0,0,116,114,117,110,99,97,116,105,110,103,32,115,116,121,108,101,32,39,37,115,39,10,0,0,47,103,114,101,121,115,55,47,49,0,0,0,0,0,0,0,47,98,108,117,101,115,51,47,49,0,0,0,0,0,0,0,47,103,114,101,121,115,54,47,54,0,0,0,0,0,0,0,115,113,117,97,114,101,0,0,47,103,114,101,121,115,54,47,53,0,0,0,0,0,0,0,49,54,0,0,0,0,0,0,115,118,103,58,100,111,116,0,47,103,114,101,121,115,54,47,52,0,0,0,0,0,0,0,73,109,112,114,111,112,101,114,32,37,115,32,118,97,108,117,101,32,37,115,32,45,32,105,103,110,111,114,101,100,0,0,34,47,62,0,0,0,0,0,47,103,114,101,121,115,54,47,51,0,0,0,0,0,0,0,32,84,105,116,108,101,58,32,0,0,0,0,0,0,0,0,47,103,114,101,121,115,54,47,50,0,0,0,0,0,0,0,99,111,110,100,101,110,115,101,100,0,0,0,0,0,0,0,112,97,114,115,101,114,32,110,111,116,32,115,117,115,112,101,110,100,101,100,0,0,0,0,45,50,10,0,0,0,0,0,47,103,114,101,121,115,54,47,49,0,0,0,0,0,0,0,45,45,0,0,0,0,0,0,115,118,103,0,0,0,0,0,100,97,114,107,115,97,108,109,111,110,0,0,0,0,0,0,47,103,114,101,121,115,53,47,53,0,0,0,0,0,0,0,60,63,120,109,108,0,0,0,85,110,107,110,111,119,110,32,34,115,112,108,105,110,101,115,34,32,118,97,108,117,101,58,32,34,37,115,34,32,45,32,105,103,110,111,114,101,100,10,0,0,0,0,0,0,0,0,47,99,111,111,114,100,102,111,110,116,32,99,111,111,114,100,45,102,111,110,116,45,102,97,109,105,108,121,32,102,105,110,100,102,111,110,116,32,56,32,115,99,97,108,101,102,111,110,116,32,100,101,102,0,0,0,60,109,97,112,32,105,100,61,34,0,0,0,0,0,0,0,47,103,114,101,121,115,53,47,52,0,0,0,0,0,0,0,117,32,33,61,32,118,0,0,47,103,114,101,121,115,53,47,51,0,0,0,0,0,0,0,117,110,109,97,116,99,104,101,100,32,39,41,39,32,105,110,32,115,116,121,108,101,58,32,37,115,10,0,0,0,0,0,47,103,114,101,121,115,53,47,50,0,0,0,0,0,0,0,47,97,99,99,101,110,116,56,47,56,0,0,0,0,0,0,47,103,114,101,121,115,53,47,49,0,0,0,0,0,0,0,38,35,52,53,59,0,0,0,114,101,99,116,97,110,103,108,101,0,0,0,0,0,0,0,47,103,114,101,121,115,52,47,52,0,0,0,0,0,0,0,49,53,0,0,0,0,0,0,101,112,115,58,100,111,116,0,47,103,114,101,121,115,52,47,51,0,0,0,0,0,0,0,80,79,73,78,84,45,83,73,90,69,0,0,0,0,0,0,32,101,32,0,0,0,0,0,47,103,114,101,121,115,52,47,50,0,0,0,0,0,0,0,35,0,0,0,0,0,0,0,47,103,114,101,121,115,52,47,49,0,0,0,0,0,0,0,72,101,108,118,101,116,105,99,97,45,78,97,114,114,111,119,0,0,0,0,0,0,0,0,10,0,0,0,0,0,0,0,112,97,114,115,101,114,32,115,117,115,112,101,110,100,101,100,0,0,0,0,0,0,0,0,83,105,110,103,108,101,10,0,47,103,114,101,121,115,51,47,51,0,0,0,0,0,0,0,58,0,0,0,0,0,0,0,112,115,0,0,0,0,0,0,100,97,114,107,114,101,100,0,47,103,114,101,121,115,51,47,50,0,0,0,0,0,0,0,102,111,110,116,110,97,109,101,58,32,34,37,115,34,32,114,101,115,111,108,118,101,100,32,116,111,58,32,37,115,10,0,101,112,115,0,0,0,0,0,101,115,0,0,0,0,0,0,47,100,101,102,97,117,108,116,45,102,111,110,116,45,102,97,109,105,108,121,32,47,84,105,109,101,115,45,82,111,109,97,110,32,100,101,102,0,0,0,32,0,0,0,0,0,0,0,47,103,114,101,121,115,51,47,49,0,0,0,0,0,0,0,98,108,117,101,0,0,0,0,82,105,103,104,116,0,0,0,47,103,114,101,101,110,115,57,47,57,0,0,0,0,0,0,110,101,115,116,105,110,103,32,110,111,116,32,97,108,108,111,119,101,100,32,105,110,32,115,116,121,108,101,58,32,37,115,10,0,0,0,0,0,0,0,47,103,114,101,101,110,115,57,47,56,0,0,0,0,0,0,47,97,99,99,101,110,116,56,47,55,0,0,0,0,0,0,47,103,114,101,101,110,115,57,47,55,0,0,0,0,0,0,114,101,99,116,0,0,0,0,49,48,48,46,48,48,10,0,47,103,114,101,101,110,115,57,47,54,0,0,0,0,0,0,49,52,0,0,0,0,0,0,112,115,58,100,111,116,0,0,47,103,114,101,101,110,115,57,47,53,0,0,0,0,0,0,112,111,105,110,116,45,115,105,122,101,0,0,0,0,0,0,32,108,32,0,0,0,0,0,47,103,114,101,101,110,115,57,47,52,0,0,0,0,0,0,32,45,97,110,99,104,111,114,32,101,0,0,0,0,0,0,91,105,110,116,101,114,110,97,108,32,99,111,117,114,105,101,114,93,0,0,0,0,0,0,37,115,58,37,100,58,32,37,115,32,110,101,97,114,32,108,105,110,101,32,37,100,10,0,47,103,114,101,101,110,115,57,47,51,0,0,0,0,0,0,72,101,108,118,101,116,105,99,97,45,66,111,108,100,79,98,108,105,113,117,101,0,0,0,105,108,108,101,103,97,108,32,99,104,97,114,97,99,116,101,114,40,115,41,32,105,110,32,112,117,98,108,105,99,32,105,100,0,0,0,0,0,0,0,115,121,110,116,97,120,32,101,114,114,111,114,0,0,0,0,47,103,114,101,101,110,115,57,47,50,0,0,0,0,0,0,102,105,103,0,0,0,0,0,111,117,116,32,111,102,32,109,101,109,111,114,121,10,0,0,37,100,0,0,0,0,0,0,103,100,0,0,0,0,0,0,100,97,114,107,111,114,99,104,105,100,0,0,0,0,0,0,114,97,110,107,0,0,0,0,47,103,114,101,101,110,115,57,47,49,0,0,0,0,0,0,197,208,211,198,0,0,0,0,114,117,101,0,0,0,0,0,47,99,111,111,114,100,45,102,111,110,116,45,102,97,109,105,108,121,32,47,84,105,109,101,115,45,82,111,109,97,110,32,100,101,102,0,0,0,0,0,100,101,102,97,117,108,116,32,0,0,0,0,0,0,0,0,47,103,114,101,101,110,115,56,47,56,0,0,0,0,0,0,45,62,0,0,0,0,0,0,100,111,116,0,0,0,0,0,47,103,114,101,101,110,115,56,47,55,0,0,0,0,0,0,108,105,103,104,116,103,114,101,121,0,0,0,0,0,0,0,69,68,95,116,111,95,118,105,114,116,40,111,114,105,103,41,32,33,61,32,78,85,76,76,0,0,0,0,0,0,0,0,47,103,114,101,101,110,115,56,47,54,0,0,0,0,0,0,47,97,99,99,101,110,116,56,47,54,0,0,0,0,0,0,47,103,114,101,101,110,115,56,47,53,0,0,0,0,0,0,107,101,121,0,0,0,0,0,99,111,109,112,111,110,101,110,116,0,0,0,0,0,0,0,99,97,110,110,111,116,32,114,101,97,108,108,111,99,32,111,112,115,0,0,0,0,0,0,47,103,114,101,101,110,115,56,47,52,0,0,0,0,0,0,76,101,116,116,101,114,10,0,115,111,108,105,100,0,0,0,49,51,0,0,0,0,0,0,106,112,103,58,100,111,116,0,37,108,102,44,37,100,0,0,32,45,62,32,0,0,0,0,47,103,114,101,101,110,115,56,47,51,0,0,0,0,0,0,102,97,99,101,0,0,0,0,37,46,48,102,44,37,46,48,102,32,0,0,0,0,0,0,37,100,32,37,100,32,37,100,32,37,100,0,0,0,0,0,99,97,110,39,116,32,102,105,110,100,32,108,105,98,114,97,114,121,32,102,105,108,101,32,37,115,10,0,0,0,0,0,47,103,114,101,101,110,115,56,47,50,0,0,0,0,0,0,32,45,97,110,99,104,111,114,32,119,0,0,0,0,0,0,47,103,114,101,101,110,115,56,47,49,0,0,0,0,0,0,72,101,108,118,101,116,105,99,97,45,66,111,108,100,0,0,116,101,120,116,32,100,101,99,108,97,114,97,116,105,111,110,32,110,111,116,32,119,101,108,108,45,102,111,114,109,101,100,0,0,0,0,0,0,0,0,47,103,114,101,101,110,115,55,47,55,0,0,0,0,0,0,37,115,37,100,32,45,0,0,37,100,32,111,112,115,32,37,46,50,102,32,115,101,99,10,0,0,0,0,0,0,0,0,37,46,53,103,44,37,46,53,103,44,37,46,53,103,44,37,46,53,103,32,0,0,0,0,110,111,110,101,0,0,0,0,100,97,114,107,111,114,97,110,103,101,0,0,0,0,0,0,103,118,119,114,105,116,101,95,110,111,95,122,32,112,114,111,98,108,101,109,32,37,100,10,0,0,0,0,0,0,0,0,47,103,114,101,101,110,115,55,47,54,0,0,0,0,0,0,97,110,116,105,113,117,101,119,104,105,116,101,0,0,0,0,112,100,102,0,0,0,0,0,112,108,105,110,101,0,0,0,37,37,66,101,103,105,110,82,101,115,111,117,114,99,101,58,32,112,114,111,99,115,101,116,32,103,114,97,112,104,118,105,122,32,48,32,48,0,0,0,98,97,115,101,32,114,101,102,101,114,101,114,10,0,0,0,47,103,114,101,101,110,115,55,47,53,0,0,0,0,0,0,47,103,114,101,101,110,115,55,47,52,0,0,0,0,0,0,98,108,97,99,107,0,0,0,47,103,114,101,101,110,115,55,47,51,0,0,0,0,0,0,47,97,99,99,101,110,116,56,47,53,0,0,0,0,0,0,47,103,114,101,101,110,115,55,47,50,0,0,0,0,0,0,98,111,120,51,100,0,0,0,47,103,114,101,101,110,115,55,47,49,0,0,0,0,0,0,73,110,99,104,101,115,10,0,49,50,0,0,0,0,0,0,106,112,101,58,100,111,116,0,37,115,32,45,62,32,37,115,58,32,104,101,97,100,32,110,111,116,32,105,110,115,105,100,101,32,104,101,97,100,32,99,108,117,115,116,101,114,32,37,115,10,0,0,0,0,0,0,110,111,100,101,0,0,0,0,47,103,114,101,101,110,115,54,47,54,0,0,0,0,0,0,99,111,108,111,114,0,0,0,32,109,32,0,0,0,0,0,47,103,114,101,101,110,115,54,47,53,0,0,0,0,0,0,32,37,100,125,0,0,0,0,47,103,114,101,101,110,115,54,47,52,0,0,0,0,0,0,72,101,108,118,101,116,105,99,97,0,0,0,0,0,0,0,108,101,97,100,101,114,32,33,61,32,78,85,76,76,0,0,88,77,76,32,100,101,99,108,97,114,97,116,105,111,110,32,110,111,116,32,119,101,108,108,45,102,111,114,109,101,100,0,95,116,108,100,114,97,119,95,0,0,0,0,0,0,0,0,47,103,114,101,101,110,115,54,47,51,0,0,0,0,0,0,37,46,53,103,44,37,46,53,103,44,37,46,53,103,44,37,46,53,103,0,0,0,0,0,103,108,111,98,97,108,0,0,108,97,121,111,117,116,0,0,100,97,114,107,111,108,105,118,101,103,114,101,101,110,0,0,114,0,0,0,0,0,0,0,47,103,114,101,101,110,115,54,47,50,0,0,0,0,0,0,68,117,109,109,121,61,37,100,10,0,0,0,0,0,0,0,102,105,108,101,32,108,111,97,100,105,110,103,32,105,115,32,100,105,115,97,98,108,101,100,32,98,101,99,97,117,115,101,32,116,104,101,32,101,110,118,105,114,111,110,109,101,110,116,32,99,111,110,116,97,105,110,115,32,83,69,82,86,69,82,95,78,65,77,69,61,34,37,115,34,110,97,110,100,32,116,104,101,114,101,32,105,115,32,110,111,32,71,86,95,70,73,76,69,95,80,65,84,72,32,118,97,114,105,97,98,108,101,32,115,101,116,46,10,0,0,37,80,68,70,45,0,0,0,0,0,0,0,0,0,0,0,111,108,121,108,105,110,101,0,125,32,98,105,110,100,32,100,101,102,0,0,0,0,0,0,60,47,109,97,112,62,10,0,47,103,114,101,101,110,115,54,47,49,0,0,0,0,0,0,100,97,115,104,101,100,0,0,47,103,114,101,101,110,115,53,47,53,0,0,0,0,0,0,98,103,99,111,108,111,114,0,47,103,114,101,101,110,115,53,47,52,0,0,0,0,0,0,47,97,99,99,101,110,116,56,47,52,0,0,0,0,0,0,47,103,114,101,101,110,115,53,47,51,0,0,0,0,0,0,102,111,108,100,101,114,0,0,47,103,114,101,101,110,115,53,47,50,0,0,0,0,0,0,67,101,110,116,101,114,10,0,49,49,0,0,0,0,0,0,106,112,101,103,58,100,111,116,0,0,0,0,0,0,0,0,47,103,114,101,101,110,115,53,47,49,0,0,0,0,0,0,60,70,79,78,84,62,0,0,60,118,58,112,97,116,104,32,118,61,34,0,0,0,0,0,47,103,114,101,101,110,115,52,47,52,0,0,0,0,0,0,34,0,0,0,0,0,0,0,47,103,114,101,101,110,115,52,47,51,0,0,0,0,0,0,67,111,117,114,105,101,114,45,79,98,108,105,113,117,101,0,105,110,99,111,109,112,108,101,116,101,32,109,97,114,107,117,112,32,105,110,32,112,97,114,97,109,101,116,101,114,32,101,110,116,105,116,121,0,0,0,95,104,108,100,114,97,119,95,0,0,0,0,0,0,0,0,47,103,114,101,101,110,115,52,47,50,0,0,0,0,0,0,37,100,32,37,100,32,0,0,108,111,99,97,108,0,0,0,100,97,114,107,109,97,103,101,110,116,97,0,0,0,0,0,99,111,114,101,0,0,0,0,47,103,114,101,101,110,115,52,47,49,0,0,0,0,0,0,100,111,116,95,108,97,121,111,117,116,0,0,0,0,0,0,105,110,32,108,97,98,101,108,32,111,102,32,103,114,97,112,104,32,37,115,10,0,0,0,106,112,101,103,0,0,0,0,114,116,104,111,0,0,0,0,99,108,101,97,114,116,111,109,97,114,107,0,0,0,0,0,47,103,114,101,101,110,115,51,47,51,0,0,0,0,0,0,34,62,10,0,0,0,0,0,47,103,114,101,101,110,115,51,47,50,0,0,0,0,0,0,102,105,108,108,99,111,108,111,114,0,0,0,0,0,0,0,98,111,120,0,0,0,0,0,47,103,114,101,101,110,115,51,47,49,0,0,0,0,0,0,47,97,99,99,101,110,116,56,47,51,0,0,0,0,0,0,47,103,110,98,117,57,47,57,0,0,0,0,0,0,0,0,116,97,98,0,0,0,0,0,47,103,110,98,117,57,47,56,0,0,0,0,0,0,0,0,80,111,114,116,114,97,105,116,10,0,0,0,0,0,0,0,49,48,0,0,0,0,0,0,103,105,102,58,100,111,116,0,47,103,110,98,117,57,47,55,0,0,0,0,0,0,0,0,73,108,108,101,103,97,108,32,118,97,108,117,101,32,37,115,32,102,111,114,32,65,76,73,71,78,32,45,32,105,103,110,111,114,101,100,10,0,0,0,47,103,110,98,117,57,47,54,0,0,0,0,0,0,0,0,32,119,105,100,116,104,58,32,37,100,59,32,104,101,105,103,104,116,58,32,37,100,34,32,102,105,108,108,101,100,61,34,102,97,108,115,101,34,62,0,32,45,102,111,110,116,32,123,0,0,0,0,0,0,0,0,47,103,110,98,117,57,47,53,0,0,0,0,0,0,0,0,67,111,117,114,105,101,114,45,66,111,108,100,79,98,108,105,113,117,101,0,0,0,0,0,109,117,115,116,32,110,111,116,32,117,110,100,101,99,108,97,114,101,32,112,114,101,102,105,120,0,0,0,0,0,0,0,47,103,110,98,117,57,47,52,0,0,0,0,0,0,0,0,95,116,100,114,97,119,95,0,100,111,116,115,112,108,105,110,101,115,46,99,0,0,0,0,99,111,109,98,105,65,82,32,61,32,37,108,102,10,0,0,101,44,37,46,53,103,44,37,46,53,103,32,0,0,0,0,100,105,103,114,97,112,104,0,100,97,114,107,107,104,97,107,105,0,0,0,0,0,0,0,47,103,110,98,117,57,47,51,0,0,0,0,0,0,0,0,255,216,255,224,0,0,0,0,111,0,0,0,0,0,0,0,47,67,111,117,114,105,101,114,45,66,111,108,100,79,98,108,105,113,117,101,32,115,116,97,114,110,101,116,73,83,79,32,100,101,102,0,0,0,0,0,47,103,110,98,117,57,47,50,0,0,0,0,0,0,0,0,34,47,62,10,0,0,0,0,47,103,110,98,117,57,47,49,0,0,0,0,0,0,0,0,110,97,109,101,0,0,0,0,112,101,110,99,111,108,111,114,0,0,0,0,0,0,0,0,47,103,110,98,117,56,47,56,0,0,0,0,0,0,0,0,122,119,110,106,0,0,0,0,47,97,99,99,101,110,116,56,47,50,0,0,0,0,0,0,47,103,110,98,117,56,47,55,0,0,0,0,0,0,0,0,122,119,106,0,0,0,0,0,110,111,116,101,0,0,0,0,47,103,110,98,117,56,47,54,0,0,0,0,0,0,0,0,122,101,116,97,0,0,0,0,35,32,80,97,103,101,115,58,32,37,100,10,0,0,0,0,57,0,0,0,0,0,0,0,112,110,103,58,100,111,116,0,47,103,110,98,117,56,47,53,0,0,0,0,0,0,0,0,121,117,109,108,0,0,0,0,69,78,84,69,82,0,0,0,47,103,110,98,117,56,47,52,0,0,0,0,0,0,0,0,32,60,118,58,115,104,97,112,101,32,115,116,121,108,101,61,34,112,111,115,105,116,105,111,110,58,97,98,115,111,108,117,116,101,59,32,0,0,0,0,121,101,110,0,0,0,0,0,125,0,0,0,0,0,0,0,47,103,110,98,117,56,47,51,0,0,0,0,0,0,0,0,121,97,99,117,116,101,0,0,67,111,117,114,105,101,114,45,66,111,108,100,0,0,0,0,117,110,98,111,117,110,100,32,112,114,101,102,105,120,0,0,109,105,110,99,114,111,115,115,46,99,0,0,0,0,0,0,47,103,110,98,117,56,47,50,0,0,0,0,0,0,0,0,95,104,100,114,97,119,95,0,120,105,0,0,0,0,0,0,9,37,112,32,37,115,44,37,115,10,0,0,0,0,0,0,115,44,37,46,53,103,44,37,46,53,103,32,0,0,0,0,103,114,97,112,104,0,0,0,100,97,114,107,103,114,101,121,0,0,0,0,0,0,0,0,47,103,110,98,117,56,47,49,0,0,0,0,0,0,0,0,119,101,105,101,114,112,0,0,103,105,102,0,0,0,0,0,111,110,101,0,0,0,0,0,47,67,111,117,114,105,101,114,45,66,111,108,100,32,115,116,97,114,110,101,116,73,83,79,32,100,101,102,0,0,0,0,47,103,110,98,117,55,47,55,0,0,0,0,0,0,0,0,44,37,100,44,37,100,0,0,117,117,109,108,0,0,0,0,47,97,99,99,101,110,116,51,47,49,0,0,0,0,0,0,71,68,95,109,105,110,114,97,110,107,40,103,41,32,61,61,32,48,0,0,0,0,0,0,47,103,110,98,117,55,47,54,0,0,0,0,0,0,0,0,80,45,62,101,110,100,46,116,104,101,116,97,32,60,32,50,32,42,32,77,95,80,73,0,117,112,115,105,108,111,110,0,99,111,108,111,114,0,0,0,47,103,110,98,117,55,47,53,0,0,0,0,0,0,0,0,117,112,115,105,104,0,0,0,47,97,99,99,101,110,116,56,47,49,0,0,0,0,0,0,47,103,110,98,117,55,47,52,0,0,0,0,0,0,0,0,117,109,108,0,0,0,0,0,111,99,116,97,103,111,110,0,116,114,117,101,0,0,0,0,47,103,110,98,117,55,47,51,0,0,0,0,0,0,0,0,117,103,114,97,118,101,0,0,56,0,0,0,0,0,0,0,115,118,103,58,109,97,112,0,35,32,84,105,116,108,101,58,32,37,115,10,0,0,0,0,47,103,110,98,117,55,47,50,0,0,0,0,0,0,0,0,117,99,105,114,99,0,0,0,69,70,84,0,0,0,0,0,99,109,97,112,58,109,97,112,0,0,0,0,0,0,0,0,47,103,110,98,117,55,47,49,0,0,0,0,0,0,0,0,32,45,45,62,10,0,0,0,117,97,114,114,0,0,0,0,97,103,105,110,105,116,40,41,32,99,97,108,108,101,100,32,109,117,108,116,105,112,108,121,32,119,105,116,104,32,105,110,99,111,110,115,105,115,116,101,110,116,32,97,114,103,115,10,0,0,0,0,0,0,0,0,32,45,116,101,120,116,32,123,0,0,0,0,0,0,0,0,47,103,110,98,117,54,47,54,0,0,0,0,0,0,0,0,117,97,99,117,116,101,0,0,109,111,110,111,115,112,97,99,101,0,0,0,0,0,0,0,99,97,110,110,111,116,32,99,104,97,110,103,101,32,115,101,116,116,105,110,103,32,111,110,99,101,32,112,97,114,115,105,110,103,32,104,97,115,32,98,101,103,117,110,0,0,0,0,47,103,110,98,117,54,47,53,0,0,0,0,0,0,0,0,95,108,100,114,97,119,95,0,117,65,114,114,0,0,0,0,115,111,117,114,99,101,32,112,111,105,110,116,32,110,111,116,32,105,110,32,97,110,121,32,116,114,105,97,110,103,108,101,0,0,0,0,0,0,0,0,37,46,53,103,32,37,46,53,103,0,0,0,0,0,0,0,115,117,98,103,114,97,112,104,0,0,0,0,0,0,0,0,100,97,114,107,103,114,101,101,110,0,0,0,0,0,0,0,47,103,110,98,117,54,47,52,0,0,0,0,0,0,0,0,116,114,97,100,101,0,0,0,71,73,70,56,0,0,0,0,105,110,101,0,0,0,0,0,47,67,111,117,114,105,101,114,45,79,98,108,105,113,117,101,32,115,116,97,114,110,101,116,73,83,79,32,100,101,102,0,47,103,110,98,117,54,47,51,0,0,0,0,0,0,0,0,115,104,97,112,101,102,105,108,101,0,0,0,0,0,0,0,37,100,44,37,100,0,0,0,116,105,109,101,115,0,0,0,47,103,110,98,117,54,47,50,0,0,0,0,0,0,0,0,116,105,108,100,101,0,0,0,35,102,56,102,56,102,56,0,99,97,110,110,111,116,32,114,101,45,97,108,108,111,99,97,116,101,32,112,115,10,0,0,47,103,110,98,117,54,47,49,0,0,0,0,0,0,0,0,108,111,115,116,32,37,115,32,37,115,32,101,100,103,101,10,0,0,0,0,0,0,0,0,116,104,111,114,110,0,0,0,47,97,99,99,101,110,116,55,47,55,0,0,0,0,0,0,47,103,110,98,117,53,47,53,0,0,0,0,0,0,0,0,116,104,105,110,115,112,0,0,115,101,97,114,99,104,115,105,122,101,0,0,0,0,0,0,115,101,112,116,97,103,111,110,0,0,0,0,0,0,0,0,47,103,110,98,117,53,47,52,0,0,0,0,0,0,0,0,116,104,101,116,97,115,121,109,0,0,0,0,0,0,0,0,103,114,97,112,104,32,0,0,55,0,0,0,0,0,0,0,101,112,115,58,109,97,112,0,35,32,71,101,110,101,114,97,116,101,100,32,98,121,32,37,115,32,118,101,114,115,105,111,110,32,37,115,32,40,37,115,41,10,0,0,0,0,0,0,47,103,110,98,117,53,47,51,0,0,0,0,0,0,0,0,116,104,101,116,97,0,0,0,73,71,72,84,0,0,0,0,47,103,110,98,117,53,47,50,0,0,0,0,0,0,0,0,32,32,32,32,32,32,60,33,45,45,32,0,0,0,0,0,116,104,101,114,101,52,0,0,32,99,114,101,97,116,101,32,116,101,120,116,32,0,0,0,47,103,110,98,117,53,47,49,0,0,0,0,0,0,0,0,116,97,117,0,0,0,0,0,67,111,117,114,105,101,114,0,114,101,113,117,101,115,116,101,100,32,102,101,97,116,117,114,101,32,114,101,113,117,105,114,101,115,32,88,77,76,95,68,84,68,32,115,117,112,112,111,114,116,32,105,110,32,69,120,112,97,116,0,0,0,0,0,47,103,110,98,117,52,47,52,0,0,0,0,0,0,0,0,49,46,50,0,0,0,0,0,115,122,108,105,103,0,0,0,37,115,32,119,97,115,32,97,108,114,101,97,100,121,32,105,110,32,97,32,114,97,110,107,115,101,116,44,32,100,101,108,101,116,101,100,32,102,114,111,109,32,99,108,117,115,116,101,114,32,37,115,10,0,0,0,115,97,109,112,108,101,112,111,105,110,116,115,0,0,0,0,110,111,100,101,0,0,0,0,37,108,102,0,0,0,0,0,100,97,114,107,103,114,97,121,0,0,0,0,0,0,0,0,47,103,110,98,117,52,47,51,0,0,0,0,0,0,0,0,98,108,97,99,107,0,0,0,115,117,112,101,0,0,0,0,106,112,101,103,58,115,118,103,0,0,0,0,0,0,0,0,98,109,112,0,0,0,0,0,97,108,115,101,0,0,0,0,47,67,111,117,114,105,101,114,32,115,116,97,114,110,101,116,73,83,79,32,100,101,102,0,115,121,110,116,97,120,32,101,114,114,111,114,0,0,0,0,47,103,110,98,117,52,47,50,0,0,0,0,0,0,0,0,37,100,44,37,100,44,37,100,44,37,100,0,0,0,0,0,115,117,112,51,0,0,0,0,47,103,110,98,117,52,47,49,0,0,0,0,0,0,0,0,115,117,112,50,0,0,0,0,35,49,48,49,48,49,48,0,47,103,110,98,117,51,47,51,0,0,0,0,0,0,0,0,115,117,112,49,0,0,0,0,47,97,99,99,101,110,116,55,47,54,0,0,0,0,0,0,47,103,110,98,117,51,47,50,0,0,0,0,0,0,0,0,115,117,112,0,0,0,0,0,104,101,120,97,103,111,110,0,47,103,110,98,117,51,47,49,0,0,0,0,0,0,0,0,115,117,109,0,0,0,0,0,54,0,0,0,0,0,0,0,112,115,58,109,97,112,0,0,35,70,73,71,32,51,46,50,10,0,0,0,0,0,0,0,47,100,97,114,107,50,56,47,56,0,0,0,0,0,0,0,115,117,98,101,0,0,0,0,97,108,105,103,110,0,0,0,47,100,97,114,107,50,56,47,55,0,0,0,0,0,0,0,121,101,108,108,111,119,0,0,115,117,98,0,0,0,0,0,32,99,114,101,97,116,101,32,111,118,97,108,32,0,0,0,47,100,97,114,107,50,56,47,54,0,0,0,0,0,0,0,115,112,97,100,101,115,0,0,66,111,111,107,109,97,110,45,76,105,103,104,116,73,116,97,108,105,99,0,0,0,0,0,101,110,116,105,116,121,32,100,101,99,108,97,114,101,100,32,105,110,32,112,97,114,97,109,101,116,101,114,32,101,110,116,105,116,121,0,0,0,0,0,47,100,97,114,107,50,56,47,53,0,0,0,0,0,0,0,120,100,111,116,118,101,114,115,105,111,110,0,0,0,0,0,115,105,109,0,0,0,0,0,60,47,72,84,77,76,62,0,114,101,99,111,114,100,0,0,101,100,103,101,0,0,0,0,100,97,114,107,103,111,108,100,101,110,114,111,100,0,0,0,47,100,97,114,107,50,56,47,52,0,0,0,0,0,0,0,115,105,103,109,97,102,0,0,66,77,0,0,0,0,0,0,111,109,112,111,117,110,100,0,47,72,101,108,118,101,116,105,99,97,45,66,111,108,100,79,98,108,105,113,117,101,32,115,116,97,114,110,101,116,73,83,79,32,100,101,102,0,0,0,47,100,97,114,107,50,56,47,51,0,0,0,0,0,0,0,37,100,44,37,100,44,37,100,0,0,0,0,0,0,0,0,115,105,103,109,97,0,0,0,47,100,97,114,107,50,56,47,50,0,0,0,0,0,0,0,115,104,121,0,0,0,0,0,35,102,48,102,48,102,48,0,32,99,111,111,114,100,115,61,34,0,0,0,0,0,0,0,108,97,98,101,108,0,0,0,115,104,97,112,101,102,105,108,101,0,0,0,0,0,0,0,47,37,115,47,37,115,0,0,88,49,49,47,0,0,0,0,105,103,104,116,103,114,101,121,0,0,0,0,0,0,0,0,104,105,116,101,0,0,0,0,108,97,99,107,0,0,0,0,110,32,33,61,32,78,68,95,110,101,120,116,40,110,41,0,121,101,108,108,111,119,103,114,101,101,110,0,0,0,0,0,47,100,97,114,107,50,56,47,49,0,0,0,0,0,0,0,121,101,108,108,111,119,52,0,121,101,108,108,111,119,51,0,115,101,99,116,0,0,0,0,121,101,108,108,111,119,50,0,121,101,108,108,111,119,49,0,121,101,108,108,111,119,0,0,119,104,105,116,101,115,109,111,107,101,0,0,0,0,0,0,119,104,105,116,101,0,0,0,119,104,101,97,116,52,0,0,47,97,99,99,101,110,116,55,47,53,0,0,0,0,0,0,119,104,101,97,116,51,0,0,119,104,101,97,116,50,0,0,47,100,97,114,107,50,55,47,55,0,0,0,0,0,0,0,119,104,101,97,116,49,0,0,119,104,101,97,116,0,0,0,115,100,111,116,0,0,0,0,118,105,111,108,101,116,114,101,100,52,0,0,0,0,0,0,118,105,111,108,101,116,114,101,100,51,0,0,0,0,0,0,118,105,111,108,101,116,114,101,100,50,0,0,0,0,0,0,118,105,111,108,101,116,114,101,100,49,0,0,0,0,0,0,118,105,111,108,101,116,114,101,100,0,0,0,0,0,0,0,38,103,116,59,0,0,0,0,118,105,111,108,101,116,0,0,112,101,110,116,97,103,111,110,0,0,0,0,0,0,0,0,116,117,114,113,117,111,105,115,101,52,0,0,0,0,0,0,116,117,114,113,117,111,105,115,101,51,0,0,0,0,0,0,47,100,97,114,107,50,55,47,54,0,0,0,0,0,0,0,116,117,114,113,117,111,105,115,101,50,0,0,0,0,0,0,116,117,114,113,117,111,105,115,101,49,0,0,0,0,0,0,115,99,97,114,111,110,0,0,116,117,114,113,117,111,105,115,101,0,0,0,0,0,0,0,116,114,97,110,115,112,97,114,101,110,116,0,0,0,0,0,115,98,113,117,111,0,0,0,116,111,109,97,116,111,52,0,116,111,109,97,116,111,51,0,116,111,109,97,116,111,50,0,53,0,0,0,0,0,0,0,106,112,103,58,109,97,112,0,116,111,109,97,116,111,49,0,116,111,109,97,116,111,0,0,116,104,105,115,116,108,101,52,0,0,0,0,0,0,0,0,35,32,101,110,100,32,111,102,32,70,73,71,32,102,105,108,101,10,0,0,0,0,0,0,47,100,97,114,107,50,55,47,53,0,0,0,0,0,0,0,116,104,105,115,116,108,101,51,0,0,0,0,0,0,0,0,116,104,105,115,116,108,101,50,0,0,0,0,0,0,0,0,35,101,48,101,48,101,48,0,116,104,105,115,116,108,101,49,0,0,0,0,0,0,0,0,116,104,105,115,116,108,101,0,116,97,110,52,0,0,0,0,116,97,110,51,0,0,0,0,60,66,82,62,0,0,0,0,116,97,110,50,0,0,0,0,116,97,110,49,0,0,0,0,116,97,110,0,0,0,0,0,115,116,101,101,108,98,108,117,101,52,0,0,0,0,0,0,47,100,97,114,107,50,55,47,52,0,0,0,0,0,0,0,115,116,101,101,108,98,108,117,101,51,0,0,0,0,0,0,119,104,105,116,101,0,0,0,115,116,101,101,108,98,108,117,101,50,0,0,0,0,0,0,114,115,113,117,111,0,0,0,115,116,101,101,108,98,108,117,101,49,0,0,0,0,0,0,115,116,101,101,108,98,108,117,101,0,0,0,0,0,0,0,115,112,114,105,110,103,103,114,101,101,110,52,0,0,0,0,115,112,114,105,110,103,103,114,101,101,110,51,0,0,0,0])
    905 .concat([32,45,111,117,116,108,105,110,101,32,0,0,0,0,0,0,115,112,114,105,110,103,103,114,101,101,110,50,0,0,0,0,115,112,114,105,110,103,103,114,101,101,110,49,0,0,0,0,115,112,114,105,110,103,103,114,101,101,110,0,0,0,0,0,115,110,111,119,52,0,0,0,47,100,97,114,107,50,55,47,51,0,0,0,0,0,0,0,115,110,111,119,51,0,0,0,115,110,111,119,50,0,0,0,114,115,97,113,117,111,0,0,115,110,111,119,49,0,0,0,115,110,111,119,0,0,0,0,115,108,97,116,101,103,114,101,121,0,0,0,0,0,0,0,108,105,103,104,116,0,0,0,115,108,97,116,101,103,114,97,121,52,0,0,0,0,0,0,115,108,97,116,101,103,114,97,121,51,0,0,0,0,0,0,115,108,97,116,101,103,114,97,121,50,0,0,0,0,0,0,117,110,101,120,112,101,99,116,101,100,32,112,97,114,115,101,114,32,115,116,97,116,101,32,45,32,112,108,101,97,115,101,32,115,101,110,100,32,97,32,98,117,103,32,114,101,112,111,114,116,0,0,0,0,0,0,35,32,0,0,0,0,0,0,115,108,97,116,101,103,114,97,121,49,0,0,0,0,0,0,115,108,97,116,101,103,114,97,121,0,0,0,0,0,0,0,47,100,97,114,107,50,55,47,50,0,0,0,0,0,0,0,115,108,97,116,101,98,108,117,101,52,0,0,0,0,0,0,95,100,114,97,119,95,0,0,115,108,97,116,101,98,108,117,101,51,0,0,0,0,0,0,114,108,109,0,0,0,0,0,115,108,97,116,101,98,108,117,101,50,0,0,0,0,0,0,115,108,97,116,101,98,108,117,101,49,0,0,0,0,0,0,99,97,110,110,111,116,32,109,97,108,108,111,99,32,111,112,115,0,0,0,0,0,0,0,115,108,97,116,101,98,108,117,101,0,0,0,0,0,0,0,37,46,53,103,0,0,0,0,115,107,121,98,108,117,101,52,0,0,0,0,0,0,0,0,116,97,105,108,112,111,114,116,0,0,0,0,0,0,0,0,108,97,98,101,108,0,0,0,115,107,121,98,108,117,101,51,0,0,0,0,0,0,0,0,115,107,121,98,108,117,101,50,0,0,0,0,0,0,0,0,100,97,114,107,99,121,97,110,0,0,0,0,0,0,0,0,115,107,121,98,108,117,101,49,0,0,0,0,0,0,0,0,115,107,121,98,108,117,101,0,47,100,97,114,107,50,55,47,49,0,0,0,0,0,0,0,115,105,101,110,110,97,52,0,115,105,101,110,110,97,51,0,114,104,111,0,0,0,0,0,115,105,101,110,110,97,50,0,115,105,101,110,110,97,49,0,115,105,101,110,110,97,0,0,115,101,97,115,104,101,108,108,52,0,0,0,0,0,0,0,115,101,97,115,104,101,108,108,51,0,0,0,0,0,0,0,112,115,0,0,0,0,0,0,115,101,97,115,104,101,108,108,50,0,0,0,0,0,0,0,85,84,70,56,32,99,111,100,101,115,32,62,32,51,32,98,121,116,101,115,32,97,114,101,32,110,111,116,32,99,117,114,114,101,110,116,108,121,32,115,117,112,112,111,114,116,101,100,46,32,79,114,32,112,101,114,104,97,112,115,32,34,45,71,99,104,97,114,115,101,116,61,108,97,116,105,110,49,34,32,105,115,32,110,101,101,100,101,100,63,10,0,0,0,0,0,47,72,101,108,118,101,116,105,99,97,45,66,111,108,100,32,115,116,97,114,110,101,116,73,83,79,32,100,101,102,0,0,115,101,97,115,104,101,108,108,49,0,0,0,0,0,0,0,115,101,97,115,104,101,108,108,0,0,0,0,0,0,0,0,47,100,97,114,107,50,54,47,54,0,0,0,0,0,0,0,115,101,97,103,114,101,101,110,52,0,0,0,0,0,0,0,115,101,97,103,114,101,101,110,51,0,0,0,0,0,0,0,114,102,108,111,111,114,0,0,115,101,97,103,114,101,101,110,50,0,0,0,0,0,0,0,115,101,97,103,114,101,101,110,49,0,0,0,0,0,0,0,115,101,97,103,114,101,101,110,0,0,0,0,0,0,0,0,115,97,110,100,121,98,114,111,119,110,0,0,0,0,0,0,115,97,108,109,111,110,52,0,115,97,108,109,111,110,51,0,115,97,108,109,111,110,50,0,115,97,108,109,111,110,49,0,47,100,97,114,107,50,54,47,53,0,0,0,0,0,0,0,115,97,108,109,111,110,0,0,115,97,100,100,108,101,98,114,111,119,110,0,0,0,0,0,114,101,103,0,0,0,0,0,116,101,101,0,0,0,0,0,114,111,121,97,108,98,108,117,101,52,0,0,0,0,0,0,32,97,108,116,61,34,34,0,114,111,121,97,108,98,108,117,101,51,0,0,0,0,0,0,114,111,121,97,108,98,108,117,101,50,0,0,0,0,0,0,114,111,121,97,108,98,108,117,101,49,0,0,0,0,0,0,114,111,121,97,108,98,108,117,101,0,0,0,0,0,0,0,114,111,115,121,98,114,111,119,110,52,0,0,0,0,0,0,98,108,97,99,107,0,0,0,114,111,115,121,98,114,111,119,110,51,0,0,0,0,0,0,75,80,95,76,101,102,116,0,114,111,115,121,98,114,111,119,110,50,0,0,0,0,0,0,47,100,97,114,107,50,54,47,52,0,0,0,0,0,0,0,114,111,115,121,98,114,111,119,110,49,0,0,0,0,0,0,114,111,115,121,98,114,111,119,110,0,0,0,0,0,0,0,114,101,97,108,0,0,0,0,114,101,100,52,0,0,0,0,114,101,100,51,0,0,0,0,114,101,100,50,0,0,0,0,114,101,100,49,0,0,0,0,114,101,100,0,0,0,0,0,112,117,114,112,108,101,52,0,112,117,114,112,108,101,51,0,47,97,99,99,101,110,116,55,47,52,0,0,0,0,0,0,112,117,114,112,108,101,50,0,47,100,97,114,107,50,54,47,51,0,0,0,0,0,0,0,112,117,114,112,108,101,49,0,112,117,114,112,108,101,0,0,114,100,113,117,111,0,0,0,112,111,119,100,101,114,98,108,117,101,0,0,0,0,0,0,112,108,117,109,52,0,0,0,112,108,117,109,51,0,0,0,112,108,117,109,50,0,0,0,112,108,117,109,49,0,0,0,112,108,117,109,0,0,0,0,104,111,117,115,101,0,0,0,112,105,110,107,52,0,0,0,112,105,110,107,51,0,0,0,47,100,97,114,107,50,54,47,50,0,0,0,0,0,0,0,112,105,110,107,50,0,0,0,112,105,110,107,49,0,0,0,114,99,101,105,108,0,0,0,112,105,110,107,0,0,0,0,112,101,114,117,0,0,0,0,112,101,97,99,104,112,117,102,102,52,0,0,0,0,0,0,112,101,97,99,104,112,117,102,102,51,0,0,0,0,0,0,112,101,97,99,104,112,117,102,102,50,0,0,0,0,0,0,52,0,0,0,0,0,0,0,106,112,101,58,109,97,112,0,112,101,97,99,104,112,117,102,102,49,0,0,0,0,0,0,112,101,97,99,104,112,117,102,102,0,0,0,0,0,0,0,112,97,112,97,121,97,119,104,105,112,0,0,0,0,0,0,47,100,97,114,107,50,54,47,49,0,0,0,0,0,0,0,112,97,108,101,118,105,111,108,101,116,114,101,100,52,0,0,37,48,51,111,0,0,0,0,112,97,108,101,118,105,111,108,101,116,114,101,100,51,0,0,114,97,114,114,0,0,0,0,112,97,108,101,118,105,111,108,101,116,114,101,100,50,0,0,112,97,108,101,118,105,111,108,101,116,114,101,100,49,0,0,112,97,108,101,118,105,111,108,101,116,114,101,100,0,0,0,112,97,108,101,116,117,114,113,117,111,105,115,101,52,0,0,112,97,108,101,116,117,114,113,117,111,105,115,101,51,0,0,73,108,108,101,103,97,108,32,97,116,116,114,105,98,117,116,101,32,37,115,32,105,110,32,37,115,32,45,32,105,103,110,111,114,101,100,10,0,0,0,112,97,108,101,116,117,114,113,117,111,105,115,101,50,0,0,112,97,108,101,116,117,114,113,117,111,105,115,101,49,0,0,112,97,108,101,116,117,114,113,117,111,105,115,101,0,0,0,47,100,97,114,107,50,53,47,53,0,0,0,0,0,0,0,112,97,108,101,103,114,101,101,110,52,0,0,0,0,0,0,112,97,108,101,103,114,101,101,110,51,0,0,0,0,0,0,114,97,113,117,111,0,0,0,116,101,97,108,0,0,0,0,112,97,108,101,103,114,101,101,110,50,0,0,0,0,0,0,112,97,108,101,103,114,101,101,110,49,0,0,0,0,0,0,112,97,108,101,103,114,101,101,110,0,0,0,0,0,0,0,112,97,108,101,103,111,108,100,101,110,114,111,100,0,0,0,119,104,105,116,101,0,0,0,111,114,99,104,105,100,52,0,111,114,99,104,105,100,51,0,99,111,117,114,0,0,0,0,111,114,99,104,105,100,50,0,111,114,99,104,105,100,49,0,47,100,97,114,107,50,53,47,52,0,0,0,0,0,0,0,111,114,99,104,105,100,0,0,111,114,97,110,103,101,114,101,100,52,0,0,0,0,0,0,114,97,110,103,0,0,0,0,111,114,97,110,103,101,114,101,100,51,0,0,0,0,0,0,111,114,97,110,103,101,114,101,100,50,0,0,0,0,0,0,111,114,97,110,103,101,114,101,100,49,0,0,0,0,0,0,66,111,111,107,109,97,110,45,76,105,103,104,116,0,0,0,111,114,97,110,103,101,114,101,100,0,0,0,0,0,0,0,111,114,97,110,103,101,52,0,111,114,97,110,103,101,51,0,100,111,99,117,109,101,110,116,32,105,115,32,110,111,116,32,115,116,97,110,100,97,108,111,110,101,0,0,0,0,0,0,111,114,97,110,103,101,50,0,110,111,110,116,101,114,109,105,110,97,116,101,100,32,99,111,109,109,101,110,116,32,105,110,32,108,105,110,101,32,37,100,10,0,0,0,0,0,0,0,111,114,97,110,103,101,49,0,111,117,116,32,111,102,32,109,101,109,111,114,121,0,0,0,47,100,97,114,107,50,53,47,51,0,0,0,0,0,0,0,111,114,97,110,103,101,0,0,84,32,0,0,0,0,0,0,111,108,105,118,101,100,114,97,98,52,0,0,0,0,0,0,114,97,100,105,99,0,0,0,100,111,116,32,100,111,101,115,32,110,111,116,32,115,117,112,112,111,114,116,32,116,104,101,32,97,115,112,101,99,116,32,97,116,116,114,105,98,117,116,101,32,102,111,114,32,100,105,115,99,111,110,110,101,99,116,101,100,32,103,114,97,112,104,115,32,111,114,32,103,114,97,112,104,115,32,119,105,116,104,32,99,108,117,115,116,101,114,115,10,0,0,0,0,0,0,111,108,105,118,101,100,114,97,98,51,0,0,0,0,0,0,111,108,105,118,101,100,114,97,98,50,0,0,0,0,0,0,93,59,10,0,0,0,0,0,111,108,105,118,101,100,114,97,98,49,0,0,0,0,0,0,44,37,46,53,103,0,0,0,111,108,105,118,101,100,114,97,98,0,0,0,0,0,0,0,104,101,97,100,112,111,114,116,0,0,0,0,0,0,0,0,111,108,100,108,97,99,101,0,110,111,110,101,0,0,0,0,100,97,114,107,98,108,117,101,0,0,0,0,0,0,0,0,110,97,118,121,98,108,117,101,0,0,0,0,0,0,0,0,110,97,118,121,0,0,0,0,47,100,97,114,107,50,53,47,50,0,0,0,0,0,0,0,110,97,118,97,106,111,119,104,105,116,101,52,0,0,0,0,110,97,118,97,106,111,119,104,105,116,101,51,0,0,0,0,114,65,114,114,0,0,0,0,110,97,118,97,106,111,119,104,105,116,101,50,0,0,0,0,110,97,118,97,106,111,119,104,105,116,101,49,0,0,0,0,110,97,118,97,106,111,119,104,105,116,101,0,0,0,0,0,109,111,99,99,97,115,105,110,0,0,0,0,0,0,0,0,109,105,115,116,121,114,111,115,101,52,0,0,0,0,0,0,37,33,80,83,45,65,100,111,98,101,45,0,0,0,0,0,109,105,115,116,121,114,111,115,101,51,0,0,0,0,0,0,73,110,118,97,108,105,100,32,51,45,98,121,116,101,32,85,84,70,56,32,102,111,117,110,100,32,105,110,32,105,110,112,117,116,46,32,80,101,114,104,97,112,115,32,34,45,71,99,104,97,114,115,101,116,61,108,97,116,105,110,49,34,32,105,115,32,110,101,101,100,101,100,63,10,0,0,0,0,0,0,47,72,101,108,118,101,116,105,99,97,45,79,98,108,105,113,117,101,32,115,116,97,114,110,101,116,73,83,79,32,100,101,102,0,0,0,0,0,0,0,109,105,115,116,121,114,111,115,101,50,0,0,0,0,0,0,120,120,120,0,0,0,0,0,109,105,115,116,121,114,111,115,101,49,0,0,0,0,0,0,47,100,97,114,107,50,53,47,49,0,0,0,0,0,0,0,109,105,115,116,121,114,111,115,101,0,0,0,0,0,0,0,109,105,110,116,99,114,101,97,109,0,0,0,0,0,0,0,113,117,111,116,0,0,0,0,109,105,100,110,105,103,104,116,98,108,117,101,0,0,0,0,109,101,100,105,117,109,118,105,111,108,101,116,114,101,100,0,109,101,100,105,117,109,116,117,114,113,117,111,105,115,101,0,109,101,100,105,117,109,115,112,114,105,110,103,103,114,101,101,110,0,0,0,0,0,0,0,109,101,100,105,117,109,115,108,97,116,101,98,108,117,101,0,109,101,100,105,117,109,115,101,97,103,114,101,101,110,0,0,105,110,32,108,97,98,101,108,32,111,102,32,101,100,103,101,32,37,115,32,37,115,32,37,115,10,0,0,0,0,0,0,0,0,0,0,0,0,0,0,109,101,100,105,117,109,112,117,114,112,108,101,52,0,0,0,109,101,100,105,117,109,112,117,114,112,108,101,51,0,0,0,47,100,97,114,107,50,52,47,52,0,0,0,0,0,0,0,109,101,100,105,117,109,112,117,114,112,108,101,50,0,0,0,109,101,100,105,117,109,112,117,114,112,108,101,49,0,0,0,112,115,105,0,0,0,0,0,35,101,56,101,56,101,56,0,109,101,100,105,117,109,112,117,114,112,108,101,0,0,0,0,109,101,100,105,117,109,111,114,99,104,105,100,52,0,0,0,32,116,105,116,108,101,61,34,0,0,0,0,0,0,0,0,109,101,100,105,117,109,111,114,99,104,105,100,51,0,0,0,109,101,100,105,117,109,111,114,99,104,105,100,50,0,0,0,109,101,100,105,117,109,111,114,99,104,105,100,49,0,0,0,109,101,100,105,117,109,111,114,99,104,105,100,0,0,0,0,69,68,95,116,111,95,118,105,114,116,40,111,114,105,103,41,32,61,61,32,78,85,76,76,0,0,0,0,0,0,0,0,109,101,100,105,117,109,98,108,117,101,0,0,0,0,0,0,109,101,100,105,117,109,97,113,117,97,109,97,114,105,110,101,0,0,0,0,0,0,0,0,47,100,97,114,107,50,52,47,51,0,0,0,0,0,0,0,109,97,114,111,111,110,52,0,109,97,114,111,111,110,51,0,112,114,111,112,0,0,0,0,109,97,114,111,111,110,50,0,109,97,114,111,111,110,49,0,109,97,114,111,111,110,0,0,109,97,103,101,110,116,97,52,0,0,0,0,0,0,0,0,109,97,103,101,110,116,97,51,0,0,0,0,0,0,0,0,109,97,103,101,110,116,97,50,0,0,0,0,0,0,0,0,109,97,103,101,110,116,97,49,0,0,0,0,0,0,0,0,47,97,99,99,101,110,116,55,47,51,0,0,0,0,0,0,109,97,103,101,110,116,97,0,47,100,97,114,107,50,52,47,50,0,0,0,0,0,0,0,108,105,110,101,110,0,0,0,108,105,109,101,103,114,101,101,110,0,0,0,0,0,0,0,112,114,111,100,0,0,0,0,108,105,103,104,116,121,101,108,108,111,119,52,0,0,0,0,108,105,103,104,116,121,101,108,108,111,119,51,0,0,0,0,108,105,103,104,116,121,101,108,108,111,119,50,0,0,0,0,108,105,103,104,116,121,101,108,108,111,119,49,0,0,0,0,80,114,111,116,111,71,114,97,112,104,0,0,0,0,0,0,108,105,103,104,116,121,101,108,108,111,119,0,0,0,0,0,108,105,103,104,116,115,116,101,101,108,98,108,117,101,52,0,41,10,0,0,0,0,0,0,112,97,114,97,108,108,101,108,111,103,114,97,109,0,0,0,108,105,103,104,116,115,116,101,101,108,98,108,117,101,51,0,108,105,98,112,97,116,104,47,37,115,58,37,100,58,32,37,115,10,0,0,0,0,0,0,108,105,103,104,116,115,116,101,101,108,98,108,117,101,50,0,47,100,97,114,107,50,52,47,49,0,0,0,0,0,0,0,108,105,103,104,116,115,116,101,101,108,98,108,117,101,49,0,108,105,103,104,116,115,116,101,101,108,98,108,117,101,0,0,112,114,105,109,101,0,0,0,108,105,103,104,116,115,108,97,116,101,103,114,101,121,0,0,108,105,103,104,116,115,108,97,116,101,103,114,97,121,0,0,108,105,103,104,116,115,108,97,116,101,98,108,117,101,0,0,110,111,100,101,32,0,0,0,108,105,103,104,116,115,107,121,98,108,117,101,52,0,0,0,108,105,103,104,116,115,107,121,98,108,117,101,51,0,0,0,51,0,0,0,0,0,0,0,108,105,103,104,116,115,107,121,98,108,117,101,50,0,0,0,106,112,101,103,58,109,97,112,0,0,0,0,0,0,0,0,32,40,0,0,0,0,0,0,108,105,103,104,116,115,107,121,98,108,117,101,49,0,0,0,108,105,103,104,116,115,107,121,98,108,117,101,0,0,0,0,47,100,97,114,107,50,51,47,51,0,0,0,0,0,0,0,108,105,103,104,116,115,101,97,103,114,101,101,110,0,0,0,108,105,103,104,116,115,97,108,109,111,110,52,0,0,0,0,112,111,117,110,100,0,0,0,37,100,32,37,100,32,37,100,32,37,100,32,37,100,32,37,100,32,37,46,49,102,32,37,46,52,102,32,37,100,32,37,46,49,102,32,37,46,49,102,32,37,100,32,37,100,32,37,115,92,48,48,49,10,0,0,108,105,103,104,116,115,97,108,109,111,110,51,0,0,0,0,108,105,103,104,116,115,97,108,109,111,110,50,0,0,0,0,108,105,103,104,116,115,97,108,109,111,110,49,0,0,0,0,108,105,103,104,116,115,97,108,109,111,110,0,0,0,0,0,108,105,103,104,116,112,105,110,107,52,0,0,0,0,0,0,115,114,99,0,0,0,0,0,108,105,103,104,116,112,105,110,107,51,0,0,0,0,0,0,32,118,101,114,115,105,111,110,32,0,0,0,0,0,0,0,108,105,103,104,116,112,105,110,107,50,0,0,0,0,0,0,97,115,112,101,99,116,0,0,0,0,0,0,0,0,0,0,108,105,103,104,116,112,105,110,107,49,0,0,0,0,0,0,47,100,97,114,107,50,51,47,50,0,0,0,0,0,0,0,108,105,103,104,116,112,105,110,107,0,0,0,0,0,0,0,76,97,121,111,117,116,32,116,121,112,101,58,32,34,37,115,34,32,110,111,116,32,114,101,99,111,103,110,105,122,101,100,46,32,85,115,101,32,111,110,101,32,111,102,58,37,115,10,0,0,0,0,0,0,0,0,10,0,0,0,0,0,0,0,108,105,103,104,116,103,114,101,121,0,0,0,0,0,0,0,112,108,117,115,109,110,0,0,115,105,108,118,101,114,0,0,108,105,103,104,116,103,114,97,121,0,0,0,0,0,0,0,108,105,103,104,116,103,111,108,100,101,110,114,111,100,121,101,108,108,111,119,0,0,0,0,108,105,103,104,116,103,111,108,100,101,110,114,111,100,52,0,108,105,103,104,116,103,111,108,100,101,110,114,111,100,51,0,32,99,114,101,97,116,101,32,112,111,108,121,103,111,110,32,0,0,0,0,0,0,0,0,108,105,103,104,116,103,111,108,100,101,110,114,111,100,50,0,108,105,103,104,116,103,111,108,100,101,110,114,111,100,49,0,60,33,45,45,32,71,101,110,101,114,97,116,101,100,32,98,121,32,0,0,0,0,0,0,108,105,103,104,116,103,111,108,100,101,110,114,111,100,0,0,108,105,103,104,116,99,121,97,110,52,0,0,0,0,0,0,47,100,97,114,107,50,51,47,49,0,0,0,0,0,0,0,108,105,103,104,116,99,121,97,110,51,0,0,0,0,0,0,108,105,103,104,116,99,121,97,110,50,0,0,0,0,0,0,112,105,118,0,0,0,0,0,108,105,103,104,116,99,121,97,110,49,0,0,0,0,0,0,108,105,103,104,116,99,121,97,110,0,0,0,0,0,0,0,108,105,103,104,116,99,111,114,97,108,0,0,0,0,0,0,66,111,111,107,109,97,110,45,68,101,109,105,73,116,97,108,105,99,0,0,0,0,0,0,108,105,103,104,116,98,108,117,101,52,0,0,0,0,0,0,108,105,103,104,116,98,108,117,101,51,0,0,0,0,0,0,108,105,103,104,116,98,108,117,101,50,0,0,0,0,0,0,101,114,114,111,114,32,105,110,32,112,114,111,99,101,115,115,105,110,103,32,101,120,116,101,114,110,97,108,32,101,110,116,105,116,121,32,114,101,102,101,114,101,110,99,101,0,0,0,32,34,104,116,116,112,58,47,47,119,119,119,46,119,51,46,111,114,103,47,71,114,97,112,104,105,99,115,47,83,86,71,47,49,46,49,47,68,84,68,47,115,118,103,49,49,46,100,116,100,34,62,10,0,0,0,108,105,103,104,116,98,108,117,101,49,0,0,0,0,0,0,108,105,103,104,116,98,108,117,101,0,0,0,0,0,0,0,47,98,117,112,117,57,47,57,0,0,0,0,0,0,0,0,108,101,109,111,110,99,104,105,102,102,111,110,52,0,0,0,70,32,37,102,32,0,0,0,108,101,109,111,110,99,104,105,102,102,111,110,51,0,0,0,112,105,0,0,0,0,0,0,108,101,109,111,110,99,104,105,102,102,111,110,50,0,0,0,108,101,109,111,110,99,104,105,102,102,111,110,49,0,0,0,108,101,109,111,110,99,104,105,102,102,111,110,0,0,0,0,37,46,53,103,44,37,46,53,103,44,37,46,53,103,0,0,108,97,119,110,103,114,101,101,110,0,0,0,0,0,0,0,107,101,121,0,0,0,0,0,108,97,118,101,110,100,101,114,98,108,117,115,104,52,0,0,108,97,118,101,110,100,101,114,98,108,117,115,104,51,0,0,99,121,97,110,0,0,0,0,60,33,68,79,67,84,89,80,69,32,115,118,103,32,80,85,66,76,73,67,32,34,45,47,47,87,51,67,47,47,68,84,68,32,83,86,71,32,49,46,49,47,47,69,78,34,10,0,108,97,118,101,110,100,101,114,98,108,117,115,104,50,0,0,78,68,95,105,110,40,114,105,103,104,116,41,46,115,105,122,101,32,43,32,78,68,95,111,117,116,40,114,105,103,104,116,41,46,115,105,122,101,32,61,61,32,48,0,0,0,0,0,112,104,105,0,0,0,0,0,108,97,118,101,110,100,101,114,98,108,117,115,104,49,0,0,47,98,117,112,117,57,47,56,0,0,0,0,0,0,0,0,108,97,118,101,110,100,101,114,98,108,117,115,104,0,0,0,108,97,118,101,110,100,101,114,0,0,0,0,0,0,0,0,32,32,34,37,115,34,10,0,107,104,97,107,105,52,0,0,107,104,97,107,105,51,0,0,97,108,105,99,101,98,108,117,101,0,0,0,0,0,0,0,107,104,97,107,105,50,0,0,107,104,97,107,105,49,0,0,107,104,97,107,105,0,0,0,112,110,103,0,0,0,0,0,105,118,111,114,121,52,0,0,73,110,118,97,108,105,100,32,50,45,98,121,116,101,32,85,84,70,56,32,102,111,117,110,100,32,105,110,32,105,110,112,117,116,46,32,80,101,114,104,97,112,115,32,34,45,71,99,104,97,114,115,101,116,61,108,97,116,105,110,49,34,32,105,115,32,110,101,101,100,101,100,63,10,0,0,0,0,0,0,47,72,101,108,118,101,116,105,99,97,32,115,116,97,114,110,101,116,73,83,79,32,100,101,102,0,0,0,0,0,0,0,34,32,116,121,112,101,61,34,116,101,120,116,47,99,115,115,34,63,62,10,0,0,0,0,105,118,111,114,121,51,0,0,78,111,32,108,105,98,122,32,115,117,112,112,111,114,116,46,10,0,0,0,0,0,0,0,105,118,111,114,121,50,0,0,47,98,117,112,117,57,47,55,0,0,0,0,0,0,0,0,105,118,111,114,121,49,0,0,105,118,111,114,121,0,0,0,112,101,114,112,0,0,0,0,105,110,118,105,115,0,0,0,105,110,100,105,103,111,0,0,105,110,100,105,97,110,114,101,100,52,0,0,0,0,0,0,105,110,100,105,97,110,114,101,100,51,0,0,0,0,0,0,105,110,100,105,97,110,114,101,100,50,0,0,0,0,0,0,105,110,100,105,97,110,114,101,100,49,0,0,0,0,0,0,60,63,120,109,108,45,115,116,121,108,101,115,104,101,101,116,32,104,114,101,102,61,34,0,105,110,100,105,97,110,114,101,100,0,0,0,0,0,0,0,104,111,116,112,105,110,107,52,0,0,0,0,0,0,0,0,47,98,117,112,117,57,47,54,0,0,0,0,0,0,0,0,104,111,116,112,105,110,107,51,0,0,0,0,0,0,0,0,104,111,116,112,105,110,107,50,0,0,0,0,0,0,0,0,112,101,114,109,105,108,0,0,35,51,48,51,48,51,48,0,104,111,116,112,105,110,107,49,0,0,0,0,0,0,0,0,104,111,116,112,105,110,107,0,104,111,110,101,121,100,101,119,52,0,0,0,0,0,0,0,32,116,97,114,103,101,116,61,34,0,0,0,0,0,0,0,104,111,110,101,121,100,101,119,51,0,0,0,0,0,0,0,104,111,110,101,121,100,101,119,50,0,0,0,0,0,0,0,104,111,110,101,121,100,101,119,49,0,0,0,0,0,0,0,115,116,121,108,101,115,104,101,101,116,0,0,0,0,0,0,104,111,110,101,121,100,101,119,0,0,0,0,0,0,0,0,103,114,101,121,57,57,0,0,47,98,117,112,117,57,47,53,0,0,0,0,0,0,0,0,103,114,101,121,57,56,0,0,103,114,101,121,57,55,0,0,112,97,114,116,0,0,0,0,103,114,101,121,57,54,0,0,103,114,101,121,57,53,0,0,103,114,101,121,57,52,0,0,103,114,101,121,57,51,0,0,103,114,101,121,57,50,0,0,103,114,101,121,57,49,0,0,60,63,120,109,108,32,118,101,114,115,105,111,110,61,34,49,46,48,34,32,101,110,99,111,100,105,110,103,61,34,85,84,70,45,56,34,32,115,116,97,110,100,97,108,111,110,101,61,34,110,111,34,63,62,10,0,103,114,101,121,57,48,0,0,47,97,99,99,101,110,116,55,47,50,0,0,0,0,0,0,103,114,101,121,57,0,0,0,47,98,117,112,117,57,47,52,0,0,0,0,0,0,0,0,103,114,101,121,56,57,0,0,103,114,101,121,56,56,0,0,112,97,114,97,0,0,0,0,103,114,101,121,56,55,0,0,103,114,101,121,56,54,0,0,103,114,101,121,56,53,0,0,103,114,101,121,56,52,0,0,103,114,101,121,56,51,0,0,103,114,101,121,56,50,0,0,32,120,109,108,110,115,58,120,108,105,110,107,61,34,104,116,116,112,58,47,47,119,119,119,46,119,51,46,111,114,103,47,49,57,57,57,47,120,108,105,110,107,34,0,0,0,0,0,116,114,97,112,101,122,105,117,109,0,0,0,0,0,0,0,103,114,101,121,56,49,0,0,103,114,101,121,56,48,0,0,47,98,117,112,117,57,47,51,0,0,0,0,0,0,0,0,103,114,101,121,56,0,0,0,103,114,101,121,55,57,0,0,111,117,109,108,0,0,0,0,103,114,101,121,55,56,0,0,103,114,101,121,55,55,0,0,103,114,101,121,55,54,0,0,103,114,101,121,55,53,0,0,103,114,101,121,55,52,0,0,50,0,0,0,0,0,0,0,103,114,101,121,55,51,0,0,103,105,102,58,109,97,112,0,32,120,109,108,110,115,61,34,104,116,116,112,58,47,47,119,119,119,46,119,51,46,111,114,103,47,50,48,48,48,47,115,118,103,34,0,0,0,0,0,103,114,101,121,55,50,0,0,50,48,49,49,48,53,48,55,46,48,51,50,55,0,0,0,103,114,101,121,55,49,0,0,47,98,117,112,117,57,47,50,0,0,0,0,0,0,0,0,103,114,101,121,55,48,0,0,103,114,101,121,55,0,0,0,111,116,105,109,101,115,0,0,103,114,101,121,54,57,0,0,48,0,0,0,0,0,0,0,103,114,101,121,54,56,0,0,103,114,101,121,54,55,0,0,103,114,101,121,54,54,0,0,103,114,101,121,54,53,0,0,115,99,97,108,101,0,0,0,116,111,111,32,109,97,110,121,32,115,97,109,101,123,104,101,97,100,44,116,97,105,108,125,32,103,114,111,117,112,115,32,102,111,114,32,110,111,100,101,32,37,115,10,0,0,0,0,103,114,101,121,54,52,0,0,32,118,105,101,119,66,111,120,61,34,37,46,50,102,32,37,46,50,102,32,37,46,50,102,32,37,46,50,102,34,0,0,103,114,101,121,54,51,0,0,37,115,32,45,62,32,37,115,58,32,115,112,108,105,110,101,32,115,105,122,101,32,62,32,49,32,110,111,116,32,115,117,112,112,111,114,116,101,100,10,0,0,0,0,0,0,0,0,103,114,97,112,104,0,0,0,103,114,101,121,54,50,0,0,47,98,117,112,117,57,47,49,0,0,0,0,0,0,0,0,103,114,101,121,54,49,0,0,103,114,101,121,54,48,0,0,111,116,105,108,100,101,0,0,114,101,100,0,0,0,0,0,103,114,101,121,54,0,0,0,103,114,101,121,53,57,0,0,103,114,101,121,53,56,0,0,103,114,101,121,53,55,0,0,32,45,115,109,111,111,116,104,32,98,101,122,105,101,114,32,0,0,0,0,0,0,0,0,103,114,101,121,53,54,0,0,103,114,101,121,53,53,0,0,60,115,118,103,32,119,105,100,116,104,61,34,37,100,112,116,34,32,104,101,105,103,104,116,61,34,37,100,112,116,34,10,0,0,0,0,0,0,0,0,103,114,101,121,53,52,0,0,103,114,101,121,53,51,0,0,47,98,117,112,117,56,47,56,0,0,0,0,0,0,0,0,103,114,101,121,53,50,0,0,103,114,101,121,53,49,0,0,111,115,108,97,115,104,0,0,103,114,101,121,53,48,0,0,103,114,101,121,53,0,0,0,103,114,101,121,52,57,0,0,115,101,114,105,102,0,0,0,103,114,101,121,52,56,0,0,103,114,101,121,52,55,0,0,103,114,101,121,52,54,0,0,117,110,99,108,111,115,101,100,32,67,68,65,84,65,32,115,101,99,116,105,111,110,0,0,114,97,110,107,46,99,0,0,32,80,97,103,101,115,58,32,37,100,32,45,45,62,10,0,103,114,101,121,52,53,0,0,103,114,101,121,52,52,0,0,47,98,117,112,117,56,47,55,0,0,0,0,0,0,0,0,103,114,101,121,52,51,0,0,101,32,0,0,0,0,0,0,103,114,101,121,52,50,0,0,111,114,100,109,0,0,0,0,103,114,101,121,52,49,0,0,103,114,101,121,52,48,0,0,103,114,101,121,52,0,0,0,98,98,0,0,0,0,0,0,103,114,101,121,51,57,0,0,104,114,101,102,0,0,0,0,103,114,101,121,51,56,0,0,103,114,101,121,51,55,0,0,99,114,105,109,115,111,110,0,32,84,105,116,108,101,58,32,0,0,0,0,0,0,0,0,117,115,45,62,110,97,109,101,0,0,0,0,0,0,0,0,103,114,101,121,51,54,0,0,103,114,101,121,51,53,0,0,47,98,117,112,117,56,47,54,0,0,0,0,0,0,0,0,103,114,101,121,51,52,0,0,103,114,101,121,51,51,0,0,111,114,100,102,0,0,0,0,103,114,101,121,51,50,0,0,103,114,101,121,51,49,0,0,65,82,61,37,48,46,52,108,102,9,32,65,114,101,97,61,32,37,48,46,52,108,102,9,0,0,0,0,0,0,0,0,103,114,101,121,51,48,0,0,103,114,101,121,51,0,0,0,103,114,101,121,50,57,0,0,117,32,61,61,32,85,70,95,102,105,110,100,40,117,41,0,68,111,116,68,105,99,116,32,98,101,103,105,110,0,0,0,60,33,45,45,0,0,0,0,137,80,78,71,13,10,26,10,0,0,0,0,0,0,0,0,103,114,101,121,50,56,0,0,37,100,0,0,0,0,0,0,47,84,105,109,101,115,45,66,111,108,100,73,116,97,108,105,99,32,115,116,97,114,110,101,116,73,83,79,32,100,101,102,0,0,0,0,0,0,0,0,115,118,103,0,0,0,0,0,103,114,101,121,50,55,0,0,103,114,101,121,50,54,0,0,47,98,117,112,117,56,47,53,0,0,0,0,0,0,0,0,103,114,101,121,50,53,0,0,103,114,101,121,50,52,0,0,111,114,0,0,0,0,0,0,103,114,101,121,50,51,0,0,103,114,101,121,50,50,0,0,103,114,101,121,50,49,0,0,103,114,101,121,50,48,0,0,103,114,101,121,50,0,0,0,60,47,115,118,103,62,10,0,103,114,101,121,49,57,0,0,37,37,37,37,67,114,101,97,116,111,114,58,32,37,115,32,118,101,114,115,105,111,110,32,37,115,32,40,37,115,41,10,0,0,0,0,0,0,0,0,103,114,101,121,49,56,0,0,103,114,101,121,49,55,0,0,47,98,117,112,117,56,47,52,0,0,0,0,0,0,0,0,103,114,101,121,49,54,0,0,115,111,108,105,100,0,0,0,103,114,101,121,49,53,0,0,111,112,108,117,115,0,0,0,35,102,99,102,99,102,99,0,103,114,101,121,49,52,0,0,103,114,101,121,49,51,0,0,103,114,101,121,49,50,0,0,103,114,101,121,49,49,0,0,32,104,114,101,102,61,34,0,103,114,101,121,49,48,48,0,34,32,99,108,97,115,115,61,34,108,97,121,101,114,34,62,10,0,0,0,0,0,0,0,103,114,101,121,49,48,0,0,32,69,80,83,70,45,51,46,48,10,0,0,0,0,0,0,103,114,101,121,49,0,0,0,103,114,101,121,48,0,0,0,47,98,117,112,117,56,47,51,0,0,0,0,0,0,0,0,103,114,101,121,0,0,0,0,103,114,101,101,110,121,101,108,108,111,119,0,0,0,0,0,111,109,105,99,114,111,110,0,103,114,101,101,110,52,0,0,103,114,101,101,110,51,0,0,103,114,101,101,110,50,0,0,103,114,101,101,110,49,0,0,103,114,101,101,110,0,0,0,32,116,114,97,110,115,102,111,114,109,61,34,115,99,97,108,101,40,37,103,32,37,103,41,32,114,111,116,97,116,101,40,37,100,41,32,116,114,97,110,115,108,97,116,101,40,37,103,32,37,103,41,34,62,10,0,103,114,97,121,57,57,0,0,37,33,80,83,45,65,100,111,98,101,45,51,46,48,0,0,103,114,97,121,57,56,0,0,47,97,99,99,101,110,116,55,47,49,0,0,0,0,0,0,103,114,97,121,57,55,0,0,47,98,117,112,117,56,47,50,0,0,0,0,0,0,0,0,103,114,97,121,57,54,0,0,103,114,97,121,57,53,0,0,111,109,101,103,97,0,0,0,103,114,97,121,57,52,0,0,103,114,97,121,57,51,0,0,103,114,97,121,57,50,0,0,103,114,97,121,57,49,0,0,103,114,97,121,57,48,0,0,34,32,99,108,97,115,115,61,34,103,114,97,112,104,34,0,103,114,97,121,57,0,0,0,37,37,69,79,70,10,0,0,103,114,97,121,56,57,0,0,100,105,97,109,111,110,100,0,103,114,97,121,56,56,0,0,47,98,117,112,117,56,47,49,0,0,0,0,0,0,0,0,103,114,97,121,56,55,0,0,103,114,97,121,56,54,0,0,111,108,105,110,101,0,0,0,103,114,97,121,56,53,0,0,103,114,97,121,56,52,0,0,103,114,97,121,56,51,0,0,103,114,97,121,56,50,0,0,103,114,97,121,56,49,0,0,34,32,99,108,97,115,115,61,34,99,108,117,115,116,101,114,34,62,0,0,0,0,0,0,103,114,97,121,56,48,0,0,49,0,0,0,0,0,0,0,112,110,103,58,109,97,112,0,101,110,100,10,114,101,115,116,111,114,101,10,0,0,0,0,103,114,97,121,56,0,0,0,103,114,97,121,55,57,0,0,47,98,117,112,117,55,47,55,0,0,0,0,0,0,0,0,103,114,97,121,55,56,0,0,103,114,97,121,55,55,0,0,111,103,114,97,118,101,0,0,103,114,97,121,55,54,0,0,103,114,97,121,55,53,0,0,37,100,32,37,100,32,35,37,48,50,120,37,48,50,120,37,48,50,120,10,0,0,0,0,103,114,97,121,55,52,0,0,103,114,97,121,55,51,0,0,103,114,97,121,55,50,0,0,60,73,77,71,62,0,0,0,34,32,99,108,97,115,115,61,34,110,111,100,101,34,62,0,103,114,97,121,55,49,0,0,37,37,37,37,80,97,103,101,115,58,32,37,100,10,0,0,103,114,97,121,55,48,0,0,103,114,97,121,55,0,0,0,47,98,117,112,117,55,47,54,0,0,0,0,0,0,0,0,103,114,97,121,54,57,0,0,103,114,97,121,54,56,0,0,111,101,108,105,103,0,0,0,112,117,114,112,108,101,0,0,103,114,97,121,54,55,0,0,103,114,97,121,54,54,0,0,103,114,97,121,54,53,0,0,103,114,97,121,54,52,0,0,32,45,119,105,100,116,104,32,0,0,0,0,0,0,0,0,103,114,97,121,54,51,0,0,60,47,116,105,116,108,101,62,10,0,0,0,0,0,0,0,103,114,97,121,54,50,0,0,37,37,84,114,97,105,108,101,114,10,0,0,0,0,0,0,103,114,97,121,54,49,0,0,103,114,97,121,54,48,0,0,47,98,117,112,117,55,47,53,0,0,0,0,0,0,0,0,103,114,97,121,54,0,0,0,103,114,97,121,53,57,0,0,35,56,48,56,48,56,48,0,111,99,105,114,99,0,0,0,103,114,97,121,53,56,0,0,103,114,97,121,53,55,0,0,103,114,97,121,53,54,0,0,85,82,87,32,66,111,111,107,109,97,110,32,76,0,0,0,103,114,97,121,53,53,0,0,103,114,97,121,53,52,0,0,92,69,0,0,0,0,0,0,103,114,97,121,53,51,0,0,101,110,99,111,100,105,110,103,32,115,112,101,99,105,102,105,101,100,32,105,110,32,88,77,76,32,100,101,99,108,97,114,97,116,105,111,110,32,105,115,32,105,110,99,111,114,114,101,99,116,0,0,0,0,0,0,91,32,123,67,97,116,97,108,111,103,125,32,60,60,32,47,85,82,73,32,60,60,32,47,66,97,115,101,32,40,37,115,41,32,62,62,32,62,62,10,47,80,85,84,32,112,100,102,109,97,114,107,10,0,0,0,103,114,97,121,53,50,0,0,92,76,0,0,0,0,0,0,103,114,97,121,53,49,0,0,47,98,117,112,117,55,47,52,0,0,0,0,0,0,0,0,103,114,97,121,53,48,0,0,69,32,0,0,0,0,0,0,103,114,97,121,53,0,0,0,111,97,99,117,116,101,0,0,100,101,103,101,110,101,114,97,116,101,32,99,111,110,99,101,110,116,114,97,116,101,100,32,114,97,110,107,32,37,115,44,37,100,10,0,0,0,0,0,103,114,97,121,52,57,0,0,103,114,97,121,52,56,0,0,103,114,97,121,52,55,0,0,37,46,50,102,0,0,0,0,103,114,97,121,52,54,0,0,85,82,76,0,0,0,0,0,103,114,97,121,52,53,0,0,60,116,105,116,108,101,62,0,103,114,97,121,52,52,0,0,99,111,114,110,115,105,108,107,0,0,0,0,0,0,0,0,115,101,116,117,112,76,97,116,105,110,49,10,0,0,0,0,103,114,97,121,52,51,0,0,103,114,97,121,52,50,0,0,47,98,117,112,117,55,47,51,0,0,0,0,0,0,0,0,103,114,97,121,52,49,0,0,103,114,97,121,52,48,0,0,110,117,0,0,0,0,0,0,103,114,97,121,52,0,0,0,103,114,97,121,51,57,0,0,103,114,97,121,51,56,0,0,103,114,97,121,51,55,0,0,103,114,97,121,51,54,0,0,34,32,99,108,97,115,115,61,34,101,100,103,101,34,62,0,40,108,105,98,41,0,0,0,103,114,97,121,51,53,0,0,95,95,99,108,117,115,116,101,114,110,111,100,101,115,0,0,37,37,69,110,100,67,111,109,109,101,110,116,115,10,115,97,118,101,10,0,0,0,0,0,47,84,105,109,101,115,45,66,111,108,100,32,115,116,97,114,110,101,116,73,83,79,32,100,101,102,0,0,0,0,0,0,103,114,97,121,51,52,0,0,103,114,97,121,51,51,0,0,47,98,117,112,117,55,47,50,0,0,0,0,0,0,0,0,103,114,97,121,51,50,0,0,103,114,97,121,51,49,0,0,110,116,105,108,100,101,0,0,103,114,97,121,51,48,0,0,103,114,97,121,51,0,0,0,103,114,97,121,50,57,0,0,103,114,97,121,50,56,0,0,103,114,97,121,50,55,0,0,60,103,32,105,100,61,34,0,103,114,97,121,50,54,0,0,37,37,37,37,66,111,117,110,100,105,110,103,66,111,120,58,32,37,100,32,37,100,32,37,100,32,37,100,10,0,0,0,103,114,97,121,50,53,0,0,103,114,97,121,50,52,0,0,47,98,117,112,117,55,47,49,0,0,0,0,0,0,0,0,103,114,97,121,50,51,0,0,103,114,97,121,50,50,0,0,110,115,117,98,0,0,0,0,95,100,114,97,119,95,0,0,110,111,114,109,97,108,0,0,103,114,97,121,50,49,0,0,103,114,97,121,50,48,0,0,103,114,97,121,50,0,0,0,103,114,97,121,49,57,0,0,103,114,97,121,49,56,0,0,34,0,0,0,0,0,0,0,60,47,103,62,10,0,0,0,103,114,97,121,49,55,0,0,37,37,66,111,117,110,100,105,110,103,66,111,120,58,32,40,97,116,101,110,100,41,10,0,103,114,97,121,49,54,0,0,103,114,97,121,49,53,0,0,47,98,117,112,117,54,47,54,0,0,0,0,0,0,0,0,103,114,97,121,49,52,0,0,103,114,97,121,49,51,0,0,110,111,116,105,110,0,0,0,103,114,97,121,49,50,0,0,103,114,97,121,49,49,0,0,103,114,97,121,49,48,48,0,103,114,97,121,49,48,0,0,103,114,97,121,49,0,0,0,62,10,0,0,0,0,0,0,103,114,97,121,48,0,0,0,37,37,80,97,103,101,115,58,32,49,10,0,0,0,0,0,103,114,97,121,0,0,0,0,47,97,99,99,101,110,116,54,47,54,0,0,0,0,0,0,103,111,108,100,101,110,114,111,100,52,0,0,0,0,0,0,47,98,117,112,117,54,47,53,0,0,0,0,0,0,0,0,103,111,108,100,101,110,114,111,100,51,0,0,0,0,0,0,103,111,108,100,101,110,114,111,100,50,0,0,0,0,0,0,110,111,116,0,0,0,0,0,103,111,108,100,101,110,114,111,100,49,0,0,0,0,0,0,103,111,108,100,101,110,114,111,100,0,0,0,0,0,0,0,103,111,108,100,52,0,0,0,103,111,108,100,51,0,0,0,103,111,108,100,50,0,0,0,32,116,97,114,103,101,116,61,34,0,0,0,0,0,0,0,103,111,108,100,49,0,0,0,37,37,80,97,103,101,115,58,32,40,97,116,101,110,100,41,10,0,0,0,0,0,0,0,103,111,108,100,0,0,0,0,112,108,97,105,110,116,101,120,116,0,0,0,0,0,0,0,103,104,111,115,116,119,104,105,116,101,0,0,0,0,0,0,47,98,117,112,117,54,47,52,0,0,0,0,0,0,0,0,103,97,105,110,115,98,111,114,111,0,0,0,0,0,0,0,102,111,114,101,115,116,103,114,101,101,110,0,0,0,0,0,110,105,0,0,0,0,0,0,102,108,111,114,97,108,119,104,105,116,101,0,0,0,0,0,102,105,114,101,98,114,105,99,107,52,0,0,0,0,0,0,102,105,114,101,98,114,105,99,107,51,0,0,0,0,0,0,102,105,114,101,98,114,105,99,107,50,0,0,0,0,0,0,102,105,114,101,98,114,105,99,107,49,0,0,0,0,0,0,32,120,108,105,110,107,58,116,105,116,108,101,61,34,0,0,102,105,114,101,98,114,105,99,107,0,0,0,0,0,0,0,48,0,0,0,0,0,0,0,40,108,105,98,41,58,112,115,0,0,0,0,0,0,0,0,37,37,37,37,84,105,116,108,101,58,32,37,115,10,0,0,100,111,100,103,101,114,98,108,117,101,52,0,0,0,0,0,100,111,100,103,101,114,98,108,117,101,51,0,0,0,0,0,47,98,117,112,117,54,47,51,0,0,0,0,0,0,0,0,100,111,100,103,101,114,98,108,117,101,50,0,0,0,0,0,100,111,100,103,101,114,98,108,117,101,49,0,0,0,0,0,110,101,0,0,0,0,0,0,100,111,100,103,101,114,98,108,117,101,0,0,0,0,0,0,100,105,109,103,114,101,121,0,100,105,109,103,114,97,121,0])
    906 .concat([37,100,32,37,100,32,37,100,32,37,100,32,37,100,32,37,100,32,37,100,32,37,100,32,37,100,32,37,46,51,102,32,37,100,32,37,46,52,102,32,37,100,32,37,100,32,37,100,32,37,100,32,37,100,32,37,100,32,37,100,32,37,100,10,0,0,0,0,0,0,0,0,100,101,101,112,115,107,121,98,108,117,101,52,0,0,0,0,100,101,101,112,115,107,121,98,108,117,101,51,0,0,0,0,85,110,107,110,111,119,110,32,72,84,77,76,32,101,108,101,109,101,110,116,32,60,37,115,62,32,111,110,32,108,105,110,101,32,37,100,32,10,0,0,32,120,108,105,110,107,58,104,114,101,102,61,34,0,0,0,100,101,101,112,115,107,121,98,108,117,101,50,0,0,0,0,37,100,32,37,100,32,115,101,116,108,97,121,101,114,10,0,100,101,101,112,115,107,121,98,108,117,101,49,0,0,0,0,100,101,101,112,115,107,121,98,108,117,101,0,0,0,0,0,45,45,0,0,0,0,0,0,47,98,117,112,117,54,47,50,0,0,0,0,0,0,0,0,100,101,101,112,112,105,110,107,52,0,0,0,0,0,0,0,100,101,101,112,112,105,110,107,51,0,0,0,0,0,0,0,110,100,97,115,104,0,0,0,111,108,105,118,101,0,0,0,100,101,101,112,112,105,110,107,50,0,0,0,0,0,0,0,100,101,101,112,112,105,110,107,49,0,0,0,0,0,0,0,100,101,101,112,112,105,110,107,0,0,0,0,0,0,0,0,100,97,114,107,118,105,111,108,101,116,0,0,0,0,0,0,36,99,0,0,0,0,0,0,100,97,114,107,116,117,114,113,117,111,105,115,101,0,0,0,60,97,0,0,0,0,0,0,100,97,114,107,115,108,97,116,101,103,114,101,121,0,0,0,91,32,47,67,114,111,112,66,111,120,32,91,37,100,32,37,100,32,37,100,32,37,100,93,32,47,80,65,71,69,83,32,112,100,102,109,97,114,107,10,0,0,0,0,0,0,0,0,100,97,114,107,115,108,97,116,101,103,114,97,121,52,0,0,100,97,114,107,115,108,97,116,101,103,114,97,121,51,0,0,47,98,117,112,117,54,47,49,0,0,0,0,0,0,0,0,100,97,114,107,115,108,97,116,101,103,114,97,121,50,0,0,100,97,114,107,115,108,97,116,101,103,114,97,121,49,0,0,110,98,115,112,0,0,0,0,47,98,117,112,117,53,47,53,0,0,0,0,0,0,0,0,100,97,114,107,115,108,97,116,101,103,114,97,121,0,0,0,99,111,108,111,114,115,99,104,101,109,101,0,0,0,0,0,100,97,114,107,115,108,97,116,101,98,108,117,101,0,0,0,100,97,114,107,115,101,97,103,114,101,101,110,52,0,0,0,66,111,111,107,109,97,110,45,68,101,109,105,0,0,0,0,100,97,114,107,115,101,97,103,114,101,101,110,51,0,0,0,100,97,114,107,115,101,97,103,114,101,101,110,50,0,0,0,60,47,97,62,10,0,0,0,100,97,114,107,115,101,97,103,114,101,101,110,49,0,0,0,117,110,107,110,111,119,110,32,101,110,99,111,100,105,110,103,0,0,0,0,0,0,0,0,99,97,110,118,97,115,32,115,105,122,101,32,40,37,100,44,37,100,41,32,101,120,99,101,101,100,115,32,80,68,70,32,108,105,109,105,116,32,40,37,100,41,10,9,40,115,117,103,103,101,115,116,32,115,101,116,116,105,110,103,32,97,32,98,111,117,110,100,105,110,103,32,98,111,120,32,115,105,122,101,44,32,115,101,101,32,100,111,116,40,49,41,41,10,0,0,100,97,114,107,115,101,97,103,114,101,101,110,0,0,0,0,92,84,0,0,0,0,0,0,100,97,114,107,115,97,108,109,111,110,0,0,0,0,0,0,100,97,114,107,111,114,99,104,105,100,52,0,0,0,0,0,67,32,0,0,0,0,0,0,100,97,114,107,111,114,99,104,105,100,51,0,0,0,0,0,110,97,98,108,97,0,0,0,47,98,117,112,117,53,47,52,0,0,0,0,0,0,0,0,100,97,114,107,111,114,99,104,105,100,50,0,0,0,0,0,100,97,114,107,111,114,99,104,105,100,49,0,0,0,0,0,100,97,114,107,111,114,99,104,105,100,0,0,0,0,0,0,37,46,53,103,44,37,46,53,103,0,0,0,0,0,0,0,100,97,114,107,111,114,97,110,103,101,52,0,0,0,0,0,119,0,0,0,0,0,0,0,100,97,114,107,111,114,97,110,103,101,51,0,0,0,0,0,60,47,116,101,120,116,62,10,0,0,0,0,0,0,0,0,100,97,114,107,111,114,97,110,103,101,50,0,0,0,0,0,99,111,114,110,102,108,111,119,101,114,98,108,117,101,0,0,37,103,32,37,103,32,115,101,116,95,115,99,97,108,101,32,37,100,32,114,111,116,97,116,101,32,37,103,32,37,103,32,116,114,97,110,115,108,97,116,101,10,0,0,0,0,0,0,100,97,114,107,111,114,97,110,103,101,49,0,0,0,0,0,100,97,114,107,111,114,97,110,103,101,0,0,0,0,0,0,100,97,114,107,111,108,105,118,101,103,114,101,101,110,52,0,100,97,114,107,111,108,105,118,101,103,114,101,101,110,51,0,109,117,0,0,0,0,0,0,47,98,117,112,117,53,47,51,0,0,0,0,0,0,0,0,100,97,114,107,111,108,105,118,101,103,114,101,101,110,50,0,100,97,114,107,111,108,105,118,101,103,114,101,101,110,49,0,100,97,114,107,111,108,105,118,101,103,114,101,101,110,0,0,100,97,114,107,107,104,97,107,105,0,0,0,0,0,0,0,100,97,114,107,103,114,101,101,110,0,0,0,0,0,0,0,62,0,0,0,0,0,0,0,115,118,103,0,0,0,0,0,100,97,114,107,103,111,108,100,101,110,114,111,100,52,0,0,103,115,97,118,101,10,37,100,32,37,100,32,37,100,32,37,100,32,98,111,120,112,114,105,109,32,99,108,105,112,32,110,101,119,112,97,116,104,10,0,47,84,105,109,101,115,45,73,116,97,108,105,99,32,115,116,97,114,110,101,116,73,83,79,32,100,101,102,0,0,0,0,100,97,114,107,103,111,108,100,101,110,114,111,100,51,0,0,100,97,114,107,103,111,108,100,101,110,114,111,100,50,0,0,100,97,114,107,103,111,108,100,101,110,114,111,100,49,0,0,100,97,114,107,103,111,108,100,101,110,114,111,100,0,0,0,109,105,110,117,115,0,0,0,47,98,117,112,117,53,47,50,0,0,0,0,0,0,0,0,99,121,97,110,52,0,0,0,99,121,97,110,51,0,0,0,99,121,97,110,50,0,0,0,99,121,97,110,49,0,0,0,99,121,97,110,0,0,0,0,32,102,105,108,108,61,34,35,37,48,50,120,37,48,50,120,37,48,50,120,34,0,0,0,99,114,105,109,115,111,110,0,37,100,32,37,100,32,37,100,32,98,101,103,105,110,112,97,103,101,10,0,0,0,0,0,99,111,114,110,115,105,108,107,52,0,0,0,0,0,0,0,99,111,114,110,115,105,108,107,51,0,0,0,0,0,0,0,99,111,114,110,115,105,108,107,50,0,0,0,0,0,0,0,99,111,114,110,115,105,108,107,49,0,0,0,0,0,0,0,109,105,100,100,111,116,0,0,98,111,116,104,0,0,0,0,47,98,117,112,117,53,47,49,0,0,0,0,0,0,0,0,99,111,114,110,115,105,108,107,0,0,0,0,0,0,0,0,99,111,114,110,102,108,111,119,101,114,98,108,117,101,0,0,99,111,114,97,108,52,0,0,99,111,114,97,108,51,0,0,99,111,114,97,108,50,0,0,32,102,105,108,108,61,34,37,115,34,0,0,0,0,0,0,99,111,114,97,108,49,0,0,32,105,100,61,34,0,0,0,60,60,32,47,80,97,103,101,83,105,122,101,32,91,37,100,32,37,100,93,32,62,62,32,115,101,116,112,97,103,101,100,101,118,105,99,101,10,0,0,99,111,114,97,108,0,0,0,99,104,111,99,111,108,97,116,101,52,0,0,0,0,0,0,99,104,111,99,111,108,97,116,101,51,0,0,0,0,0,0,99,104,111,99,111,108,97,116,101,50,0,0,0,0,0,0,109,105,99,114,111,0,0,0,47,98,117,112,117,52,47,52,0,0,0,0,0,0,0,0,99,104,111,99,111,108,97,116,101,49,0,0,0,0,0,0,99,104,111,99,111,108,97,116,101,0,0,0,0,0,0,0,99,104,97,114,116,114,101,117,115,101,52,0,0,0,0,0,99,104,97,114,116,114,101,117,115,101,51,0,0,0,0,0,99,104,97,114,116,114,101,117,115,101,50,0,0,0,0,0,32,102,111,110,116,45,115,105,122,101,61,34,37,46,50,102,34,0,0,0,0,0,0,0,99,104,97,114,116,114,101,117,115,101,49,0,0,0,0,0,80,111,114,116,114,97,105,116,0,0,0,0,0,0,0,0,99,104,97,114,116,114,101,117,115,101,0,0,0,0,0,0,47,97,99,99,101,110,116,54,47,53,0,0,0,0,0,0,99,97,100,101,116,98,108,117,101,52,0,0,0,0,0,0,99,97,100,101,116,98,108,117,101,51,0,0,0,0,0,0,99,97,100,101,116,98,108,117,101,50,0,0,0,0,0,0,109,100,97,115,104,0,0,0,47,98,117,112,117,52,47,51,0,0,0,0,0,0,0,0,99,97,100,101,116,98,108,117,101,49,0,0,0,0,0,0,99,97,100,101,116,98,108,117,101,0,0,0,0,0,0,0,98,117,114,108,121,119,111,111,100,52,0,0,0,0,0,0,98,117,114,108,121,119,111,111,100,51,0,0,0,0,0,0,98,117,114,108,121,119,111,111,100,50,0,0,0,0,0,0,32,102,111,110,116,45,102,97,109,105,108,121,61,34,37,115,34,0,0,0,0,0,0,0,98,117,114,108,121,119,111,111,100,49,0,0,0,0,0,0,76,97,110,100,115,99,97,112,101,0,0,0,0,0,0,0,98,117,114,108,121,119,111,111,100,0,0,0,0,0,0,0,110,111,110,101,0,0,0,0,98,114,111,119,110,52,0,0,98,114,111,119,110,51,0,0,98,114,111,119,110,50,0,0,109,97,99,114,0,0,0,0,47,98,117,112,117,52,47,50,0,0,0,0,0,0,0,0,98,114,111,119,110,49,0,0,98,114,111,119,110,0,0,0,98,108,117,101,118,105,111,108,101,116,0,0,0,0,0,0,98,108,117,101,52,0,0,0,98,108,117,101,51,0,0,0,32,102,111,110,116,45,115,116,121,108,101,61,34,37,115,34,0,0,0,0,0,0,0,0,98,108,117,101,50,0,0,0,45,45,0,0,0,0,0,0,112,115,58,108,97,115,105,0,37,37,37,37,80,97,103,101,79,114,105,101,110,116,97,116,105,111,110,58,32,37,115,10,0,0,0,0,0,0,0,0,98,108,117,101,49,0,0,0,98,108,117,101,0,0,0,0,98,108,97,110,99,104,101,100,97,108,109,111,110,100,0,0,98,108,97,99,107,0,0,0,108,116,0,0,0,0,0,0,47,98,117,112,117,52,47,49,0,0,0,0,0,0,0,0,98,105,115,113,117,101,52,0,98,105,115,113,117,101,51,0,98,105,115,113,117,101,50,0,98,105,115,113,117,101,49,0,32,37,100,0,0,0,0,0,98,105,115,113,117,101,0,0,73,77,71,0,0,0,0,0,32,102,111,110,116,45,115,116,114,101,116,99,104,61,34,37,115,34,0,0,0,0,0,0,98,101,105,103,101,0,0,0,37,37,37,37,80,97,103,101,66,111,117,110,100,105,110,103,66,111,120,58,32,37,100,32,37,100,32,37,100,32,37,100,10,0,0,0,0,0,0,0,97,122,117,114,101,52,0,0,97,122,117,114,101,51,0,0,97,122,117,114,101,50,0,0,97,122,117,114,101,49,0,0,108,115,113,117,111,0,0,0,47,98,117,112,117,51,47,51,0,0,0,0,0,0,0,0,110,97,118,121,0,0,0,0,97,122,117,114,101,0,0,0,97,113,117,97,109,97,114,105,110,101,52,0,0,0,0,0,97,113,117,97,109,97,114,105,110,101,51,0,0,0,0,0,97,113,117,97,109,97,114,105,110,101,50,0,0,0,0,0,97,113,117,97,109,97,114,105,110,101,49,0,0,0,0,0,35,37,48,50,120,37,48,50,120,37,48,50,120,0,0,0,97,113,117,97,109,97,114,105,110,101,0,0,0,0,0,0,32,102,111,110,116,45,119,101,105,103,104,116,61,34,37,115,34,0,0,0,0,0,0,0,37,37,37,37,80,97,103,101,58,32,37,100,32,37,100,10,0,0,0,0,0,0,0,0,97,110,116,105,113,117,101,119,104,105,116,101,52,0,0,0,97,110,116,105,113,117,101,119,104,105,116,101,51,0,0,0,97,110,116,105,113,117,101,119,104,105,116,101,50,0,0,0,97,110,116,105,113,117,101,119,104,105,116,101,49,0,0,0,108,115,97,113,117,111,0,0,47,98,117,112,117,51,47,50,0,0,0,0,0,0,0,0,97,110,116,105,113,117,101,119,104,105,116,101,0,0,0,0,97,108,105,99,101,98,108,117,101,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,47,121,108,111,114,114,100,57,47,57,0,0,0,0,0,0,65,118,97,110,116,71,97,114,100,101,45,68,101,109,105,79,98,108,105,113,117,101,0,0,47,121,108,111,114,114,100,57,47,56,0,0,0,0,0,0,47,121,108,111,114,114,100,57,47,55,0,0,0,0,0,0,47,121,108,111,114,114,100,57,47,54,0,0,0,0,0,0,44,37,115,0,0,0,0,0,88,77,76,32,111,114,32,116,101,120,116,32,100,101,99,108,97,114,97,116,105,111,110,32,110,111,116,32,97,116,32,115,116,97,114,116,32,111,102,32,101,110,116,105,116,121,0,0,37,37,37,37,69,110,100,80,97,103,101,58,32,37,100,10,0,0,0,0,0,0,0,0,47,121,108,111,114,114,100,57,47,53,0,0,0,0,0,0,92,72,0,0,0,0,0,0,47,121,108,111,114,114,100,57,47,52,0,0,0,0,0,0,47,121,108,111,114,114,100,57,47,51,0,0,0,0,0,0,115,101,116,108,105,110,101,119,105,100,116,104,0,0,0,0,47,121,108,111,114,114,100,57,47,50,0,0,0,0,0,0,108,114,109,0,0,0,0,0,47,98,117,112,117,51,47,49,0,0,0,0,0,0,0,0,47,121,108,111,114,114,100,57,47,49,0,0,0,0,0,0,0,0,0,0,0,0,0,0,47,121,108,111,114,114,100,56,47,56,0,0,0,0,0,0,47,121,108,111,114,114,100,56,47,55,0,0,0,0,0,0,108,104,101,105,103,104,116,0,47,121,108,111,114,114,100,56,47,54,0,0,0,0,0,0,45,62,0,0,0,0,0,0,66,111,117,110,100,105,110,103,66,111,120,32,110,111,116,32,102,111,117,110,100,32,105,110,32,101,112,115,102,32,102,105,108,101,32,37,115,10,0,0,47,121,108,111,114,114,100,56,47,53,0,0,0,0,0,0,47,121,108,111,114,114,100,56,47,52,0,0,0,0,0,0,32,102,111,110,116,45,102,97,109,105,108,121,61,34,37,115,0,0,0,0,0,0,0,0,99,111,114,97,108,0,0,0,37,37,80,97,103,101,84,114,97,105,108,101,114,10,0,0,47,121,108,111,114,114,100,56,47,51,0,0,0,0,0,0,105,110,115,116,97,108,108,95,105,110,95,114,97,110,107,32,37,115,32,37,115,32,114,97,110,107,32,37,100,32,105,32,61,32,37,100,32,97,110,32,61,32,48,10,0,0,0,0,47,121,108,111,114,114,100,56,47,50,0,0,0,0,0,0,47,121,108,111,114,114,100,56,47,49,0,0,0,0,0,0,47,121,108,111,114,114,100,55,47,55,0,0,0,0,0,0,108,111,122,0,0,0,0,0,47,98,117,103,110,57,47,57,0,0,0,0,0,0,0,0,47,121,108,111,114,114,100,55,47,54,0,0,0,0,0,0,47,121,108,111,114,114,100,55,47,53,0,0,0,0,0,0,47,121,108,111,114,114,100,55,47,52,0,0,0,0,0,0,47,121,108,111,114,114,100,55,47,51,0,0,0,0,0,0,47,121,108,111,114,114,100,55,47,50,0,0,0,0,0,0,47,121,108,111,114,114,100,55,47,49,0,0,0,0,0,0,32,120,61,34,37,103,34,32,121,61,34,37,103,34,0,0,60,115,118,103,0,0,0,0,101,110,100,112,97,103,101,10,115,104,111,119,112,97,103,101,10,103,114,101,115,116,111,114,101,10,0,0,0,0,0,0,47,84,105,109,101,115,45,82,111,109,97,110,32,115,116,97,114,110,101,116,73,83,79,32,100,101,102,0,0,0,0,0,47,121,108,111,114,114,100,54,47,54,0,0,0,0,0,0,47,121,108,111,114,114,100,54,47,53,0,0,0,0,0,0,47,121,108,111,114,114,100,54,47,52,0,0,0,0,0,0,47,121,108,111,114,114,100,54,47,51,0,0,0,0,0,0,108,111,119,97,115,116,0,0,47,98,117,103,110,57,47,56,0,0,0,0,0,0,0,0,47,121,108,111,114,114,100,54,47,50,0,0,0,0,0,0,47,121,108,111,114,114,100,54,47,49,0,0,0,0,0,0,47,121,108,111,114,114,100,53,47,53,0,0,0,0,0,0,37,108,102,37,108,102,37,108,102,0,0,0,0,0,0,0,47,121,108,111,114,114,100,53,47,52,0,0,0,0,0,0,47,121,108,111,114,114,100,53,47,51,0,0,0,0,0,0,47,121,108,111,114,114,100,53,47,50,0,0,0,0,0,0,32,116,101,120,116,45,97,110,99,104,111,114,61,34,109,105,100,100,108,101,34,0,0,0,48,32,48,32,48,32,101,100,103,101,99,111,108,111,114,10,0,0,0,0,0,0,0,0,47,121,108,111,114,114,100,53,47,49,0,0,0,0,0,0,47,121,108,111,114,114,100,52,47,52,0,0,0,0,0,0,47,121,108,111,114,114,100,52,47,51,0,0,0,0,0,0,47,121,108,111,114,114,100,52,47,50,0,0,0,0,0,0,108,102,108,111,111,114,0,0,98,97,99,107,0,0,0,0,47,98,117,103,110,57,47,55,0,0,0,0,0,0,0,0,47,121,108,111,114,114,100,52,47,49,0,0,0,0,0,0,48,0,0,0,0,0,0,0,47,121,108,111,114,114,100,51,47,51,0,0,0,0,0,0,47,121,108,111,114,114,100,51,47,50,0,0,0,0,0,0,47,121,108,111,114,114,100,51,47,49,0,0,0,0,0,0,47,121,108,111,114,98,114,57,47,57,0,0,0,0,0,0,47,121,108,111,114,98,114,57,47,56,0,0,0,0,0,0,32,116,101,120,116,45,97,110,99,104,111,114,61,34,101,110,100,34,0,0,0,0,0,0,37,37,32,37,115,10,0,0,47,121,108,111,114,98,114,57,47,55,0,0,0,0,0,0,78,68,95,111,117,116,40,118,41,46,115,105,122,101,32,61,61,32,50,0,0,0,0,0,60,97,114,101,97,32,115,104,97,112,101,61,34,112,111,108,121,34,0,0,0,0,0,0,71,115,116,97,99,107,32,117,110,100,101,114,102,108,111,119,32,105,110,32,103,114,97,112,104,32,112,97,114,115,101,114,10,0,0,0,0,0,0,0,47,121,108,111,114,98,114,57,47,54,0,0,0,0,0,0,47,121,108,111,114,98,114,57,47,53,0,0,0,0,0,0,47,121,108,111,114,98,114,57,47,52,0,0,0,0,0,0,108,101,0,0,0,0,0,0,47,98,117,103,110,57,47,54,0,0,0,0,0,0,0,0,47,121,108,111,114,98,114,57,47,51,0,0,0,0,0,0,47,121,108,111,114,98,114,57,47,50,0,0,0,0,0,0,47,121,108,111,114,98,114,57,47,49,0,0,0,0,0,0,47,121,108,111,114,98,114,56,47,56,0,0,0,0,0,0,47,121,108,111,114,98,114,56,47,55,0,0,0,0,0,0,47,121,108,111,114,98,114,56,47,54,0,0,0,0,0,0,32,116,101,120,116,45,97,110,99,104,111,114,61,34,115,116,97,114,116,34,0,0,0,0,103,115,97,118,101,10,0,0,47,121,108,111,114,98,114,56,47,53,0,0,0,0,0,0,47,97,99,99,101,110,116,54,47,52,0,0,0,0,0,0,47,121,108,111,114,98,114,56,47,52,0,0,0,0,0,0,47,121,108,111,114,98,114,56,47,51,0,0,0,0,0,0,47,121,108,111,114,98,114,56,47,50,0,0,0,0,0,0,108,100,113,117,111,0,0,0,47,98,117,103,110,57,47,53,0,0,0,0,0,0,0,0,47,121,108,111,114,98,114,56,47,49,0,0,0,0,0,0,47,121,108,111,114,98,114,55,47,55,0,0,0,0,0,0,47,121,108,111,114,98,114,55,47,54,0,0,0,0,0,0,47,121,108,111,114,98,114,55,47,53,0,0,0,0,0,0,47,121,108,111,114,98,114,55,47,52,0,0,0,0,0,0,47,121,108,111,114,98,114,55,47,51,0,0,0,0,0,0,60,116,101,120,116,0,0,0,103,114,101,115,116,111,114,101,10,0,0,0,0,0,0,0,47,121,108,111,114,98,114,55,47,50,0,0,0,0,0,0,116,114,105,97,110,103,108,101,0,0,0,0,0,0,0,0,47,121,108,111,114,98,114,55,47,49,0,0,0,0,0,0,47,121,108,111,114,98,114,54,47,54,0,0,0,0,0,0,47,121,108,111,114,98,114,54,47,53,0,0,0,0,0,0,108,99,101,105,108,0,0,0,47,98,117,103,110,57,47,52,0,0,0,0,0,0,0,0,47,121,108,111,114,98,114,54,47,52,0,0,0,0,0,0,47,121,108,111,114,98,114,54,47,51,0,0,0,0,0,0,47,121,108,111,114,98,114,54,47,50,0,0,0,0,0,0,47,121,108,111,114,98,114,54,47,49,0,0,0,0,0,0,47,121,108,111,114,98,114,53,47,53,0,0,0,0,0,0,47,121,108,111,114,98,114,53,47,52,0,0,0,0,0,0,47,62,10,0,0,0,0,0,45,62,0,0,0,0,0,0,112,115,58,112,115,0,0,0,32,32,47,66,111,114,100,101,114,32,91,32,48,32,48,32,48,32,93,10,32,32,47,65,99,116,105,111,110,32,60,60,32,47,83,117,98,116,121,112,101,32,47,85,82,73,32,47,85,82,73,32,37,115,32,62,62,10,32,32,47,83,117,98,116,121,112,101,32,47,76,105,110,107,10,47,65,78,78,32,112,100,102,109,97,114,107,10,0,0,0,0,0,0,0,0,47,121,108,111,114,98,114,53,47,51,0,0,0,0,0,0,45,62,0,0,0,0,0,0,47,121,108,111,114,98,114,53,47,50,0,0,0,0,0,0,47,121,108,111,114,98,114,53,47,49,0,0,0,0,0,0,47,121,108,111,114,98,114,52,47,52,0,0,0,0,0,0,108,97,114,114,0,0,0,0,47,98,117,103,110,57,47,51,0,0,0,0,0,0,0,0,47,121,108,111,114,98,114,52,47,51,0,0,0,0,0,0,47,121,108,111,114,98,114,52,47,50,0,0,0,0,0,0,47,121,108,111,114,98,114,52,47,49,0,0,0,0,0,0,47,121,108,111,114,98,114,51,47,51,0,0,0,0,0,0,47,121,108,111,114,98,114,51,47,50,0,0,0,0,0,0,32,37,115,10,0,0,0,0,66,82,0,0,0,0,0,0,47,121,108,111,114,98,114,51,47,49,0,0,0,0,0,0,32,114,120,61,34,37,103,34,32,114,121,61,34,37,103,34,0,0,0,0,0,0,0,0,32,93,10,0,0,0,0,0,47,121,108,103,110,98,117,57,47,57,0,0,0,0,0,0,47,121,108,103,110,98,117,57,47,56,0,0,0,0,0,0,47,121,108,103,110,98,117,57,47,55,0,0,0,0,0,0,47,121,108,103,110,98,117,57,47,54,0,0,0,0,0,0,108,97,113,117,111,0,0,0,47,98,117,103,110,57,47,50,0,0,0,0,0,0,0,0,109,97,114,111,111,110,0,0,47,121,108,103,110,98,117,57,47,53,0,0,0,0,0,0,37,100,32,37,49,91,34,93,37,110,0,0,0,0,0,0,47,121,108,103,110,98,117,57,47,52,0,0,0,0,0,0,47,121,108,103,110,98,117,57,47,51,0,0,0,0,0,0,47,121,108,103,110,98,117,57,47,50,0,0,0,0,0,0,47,121,108,103,110,98,117,57,47,49,0,0,0,0,0,0,34,34,0,0,0,0,0,0,47,121,108,103,110,98,117,56,47,56,0,0,0,0,0,0,32,99,120,61,34,37,103,34,32,99,121,61,34,37,103,34,0,0,0,0,0,0,0,0,105,115,109,97,112,58,109,97,112,0,0,0,0,0,0,0,47,121,108,103,110,98,117,56,47,55,0,0,0,0,0,0,47,121,108,103,110,98,117,56,47,54,0,0,0,0,0,0,91,32,47,82,101,99,116,32,91,32,0,0,0,0,0,0,47,121,108,103,110,98,117,56,47,53,0,0,0,0,0,0,47,121,108,103,110,98,117,56,47,52,0,0,0,0,0,0,108,97,110,103,0,0,0,0,47,98,117,103,110,57,47,49,0,0,0,0,0,0,0,0,47,121,108,103,110,98,117,56,47,51,0,0,0,0,0,0,47,121,108,103,110,98,117,56,47,50,0,0,0,0,0,0,47,121,108,103,110,98,117,56,47,49,0,0,0,0,0,0,99,111,109,109,101,110,116,0,47,121,108,103,110,98,117,55,47,55,0,0,0,0,0,0,98,111,108,100,0,0,0,0,47,121,108,103,110,98,117,55,47,54,0,0,0,0,0,0,47,121,108,103,110,98,117,55,47,53,0,0,0,0,0,0,60,101,108,108,105,112,115,101,0,0,0,0,0,0,0,0,114,101,102,101,114,101,110,99,101,32,116,111,32,101,120,116,101,114,110,97,108,32,101,110,116,105,116,121,32,105,110,32,97,116,116,114,105,98,117,116,101,0,0,0,0,0,0,0,32,37,115,32,97,108,105,103,110,101,100,116,101,120,116,10,0,0,0,0,0,0,0,0,47,121,108,103,110,98,117,55,47,52,0,0,0,0,0,0,47,121,108,103,110,98,117,55,47,51,0,0,0,0,0,0,92,69,0,0,0,0,0,0,47,121,108,103,110,98,117,55,47,50,0,0,0,0,0,0,98,111,108,100,0,0,0,0,47,121,108,103,110,98,117,55,47,49,0,0,0,0,0,0,108,97,109,98,100,97,0,0,47,98,117,103,110,56,47,56,0,0,0,0,0,0,0,0,47,121,108,103,110,98,117,54,47,54,0,0,0,0,0,0,47,121,108,103,110,98,117,54,47,53,0,0,0,0,0,0,69,100,103,101,32,37,112,32,119,97,115,32,110,111,116,32,102,111,117,110,100,10,0,0,47,121,108,103,110,98,117,54,47,52,0,0,0,0,0,0,108,119,105,100,116,104,0,0,47,121,108,103,110,98,117,54,47,51,0,0,0,0,0,0,116,111,111,108,116,105,112,0,114,101,97,100,0,0,0,0,47,121,108,103,110,98,117,54,47,50,0,0,0,0,0,0,47,121,108,103,110,98,117,54,47,49,0,0,0,0,0,0,37,103,44,37,103,0,0,0,32,109,111,118,101,116,111,32,0,0,0,0,0,0,0,0,99,104,111,99,111,108,97,116,101,0,0,0,0,0,0,0,47,121,108,103,110,98,117,53,47,53,0,0,0,0,0,0,47,121,108,103,110,98,117,53,47,52,0,0,0,0,0,0,47,121,108,103,110,98,117,53,47,51,0,0,0,0,0,0,47,121,108,103,110,98,117,53,47,50,0,0,0,0,0,0,108,65,114,114,0,0,0,0,47,98,117,103,110,56,47,55,0,0,0,0,0,0,0,0,47,121,108,103,110,98,117,53,47,49,0,0,0,0,0,0,47,121,108,103,110,98,117,52,47,52,0,0,0,0,0,0,47,121,108,103,110,98,117,52,47,51,0,0,0,0,0,0,47,121,108,103,110,98,117,52,47,50,0,0,0,0,0,0,47,121,108,103,110,98,117,52,47,49,0,0,0,0,0,0,47,121,108,103,110,98,117,51,47,51,0,0,0,0,0,0,60,112,111,108,121,103,111,110,0,0,0,0,0,0,0,0,37,37,37,37,66,111,117,110,100,105,110,103,66,111,120,58,32,37,100,32,37,100,32,37,100,32,37,100,0,0,0,0,32,47,37,115,32,115,101,116,95,102,111,110,116,10,0,0,104,101,97,100,112,111,114,116,0,0,0,0,0,0,0,0,47,121,108,103,110,98,117,51,47,50,0,0,0,0,0,0,125,32,100,101,102,0,0,0,47,121,108,103,110,98,117,51,47,49,0,0,0,0,0,0,101,114,114,111,114,32,105,110,32,99,111,108,120,108,97,116,101,40,41,10,0,0,0,0,47,121,108,103,110,57,47,57,0,0,0,0,0,0,0,0,47,121,108,103,110,57,47,56,0,0,0,0,0,0,0,0,115,105,100,101,115,32,61,61,32,52,0,0,0,0,0,0,107,97,112,112,97,0,0,0,47,98,117,103,110,56,47,54,0,0,0,0,0,0,0,0,47,121,108,103,110,57,47,55,0,0,0,0,0,0,0,0,47,121,108,103,110,57,47,54,0,0,0,0,0,0,0,0,47,121,108,103,110,57,47,53,0,0,0,0,0,0,0,0,47,121,108,103,110,57,47,52,0,0,0,0,0,0,0,0,47,121,108,103,110,57,47,51,0,0,0,0,0,0,0,0,47,121,108,103,110,57,47,50,0,0,0,0,0,0,0,0,37,99,37,103,44,37,103,0,32,101,108,108,105,112,115,101,95,112,97,116,104,32,115,116,114,111,107,101,10,0,0,0,47,121,108,103,110,57,47,49,0,0,0,0,0,0,0,0,47,121,108,103,110,56,47,56,0,0,0,0,0,0,0,0,47,121,108,103,110,56,47,55,0,0,0,0,0,0,0,0,47,121,108,103,110,56,47,54,0,0,0,0,0,0,0,0,105,117,109,108,0,0,0,0,102,111,114,119,97,114,100,0,47,98,117,103,110,56,47,53,0,0,0,0,0,0,0,0,47,121,108,103,110,56,47,53,0,0,0,0,0,0,0,0,47,121,108,103,110,56,47,52,0,0,0,0,0,0,0,0,47,121,108,103,110,56,47,51,0,0,0,0,0,0,0,0,47,121,108,103,110,56,47,50,0,0,0,0,0,0,0,0,47,121,108,103,110,56,47,49,0,0,0,0,0,0,0,0,114,111,117,116,101,115,112,108,105,110,101,115,58,32,37,100,32,101,100,103,101,115,44,32,37,100,32,98,111,120,101,115,32,37,46,50,102,32,115,101,99,10,0,0,0,0,0,0,47,121,108,103,110,55,47,55,0,0,0,0,0,0,0,0,32,100,61,34,0,0,0,0,32,101,108,108,105,112,115,101,95,112,97,116,104,32,102,105,108,108,10,0,0,0,0,0,47,121,108,103,110,55,47,54,0,0,0,0,0,0,0,0,47,121,108,103,110,55,47,53,0,0,0,0,0,0,0,0,60,97,114,101,97,32,115,104,97,112,101,61,34,114,101,99,116,34,0,0,0,0,0,0,95,97,110,111,110,121,109,111,117,115,95,37,100,0,0,0,47,121,108,103,110,55,47,52,0,0,0,0,0,0,0,0,47,121,108,103,110,55,47,51,0,0,0,0,0,0,0,0,47,112,97,116,104,98,111,120,32,123,10,32,32,32,32,47,89,32,101,120,99,104,32,37,46,53,103,32,115,117,98,32,100,101,102,10,32,32,32,32,47,88,32,101,120,99,104,32,37,46,53,103,32,115,117,98,32,100,101,102,10,32,32,32,32,47,121,32,101,120,99,104,32,37,46,53,103,32,115,117,98,32,100,101,102,10,32,32,32,32,47,120,32,101,120,99,104,32,37,46,53,103,32,115,117,98,32,100,101,102,10,32,32,32,32,110,101,119,112,97,116,104,32,120,32,121,32,109,111,118,101,116,111,10,32,32,32,32,88,32,121,32,108,105,110,101,116,111,10,32,32,32,32,88,32,89,32,108,105,110,101,116,111,10,32,32,32,32,120,32,89,32,108,105,110,101,116,111,10,32,32,32,32,99,108,111,115,101,112,97,116,104,32,115,116,114,111,107,101,10,32,125,32,100,101,102,10,47,100,98,103,115,116,97,114,116,32,123,32,103,115,97,118,101,32,37,46,53,103,32,37,46,53,103,32,116,114,97,110,115,108,97,116,101,32,125,32,100,101,102,10,47,97,114,114,111,119,108,101,110,103,116,104,32,49,48,32,100,101,102,10,47,97,114,114,111,119,119,105,100,116,104,32,97,114,114,111,119,108,101,110,103,116,104,32,50,32,100,105,118,32,100,101,102,10,47,97,114,114,111,119,104,101,97,100,32,123,10,32,32,32,32,103,115,97,118,101,10,32,32,32,32,114,111,116,97,116,101,10,32,32,32,32,99,117,114,114,101,110,116,112,111,105,110,116,10,32,32,32,32,110,101,119,112,97,116,104,10,32,32,32,32,109,111,118,101,116,111,10,32,32,32,32,97,114,114,111,119,108,101,110,103,116,104,32,97,114,114,111,119,119,105,100,116,104,32,50,32,100,105,118,32,114,108,105,110,101,116,111,10,32,32,32,32,48,32,97,114,114,111,119,119,105,100,116,104,32,110,101,103,32,114,108,105,110,101,116,111,10,32,32,32,32,99,108,111,115,101,112,97,116,104,32,102,105,108,108,10,32,32,32,32,103,114,101,115,116,111,114,101,10,125,32,98,105,110,100,32,100,101,102,10,47,109,97,107,101,97,114,114,111,119,32,123,10,32,32,32,32,99,117,114,114,101,110,116,112,111,105,110,116,32,101,120,99,104,32,112,111,112,32,115,117,98,32,101,120,99,104,32,99,117,114,114,101,110,116,112,111,105,110,116,32,112,111,112,32,115,117,98,32,97,116,97,110,10,32,32,32,32,97,114,114,111,119,104,101,97,100,10,125,32,98,105,110,100,32,100,101,102,10,47,112,111,105,110,116,32,123,32,32,32,32,110,101,119,112,97,116,104,32,32,32,32,50,32,48,32,51,54,48,32,97,114,99,32,102,105,108,108,125,32,100,101,102,47,109,97,107,101,118,101,99,32,123,10,32,32,32,32,47,89,32,101,120,99,104,32,100,101,102,10,32,32,32,32,47,88,32,101,120,99,104,32,100,101,102,10,32,32,32,32,47,121,32,101,120,99,104,32,100,101,102,10,32,32,32,32,47,120,32,101,120,99,104,32,100,101,102,10,32,32,32,32,110,101,119,112,97,116,104,32,120,32,121,32,109,111,118,101,116,111,10,32,32,32,32,88,32,89,32,108,105,110,101,116,111,32,115,116,114,111,107,101,10,32,32,32,32,88,32,89,32,109,111,118,101,116,111,10,32,32,32,32,120,32,121,32,109,97,107,101,97,114,114,111,119,10,125,32,100,101,102,10,0,0,0,0,0,0,105,115,105,110,0,0,0,0,47,98,117,103,110,56,47,52,0,0,0,0,0,0,0,0,47,121,108,103,110,55,47,50,0,0,0,0,0,0,0,0,47,121,108,103,110,55,47,49,0,0,0,0,0,0,0,0,47,121,108,103,110,54,47,54,0,0,0,0,0,0,0,0,47,121,108,103,110,54,47,53,0,0,0,0,0,0,0,0,47,121,108,103,110,54,47,52,0,0,0,0,0,0,0,0,47,121,108,103,110,54,47,51,0,0,0,0,0,0,0,0,60,112,97,116,104,0,0,0,99,108,111,115,101,112,97,116,104,32,115,116,114,111,107,101,10,0,0,0,0,0,0,0,47,121,108,103,110,54,47,50,0,0,0,0,0,0,0,0,47,97,99,99,101,110,116,54,47,51,0,0,0,0,0,0,47,121,108,103,110,54,47,49,0,0,0,0,0,0,0,0,47,121,108,103,110,53,47,53,0,0,0,0,0,0,0,0,47,121,108,103,110,53,47,52,0,0,0,0,0,0,0,0,105,113,117,101,115,116,0,0,47,98,117,103,110,56,47,51,0,0,0,0,0,0,0,0,47,121,108,103,110,53,47,51,0,0,0,0,0,0,0,0,47,121,108,103,110,53,47,50,0,0,0,0,0,0,0,0,47,121,108,103,110,53,47,49,0,0,0,0,0,0,0,0,47,121,108,103,110,52,47,52,0,0,0,0,0,0,0,0,47,121,108,103,110,52,47,51,0,0,0,0,0,0,0,0,47,121,108,103,110,52,47,50,0,0,0,0,0,0,0,0,48,0,0,0,0,0,0,0,99,108,111,115,101,112,97,116,104,32,102,105,108,108,10,0,47,121,108,103,110,52,47,49,0,0,0,0,0,0,0,0,37,115,32,37,100,32,110,111,100,101,115,32,37,100,32,101,100,103,101,115,32,109,97,120,105,116,101,114,61,37,100,32,98,97,108,97,110,99,101,61,37,100,10,0,0,0,0,0,101,103,103,0,0,0,0,0,47,121,108,103,110,51,47,51,0,0,0,0,0,0,0,0,47,121,108,103,110,51,47,50,0,0,0,0,0,0,0,0,47,121,108,103,110,51,47,49,0,0,0,0,0,0,0,0,105,111,116,97,0,0,0,0,47,98,117,103,110,56,47,50,0,0,0,0,0,0,0,0,47,115,118,103,47,121,101,108,108,111,119,103,114,101,101,110,0,0,0,0,0,0,0,0,47,115,118,103,47,121,101,108,108,111,119,0,0,0,0,0,47,115,118,103,47,119,104,105,116,101,115,109,111,107,101,0,47,115,118,103,47,119,104,105,116,101,0,0,0,0,0,0,47,115,118,103,47,119,104,101,97,116,0,0,0,0,0,0,47,115,118,103,47,118,105,111,108,101,116,0,0,0,0,0,103,118,114,101,110,100,101,114,95,99,111,114,101,95,115,118,103,46,99,0,0,0,0,0,99,111,108,111,114,0,0,0,101,112,115,58,108,97,115,105,0,0,0,0,0,0,0,0,32,99,117,114,118,101,116,111,10,0,0,0,0,0,0,0,47,115,118,103,47,116,117,114,113,117,111,105,115,101,0,0,116,101,120,116,108,97,121,111,117,116,0,0,0,0,0,0,47,115,118,103,47,116,111,109,97,116,111,0,0,0,0,0,47,115,118,103,47,116,104,105,115,116,108,101,0,0,0,0,47,115,118,103,47,116,101,97,108,0,0,0,0,0,0,0,105,110,116,0,0,0,0,0,47,98,117,103,110,56,47,49,0,0,0,0,0,0,0,0,47,115,118,103,47,116,97,110,0,0,0,0,0,0,0,0,47,115,118,103,47,115,116,101,101,108,98,108,117,101,0,0,47,115,118,103,47,115,112,114,105,110,103,103,114,101,101,110,0,0,0,0,0,0,0,0,47,115,118,103,47,115,110,111,119,0,0,0,0,0,0,0,47,115,118,103,47,115,108,97,116,101,103,114,101,121,0,0,83,85,66,0,0,0,0,0,47,115,118,103,47,115,108,97,116,101,103,114,97,121,0,0,35,37,48,50,120,37,48,50,120,37,48,50,120,0,0,0,37,100,32,37,100,32,37,100,32,37,100,32,37,100,32,37,100,32,37,100,32,37,100,32,37,100,32,37,46,49,102,32,37,100,32,37,100,32,37,100,32,37,100,10,0,0,0,0,115,116,114,111,107,101,10,0,47,115,118,103,47,115,108,97,116,101,98,108,117,101,0,0,47,115,118,103,47,115,107,121,98,108,117,101,0,0,0,0,47,115,118,103,47,115,105,108,118,101,114,0,0,0,0,0,47,115,118,103,47,115,105,101,110,110,97,0,0,0,0,0,105,110,102,105,110,0,0,0,47,98,117,103,110,55,47,55,0,0,0,0,0,0,0,0,108,105,109,101,0,0,0,0,47,115,118,103,47,115,101,97,115,104,101,108,108,0,0,0,47,115,118,103,47,115,101,97,103,114,101,101,110,0,0,0,108,105,110,101,0,0,0,0,47,115,118,103,47,115,97,110,100,121,98,114,111,119,110,0,47,115,118,103,47,115,97,108,109,111,110,0,0,0,0,0,47,115,118,103,47,115,97,100,100,108,101,98,114,111,119,110,0,0,0,0,0,0,0,0,32,45,116,97,103,115,32,123,37,100,37,115,37,100,125,0,47,115,118,103,47,114,111,121,97,108,98,108,117,101,0,0,53,44,50,0,0,0,0,0,32,108,105,110,101,116,111,10,0,0,0,0,0,0,0,0,47,115,118,103,47,114,111,115,121,98,114,111,119,110,0,0,47,115,118,103,47,114,101,100,0,0,0,0,0,0,0,0,47,115,118,103,47,112,117,114,112,108,101,0,0,0,0,0,47,115,118,103,47,112,111,119,100,101,114,98,108,117,101,0,105,109,97,103,101,0,0,0,47,98,117,103,110,55,47,54,0,0,0,0,0,0,0,0,47,115,118,103,47,112,108,117,109,0,0,0,0,0,0,0,47,115,118,103,47,112,105,110,107,0,0,0,0,0,0,0,47,115,118,103,47,112,101,114,117,0,0,0,0,0,0,0,47,115,118,103,47,112,101,97,99,104,112,117,102,102,0,0,37,108,100,0,0,0,0,0,100,101,109,105,0,0,0,0,47,115,118,103,47,112,97,112,97,121,97,119,104,105,112,0,47,115,118,103,47,112,97,108,101,118,105,111,108,101,116,114,101,100,0,0,0,0,0,0,49,44,53,0,0,0,0,0,114,101,102,101,114,101,110,99,101,32,116,111,32,98,105,110,97,114,121,32,101,110,116,105,116,121,0,0,0,0,0,0,32,109,111,118,101,116,111,10,0,0,0,0,0,0,0,0,47,115,118,103,47,112,97,108,101,116,117,114,113,117,111,105,115,101,0,0,0,0,0,0,47,115,118,103,47,112,97,108,101,103,114,101,101,110,0,0,92,78,0,0,0,0,0,0,47,115,118,103,47,112,97,108,101,103,111,108,100,101,110,114,111,100,0,0,0,0,0,0,102,105,108,108,101,100,0,0,102,108,97,116,105,110,100,101,120,40,97,103,116,97,105,108,40,101,41,41,32,60,32,77,45,62,110,99,111,108,115,0,47,115,118,103,47,111,114,99,104,105,100,0,0,0,0,0,105,103,114,97,118,101,0,0,47,98,117,103,110,55,47,53,0,0,0,0,0,0,0,0,47,115,118,103,47,111,114,97,110,103,101,114,101,100,0,0,47,115,118,103,47,111,114,97,110,103,101,0,0,0,0,0,34,34,0,0,0,0,0,0,115,104,111,114,116,101,115,116,46,99,0,0,0,0,0,0,47,115,118,103,47,111,108,105,118,101,100,114,97,98,0,0,116,97,105,108,95,108,112,0,47,115,118,103,47,111,108,105,118,101,0,0,0,0,0,0,114,0,0,0,0,0,0,0,37,37,37,37,66,111,117,110,100,105,110,103,66,111,120,58,32,37,100,32,37,100,32,37,100,32,37,100,0,0,0,0,47,115,118,103,47,111,108,100,108,97,99,101,0,0,0,0,47,115,118,103,47,110,97,118,121,0,0,0,0,0,0,0,34,0,0,0,0,0,0,0,110,101,119,112,97,116,104,32,0,0,0,0,0,0,0,0,99,104,97,114,116,114,101,117,115,101,0,0,0,0,0,0,47,115,118,103,47,110,97,118,97,106,111,119,104,105,116,101,0,0,0,0,0,0,0,0,47,115,118,103,47,109,111,99,99,97,115,105,110,0,0,0,47,115,118,103,47,109,105,115,116,121,114,111,115,101,0,0,47,115,118,103,47,109,105,110,116,99,114,101,97,109,0,0,102,97,108,115,101,0,0,0,105,101,120,99,108,0,0,0,47,98,117,103,110,55,47,52,0,0,0,0,0,0,0,0,47,115,118,103,47,109,105,100,110,105,103,104,116,98,108,117,101,0,0,0,0,0,0,0,103,105,102,58,115,118,103,0,47,115,118,103,47,109,101,100,105,117,109,118,105,111,108,101,116,114,101,100,0,0,0,0,47,115,118,103,47,109,101,100,105,117,109,116,117,114,113,117,111,105,115,101,0,0,0,0,47,115,118,103,47,109,101,100,105,117,109,115,112,114,105,110,103,103,114,101,101,110,0,0,47,115,118,103,47,109,101,100,105,117,109,115,108,97,116,101,98,108,117,101,0,0,0,0,47,115,118,103,47,109,101,100,105,117,109,115,101,97,103,114,101,101,110,0,0,0,0,0,34,32,115,116,114,111,107,101,45,111,112,97,99,105,116,121,61,34,37,102,0,0,0,0,37,37,66,111,117,110,100,105,110,103,66,111,120,58,0,0,10,0,0,0,0,0,0,0,47,115,118,103,47,109,101,100,105,117,109,112,117,114,112,108,101,0,0,0,0,0,0,0,116,97,105,108,112,111,114,116,0,0,0,0,0,0,0,0,32,32,32,32,32,32,32,32,99,117,114,114,101,110,116,100,105,99,116,32,101,110,100,32,100,101,102,105,110,101,102,111,110,116,0,0,0,0,0,0,47,115,118,103,47,109,101,100,105,117,109,111,114,99,104,105,100,0,0,0,0,0,0,0,37,115,32,105,115,32,110,111,116,32,97,32,107,110,111,119,110,32,99,111,108,111,114,46,10,0,0,0,0,0,0,0,47,115,118,103,47,109,101,100,105,117,109,98,108,117,101,0,83,121,110,116,97,120,32,101,114,114,111,114,58,32,110,111])
    907 .concat([110,45,115,112,97,99,101,32,115,116,114,105,110,103,32,117,115,101,100,32,97,102,116,101,114,32,60,47,84,65,66,76,69,62,0,0,0,0,0,0,47,115,118,103,47,109,101,100,105,117,109,97,113,117,97,109,97,114,105,110,101,0,0,0,105,99,105,114,99,0,0,0,47,98,117,103,110,55,47,51,0,0,0,0,0,0,0,0,47,115,118,103,47,109,97,114,111,111,110,0,0,0,0,0,47,115,118,103,47,109,97,103,101,110,116,97,0,0,0,0,47,115,118,103,47,108,105,110,101,110,0,0,0,0,0,0,47,115,118,103,47,108,105,109,101,103,114,101,101,110,0,0,47,115,118,103,47,108,105,109,101,0,0,0,0,0,0,0,47,115,118,103,47,108,105,103,104,116,121,101,108,108,111,119,0,0,0,0,0,0,0,0,34,32,115,116,114,111,107,101,45,100,97,115,104,97,114,114,97,121,61,34,37,115,0,0,37,32,0,0,0,0,0,0,47,115,118,103,47,108,105,103,104,116,115,116,101,101,108,98,108,117,101,0,0,0,0,0,47,115,118,103,47,108,105,103,104,116,115,108,97,116,101,103,114,101,121,0,0,0,0,0,47,115,118,103,47,108,105,103,104,116,115,108,97,116,101,103,114,97,121,0,0,0,0,0,47,115,118,103,47,108,105,103,104,116,115,107,121,98,108,117,101,0,0,0,0,0,0,0,105,97,99,117,116,101,0,0,105,110,118,101,109,112,116,121,0,0,0,0,0,0,0,0,47,98,117,103,110,55,47,50,0,0,0,0,0,0,0,0,47,115,118,103,47,108,105,103,104,116,115,101,97,103,114,101,101,110,0,0,0,0,0,0,47,115,118,103,47,108,105,103,104,116,115,97,108,109,111,110,0,0,0,0,0,0,0,0,47,115,118,103,47,108,105,103,104,116,112,105,110,107,0,0,102,108,97,116,105,110,100,101,120,40,97,103,104,101,97,100,40,101,41,41,32,60,32,77,45,62,110,114,111,119,115,0,47,115,118,103,47,108,105,103,104,116,103,114,101,121,0,0,47,115,118,103,47,108,105,103,104,116,103,114,101,101,110,0,47,115,118,103,47,108,105,103,104,116,103,114,97,121,0,0,34,32,115,116,114,111,107,101,45,119,105,100,116,104,61,34,37,103,0,0,0,0,0,0,37,46,53,103,32,37,46,53,103,32,37,46,53,103,32,37,115,99,111,108,111,114,10,0,47,115,118,103,47,108,105,103,104,116,103,111,108,100,101,110,114,111,100,121,101,108,108,111,119,0,0,0,0,0,0,0,47,115,118,103,47,108,105,103,104,116,99,121,97,110,0,0,47,115,118,103,47,108,105,103,104,116,99,111,114,97,108,0,60,97,114,101,97,32,115,104,97,112,101,61,34,99,105,114,99,108,101,34,0,0,0,0,80,108,101,97,115,101,32,117,115,101,32,97,32,115,105,110,103,108,101,32,100,101,102,105,110,105,116,105,111,110,32,111,102,32,116,104,101,32,115,117,98,103,114,97,112,104,32,119,105,116,104,105,110,32,116,104,101,32,99,111,110,116,101,120,116,32,111,102,32,105,116,115,32,112,97,114,101,110,116,32,103,114,97,112,104,32,34,37,115,34,10,0,0,0,0,0,47,115,118,103,47,108,105,103,104,116,98,108,117,101,0,0,104,101,108,108,105,112,0,0,47,98,117,103,110,55,47,49,0,0,0,0,0,0,0,0,47,115,118,103,47,108,101,109,111,110,99,104,105,102,102,111,110,0,0,0,0,0,0,0,47,115,118,103,47,108,97,119,110,103,114,101,101,110,0,0,47,115,118,103,47,108,97,118,101,110,100,101,114,98,108,117,115,104,0,0,0,0,0,0,47,115,118,103,47,108,97,118,101,110,100,101,114,0,0,0,47,115,118,103,47,107,104,97,107,105,0,0,0,0,0,0,47,115,118,103,47,105,118,111,114,121,0,0,0,0,0,0,34,32,115,116,114,111,107,101,61,34,0,0,0,0,0,0,115,101,116,104,115,98,0,0,47,115,118,103,47,105,110,100,105,103,111,0,0,0,0,0,47,97,99,99,101,110,116,54,47,50,0,0,0,0,0,0,47,115,118,103,47,105,110,100,105,97,110,114,101,100,0,0,47,115,118,103,47,104,111,116,112,105,110,107,0,0,0,0,47,115,118,103,47,104,111,110,101,121,100,101,119,0,0,0,104,101,97,114,116,115,0,0,47,98,117,103,110,54,47,54,0,0,0,0,0,0,0,0,47,115,118,103,47,103,114,101,121,0,0,0,0,0,0,0,47,115,118,103,47,103,114,101,101,110,121,101,108,108,111,119,0,0,0,0,0,0,0,0,47,115,118,103,47,103,114,101,101,110,0,0,0,0,0,0,47,115,118,103,47,103,114,97,121,0,0,0,0,0,0,0,47,115,118,103,47,103,111,108,100,101,110,114,111,100,0,0,47,115,118,103,47,103,111,108,100,0,0,0,0,0,0,0,110,111,110,101,0,0,0,0,101,100,103,101,0,0,0,0,47,115,118,103,47,103,104,111,115,116,119,104,105,116,101,0,112,111,105,110,116,0,0,0,47,115,118,103,47,103,97,105,110,115,98,111,114,111,0,0,47,115,118,103,47,102,117,99,104,115,105,97,0,0,0,0,47,115,118,103,47,102,111,114,101,115,116,103,114,101,101,110,0,0,0,0,0,0,0,0,104,97,114,114,0,0,0,0,47,98,117,103,110,54,47,53,0,0,0,0,0,0,0,0,47,115,118,103,47,102,108,111,114,97,108,119,104,105,116,101,0,0,0,0,0,0,0,0,47,115,118,103,47,102,105,114,101,98,114,105,99,107,0,0,47,115,118,103,47,100,111,100,103,101,114,98,108,117,101,0,47,115,118,103,47,100,105,109,103,114,101,121,0,0,0,0,47,115,118,103,47,100,105,109,103,114,97,121,0,0,0,0,47,115,118,103,47,100,101,101,112,115,107,121,98,108,117,101,0,0,0,0,0,0,0,0,34,32,102,105,108,108,45,111,112,97,99,105,116,121,61,34,37,102,0,0,0,0,0,0,112,101,110,99,111,108,111,114,0,0,0,0,0,0,0,0,110,111,100,101,0,0,0,0,101,112,115,58,112,115,0,0,47,115,118,103,47,100,101,101,112,112,105,110,107,0,0,0,47,115,118,103,47,100,97,114,107,118,105,111,108,101,116,0,47,115,118,103,47,100,97,114,107,116,117,114,113,117,111,105,115,101,0,0,0,0,0,0,47,115,118,103,47,100,97,114,107,115,108,97,116,101,103,114,101,121,0,0,0,0,0,0,104,65,114,114,0,0,0,0,47,98,117,103,110,54,47,52,0,0,0,0,0,0,0,0,47,115,118,103,47,100,97,114,107,115,108,97,116,101,103,114,97,121,0,0,0,0,0,0,47,115,118,103,47,100,97,114,107,115,108,97,116,101,98,108,117,101,0,0,0,0,0,0,47,115,118,103,47,100,97,114,107,115,101,97,103,114,101,101,110,0,0,0,0,0,0,0,47,115,118,103,47,100,97,114,107,115,97,108,109,111,110,0,47,115,118,103,47,100,97,114,107,114,101,100,0,0,0,0,83,85,80,0,0,0,0,0,47,115,118,103,47,100,97,114,107,111,114,99,104,105,100,0,32,102,105,108,108,61,34,0,103,114,97,112,104,0,0,0,47,115,118,103,47,100,97,114,107,111,114,97,110,103,101,0,110,32,62,61,32,52,0,0,47,115,118,103,47,100,97,114,107,111,108,105,118,101,103,114,101,101,110,0,0,0,0,0,47,115,118,103,47,100,97,114,107,109,97,103,101,110,116,97,0,0,0,0,0,0,0,0,47,115,118,103,47,100,97,114,107,107,104,97,107,105,0,0,103,116,0,0,0,0,0,0,47,98,117,103,110,54,47,51,0,0,0,0,0,0,0,0,103,114,101,101,110,0,0,0,47,115,118,103,47,100,97,114,107,103,114,101,121,0,0,0,47,115,118,103,47,100,97,114,107,103,114,101,101,110,0,0,47,115,118,103,47,100,97,114,107,103,114,97,121,0,0,0,37,115,58,37,100,58,32,115,116,114,105,110,103,32,114,97,110,32,112,97,115,116,32,101,110,100,32,111,102,32,108,105,110,101,10,0,0,0,0,0,47,115,118,103,47,100,97,114,107,103,111,108,100,101,110,114,111,100,0,0,0,0,0,0,47,115,118,103,47,100,97,114,107,99,121,97,110,0,0,0,48,0,0,0,0,0,0,0,47,115,118,103,47,100,97,114,107,98,108,117,101,0,0,0,34,47,62,10,0,0,0,0,37,115,10,0,0,0,0,0,47,115,118,103,47,99,121,97,110,0,0,0,0,0,0,0,47,115,118,103,47,99,114,105,109,115,111,110,0,0,0,0,47,115,118,103,47,99,111,114,110,115,105,108,107,0,0,0,47,115,118,103,47,99,111,114,110,102,108,111,119,101,114,98,108,117,101,0,0,0,0,0,103,101,0,0,0,0,0,0,47,98,117,103,110,54,47,50,0,0,0,0,0,0,0,0,47,115,118,103,47,99,111,114,97,108,0,0,0,0,0,0,47,115,118,103,47,99,104,111,99,111,108,97,116,101,0,0,47,115,118,103,47,99,104,97,114,116,114,101,117,115,101,0,47,115,118,103,47,99,97,100,101,116,98,108,117,101,0,0,65,118,97,110,116,71,97,114,100,101,45,68,101,109,105,0,47,115,118,103,47,98,117,114,108,121,119,111,111,100,0,0,101,100,103,101,0,0,0,0,47,115,118,103,47,98,114,111,119,110,0,0,0,0,0,0,37,103,44,37,103,32,0,0,114,101,102,101,114,101,110,99,101,32,116,111,32,105,110,118,97,108,105,100,32,99,104,97,114,97,99,116,101,114,32,110,117,109,98,101,114,0,0,0,105,110,118,105,115,0,0,0,47,115,118,103,47,98,108,117,101,118,105,111,108,101,116,0,47,115,118,103,47,98,108,117,101,0,0,0,0,0,0,0,92,71,0,0,0,0,0,0,47,115,118,103,47,98,108,97,110,99,104,101,100,97,108,109,111,110,100,0,0,0,0,0,83,32,0,0,0,0,0,0,47,115,118,103,47,98,108,97,99,107,0,0,0,0,0,0,103,97,109,109,97,0,0,0,47,98,117,103,110,54,47,49,0,0,0,0,0,0,0,0,69,68,95,116,111,95,118,105,114,116,40,101,41,32,33,61,32,78,85,76,76,0,0,0,47,115,118,103,47,98,105,115,113,117,101,0,0,0,0,0,47,115,118,103,47,98,101,105,103,101,0,0,0,0,0,0,44,32,0,0,0,0,0,0,99,97,110,110,111,116,32,114,101,97,108,108,111,99,32,116,114,105,115,0,0,0,0,0,47,115,118,103,47,97,122,117,114,101,0,0,0,0,0,0,60,72,84,77,76,62,0,0,104,101,97,100,95,108,112,0,47,115,118,103,47,97,113,117,97,109,97,114,105,110,101,0,110,101,119,46,103,118,0,0,99,111,117,108,100,110,39,116,32,111,112,101,110,32,101,112,115,102,32,102,105,108,101,32,37,115,10,0,0,0,0,0,47,115,118,103,47,97,113,117,97,0,0,0,0,0,0,0,47,115,118,103,47,97,110,116,105,113,117,101,119,104,105,116,101,0,0,0,0,0,0,0,32,112,111,105,110,116,115,61,34,0,0,0,0,0,0,0,37,115,32,0,0,0,0,0,115,97,109,101,104,101,97,100,0,0,0,0,0,0,0,0,99,97,100,101,116,98,108,117,101,0,0,0,0,0,0,0,47,115,118,103,47,97,108,105,99,101,98,108,117,101,0,0,47,115,112,101,99,116,114,97,108,57,47,57,0,0,0,0,47,115,112,101,99,116,114,97,108,57,47,56,0,0,0,0,47,115,112,101,99,116,114,97,108,57,47,55,0,0,0,0,102,114,97,115,108,0,0,0,47,98,117,103,110,53,47,53,0,0,0,0,0,0,0,0,47,115,112,101,99,116,114,97,108,57,47,54,0,0,0,0,47,115,112,101,99,116,114,97,108,57,47,53,0,0,0,0,47,115,112,101,99,116,114,97,108,57,47,52,0,0,0,0,47,115,112,101,99,116,114,97,108,57,47,51,0,0,0,0,47,115,112,101,99,116,114,97,108,57,47,50,0,0,0,0,47,115,112,101,99,116,114,97,108,57,47,49,0,0,0,0,60,112,111,108,121,108,105,110,101,0,0,0,0,0,0,0,109,109,0,0,0,0,0,0,115,101,116,108,105,110,101,119,105,100,116,104,0,0,0,0,47,115,112,101,99,116,114,97,108,56,47,56,0,0,0,0,98,108,97,99,107,0,0,0,32,32,32,32,32,32,32,32,47,69,110,99,111,100,105,110,103,32,69,110,99,111,100,105,110,103,86,101,99,116,111,114,32,100,101,102,0,0,0,0,47,115,112,101,99,116,114,97,108,56,47,55,0,0,0,0,99,111,108,111,114,32,37,115,0,0,0,0,0,0,0,0,47,115,112,101,99,116,114,97,108,56,47,54,0,0,0,0,47,115,112,101,99,116,114,97,108,56,47,53,0,0,0,0,102,114,97,99,51,52,0,0,47,98,117,103,110,53,47,52,0,0,0,0,0,0,0,0,47,115,112,101,99,116,114,97,108,56,47,52,0,0,0,0,47,115,112,101,99,116,114,97,108,56,47,51,0,0,0,0,47,115,112,101,99,116,114,97,108,56,47,50,0,0,0,0,47,115,112,101,99,116,114,97,108,56,47,49,0,0,0,0,47,115,112,101,99,116,114,97,108,55,47,55,0,0,0,0,47,115,112,101,99,116,114,97,108,55,47,54,0,0,0,0,32,45,45,62,10,0,0,0,32,115,101,116,108,105,110,101,119,105,100,116,104,10,0,0,47,115,112,101,99,116,114,97,108,55,47,53,0,0,0,0,47,115,112,101,99,116,114,97,108,55,47,52,0,0,0,0,47,115,112,101,99,116,114,97,108,55,47,51,0,0,0,0,47,115,112,101,99,116,114,97,108,55,47,50,0,0,0,0,102,114,97,99,49,52,0,0,104,97,108,102,0,0,0,0,47,98,117,103,110,53,47,51,0,0,0,0,0,0,0,0,47,115,112,101,99,116,114,97,108,55,47,49,0,0,0,0,47,115,112,101,99,116,114,97,108,54,47,54,0,0,0,0,47,115,112,101,99,116,114,97,108,54,47,53,0,0,0,0,47,115,112,101,99,116,114,97,108,54,47,52,0,0,0,0,78,68,95,114,97,110,107,40,118,41,32,61,61,32,114,0,47,115,112,101,99,116,114,97,108,54,47,51,0,0,0,0,47,115,112,101,99,116,114,97,108,54,47,50,0,0,0,0,60,33,45,45,32,0,0,0,32,93,32,32,37,100,32,102,97,108,115,101,32,37,115,10,0,0,0,0,0,0,0,0,47,115,112,101,99,116,114,97,108,54,47,49,0,0,0,0,47,115,112,101,99,116,114,97,108,53,47,53,0,0,0,0,47,115,112,101,99,116,114,97,108,53,47,52,0,0,0,0,47,115,112,101,99,116,114,97,108,53,47,51,0,0,0,0,102,114,97,99,49,50,0,0,47,98,117,103,110,53,47,50,0,0,0,0,0,0,0,0,114,101,99,116,97,110,103,108,101,32,40,37,100,44,37,100,41,32,40,37,100,44,37,100,41,32,37,115,32,37,115,10,0,0,0,0,0,0,0,0,84,104,105,115,32,109,97,121,32,99,97,117,115,101,32,117,110,101,120,112,101,99,116,101,100,32,98,101,104,97,118,105,111,114,32,111,114,32,99,114,97,115,104,32,116,104,101,32,112,114,111,103,114,97,109,46,10,0,0,0,0,0,0,0,47,115,112,101,99,116,114,97,108,53,47,50,0,0,0,0,47,115,112,101,99,116,114,97,108,53,47,49,0,0,0,0,47,115,112,101,99,116,114,97,108,52,47,52,0,0,0,0,47,115,112,101,99,116,114,97,108,52,47,51,0,0,0,0,47,115,112,101,99,116,114,97,108,52,47,50,0,0,0,0,47,115,112,101,99,116,114,97,108,52,47,49,0,0,0,0,121,101,108,108,111,119,103,114,101,101,110,0,0,0,0,0,32,93,32,32,37,100,32,116,114,117,101,32,37,115,10,0,47,115,112,101,99,116,114,97,108,51,47,51,0,0,0,0,101,32,33,61,32,78,85,76,76,0,0,0,0,0,0,0,47,97,99,99,101,110,116,54,47,49,0,0,0,0,0,0,47,115,112,101,99,116,114,97,108,51,47,50,0,0,0,0,47,115,112,101,99,116,114,97,108,51,47,49,0,0,0,0,47,115,112,101,99,116,114,97,108,49,49,47,57,0,0,0,102,111,114,97,108,108,0,0,47,98,117,103,110,53,47,49,0,0,0,0,0,0,0,0,47,115,112,101,99,116,114,97,108,49,49,47,56,0,0,0,47,115,112,101,99,116,114,97,108,49,49,47,55,0,0,0,47,115,112,101,99,116,114,97,108,49,49,47,54,0,0,0,47,115,112,101,99,116,114,97,108,49,49,47,53,0,0,0,47,115,112,101,99,116,114,97,108,49,49,47,52,0,0,0,47,115,112,101,99,116,114,97,108,49,49,47,51,0,0,0,121,101,108,108,111,119,0,0,38,108,116,59,0,0,0,0,32,0,0,0,0,0,0,0,47,115,112,101,99,116,114,97,108,49,49,47,50,0,0,0,99,105,114,99,108,101,0,0,47,115,112,101,99,116,114,97,108,49,49,47,49,49,0,0,47,115,112,101,99,116,114,97,108,49,49,47,49,48,0,0,101,110,100,32,112,111,114,116,58,32,40,37,46,53,103,44,32,37,46,53,103,41,44,32,116,97,110,103,101,110,116,32,97,110,103,108,101,58,32,37,46,53,103,44,32,37,115,10,0,0,0,0,0,0,0,0,47,115,112,101,99,116,114,97,108,49,49,47,49,0,0,0,102,110,111,102,0,0,0,0,47,98,117,103,110,52,47,52,0,0,0,0,0,0,0,0,47,115,112,101,99,116,114,97,108,49,48,47,57,0,0,0,47,115,112,101,99,116,114,97,108,49,48,47,56,0,0,0,47,115,112,101,99,116,114,97,108,49,48,47,55,0,0,0,47,115,112,101,99,116,114,97,108,49,48,47,54,0,0,0,47,115,112,101,99,116,114,97,108,49,48,47,53,0,0,0,47,115,112,101,99,116,114,97,108,49,48,47,52,0,0,0,119,104,105,116,101,115,109,111,107,101,0,0,0,0,0,0,78,111,32,111,114,32,105,109,112,114,111,112,101,114,32,105,109,97,103,101,32,102,105,108,101,61,34,37,115,34,10,0,91,32,0,0,0,0,0,0,106,112,103,58,118,114,109,108,0,0,0,0,0,0,0,0,47,115,112,101,99,116,114,97,108,49,48,47,51,0,0,0,47,115,112,101,99,116,114,97,108,49,48,47,50,0,0,0,47,115,112,101,99,116,114,97,108,49,48,47,49,48,0,0,47,115,112,101,99,116,114,97,108,49,48,47,49,0,0,0,101,120,105,115,116,0,0,0,47,98,117,103,110,52,47,51,0,0,0,0,0,0,0,0,47,115,101,116,51,57,47,57,0,0,0,0,0,0,0,0,47,115,101,116,51,57,47,56,0,0,0,0,0,0,0,0,47,115,101,116,51,57,47,55,0,0,0,0,0,0,0,0,47,115,101,116,51,57,47,54,0,0,0,0,0,0,0,0,47,115,101,116,51,57,47,53,0,0,0,0,0,0,0,0,73,0,0,0,0,0,0,0,47,115,101,116,51,57,47,52,0,0,0,0,0,0,0,0,119,104,105,116,101,0,0,0,101,112,115,58,112,115,0,0,47,115,101,116,51,57,47,51,0,0,0,0,0,0,0,0,47,115,101,116,51,57,47,50,0,0,0,0,0,0,0,0,103,118,114,101,110,100,101,114,95,99,111,114,101,95,102,105,103,46,99,0,0,0,0,0,47,115,101,116,51,57,47,49,0,0,0,0,0,0,0,0,71,0,0,0,0,0,0,0,47,115,101,116,51,56,47,56,0,0,0,0,0,0,0,0,101,117,114,111,0,0,0,0,47,98,117,103,110,52,47,50,0,0,0,0,0,0,0,0,103,114,97,121,0,0,0,0,47,115,101,116,51,56,47,55,0,0,0,0,0,0,0,0,47,115,101,116,51,56,47,54,0,0,0,0,0,0,0,0,47,115,101,116,51,56,47,53,0,0,0,0,0,0,0,0,47,115,101,116,51,56,47,52,0,0,0,0,0,0,0,0,110,111,110,45,116,101,114,109,105,110,97,116,101,100,32,72,84,77,76,32,115,116,114,105,110,103,32,115,116,97,114,116,105,110,103,32,108,105,110,101,32,37,100,44,32,102,105,108,101,32,37,115,10,0,0,0,47,115,101,116,51,56,47,51,0,0,0,0,0,0,0,0,103,118,114,101,110,100,101,114,95,99,111,114,101,95,116,107,46,99,0,0,0,0,0,0,47,115,101,116,51,56,47,50,0,0,0,0,0,0,0,0,119,104,101,97,116,0,0,0,112,115,50,58,112,115,0,0,47,115,101,116,51,56,47,49,0,0,0,0,0,0,0,0,47,115,101,116,51,55,47,55,0,0,0,0,0,0,0,0,47,115,101,116,51,55,47,54,0,0,0,0,0,0,0,0,47,115,101,116,51,55,47,53,0,0,0,0,0,0,0,0,101,117,109,108,0,0,0,0,47,98,117,103,110,52,47,49,0,0,0,0,0,0,0,0,47,115,101,116,51,55,47,52,0,0,0,0,0,0,0,0,47,115,101,116,51,55,47,51,0,0,0,0,0,0,0,0,47,115,101,116,51,55,47,50,0,0,0,0,0,0,0,0,47,115,101,116,51,55,47,49,0,0,0,0,0,0,0,0,105,116,97,108,105,99,0,0,47,115,101,116,51,54,47,54,0,0,0,0,0,0,0,0,47,115,101,116,51,54,47,53,0,0,0,0,0,0,0,0,110,111,100,101,0,0,0,0,118,105,111,108,101,116,0,0,97,115,121,110,99,104,114,111,110,111,117,115,32,101,110,116,105,116,121,0,0,0,0,0,112,115,58,112,115,0,0,0,47,115,101,116,51,54,47,52,0,0,0,0,0,0,0,0,47,115,101,116,51,54,47,51,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,47,115,101,116,51,54,47,50,0,0,0,0,0,0,0,0,115,101,116,108,105,110,101,119,105,100,116,104,40,37,46,51,102,41,0,0,0,0,0,0,116,107,58,116,107,0,0,0,47,115,101,116,51,54,47,49,0,0,0,0,0,0,0,0,101,116,104,0,0,0,0,0,119,101,105,103,104,116,0,0,47,98,117,103,110,51,47,51,0,0,0,0,0,0,0,0,47,115,101,116,51,53,47,53,0,0,0,0,0,0,0,0,47,115,101,116,51,53,47,52,0,0,0,0,0,0,0,0,44,10,0,0,0,0,0,0,99,97,110,110,111,116,32,109,97,108,108,111,99,32,116,114,105,115,0,0,0,0,0,0,47,115,101,116,51,53,47,51,0,0,0,0,0,0,0,0,108,112,0,0,0,0,0,0,47,115,101,116,51,53,47,50,0,0,0,0,0,0,0,0,85,84,70,45,56,32,105,110,112,117,116,32,117,115,101,115,32,110,111,110,45,76,97,116,105,110,49,32,99,104,97,114,97,99,116,101,114,115,32,119,104,105,99,104,32,99,97,110,110,111,116,32,98,101,32,104,97,110,100,108,101,100,32,98,121,32,116,104,105,115,32,80,111,115,116,83,99,114,105,112,116,32,100,114,105,118,101,114,10,0,0,0,0,0,0,0,103,114,97,112,104,118,105,122,0,0,0,0,0,0,0,0,47,115,101,116,51,53,47,49,0,0,0,0,0,0,0,0,47,115,101,116,51,52,47,52,0,0,0,0,0,0,0,0,116,117,114,113,117,111,105,115,101,0,0,0,0,0,0,0,112,115,0,0,0,0,0,0,47,115,101,116,51,52,47,51,0,0,0,0,0,0,0,0,98,117,114,108,121,119,111,111,100,0,0,0,0,0,0,0,47,115,101,116,51,52,47,50,0,0,0,0,0,0,0,0,47,115,101,116,51,52,47,49,0,0,0,0,0,0,0,0,47,115,101,116,51,51,47,51,0,0,0,0,0,0,0,0,101,116,97,0,0,0,0,0,47,98,117,103,110,51,47,50,0,0,0,0,0,0,0,0,47,115,101,116,51,51,47,50,0,0,0,0,0,0,0,0,47,115,101,116,51,51,47,49,0,0,0,0,0,0,0,0,47,115,101,116,51,49,50,47,57,0,0,0,0,0,0,0,47,115,101,116,51,49,50,47,56,0,0,0,0,0,0,0,47,115,101,116,51,49,50,47,55,0,0,0,0,0,0,0,47,115,101,116,51,49,50,47,54,0,0,0,0,0,0,0,116,111,109,97,116,111,0,0,99,109,0,0,0,0,0,0,37,37,69,110,100,83,101,116,117,112,0,0,0,0,0,0,47,115,101,116,51,49,50,47,53,0,0,0,0,0,0,0,84,105,109,101,115,45,82,111,109,97,110,0,0,0,0,0,32,32,32,32,32,32,32,32,125,32,102,111,114,97,108,108,0,0,0,0,0,0,0,0,47,115,101,116,51,49,50,47,52,0,0,0,0,0,0,0,98,111,116,104,0,0,0,0,47,115,101,116,51,49,50,47,51,0,0,0,0,0,0,0,47,115,101,116,51,49,50,47,50,0,0,0,0,0,0,0,101,113,117,105,118,0,0,0,47,98,117,103,110,51,47,49,0,0,0,0,0,0,0,0,47,115,101,116,51,49,50,47,49,50,0,0,0,0,0,0,47,115,101,116,51,49,50,47,49,49,0,0,0,0,0,0,47,115,101,116,51,49,50,47,49,48,0,0,0,0,0,0,41,10,45,45,62,10,0,0,47,115,101,116,51,49,50,47,49,0,0,0,0,0,0,0,47,115,101,116,51,49,49,47,57,0,0,0,0,0,0,0,47,115,101,116,51,49,49,47,56,0,0,0,0,0,0,0,116,104,105,115,116,108,101,0,125,32,105,102,0,0,0,0,47,115,101,116,51,49,49,47,55,0,0,0,0,0,0,0,47,115,101,116,51,49,49,47,54,0,0,0,0,0,0,0,47,115,101,116,51,49,49,47,53,0,0,0,0,0,0,0,47,115,101,116,51,49,49,47,52,0,0,0,0,0,0,0,101,112,115,105,108,111,110,0,101,0,0,0,0,0,0,0,47,98,114,98,103,57,47,57,0,0,0,0,0,0,0,0,47,115,101,116,51,49,49,47,51,0,0,0,0,0,0,0,47,115,101,116,51,49,49,47,50,0,0,0,0,0,0,0,47,115,101,116,51,49,49,47,49,49,0,0,0,0,0,0,32,40,0,0,0,0,0,0,47,115,101,116,51,49,49,47,49,48,0,0,0,0,0,0,47,115,101,116,51,49,49,47,49,0,0,0,0,0,0,0,109,105,110,99,114,111,115,115,58,32,112,97,115,115,32,37,100,32,105,116,101,114,32,37,100,32,116,114,121,105,110,103,32,37,100,32,99,117,114,95,99,114,111,115,115,32,37,100,32,98,101,115,116,95,99,114,111,115,115,32,37,100,10,0,47,115,101,116,51,49,48,47,57,0,0,0,0,0,0,0,116,101,97,108,0,0,0,0,32,32,32,32,117,115,101,114,100,105,99,116,32,40,62,62,41,32,99,118,110,32,40,91,41,32,99,118,110,32,108,111,97,100,32,112,117,116,0,0,47,115,101,116,51,49,48,47,56,0,0,0,0,0,0,0,47,115,101,116,51,49,48,47,55,0,0,0,0,0,0,0,47,115,101,116,51,49,48,47,54,0,0,0,0,0,0,0,47,115,101,116,51,49,48,47,53,0,0,0,0,0,0,0,101,110,115,112,0,0,0,0,47,98,114,98,103,57,47,56,0,0,0,0,0,0,0,0,47,115,101,116,51,49,48,47,52,0,0,0,0,0,0,0,48,0,0,0,0,0,0,0,84,104,101,32,117,115,101,32,111,102,32,34,115,117,98,103,114,97,112,104,32,37,115,34,44,32,108,105,110,101,32,37,100,44,32,119,105,116,104,111,117,116,32,97,32,98,111,100,121,32,105,115,32,100,101,112,114,101,99,97,116,101,100,46,10,0,0,0,0,0,0,0,47,115,101,116,51,49,48,47,51,0,0,0,0,0,0,0,47,115,101,116,51,49,48,47,50,0,0,0,0,0,0,0,32,118,101,114,115,105,111,110,32,0,0,0,0,0,0,0,47,115,101,116,51,49,48,47,49,48,0,0,0,0,0,0,47,115,101,116,51,49,48,47,49,0,0,0,0,0,0,0,47,115,101,116,50,56,47,56,0,0,0,0,0,0,0,0,116,97,110,0,0,0,0,0,32,32,32,32,117,115,101,114,100,105,99,116,32,40,60,60,41,32,99,118,110,32,40,91,41,32,99,118,110,32,108,111,97,100,32,112,117,116,0,0,47,115,101,116,50,56,47,55,0,0,0,0,0,0,0,0,76,101,102,116,0,0,0,0,47,115,101,116,50,56,47,54,0,0,0,0,0,0,0,0,47,97,99,99,101,110,116,53,47,53,0,0,0,0,0,0,47,115,101,116,50,56,47,53,0,0,0,0,0,0,0,0,47,115,101,116,50,56,47,52,0,0,0,0,0,0,0,0,101,109,115,112,0,0,0,0,47,98,114,98,103,57,47,55,0,0,0,0,0,0,0,0,47,115,101,116,50,56,47,51,0,0,0,0,0,0,0,0,47,115,101,116,50,56,47,50,0,0,0,0,0,0,0,0,47,115,101,116,50,56,47,49,0,0,0,0,0,0,0,0,10,60,33,45,45,32,71,101,110,101,114,97,116,101,100,32,98,121,32,0,0,0,0,0,47,115,101,116,50,55,47,55,0,0,0,0,0,0,0,0,47,115,101,116,50,55,47,54,0,0,0,0,0,0,0,0,47,115,101,116,50,55,47,53,0,0,0,0,0,0,0,0,115,116,101,101,108,98,108,117,101,0,0,0,0,0,0,0,50,32,108,116,32,123,0,0,47,115,101,116,50,55,47,52,0,0,0,0,0,0,0,0,111,118,97,108,0,0,0,0,47,115,101,116,50,55,47,51,0,0,0,0,0,0,0,0,47,115,101,116,50,55,47,50,0,0,0,0,0,0,0,0,110,111,116,32,99,111,110,115,116,114,97,105,110,101,100,0,47,115,101,116,50,55,47,49,0,0,0,0,0,0,0,0,101,109,112,116,121,0,0,0,47,98,114,98,103,57,47,54,0,0,0,0,0,0,0,0,47,115,101,116,50,54,47,54,0,0,0,0,0,0,0,0,47,115,101,116,50,54,47,53,0,0,0,0,0,0,0,0,47,115,101,116,50,54,47,52,0,0,0,0,0,0,0,0,60,72,84,77,76,62,10,0,47,115,101,116,50,54,47,51,0,0,0,0,0,0,0,0,47,115,101,116,50,54,47,50,0,0,0,0,0,0,0,0,47,115,101,116,50,54,47,49,0,0,0,0,0,0,0,0,115,112,114,105,110,103,103,114,101,101,110,0,0,0,0,0,102,105,120,101,100,32,99,101,108,108,32,115,105,122,101,32,119,105,116,104,32,117,110,115,112,101,99,105,102,105,101,100,32,119,105,100,116,104,32,111,114,32,104,101,105,103,104,116,10,0,0,0,0,0,0,0,102,105,103,58,102,105,103,0,106,112,101,58,118,114,109,108,0,0,0,0,0,0,0,0,47,115,101,116,50,53,47,53,0,0,0,0,0,0,0,0,47,115,101,116,50,53,47,52,0,0,0,0,0,0,0,0,47,108,97,110,103,117,97,103,101,108,101,118,101,108,32,119,104,101,114,101,32,123,112,111,112,32,108,97,110,103,117,97,103,101,108,101,118,101,108,125,123,49,125,32,105,102,101,108,115,101,0,0,0,0,0,0,47,115,101,116,50,53,47,51,0,0,0,0,0,0,0,0,47,115,101,116,50,53,47,50,0,0,0,0,0,0,0,0,101,103,114,97,118,101,0,0,47,98,114,98,103,57,47,53,0,0,0,0,0,0,0,0,47,115,101,116,50,53,47,49,0,0,0,0,0,0,0,0,47,115,101,116,50,52,47,52,0,0,0,0,0,0,0,0,47,115,101,116,50,52,47,51,0,0,0,0,0,0,0,0,101,99,105,114,99,0,0,0,32,99,111,111,114,100,111,114,105,103,105,110,61,34,48,44,48,34,32,99,111,111,114,100,115,105,122,101,61,34,37,100,44,37,100,34,32,62,0,0,47,115,101,116,50,52,47,50,0,0,0,0,0,0,0,0,47,115,101,116,50,52,47,49,0,0,0,0,0,0,0,0,47,115,101,116,50,51,47,51,0,0,0,0,0,0,0,0,85,0,0,0,0,0,0,0,115,110,111,119,0,0,0,0,37,32,109,97,107,101,32,39,60,60,39,32,97,110,100,32,39,62,62,39,32,115,97,102,101,32,111,110,32,80,83,32,76,101,118,101,108,32,49,32,100,101,118,105,99,101,115,0,47,115,101,116,50,51,47,50,0,0,0,0,0,0,0,0,47,115,101,116,50,51,47,49,0,0,0,0,0,0,0,0,47,115,101,116,49,57,47,57,0,0,0,0,0,0,0,0,10,0,0,0,0,0,0,0,47,115,101,116,49,57,47,56,0,0,0,0,0,0,0,0,108,0,0,0,0,0,0,0,47,98,114,98,103,57,47,52,0,0,0,0,0,0,0,0,47,115,101,116,49,57,47,55,0,0,0,0,0,0,0,0,102,117,99,104,115,105,97,0,47,115,101,116,49,57,47,54,0,0,0,0,0,0,0,0,47,115,101,116,49,57,47,53,0,0,0,0,0,0,0,0,32,119,105,100,116,104,58,32,37,100,112,116,59,32,104,101,105,103,104,116,58,32,37,100,112,116,34,0,0,0,0,0,47,115,101,116,49,57,47,52,0,0,0,0,0,0,0,0,47,115,101,116,49,57,47,51,0,0,0,0,0,0,0,0,103,114,97,112,104,32,108,97,98,101,108,0,0,0,0,0,37,115,58,37,100,58,32,97,109,98,105,103,117,111,117,115,32,34,37,115,34,32,115,112,108,105,116,115,32,105,110,116,111,32,116,119,111,32,110,97,109,101,115,58,32,34,37,115,34,32,97,110,100,32,34,37,115,34,10,0,0,0,0,0,47,115,101,116,49,57,47,50,0,0,0,0,0,0,0,0,115,108,97,116,101,103,114,101,121,0,0,0,0,0,0,0,47,112,100,102,109,97,114,107,32,119,104,101,114,101,32,123,112,111,112,125,32,123,117,115,101,114,100,105,99,116,32,47,112,100,102,109,97,114,107,32,47,99,108,101,97,114,116,111,109,97,114,107,32,108,111,97,100,32,112,117,116,125,32,105,102,101,108,115,101,0,0,0,47,115,101,116,49,57,47,49,0,0,0,0,0,0,0,0,102,111,110,116,110,97,109,101,58,32,117,110,97,98,108,101,32,116,111,32,114,101,115,111,108,118,101,32,34,37,115,34,10,0,0,0,0,0,0,0,115,97,109,101,116,97,105,108,0,0,0,0,0,0,0,0,47,115,101,116,49,56,47,56,0,0,0,0,0,0,0,0,47,115,101,116,49,56,47,55,0,0,0,0,0,0,0,0,47,115,101,116,49,56,47,54,0,0,0,0,0,0,0,0,101,97,99,117,116,101,0,0,47,98,114,98,103,57,47,51,0,0,0,0,0,0,0,0,47,115,101,116,49,56,47,53,0,0,0,0,0,0,0,0,47,115,101,116,49,56,47,52,0,0,0,0,0,0,0,0,47,115,101,116,49,56,47,51,0,0,0,0,0,0,0,0,32,60,118,58,103,114,111,117,112,32,115,116,121,108,101,61,34,112,111,115,105,116,105,111,110,58,114,101,108,97,116,105,118,101,59,32,0,0,0,0,47,115,101,116,49,56,47,50,0,0,0,0,0,0,0,0,111,98,108,105,113,117,101,0,47,115,101,116,49,56,47,49,0,0,0,0,0,0,0,0,47,115,101,116,49,55,47,55,0,0,0,0,0,0,0,0,115,108,97,116,101,103,114,97,121,0,0,0,0,0,0,0,114,101,99,117,114,115,105,118,101,32,101,110,116,105,116,121,32,114,101,102,101,114,101,110,99,101,0,0,0,0,0,0,37,32,109,97,107,101,32,115,117,114,101,32,112,100,102,109,97,114,107,32,105,115,32,104,97,114,109,108,101,115,115,32,102,111,114,32,80,83,45,105,110,116,101,114,112,114,101,116,101,114,115,32,111,116,104,101,114,32,116,104,97,110,32,68,105,115,116,105,108,108,101,114,0,0,0,0,0,0,0,0,47,115,101,116,49,55,47,54,0,0,0,0,0,0,0,0,103,114,97,112,104,0,0,0,47,115,101,116,49,55,47,53,0,0,0,0,0,0,0,0,38,35,51,57,59,0,0,0,47,115,101,116,49,55,47,52,0,0,0,0,0,0,0,0,35,37,48,50,120,37,48,50,120,37,48,50,120,37,48,50,120,0,0,0,0,0,0,0,32,91,0,0,0,0,0,0,47,115,101,116,49,55,47,51,0,0,0,0,0,0,0,0,100,105,118,105,100,101,0,0,47,98,114,98,103,57,47,50,0,0,0,0,0,0,0,0,108,104,101,97,100,0,0,0,47,115,101,116,49,55,47,50,0,0,0,0,0,0,0,0,47,115,101,116,49,55,47,49,0,0,0,0,0,0,0,0,97,103,117,115,101,114,103,114,97,112,104,0,0,0,0,0,114,111,117,116,101,46,99,0,47,115,101,116,49,54,47,54,0,0,0,0,0,0,0,0,120,108,112,0,0,0,0,0,60,120,109,108,58,110,97,109,101,115,112,97,99,101,32,110,115,61,34,117,114,110,58,115,99,104,101,109,97,115,45,109,105,99,114,111,115,111,102,116,45,99,111,109,58,118,109,108,34,32,112,114,101,102,105,120,61,34,118,34,32,47,62,10,0,0,0,0,0,0,0,0,47,115,101,116,49,54,47,53,0,0,0,0,0,0,0,0,70,0,0,0,0,0,0,0,125,32,98,105,110,100,32,100,101,102,10,0,0,0,0,0,47,115,101,116,49,54,47,52,0,0,0,0,0,0,0,0,47,115,101,116,49,54,47,51,0,0,0,0,0,0,0,0,115,108,97,116,101,98,108,117,101,0,0,0,0,0,0,0,37,32,47,97,114,114,111,119,119,105,100,116,104,32,53,32,100,101,102,0,0,0,0,0,47,115,101,116,49,54,47,50,0,0,0,0,0,0,0,0,98,114,111,119,110,0,0,0,76,97,121,111,117,116,32,116,121,112,101,58,32,34,37,115,34,32,110,111,116,32,114,101,99,111,103,110,105,122,101,100,46,32,85,115,101,32,111,110,101,32,111,102,58,37,115,10,0,0,0,0,0,0,0,0,47,115,101,116,49,54,47,49,0,0,0,0,0,0,0,0,47,115,101,116,49,53,47,53,0,0,0,0,0,0,0,0,47,115,101,116,49,53,47,52,0,0,0,0,0,0,0,0,100,105,97,109,115,0,0,0,47,98,114,98,103,57,47,49,0,0,0,0,0,0,0,0,99,108,117,115,116,101,114,32,110,97,109,101,100,32,37,115,32,110,111,116,32,102,111,117,110,100,10,0,0,0,0,0,47,115,101,116,49,53,47,51,0,0,0,0,0,0,0,0,47,115,101,116,49,53,47,50,0,0,0,0,0,0,0,0,47,115,101,116,49,53,47,49,0,0,0,0,0,0,0,0,60,47,83,84,89,76,69,62,10,0,0,0,0,0,0,0,47,115,101,116,49,52,47,52,0,0,0,0,0,0,0,0,47,115,101,116,49,52,47,51,0,0,0,0,0,0,0,0,47,115,101,116,49,52,47,50,0,0,0,0,0,0,0,0,115,107,121,98,108,117,101,0,34,0,0,0,0,0,0,0,37,32,47,97,114,114,111,119,108,101,110,103,116,104,32,49,48,32,100,101,102,0,0,0,110,115,108,105,109,105,116,49,0,0,0,0,0,0,0,0,47,115,101,116,49,52,47,49,0,0,0,0,0,0,0,0,101,108,108,105,112,115,101,0,32,32,32,32,32,32,32,32,123,32,49,32,105,110,100,101,120,32,47,70,73,68,32,110,101,32,123,32,100,101,102,32,125,123,32,112,111,112,32,112,111,112,32,125,32,105,102,101,108,115,101,0,0,0,0,0,116,114,105,97,110,103,117,108,97,116,105,111,110,32,102,97,105,108,101,100,0,0,0,0,47,115,101,116,49,51,47,51,0,0,0,0,0,0,0,0,104,101,105,103,104,116,0,0,47,115,101,116,49,51,47,50,0,0,0,0,0,0,0,0,47,115,101,116,49,51,47,49,0,0,0,0,0,0,0,0,100,101,108,116,97,0,0,0,47,98,114,98,103,56,47,56,0,0,0,0,0,0,0,0,47,114,101,100,115,57,47,57,0,0,0,0,0,0,0,0,47,114,101,100,115,57,47,56,0,0,0,0,0,0,0,0,47,114,101,100,115,57,47,55,0,0,0,0,0,0,0,0,118,92,58,42,32,123,32,98,101,104,97,118,105,111,114,58,32,117,114,108,40,35,100,101,102,97,117,108,116,35,86,77,76,41,59,100,105,115,112,108,97,121,58,105,110,108,105,110,101,45,98,108,111,99,107,125,10,0,0,0,0,0,0,0,47,114,101,100,115,57,47,54,0,0,0,0,0,0,0,0,47,114,101,100,115,57,47,53,0,0,0,0,0,0,0,0,47,114,101,100,115,57,47,52,0,0,0,0,0,0,0,0,115,105,108,118,101,114,0,0,49,32,115,101,116,109,105,116,101,114,108,105,109,105,116,0,47,114,101,100,115,57,47,51,0,0,0,0,0,0,0,0,105,110,32,108,97,98,101,108,32,111,102,32,110,111,100,101,32,37,115,10,0,0,0,0,69,68,95,108,97,98,101,108,40,102,101,41,0,0,0,0,73,32,0,0,0,0,0,0,100,111,116,0,0,0,0,0,47,114,101,100,115,57,47,50,0,0,0,0,0,0,0,0,47,114,101,100,115,57,47,49,0,0,0,0,0,0,0,0,47,114,101,100,115,56,47,56,0,0,0,0,0,0,0,0,100,101,103,0,0,0,0,0,99,114,111,119,0,0,0,0,47,98,114,98,103,56,47,55,0,0,0,0,0,0,0,0,47,114,101,100,115,56,47,55,0,0,0,0,0,0,0,0,47,114,101,100,115,56,47,54,0,0,0,0,0,0,0,0,47,114,101,100,115,56,47,53,0,0,0,0,0,0,0,0,60,83,84,89,76,69,62,10,0,0,0,0,0,0,0,0,47,114,101,100,115,56,47,52,0,0,0,0,0,0,0,0,47,114,101,100,115,56,47,51,0,0,0,0,0,0,0,0,47,114,101,100,115,56,47,50,0,0,0,0,0,0,0,0,115,105,101,110,110,97,0,0,109,101,114,103,101,50,58,32,103,114,97,112,104,32,37,115,44,32,114,97,110,107,32,37,100,32,104,97,115,32,111,110,108,121,32,37,100,32,60,32,37,100,32,110,111,100,101,115,10,0,0,0,0,0,0,0,49,52,32,100,101,102,97,117,108,116,45,102,111,110,116,45,102,97,109,105,108,121,32,115,101,116,95,102,111,110,116,0,47,114,101,100,115,56,47,49,0,0,0,0,0,0,0,0,99,108,97,115,115,50,46,99,0,0,0,0,0,0,0,0,47,114,101,100,115,55,47,55,0,0,0,0,0,0,0,0,47,114,101,100,115,55,47,54,0,0,0,0,0,0,0,0,47,114,101,100,115,55,47,53,0,0,0,0,0,0,0,0,100,97,114,114,0,0,0,0,47,98,114,98,103,56,47,54,0,0,0,0,0,0,0,0,47,114,101,100,115,55,47,52,0,0,0,0,0,0,0,0,47,114,101,100,115,55,47,51,0,0,0,0,0,0,0,0,103,118,114,101,110,100,101,114,95,99,111,114,101,95,109,97,112,46,99,0,0,0,0,0,71,115,116,97,99,107,32,111,118,101,114,102,108,111,119,32,105,110,32,103,114,97,112,104,32,112,97,114,115,101,114,10,0,0,0,0,0,0,0,0,47,114,101,100,115,55,47,50,0,0,0,0,0,0,0,0,32,119,105,100,116,104,58,32,37,100,112,116,59,32,104,101,105,103,104,116,58,32,37,100,112,116,34,62,10,0,0,0,47,114,101,100,115,55,47,49,0,0,0,0,0,0,0,0,47,114,101,100,115,54,47,54,0,0,0,0,0,0,0,0,47,114,101,100,115,54,47,53,0,0,0,0,0,0,0,0,115,101,97,115,104,101,108,108,0,0,0,0,0,0,0,0,37,37,66,101,103,105,110,83,101,116,117,112,0,0,0,0,47,114,101,100,115,54,47,52,0,0,0,0,0,0,0,0,85,110,115,117,112,112,111,114,116,101,100,32,99,104,97,114,115,101,116,32,34,37,115,34,32,45,32,97,115,115,117,109,105,110,103,32,117,116,102,45,56,10,0,0,0,0,0,0,47,114,101,100,115,54,47,51,0,0,0,0,0,0,0,0,47,97,99,99,101,110,116,53,47,52,0,0,0,0,0,0,47,114,101,100,115,54,47,50,0,0,0,0,0,0,0,0,47,114,101,100,115,54,47,49,0,0,0,0,0,0,0,0,100,97,103,103,101,114,0,0,47,98,114,98,103,56,47,53,0,0,0,0,0,0,0,0,47,114,101,100,115,53,47,53,0,0,0,0,0,0,0,0])
    908 .concat([47,114,101,100,115,53,47,52,0,0,0,0,0,0,0,0,47,114,101,100,115,53,47,51,0,0,0,0,0,0,0,0,60,68,73,86,32,105,100,61,39,95,86,77,76,49,95,39,32,115,116,121,108,101,61,34,112,111,115,105,116,105,111,110,58,114,101,108,97,116,105,118,101,59,32,100,105,115,112,108,97,121,58,105,110,108,105,110,101,59,32,118,105,115,105,98,105,108,105,116,121,58,104,105,100,100,101,110,0,0,0,0,47,114,101,100,115,53,47,50,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,47,114,101,100,115,53,47,49,0,0,0,0,0,0,0,0,47,114,101,100,115,52,47,52,0,0,0,0,0,0,0,0,115,101,97,103,114,101,101,110,0,0,0,0,0,0,0,0,99,32,0,0,0,0,0,0,47,114,101,100,115,52,47,51,0,0,0,0,0,0,0,0,117,116,102,56,0,0,0,0,101,108,108,105,112,115,101,0,47,114,101,100,115,52,47,50,0,0,0,0,0,0,0,0,37,37,69,110,100,80,114,111,108,111,103,0,0,0,0,0,47,114,101,100,115,52,47,49,0,0,0,0,0,0,0,0,99,111,110,115,116,114,97,105,110,101,100,0,0,0,0,0,47,114,101,100,115,51,47,51,0,0,0,0,0,0,0,0,100,65,114,114,0,0,0,0,47,98,114,98,103,56,47,52,0,0,0,0,0,0,0,0,47,114,101,100,115,51,47,50,0,0,0,0,0,0,0,0,47,114,101,100,115,51,47,49,0,0,0,0,0,0,0,0,47,114,100,121,108,103,110,57,47,57,0,0,0,0,0,0,32,0,0,0,0,0,0,0,60,66,79,68,89,32,111,110,108,111,97,100,61,39,98,114,111,119,115,101,114,99,104,101,99,107,40,41,59,39,62,10,0,0,0,0,0,0,0,0,47,114,100,121,108,103,110,57,47,56,0,0,0,0,0,0,47,114,100,121,108,103,110,57,47,55,0,0,0,0,0,0,47,114,100,121,108,103,110,57,47,54,0,0,0,0,0,0,115,97,110,100,121,98,114,111,119,110,0,0,0,0,0,0,37,37,69,110,100,82,101,115,111,117,114,99,101,0,0,0,99,101,108,108,32,115,105,122,101,32,116,111,111,32,115,109,97,108,108,32,102,111,114,32,99,111,110,116,101,110,116,10,0,0,0,0,0,0,0,0,47,114,100,121,108,103,110,57,47,53,0,0,0,0,0,0,106,112,101,103,58,118,114,109,108,0,0,0,0,0,0,0,98,105,103,53,0,0,0,0,47,114,100,121,108,103,110,57,47,52,0,0,0,0,0,0,47,114,100,121,108,103,110,57,47,51,0,0,0,0,0,0,47,114,100,121,108,103,110,57,47,50,0,0,0,0,0,0,99,117,114,114,101,110,0,0,47,98,114,98,103,56,47,51,0,0,0,0,0,0,0,0,47,114,100,121,108,103,110,57,47,49,0,0,0,0,0,0,47,114,100,121,108,103,110,56,47,56,0,0,0,0,0,0,47,114,100,121,108,103,110,56,47,55,0,0,0,0,0,0,60,47,72,69,65,68,62,0,47,114,100,121,108,103,110,56,47,54,0,0,0,0,0,0,47,114,100,121,108,103,110,56,47,53,0,0,0,0,0,0,47,114,100,121,108,103,110,56,47,52,0,0,0,0,0,0,66,0,0,0,0,0,0,0,115,97,108,109,111,110,0,0,47,99,117,114,108,97,121,101,114,32,48,32,100,101,102,0,47,114,100,121,108,103,110,56,47,51,0,0,0,0,0,0,98,105,103,45,53,0,0,0,47,114,100,121,108,103,110,56,47,50,0,0,0,0,0,0,47,114,100,121,108,103,110,56,47,49,0,0,0,0,0,0,92,78,0,0,0,0,0,0,47,114,100,121,108,103,110,55,47,55,0,0,0,0,0,0,99,117,112,0,0,0,0,0,115,104,97,112,101,102,105,108,101,32,110,111,116,32,115,101,116,32,111,114,32,110,111,116,32,102,111,117,110,100,32,102,111,114,32,101,112,115,102,32,110,111,100,101,32,37,115,10,0,0,0,0,0,0,0,0,32,37,100,32,37,100,0,0,47,98,114,98,103,56,47,50,0,0,0,0,0,0,0,0,75,80,95,83,117,98,116,114,97,99,116,0,0,0,0,0,47,114,100,121,108,103,110,55,47,54,0,0,0,0,0,0,98,108,117,101,0,0,0,0,47,114,100,121,108,103,110,55,47,53,0,0,0,0,0,0,47,114,100,121,108,103,110,55,47,52,0,0,0,0,0,0,32,32,32,60,47,83,67,82,73,80,84,62,10,0,0,0,47,114,100,121,108,103,110,55,47,51,0,0,0,0,0,0,47,114,100,121,108,103,110,55,47,50,0,0,0,0,0,0,103,114,97,112,104,0,0,0,47,114,100,121,108,103,110,55,47,49,0,0,0,0,0,0,115,97,100,100,108,101,98,114,111,119,110,0,0,0,0,0,32,60,60,60,32,0,0,0,9,123,105,110,118,105,115,125,32,105,102,0,0,0,0,0,47,114,100,121,108,103,110,54,47,54,0,0,0,0,0,0,99,111,109,112,111,117,110,100,0,0,0,0,0,0,0,0,59,10,0,0,0,0,0,0,73,83,79,45,73,82,45,49,48,48,0,0,0,0,0,0,47,114,100,121,108,103,110,54,47,53,0,0,0,0,0,0,115,97,109,101,104,101,97,100,0,0,0,0,0,0,0,0,47,114,100,121,108,103,110,54,47,52,0,0,0,0,0,0,47,114,100,121,108,103,110,54,47,51,0,0,0,0,0,0,99,114,97,114,114,0,0,0,47,98,114,98,103,56,47,49,0,0,0,0,0,0,0,0,47,114,100,121,108,103,110,54,47,50,0,0,0,0,0,0,47,114,100,121,108,103,110,54,47,49,0,0,0,0,0,0,47,114,100,121,108,103,110,53,47,53,0,0,0,0,0,0,47,114,100,121,108,103,110,53,47,52,0,0,0,0,0,0,32,32,32,125,10,0,0,0,65,118,97,110,116,71,97,114,100,101,45,66,111,111,107,79,98,108,105,113,117,101,0,0,47,114,100,121,108,103,110,53,47,51,0,0,0,0,0,0,47,114,100,121,108,103,110,53,47,50,0,0,0,0,0,0,114,111,121,97,108,98,108,117,101,0,0,0,0,0,0,0,117,110,100,101,102,105,110,101,100,32,101,110,116,105,116,121,0,0,0,0,0,0,0,0,9,111,114,0,0,0,0,0,47,114,100,121,108,103,110,53,47,49,0,0,0,0,0,0,73,83,79,56,56,53,57,45,49,0,0,0,0,0,0,0,47,114,100,121,108,103,110,52,47,52,0,0,0,0,0,0,105,100,0,0,0,0,0,0,38,113,117,111,116,59,0,0,47,114,100,121,108,103,110,52,47,51,0,0,0,0,0,0,37,100,32,37,100,32,0,0,47,114,100,121,108,103,110,52,47,50,0,0,0,0,0,0,99,111,112,121,0,0,0,0,69,68,95,116,111,95,118,105,114,116,40,101,41,32,61,61,32,78,85,76,76,0,0,0,47,98,114,98,103,55,47,55,0,0,0,0,0,0,0,0,47,114,100,121,108,103,110,52,47,49,0,0,0,0,0,0,47,114,100,121,108,103,110,51,47,51,0,0,0,0,0,0,123,10,0,0,0,0,0,0,99,97,110,110,111,116,32,114,101,97,108,108,111,99,32,112,110,108,112,115,0,0,0,0,47,114,100,121,108,103,110,51,47,50,0,0,0,0,0,0,104,101,105,103,104,116,0,0,47,114,100,121,108,103,110,51,47,49,0,0,0,0,0,0,32,32,32,32,32,125,10,0,37,37,69,110,100,68,111,99,117,109,101,110,116,10,0,0,47,114,100,121,108,103,110,49,49,47,57,0,0,0,0,0,47,114,100,121,108,103,110,49,49,47,56,0,0,0,0,0,114,111,115,121,98,114,111,119,110,0,0,0,0,0,0,0,9,99,117,114,108,97,121,101,114,32,109,121,117,112,112,101,114,32,103,116,0,0,0,0,47,114,100,121,108,103,110,49,49,47,55,0,0,0,0,0,98,108,117,101,118,105,111,108,101,116,0,0,0,0,0,0,73,83,79,95,56,56,53,57,45,49,0,0,0,0,0,0,47,114,100,121,108,103,110,49,49,47,54,0,0,0,0,0,47,114,100,121,108,103,110,49,49,47,53,0,0,0,0,0,47,114,100,121,108,103,110,49,49,47,52,0,0,0,0,0,99,111,110,103,0,0,0,0,47,98,114,98,103,55,47,54,0,0,0,0,0,0,0,0,47,114,100,121,108,103,110,49,49,47,51,0,0,0,0,0,40,37,46,53,103,44,37,46,53,103,41,0,0,0,0,0,47,114,100,121,108,103,110,49,49,47,50,0,0,0,0,0,47,114,100,121,108,103,110,49,49,47,49,49,0,0,0,0,47,114,100,121,108,103,110,49,49,47,49,48,0,0,0,0,32,32,32,32,32,125,101,108,115,101,123,10,0,0,0,0,47,114,100,121,108,103,110,49,49,47,49,0,0,0,0,0,115,118,103,58,115,118,103,0,114,97,110,107,0,0,0,0,47,114,100,121,108,103,110,49,48,47,57,0,0,0,0,0,114,101,100,0,0,0,0,0,112,116,0,0,0,0,0,0,9,99,117,114,108,97,121,101,114,32,109,121,108,111,119,101,114,32,108,116,0,0,0,0,47,114,100,121,108,103,110,49,48,47,56,0,0,0,0,0,99,111,110,99,46,99,0,0,108,49,0,0,0,0,0,0,103,118,117,115,101,114,115,104,97,112,101,46,99,0,0,0,32,32,32,32,32,32,32,32,100,117,112,32,100,117,112,32,102,105,110,100,102,111,110,116,32,100,117,112,32,108,101,110,103,116,104,32,100,105,99,116,32,98,101,103,105,110,0,0,99,108,117,98,115,0,0,0,47,114,100,121,108,103,110,49,48,47,55,0,0,0,0,0,119,105,100,116,104,0,0,0,47,114,100,121,108,103,110,49,48,47,54,0,0,0,0,0,47,114,100,121,108,103,110,49,48,47,53,0,0,0,0,0,67,111,117,108,100,32,110,111,116,32,112,97,114,115,101,32,34,95,100,114,97,119,95,34,32,97,116,116,114,105,98,117,116,101,32,105,110,32,103,114,97,112,104,32,37,115,10,0,47,98,114,98,103,55,47,53,0,0,0,0,0,0,0,0,47,114,100,121,108,103,110,49,48,47,52,0,0,0,0,0,47,114,100,121,108,103,110,49,48,47,51,0,0,0,0,0,47,114,100,121,108,103,110,49,48,47,50,0,0,0,0,0,47,114,100,121,108,103,110,49,48,47,49,48,0,0,0,0,32,32,32,32,32,32,32,32,32,32,32,105,116,101,109,46,115,116,121,108,101,46,118,105,115,105,98,105,108,105,116,121,61,39,104,105,100,100,101,110,39,59,10,0,0,0,0,0,47,114,100,121,108,103,110,49,48,47,49,0,0,0,0,0,47,114,100,121,108,98,117,57,47,57,0,0,0,0,0,0,112,117,114,112,108,101,0,0,9,47,109,121,108,111,119,101,114,32,101,120,99,104,32,100,101,102,0,0,0,0,0,0,47,114,100,121,108,98,117,57,47,56,0,0,0,0,0,0,108,97,116,105,110,49,0,0,67,111,117,108,100,32,110,111,116,32,111,112,101,110,32,34,37,115,34,32,102,111,114,32,119,114,105,116,105,110,103,32,58,32,37,115,10,0,0,0,47,114,100,121,108,98,117,57,47,55,0,0,0,0,0,0,47,114,100,121,108,98,117,57,47,54,0,0,0,0,0,0,47,114,100,121,108,98,117,57,47,53,0,0,0,0,0,0,99,105,114,99,0,0,0,0,114,0,0,0,0,0,0,0,47,98,114,98,103,55,47,52,0,0,0,0,0,0,0,0,47,114,100,121,108,98,117,57,47,52,0,0,0,0,0,0,47,114,100,121,108,98,117,57,47,51,0,0,0,0,0,0,47,114,100,121,108,98,117,57,47,50,0,0,0,0,0,0,47,114,100,121,108,98,117,57,47,49,0,0,0,0,0,0,32,32,32,32,32,32,32,32,32,105,116,101,109,32,61,32,100,111,99,117,109,101,110,116,46,103,101,116,69,108,101,109,101,110,116,66,121,73,100,40,86,77,76,110,111,91,120,93,41,59,10,0,0,0,0,0,47,114,100,121,108,98,117,56,47,56,0,0,0,0,0,0,47,114,100,121,108,98,117,56,47,55,0,0,0,0,0,0,112,111,119,100,101,114,98,108,117,101,0,0,0,0,0,0,9,47,109,121,117,112,112,101,114,32,101,120,99,104,32,100,101,102,0,0,0,0,0,0,47,114,100,121,108,98,117,56,47,54,0,0,0,0,0,0,108,97,116,105,110,45,49,0,109,105,110,99,114,111,115,115,32,37,115,58,32,37,100,32,99,114,111,115,115,105,110,103,115,44,32,37,46,50,102,32,115,101,99,115,46,10,0,0,47,114,100,121,108,98,117,56,47,53,0,0,0,0,0,0,47,114,100,121,108,98,117,56,47,52,0,0,0,0,0,0,47,114,100,121,108,98,117,56,47,51,0,0,0,0,0,0,99,104,105,0,0,0,0,0,47,98,114,98,103,55,47,51,0,0,0,0,0,0,0,0,47,114,100,121,108,98,117,56,47,50,0,0,0,0,0,0,47,114,100,121,108,98,117,56,47,49,0,0,0,0,0,0,47,114,100,121,108,98,117,55,47,55,0,0,0,0,0,0,10,0,0,0,0,0,0,0,47,114,100,121,108,98,117,55,47,54,0,0,0,0,0,0,32,32,32,32,32,32,32,102,111,114,32,40,120,32,105,110,32,86,77,76,110,111,41,123,10,0,0,0,0,0,0,0,47,114,100,121,108,98,117,55,47,53,0,0,0,0,0,0,47,114,100,121,108,98,117,55,47,52,0,0,0,0,0,0,112,108,117,109,0,0,0,0,47,111,110,108,97,121,101,114,115,32,123,0,0,0,0,0,47,114,100,121,108,98,117,55,47,51,0,0,0,0,0,0,117,116,102,45,56,0,0,0,47,114,100,121,108,98,117,55,47,50,0,0,0,0,0,0,47,97,99,99,101,110,116,53,47,51,0,0,0,0,0,0,47,114,100,121,108,98,117,55,47,49,0,0,0,0,0,0,47,114,100,121,108,98,117,54,47,54,0,0,0,0,0,0,99,101,110,116,0,0,0,0,47,98,114,98,103,55,47,50,0,0,0,0,0,0,0,0,47,114,100,121,108,98,117,54,47,53,0,0,0,0,0,0,47,114,100,121,108,98,117,54,47,52,0,0,0,0,0,0,47,114,100,121,108,98,117,54,47,51,0,0,0,0,0,0,47,114,100,121,108,98,117,54,47,50,0,0,0,0,0,0,32,32,32,32,32,32,32,125,10,0,0,0,0,0,0,0,47,114,100,121,108,98,117,54,47,49,0,0,0,0,0,0,47,114,100,121,108,98,117,53,47,53,0,0,0,0,0,0,112,105,110,107,0,0,0,0,47,111,110,108,97,121,101,114,32,123,32,99,117,114,108,97,121,101,114,32,110,101,32,123,105,110,118,105,115,125,32,105,102,32,125,32,100,101,102,0,47,114,100,121,108,98,117,53,47,52,0,0,0,0,0,0,99,104,97,114,115,101,116,0,112,111,108,121,103,111,110,0,47,114,100,121,108,98,117,53,47,51,0,0,0,0,0,0,47,114,100,121,108,98,117,53,47,50,0,0,0,0,0,0,115,116,97,114,116,32,112,111,114,116,58,32,40,37,46,53,103,44,32,37,46,53,103,41,44,32,116,97,110,103,101,110,116,32,97,110,103,108,101,58,32,37,46,53,103,44,32,37,115,10,0,0,0,0,0,0,47,114,100,121,108,98,117,53,47,49,0,0,0,0,0,0,99,101,100,105,108,0,0,0,47,98,114,98,103,55,47,49,0,0,0,0,0,0,0,0,47,114,100,121,108,98,117,52,47,52,0,0,0,0,0,0,47,114,100,121,108,98,117,52,47,51,0,0,0,0,0,0,47,114,100,121,108,98,117,52,47,50,0,0,0,0,0,0,47,114,100,121,108,98,117,52,47,49,0,0,0,0,0,0,32,32,32,32,32,32,32,32,32,125,10,0,0,0,0,0,47,114,100,121,108,98,117,51,47,51,0,0,0,0,0,0,47,114,100,121,108,98,117,51,47,50,0,0,0,0,0,0,112,101,114,117,0,0,0,0,78,111,32,108,111,97,100,105,109,97,103,101,32,112,108,117,103,105,110,32,102,111,114,32,34,37,115,34,10,0,0,0,9,47,103,114,97,112,104,99,111,108,111,114,32,123,110,111,112,99,111,108,111,114,125,32,100,101,102,0,0,0,0,0,102,105,120,101,100,32,116,97,98,108,101,32,115,105,122,101,32,119,105,116,104,32,117,110,115,112,101,99,105,102,105,101,100,32,119,105,100,116,104,32,111,114,32,104,101,105,103,104,116,10,0,0,0,0,0,0,47,114,100,121,108,98,117,51,47,49,0,0,0,0,0,0,103,105,102,58,118,114,109,108,0,0,0,0,0,0,0,0,102,105,108,108,0,0,0,0,47,114,100,121,108,98,117,49,49,47,57,0,0,0,0,0,47,114,100,121,108,98,117,49,49,47,56,0,0,0,0,0,47,114,100,121,108,98,117,49,49,47,55,0,0,0,0,0,99,99,101,100,105,108,0,0,47,98,114,98,103,54,47,54,0,0,0,0,0,0,0,0,47,114,100,121,108,98,117,49,49,47,54,0,0,0,0,0,47,114,100,121,108,98,117,49,49,47,53,0,0,0,0,0,47,114,100,121,108,98,117,49,49,47,52,0,0,0,0,0,47,114,100,121,108,98,117,49,49,47,51,0,0,0,0,0,32,32,32,32,32,32,32,32,32,32,32,105,116,101,109,46,115,116,121,108,101,46,118,105,115,105,98,105,108,105,116,121,61,39,118,105,115,105,98,108,101,39,59,10,0,0,0,0,47,114,100,121,108,98,117,49,49,47,50,0,0,0,0,0,47,114,100,121,108,98,117,49,49,47,49,49,0,0,0,0,70,79,78,84,0,0,0,0,115,97,109,101,116,97,105,108,0,0,0,0,0,0,0,0,112,101,97,99,104,112,117,102,102,0,0,0,0,0,0,0,9,47,101,100,103,101,99,111,108,111,114,32,123,110,111,112,99,111,108,111,114,125,32,100,101,102,0,0,0,0,0,0,112,111,115,105,116,105,111,110,46,99,0,0,0,0,0,0,47,114,100,121,108,98,117,49,49,47,49,48,0,0,0,0,101,120,112,97,110,100,0,0,50,46,50,56,46,48,0,0,47,114,100,121,108,98,117,49,49,47,49,0,0,0,0,0,47,114,100,121,108,98,117,49,48,47,57,0,0,0,0,0,47,114,100,121,108,98,117,49,48,47,56,0,0,0,0,0,99,97,112,0,0,0,0,0,47,98,114,98,103,54,47,53,0,0,0,0,0,0,0,0,47,114,100,121,108,98,117,49,48,47,55,0,0,0,0,0,98,108,97,99,107,0,0,0,37,100,32,37,100,32,37,100,32,37,100,32,37,100,32,37,100,32,37,100,32,37,100,32,37,100,32,37,46,49,102,32,37,100,32,37,100,32,37,100,32,37,100,32,37,100,32,37,100,10,0,0,0,0,0,0,109,105,110,117,115,0,0,0,47,114,100,121,108,98,117,49,48,47,54,0,0,0,0,0,47,114,100,121,108,98,117,49,48,47,53,0,0,0,0,0,47,114,100,121,108,98,117,49,48,47,52,0,0,0,0,0,32,32,32,32,32,32,32,32,32,105,102,32,40,105,116,101,109,41,32,123,10,0,0,0,47,114,100,121,108,98,117,49,48,47,51,0,0,0,0,0,101,100,103,101,0,0,0,0,47,114,100,121,108,98,117,49,48,47,50,0,0,0,0,0,112,97,112,97,121,97,119,104,105,112,0,0,0,0,0,0,9,47,110,111,100,101,99,111,108,111,114,32,123,110,111,112,99,111,108,111,114,125,32,100,101,102,0,0,0,0,0,0,47,114,100,121,108,98,117,49,48,47,49,48,0,0,0,0,108,116,97,105,108,0,0,0,108,105,98,97,103,32,101,114,114,111,114,32,45,45,32,97,103,105,110,105,116,40,41,32,119,97,115,32,110,111,116,32,99,97,108,108,101,100,10,0,99,111,109,112,114,101,115,115,0,0,0,0,0,0,0,0,32,62,62,62,32,0,0,0,47,114,100,121,108,98,117,49,48,47,49,0,0,0,0,0,47,114,100,112,117,57,47,57,0,0,0,0,0,0,0,0,116,97,105,108,112,111,114,116,0,0,0,0,0,0,0,0,47,114,100,112,117,57,47,56,0,0,0,0,0,0,0,0,98,117,108,108,0,0,0,0,47,98,114,98,103,54,47,52,0,0,0,0,0,0,0,0,47,114,100,112,117,57,47,55,0,0,0,0,0,0,0,0,47,114,100,112,117,57,47,54,0,0,0,0,0,0,0,0,47,114,100,112,117,57,47,53,0,0,0,0,0,0,0,0,47,114,100,112,117,57,47,52,0,0,0,0,0,0,0,0,32,32,32,32,32,32,32,32,32,105,116,101,109,32,61,32,100,111,99,117,109,101,110,116,46,103,101,116,69,108,101,109,101,110,116,66,121,73,100,40,86,77,76,121,101,115,91,120,93,41,59,10,0,0,0,0,115,97,110,115,45,83,101,114,105,102,0,0,0,0,0,0,47,114,100,112,117,57,47,51,0,0,0,0,0,0,0,0,47,114,100,112,117,57,47,50,0,0,0,0,0,0,0,0,112,97,108,101,118,105,111,108,101,116,114,101,100,0,0,0,105,108,108,101,103,97,108,32,112,97,114,97,109,101,116,101,114,32,101,110,116,105,116,121,32,114,101,102,101,114,101,110,99,101,0,0,0,0,0,0,9,97,108,111,97,100,32,112,111,112,32,115,101,116,104,115,98,99,111,108,111,114,0,0,47,114,100,112,117,57,47,49,0,0,0,0,0,0,0,0,97,117,116,111,0,0,0,0,99,108,117,115,116,101,114,0,98,114,118,98,97,114,0,0,47,114,100,112,117,56,47,56,0,0,0,0,0,0,0,0,38,35,49,54,48,59,0,0,47,114,100,112,117,56,47,55,0,0,0,0,0,0,0,0,111,98,106,0,0,0,0,0,32,37,100,32,0,0,0,0,47,114,100,112,117,56,47,54,0,0,0,0,0,0,0,0,117,116,105,108,115,46,99,0,48,0,0,0,0,0,0,0,47,98,114,98,103,54,47,51,0,0,0,0,0,0,0,0,47,114,100,112,117,56,47,53,0,0,0,0,0,0,0,0,47,114,100,112,117,56,47,52,0,0,0,0,0,0,0,0,115,117,98,103,114,97,112,104,32,0,0,0,0,0,0,0,99,97,110,110,111,116,32,114,101,97,108,108,111,99,32,112,110,108,115,0,0,0,0,0,47,114,100,112,117,56,47,51,0,0,0,0,0,0,0,0,119,105,100,116,104,0,0,0,47,114,100,112,117,56,47,50,0,0,0,0,0,0,0,0,32,32,32,32,32,32,32,102,111,114,32,40,120,32,105,110,32,86,77,76,121,101,115,41,123,10,0,0,0,0,0,0,110,111,110,97,109,101,46,103,118,0,0,0,0,0,0,0,37,37,66,101,103,105,110,68,111,99,117,109,101,110,116,58,10,0,0,0,0,0,0,0,47,114,100,112,117,56,47,49,0,0,0,0,0,0,0,0,47,114,100,112,117,55,47,55,0,0,0,0,0,0,0,0,112,97,108,101,116,117,114,113,117,111,105,115,101,0,0,0,37,37,66,101,103,105,110,80,114,111,108,111,103,0,0,0,47,114,100,112,117,55,47,54,0,0,0,0,0,0,0,0,114,97,116,105,111,0,0,0,98,108,117,101,0,0,0,0,117,115,0,0,0,0,0,0,98,101,116,97,0,0,0,0,47,114,100,112,117,55,47,53,0,0,0,0,0,0,0,0,9,108,97,121,101,114,99,111,108,111,114,115,101,113,32,99,117,114,108,97,121,101,114,32,49,32,115,117,98,32,108,97,121,101,114,108,101,110,32,109,111,100,32,103,101,116,0,0,47,114,100,112,117,55,47,52,0,0,0,0,0,0,0,0,47,114,100,112,117,55,47,51,0,0,0,0,0,0,0,0,102,111,110,116,99,111,108,111,114,0,0,0,0,0,0,0,47,98,114,98,103,54,47,50,0,0,0,0,0,0,0,0,47,114,100,112,117,55,47,50,0,0,0,0,0,0,0,0,47,114,100,112,117,55,47,49,0,0,0,0,0,0,0,0,47,114,100,112,117,54,47,54,0,0,0,0,0,0,0,0,114,97,110,107,40,103,44,32,50,44,32,110,115,105,116,101,114,50,40,103,41,41,32,61,61,32,48,0,0,0,0,0,47,114,100,112,117,54,47,53,0,0,0,0,0,0,0,0,32,32,32,32,32,32,105,102,32,40,105,101,118,101,114,115,62,61,53,41,123,10,0,0,47,114,100,112,117,54,47,52,0,0,0,0,0,0,0,0,115,105,110,107,0,0,0,0,47,114,100,112,117,54,47,51,0,0,0,0,0,0,0,0,47,68,111,116,68,105,99,116,32,50,48,48,32,100,105,99,116,32,100,101,102,0,0,0,112,97,108,101,103,114,101,101,110,0,0,0,0,0,0,0,112,99,0,0,0,0,0,0,47,115,101,116,108,97,121,101,114,32,123,47,109,97,120,108,97,121,101,114,32,101,120,99,104,32,100,101,102,32,47,99,117,114,108,97,121,101,114,32,101,120,99,104,32,100,101,102,0,0,0,0,0,0,0,0,47,114,100,112,117,54,47,50,0,0,0,0,0,0,0,0,37,108,102,37,99,0,0,0,121,101,115,0,0,0,0,0,47,115,116,97,114,110,101,116,73,83,79,32,123,0,0,0,98,100,113,117,111,0,0,0,47,114,100,112,117,54,47,49,0,0,0,0,0,0,0,0,47,114,100,112,117,53,47,53,0,0,0,0,0,0,0,0,103,118,114,101,110,100,101,114,95,115,101,116,95,115,116,121,108,101,58,32,117,110,115,117,112,112,111,114,116,101,100,32,115,116,121,108,101,32,37,115,32,45,32,105,103,110,111,114,105,110,103,10,0,0,0,0,47,114,100,112,117,53,47,52,0,0,0,0,0,0,0,0,108,97,121,101,114,115,32,110,111,116,32,115,117,112,112,111,114,116,101,100,32,105,110,32,37,115,32,111,117,116,112,117,116,10,0,0,0,0,0,0,47,98,114,98,103,54,47,49,0,0,0,0,0,0,0,0,47,114,100,112,117,53,47,51,0,0,0,0,0,0,0,0,47,114,100,112,117,53,47,50,0,0,0,0,0,0,0,0,47,114,100,112,117,53,47,49,0,0,0,0,0,0,0,0,47,114,100,112,117,52,47,52,0,0,0,0,0,0,0,0,32,32,32,32,32,32,125,10,0,0,0,0,0,0,0,0,47,114,100,112,117,52,47,51,0,0,0,0,0,0,0,0,47,114,100,112,117,52,47,50,0,0,0,0,0,0,0,0,112,97,108,101,103,111,108,100,101,110,114,111,100,0,0,0,47,108,97,121,101,114,108,101,110,32,108,97,121,101,114,99,111,108,111,114,115,101,113,32,108,101,110,103,116,104,32,100,101,102,0,0,0,0,0,0,47,114,100,112,117,52,47,49,0,0,0,0,0,0,0,0,37,108,102,44,37,108,102,37,99,0,0,0,0,0,0,0,97,117,109,108,0,0,0,0,47,114,100,112,117,51,47,51,0,0,0,0,0,0,0,0,47,114,100,112,117,51,47,50,0,0,0,0,0,0,0,0,47,114,100,112,117,51,47,49,0,0,0,0,0,0,0,0,73,109,97,103,101,115,32,117,110,115,117,112,112,111,114,116,101,100,32,105,110,32,34,98,97,99,107,103,114,111,117,110,100,34,32,97,116,116,114,105,98,117,116,101,10,0,0,0,111,0,0,0,0,0,0,0,47,98,114,98,103,53,47,53,0,0,0,0,0,0,0,0,47,114,100,103,121,57,47,57,0,0,0,0,0,0,0,0,47,114,100,103,121,57,47,56,0,0,0,0,0,0,0,0,47,114,100,103,121,57,47,55,0,0,0,0,0,0,0,0,47,114,100,103,121,57,47,54,0,0,0,0,0,0,0,0,32,32,32,32,32,32,32,32,32,105,101,118,101,114,115,61,32,112,97,114,115,101,73,110,116,32,40,117,97,46,115,117,98,115,116,114,105,110,103,32,40,109,115,105,101,43,53,44,32,117,97,46,105,110,100,101,120,79,102,32,40,39,46,39,44,32,109,115,105,101,32,41,41,41,10,0,0,0,0,0,47,114,100,103,121,57,47,53,0,0,0,0,0,0,0,0,47,114,100,103,121,57,47,52,0,0,0,0,0,0,0,0,111,114,99,104,105,100,0,0,100,101,102,0,0,0,0,0,47,114,100,103,121,57,47,51,0,0,0,0,0,0,0,0,108,97,98,101,108,106,117,115,116,0,0,0,0,0,0,0,97,116,105,108,100,101,0,0,47,114,100,103,121,57,47,50,0,0,0,0,0,0,0,0,109,99,108,105,109,105,116,0,47,114,100,103,121,57,47,49,0,0,0,0,0,0,0,0,47,114,100,103,121,56,47,56,0,0,0,0,0,0,0,0,116,114,97,110,115,112,97,114,101,110,116,0,0,0,0,0,47,98,114,98,103,53,47,52,0,0,0,0,0,0,0,0,47,114,100,103,121,56,47,55,0,0,0,0,0,0,0,0,47,114,100,103,121,56,47,54,0,0,0,0,0,0,0,0,47,114,100,103,121,56,47,53,0,0,0,0,0,0,0,0,47,114,100,103,121,56,47,52,0,0,0,0,0,0,0,0,32,32,32,32,32,32,105,102,32,40,32,109,115,105,101,32,62,32,48,32,41,123,32,32,32,32,32,32,47,47,32,73,102,32,73,110,116,101,114,110,101,116,32,69,120,112,108,111,114,101,114,44,32,114,101,116,117,114,110,32,118,101,114,115,105,111,110,32,110,117,109,98,101,114,10,0,0,0,0,0,32,37,100,44,37,100,0,0,47,114,100,103,121,56,47,51,0,0,0,0,0,0,0,0,47,114,100,103,121,56,47,50,0,0,0,0,0,0,0,0,111,114,97,110,103,101,114,101,100,0,0,0,0,0,0,0,9,93,0,0,0,0,0,0,47,114,100,103,121,56,47,49,0,0,0,0,0,0,0,0,108,97,98,101,108,108,111,99,0,0,0,0,0,0,0,0,97,115,121,109,112,0,0,0,47,114,100,103,121,55,47,55,0,0,0,0,0,0,0,0,47,97,99,99,101,110,116,53,47,50,0,0,0,0,0,0,47,114,100,103,121,55,47,54,0,0,0,0,0,0,0,0,47,114,100,103,121,55,47,53,0,0,0,0,0,0,0,0,119,104,105,116,101,0,0,0,47,98,114,98,103,53,47,51,0,0,0,0,0,0,0,0,47,114,100,103,121,55,47,52,0,0,0,0,0,0,0,0,47,114,100,103,121,55,47,51,0,0,0,0,0,0,0,0,47,114,100,103,121,55,47,50,0,0,0,0,0,0,0,0,47,114,100,103,121,55,47,49,0,0,0,0,0,0,0,0,32,32,32,32,32,32,118,97,114,32,86,77,76,110,111,61,110,101,119,32,65,114,114,97,121,40,39,95,110,111,116,86,77,76,49,95,39,44,39,95,110,111,116,86,77,76,50,95,39,41,59,10,0,0,0,0,47,114,100,103,121,54,47,54,0,0,0,0,0,0,0,0,47,114,100,103,121,54,47,53,0,0,0,0,0,0,0,0,111,114,97,110,103,101,0,0,9,9,91,46,56,32,46,56,32,46,56,93,0,0,0,0,47,114,100,103,121,54,47,52,0,0,0,0,0,0,0,0,98,108,97,99,107,0,0,0,97,114,105,110,103,0,0,0,47,114,100,103,121,54,47,51,0,0,0,0,0,0,0,0,98,111,120,0,0,0,0,0,47,114,100,103,121,54,47,50,0,0,0,0,0,0,0,0,37,100,32,40,37,46,53,103,44,32,37,46,53,103,41,44,32,40,37,46,53,103,44,32,37,46,53,103,41,10,0,0,47,114,100,103,121,54,47,49,0,0,0,0,0,0,0,0,108,97,121,101,114,0,0,0,47,98,114,98,103,53,47,50,0,0,0,0,0,0,0,0,47,114,100,103,121,53,47,53,0,0,0,0,0,0,0,0,47,114,100,103,121,53,47,52,0,0,0,0,0,0,0,0,47,114,100,103,121,53,47,51,0,0,0,0,0,0,0,0,47,114,100,103,121,53,47,50,0,0,0,0,0,0,0,0,32,32,32,32,32,32,118,97,114,32,86,77,76,121,101,115,61,110,101,119,32,65,114,114,97,121,40,39,95,86,77,76,49,95,39,44,39,95,86,77,76,50,95,39,41,59,10,0,47,114,100,103,121,53,47,49,0,0,0,0,0,0,0,0,47,114,100,103,121,52,47,52,0,0,0,0,0,0,0,0,111,108,105,118,101,100,114,97,98,0,0,0,0,0,0,0,9,9,91,46,54,32,46,56,32,46,56,93,0,0,0,0,47,114,100,103,121,52,47,51,0,0,0,0,0,0,0,0,116,97,98,108,101,32,115,105,122,101,32,116,111,111,32,115,109,97,108,108,32,102,111,114,32,99,111,110,116,101,110,116,10,0,0,0,0,0,0,0,112,110,103,58,118,114,109,108,0,0,0,0,0,0,0,0,84,105,109,101,115,45,82,111,109,97,110,0,0,0,0,0,97,110,103,0,0,0,0,0,47,114,100,103,121,52,47,50,0,0,0,0,0,0,0,0,47,114,100,103,121,52,47,49,0,0,0,0,0,0,0,0,47,114,100,103,121,51,47,51,0,0,0,0,0,0,0,0,114,111,117,110,100,101,100,0,47,98,114,98,103,53,47,49,0,0,0,0,0,0,0,0,47,114,100,103,121,51,47,50,0,0,0,0,0,0,0,0,47,114,100,103,121,51,47,49,0,0,0,0,0,0,0,0,47,114,100,103,121,49,49,47,57,0,0,0,0,0,0,0,47,114,100,103,121,49,49,47,56,0,0,0,0,0,0,0,32,32,32,32,32,32,118,97,114,32,105,116,101,109,59,10,0,0,0,0,0,0,0,0,47,114,100,103,121,49,49,47,55,0,0,0,0,0,0,0,47,114,100,103,121,49,49,47,54,0,0,0,0,0,0,0,72,84,77,76,0,0,0,0,111,108,105,118,101,0,0,0,9,9,91,46,52,32,46,56,32,46,56,93,0,0,0,0,47,114,100,103,121,49,49,47,53,0,0,0,0,0,0,0,85,110,115,117,112,112,111,114,116,101,100,32,99,104,97,114,115,101,116,32,118,97,108,117,101,32,37,100,10,0,0,0,97,110,100,0,0,0,0,0,47,114,100,103,121,49,49,47,52,0,0,0,0,0,0,0,47,114,100,103,121,49,49,47,51,0,0,0,0,0,0,0,47,114,100,103,121,49,49,47,50,0,0,0,0,0,0,0,115,116,121,108,101,0,0,0,47,98,114,98,103,52,47,52,0,0,0,0,0,0,0,0,47,114,100,103,121,49,49,47,49,49,0,0,0,0,0,0,97,113,117,97,0,0,0,0,47,114,100,103,121,49,49,47,49,48,0,0,0,0,0,0,35,32,37,115,10,0,0,0,75,80,95,65,100,100,0,0,47,114,100,103,121,49,49,47,49,0,0,0,0,0,0,0,47,114,100,103,121,49,48,47,57,0,0,0,0,0,0,0,32,32,32,32,32,32,118,97,114,32,105,101,118,101,114,115,59,10,0,0,0,0,0,0,47,114,100,103,121,49,48,47,56,0,0,0,0,0,0,0,47,114,100,103,121,49,48,47,55,0,0,0,0,0,0,0,111,108,100,108,97,99,101,0,9,9,91,46,50,32,46,56,32,46,56,93,0,0,0,0,47,114,100,103,121,49,48,47,54,0,0,0,0,0,0,0,66,73,71,45,53,0,0,0,97,109,112,0,0,0,0,0,47,114,100,103,121,49,48,47,53,0,0,0,0,0,0,0,99,111,110,116,101,120,116,58,32,0,0,0,0,0,0,0,47,114,100,103,121,49,48,47,52,0,0,0,0,0,0,0,47,114,100,103,121,49,48,47,51,0,0,0,0,0,0,0,102,105,108,108,101,100,0,0,104,101,97,100,112,111,114,116,0,0,0,0,0,0,0,0,47,98,114,98,103,52,47,51,0,0,0,0,0,0,0,0,47,114,100,103,121,49,48,47,50,0,0,0,0,0,0,0,47,114,100,103,121,49,48,47,49,48,0,0,0,0,0,0,47,114,100,103,121,49,48,47,49,0,0,0,0,0,0,0,47,114,100,98,117,57,47,57,0,0,0,0,0,0,0,0,32,32,32,32,32,32,118,97,114,32,109,115,105,101,32,61,32,117,97,46,105,110,100,101,120,79,102,32,40,32,39,77,83,73,69,32,39,32,41,10,0,0,0,0,0,0,0,0,98,111,111,107,0,0,0,0,47,114,100,98,117,57,47,56,0,0,0,0,0,0,0,0,47,114,100,98,117,57,47,55,0,0,0,0,0,0,0,0,106,117,110,107,32,97,102,116,101,114,32,100,111,99,117,109,101,110,116,32,101,108,101,109,101,110,116,0,0,0,0,0,9,9,91,48,32,48,32,48,93,0,0,0,0,0,0,0,110,97,118,121,0,0,0,0,47,114,100,98,117,57,47,54,0,0,0,0,0,0,0,0,73,83,79,45,56,56,53,57,45,49,0,0,0,0,0,0,97,108,112,104,97,0,0,0,47,114,100,98,117,57,47,53,0,0,0,0,0,0,0,0,38,35,52,53,59,0,0,0,47,114,100,98,117,57,47,52,0,0,0,0,0,0,0,0,120,100,111,116,58,120,100,111,116,0,0,0,0,0,0,0,47,114,100,98,117,57,47,51,0,0,0,0,0,0,0,0,101,109,105,116,46,99,0,0,115,97,109,112,108,101,112,111,105,110,116,115,0,0,0,0,115,101,103,109,101,110,116,32,91,37,115,44,37,115,93,32,100,111,101,115,32,110,111,116,32,105,110,116,101,114,115,101,99,116,32,98,111,120,32,108,108,61,37,115,44,117,114,61,37,115,10,0,0,0,0,0,47,98,114,98,103,52,47,50,0,0,0,0,0,0,0,0,47,114,100,98,117,57,47,50,0,0,0,0,0,0,0,0,47,114,100,98,117,57,47,49,0,0,0,0,0,0,0,0,125,10,0,0,0,0,0,0,99,97,110,110,111,116,32,109,97,108,108,111,99,32,112,110,108,112,115,0,0,0,0,0,47,114,100,98,117,56,47,56,0,0,0,0,0,0,0,0,114,101,99,116,115,0,0,0,47,114,100,98,117,56,47,55,0,0,0,0,0,0,0,0,32,32,32,32,32,32,118,97,114,32,117,97,32,61,32,119,105,110,100,111,119,46,110,97,118,105,103,97,116,111,114,46,117,115,101,114,65,103,101,110,116,10,0,0,0,0,0,0,46,37,100,0,0,0,0,0,47,117,115,101,114,95,115,104,97,112,101,95,37,100,32,123,10,0,0,0,0,0,0,0,47,114,100,98,117,56,47,54,0,0,0,0,0,0,0,0,47,114,100,98,117,56,47,53,0,0,0,0,0,0,0,0,9,91,9,37,32,108,97,121,101,114,32,99,111,108,111,114,32,115,101,113,117,101,110,99,101,32,45,32,100,97,114,107,101,115,116,32,116,111,32,108,105,103,104,116,101,115,116,0,110,97,118,97,106,111,119,104,105,116,101,0,0,0,0,0,47,114,100,98,117,56,47,52,0,0,0,0,0,0,0,0,85,84,70,45,56,0,0,0,98,108,97,110,99,104,101,100,97,108,109,111,110,100,0,0,97,108,101,102,115,121,109,0,47,114,100,98,117,56,47,51,0,0,0,0,0,0,0,0,47,114,100,98,117,56,47,50,0,0,0,0,0,0,0,0,47,114,100,98,117,56,47,49,0,0,0,0,0,0,0,0,97,108,108,0,0,0,0,0,47,98,114,98,103,52,47,49,0,0,0,0,0,0,0,0,47,114,100,98,117,55,47,55,0,0,0,0,0,0,0,0,47,114,100,98,117,55,47,54,0,0,0,0,0,0,0,0,47,114,100,98,117,55,47,53,0,0,0,0,0,0,0,0,47,114,100,98,117,55,47,52,0,0,0,0,0,0,0,0,32,32,32,123,10,0,0,0,47,114,100,98,117,55,47,51,0,0,0,0,0,0,0,0,109,97,120,0,0,0,0,0,47,114,100,98,117,55,47,50,0,0,0,0,0,0,0,0,47,108,97,121,101,114,99,111,108,111,114,115,101,113,0,0,109,111,99,99,97,115,105,110,0,0,0,0,0,0,0,0,112,120,0,0,0,0,0,0,47,114,100,98,117,55,47,49,0,0,0,0,0,0,0,0,105,100,0,0,0,0,0,0,116,114,117,101,0,0,0,0,37,32,83,101,116,32,117,112,32,73,83,79,32,76,97,116,105,110,32,49,32,99,104,97,114,97,99,116,101,114,32,101,110,99,111,100,105,110,103,0,97,103,114,97,118,101,0,0,47,114,100,98,117,54,47,54,0,0,0,0,0,0,0,0,47,114,100,98,117,54,47,53,0,0,0,0,0,0,0,0,117,110,102,105,108,108,101,100,0,0,0,0,0,0,0,0,47,114,100,98,117,54,47,52,0,0,0,0,0,0,0,0,104,101,97,100,116,111,111,108,116,105,112,0,0,0,0,0,47,98,114,98,103,51,47,51,0,0,0,0,0,0,0,0,47,114,100,98,117,54,47,51,0,0,0,0,0,0,0,0,47,114,100,98,117,54,47,50,0,0,0,0,0,0,0,0,47,114,100,98,117,54,47,49,0,0,0,0,0,0,0,0,47,114,100,98,117,53,47,53,0,0,0,0,0,0,0,0,32,32,32,102,117,110,99,116,105,111,110,32,98,114,111,119,115,101,114,99,104,101,99,107,40,41,10,0,0,0,0,0,47,114,100,98,117,53,47,52,0,0,0,0,0,0,0,0,47,114,100,98,117,53,47,51,0,0,0,0,0,0,0,0,47,115,104,111,119,112,97,103,101,32,123,32,125,32,100,101,102,0,0,0,0,0,0,0,109,105,115,116,121,114,111,115,101,0,0,0,0,0,0,0,47,114,100,98,117,53,47,50,0,0,0,0,0,0,0,0,104,101,97,100,99,108,105,112,0,0,0,0,0,0,0,0,97,101,108,105,103,0,0,0,47,114,100,98,117,53,47,49,0,0,0,0,0,0,0,0,47,114,100,98,117,52,47,52,0,0,0,0,0,0,0,0,47,114,100,98,117,52,47,51,0,0,0,0,0,0,0,0,116,97,105,108,116,111,111,108,116,105,112,0,0,0,0,0,109,112,116,121,0,0,0,0,100,111,116,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,118,109,108,95,116,101,120,116,112,97,114,97,0,0,0,0,118,109,108,95,112,114,105,110,116,95,99,111,108,111,114,0,116,114,97,110,115,112,111,115,101,95,115,116,101,112,0,0,116,107,103,101,110,95,112,114,105,110,116,95,116,97,103,115,0,0,0,0,0,0,0,0,116,107,103,101,110,95,112,114,105,110,116,95,99,111,108,111,114,0,0,0,0,0,0,0,115,118,103,95,116,101,120,116,112,97,114,97,0,0,0,0])
    909 .concat([115,118,103,95,112,114,105,110,116,95,99,111,108,111,114,0,115,101,116,98,111,117,110,100,115,0,0,0,0,0,0,0,115,97,102,101,95,100,101,108,101,116,101,95,102,97,115,116,95,101,100,103,101,0,0,0,114,111,117,110,100,95,99,111,114,110,101,114,115,0,0,0,112,111,115,116,111,114,100,101,114,0,0,0,0,0,0,0,112,111,115,95,104,116,109,108,95,116,98,108,0,0,0,0,112,111,112,95,111,98,106,95,115,116,97,116,101,0,0,0,111,118,101,114,108,97,112,95,98,101,122,105,101,114,0,0,109,105,110,109,97,120,95,101,100,103,101,115,0,0,0,0,109,101,114,103,101,118,105,114,116,117,97,108,0,0,0,0,109,101,114,103,101,95,111,110,101,119,97,121,0,0,0,0,109,101,114,103,101,95,99,104,97,105,110,0,0,0,0,0,109,97,112,95,112,97,116,104,0,0,0,0,0,0,0,0,109,97,112,95,111,117,116,112,117,116,95,115,104,97,112,101,0,0,0,0,0,0,0,0,109,97,112,78,0,0,0,0,109,97,107,101,95,108,97,98,101,108,0,0,0,0,0,0,109,97,107,101,95,99,104,97,105,110,0,0,0,0,0,0,109,97,107,101,83,101,108,102,69,100,103,101,0,0,0,0,109,97,107,101,67,111,109,112,111,117,110,100,69,100,103,101,0,0,0,0,0,0,0,0,105,110,116,101,114,99,108,101,120,112,0,0,0,0,0,0,105,110,115,116,97,108,108,95,105,110,95,114,97,110,107,0,105,110,105,116,95,115,112,108,105,110,101,115,95,98,98,0,103,118,117,115,101,114,115,104,97,112,101,95,102,105,108,101,95,97,99,99,101,115,115,0,102,108,97,116,95,115,101,97,114,99,104,0,0,0,0,0,102,105,103,95,114,101,115,111,108,118,101,95,99,111,108,111,114,0,0,0,0,0,0,0,102,105,103,95,98,101,122,105,101,114,0,0,0,0,0,0,102,97,115,116,95,110,111,100,101,97,112,112,0,0,0,0,102,97,115,116,95,110,111,100,101,0,0,0,0,0,0,0,101,110,100,112,97,116,104,0,101,109,105,116,95,101,100,103,101,95,108,97,98,101,108,0,100,111,116,95,112,111,115,105,116,105,111,110,0,0,0,0,100,101,108,101,116,101,95,102,108,97,116,95,101,100,103,101,0,0,0,0,0,0,0,0,100,101,108,101,116,101,95,102,97,115,116,95,110,111,100,101,0,0,0,0,0,0,0,0,100,101,108,101,116,101,95,102,97,115,116,95,101,100,103,101,0,0,0,0,0,0,0,0,99,111,114,101,95,108,111,97,100,105,109,97,103,101,95,118,114,109,108,0,0,0,0,0,99,111,114,101,95,108,111,97,100,105,109,97,103,101,95,115,118,103,0,0,0,0,0,0,99,111,114,101,95,108,111,97,100,105,109,97,103,101,95,112,115,108,105,98,0,0,0,0,99,111,114,101,95,108,111,97,100,105,109,97,103,101,95,112,115,0,0,0,0,0,0,0,99,111,114,101,95,108,111,97,100,105,109,97,103,101,95,102,105,103,0,0,0,0,0,0,99,111,110,110,101,99,116,71,114,97,112,104,0,0,0,0,99,108,117,115,116,101,114,95,108,101,97,100,101,114,0,0,98,111,120,73,110,116,101,114,115,101,99,116,102,0,0,0,98,101,122,105,101,114,95,98,98,0,0,0,0,0,0,0,98,101,103,105,110,112,97,116,104,0,0,0,0,0,0,0,98,97,108,97,110,99,101,0,97,98,111,109,105,110,97,116,105,111,110,0,0,0,0,0,95,100,111,116,95,115,112,108,105,110,101,115,0,0,0,0,85,70,95,115,101,116,110,97,109,101,0,0,0,0,0,0,124,2,0,0,4,0,0,0,132,0,0,0,64,0,0,0,124,2,0,0,4,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,216,232,1,0,224,200,1,0,128,180,1,0,160,163,1,0,112,148,1,0,16,132,1,0,88,116,1,0,144,102,1,0,192,120,2,0,24,107,2,0,160,93,2,0,216,79,2,0,96,67,2,0,128,56,2,0,152,44,2,0,232,30,2,0,24,20,2,0,192,10,2,0,16,2,2,0,64,251,1,0,168,242,1,0,128,232,1,0,208,224,1,0,120,219,1,0,8,217,1,0,96,214,1,0,216,211,1,0,192,209,1,0,168,207,1,0,104,205,1,0,48,203,1,0,184,200,1,0,168,198,1,0,168,196,1,0,232,194,1,0,208,192,1,0,128,190,1,0,112,188,1,0,192,186,1,0,144,184,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,115,0,21,0,101,0,8,0,103,0,12,0,110,0,17,0,100,0,1,0,105,0,2,0,103,0,3,0,114,0,4,0,97,0,5,0,112,0,6,0,104,0,7,0,100,0,9,0,103,0,10,0,101,0,11,0,114,0,13,0,97,0,14,0,112,0,15,0,104,0,16,0,111,0,18,0,100,0,19,0,101,0,20,0,116,0,22,0,117,0,27,0,114,0,23,0,105,0,24,0,99,0,25,0,116,0,26,0,98,0,28,0,103,0,29,0,114,0,30,0,97,0,31,0,112,0,32,0,104,0,33,0,0,0,0,0,255,255,0,0,88,32,4,0,255,255,5,0,0,1,0,0,255,255,6,0,64,0,0,0,255,255,7,0,0,0,2,0,255,255,8,0,1,0,0,0,255,255,9,0,0,128,0,0,255,255,10,0,128,0,0,0,3,1,11,0,0,0,0,0,255,255,11,0,8,0,0,0,255,255,12,0,64,0,0,0,255,255,13,0,16,0,0,0,6,1,14,0,0,0,0,0,255,255,14,0,0,0,2,0,255,255,15,0,1,0,0,0,255,255,16,0,0,128,0,0,255,255,17,0,128,0,0,0,2,1,18,0,0,0,0,0,255,255,18,0,0,64,0,0,255,255,19,0,8,0,0,0,255,255,20,0,16,0,0,0,5,1,21,0,0,0,0,0,255,255,21,0,0,0,24,0,255,255,23,0,0,0,2,0,255,255,24,0,0,1,0,0,255,255,25,0,4,0,0,0,255,255,26,0,0,0,8,0,4,1,27,0,0,0,0,0,255,255,27,0,2,0,0,0,255,255,28,0,64,0,0,0,255,255,29,0,0,0,2,0,255,255,30,0,1,0,0,0,255,255,31,0,0,128,0,0,255,255,32,0,128,0,0,0,10,1,33,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,40,116,2,0,144,27,0,0,96,34,0,0,0,0,0,0,128,101,2,0,144,27,0,0,160,30,0,0,0,0,0,0,224,88,2,0,144,27,0,0,224,32,0,0,0,0,0,0,144,74,2,0,144,27,0,0,224,32,0,0,0,0,0,0,80,63,2,0,144,27,0,0,0,34,0,0,0,0,0,0,136,52,2,0,216,27,0,0,0,34,0,0,0,0,0,0,176,40,2,0,144,27,0,0,16,33,0,0,0,0,0,0,224,26,2,0,144,27,0,0,176,29,0,0,0,0,0,0,232,16,2,0,144,27,0,0,208,30,0,0,0,0,0,0,160,6,2,0,144,27,0,0,208,30,0,0,0,0,0,0,160,255,1,0,144,27,0,0,160,33,0,0,0,0,0,0,96,248,1,0,144,27,0,0,224,29,0,0,0,0,0,0,128,238,1,0,144,27,0,0,48,31,0,0,0,0,0,0,152,229,1,0,144,27,0,0,80,32,0,0,0,0,0,0,8,222,1,0,144,27,0,0,0,31,0,0,0,0,0,0,184,218,1,0,144,27,0,0,128,32,0,0,0,0,0,0,32,216,1,0,144,27,0,0,112,30,0,0,0,0,0,0,88,213,1,0,144,27,0,0,96,31,0,0,0,0,0,0,8,211,1,0,144,27,0,0,144,31,0,0,0,0,0,0,224,208,1,0,144,27,0,0,16,30,0,0,0,0,0,0,16,207,1,0,144,27,0,0,176,32,0,0,0,0,0,0,152,204,1,0,144,27,0,0,48,34,0,0,0,0,0,0,48,202,1,0,144,27,0,0,208,33,0,0,0,0,0,0,232,199,1,0,144,27,0,0,96,34,0,0,0,0,0,0,8,198,1,0,144,27,0,0,96,34,0,0,0,0,0,0,8,196,1,0,144,27,0,0,64,30,0,0,0,0,0,0,32,194,1,0,144,27,0,0,112,33,0,0,0,0,0,0,232,191,1,0,144,27,0,0,64,33,0,0,0,0,0,0,160,189,1,0,144,27,0,0,128,29,0,0,0,0,0,0,200,187,1,0,144,27,0,0,192,31,0,0,0,0,0,0,24,186,1,0,144,27,0,0,240,31,0,0,0,0,0,0,176,183,1,0,144,27,0,0,32,32,0,0,0,0,0,0,184,181,1,0,144,27,0,0,192,34,0,0,0,0,0,0,208,179,1,0,144,27,0,0,144,34,0,0,0,0,0,0,40,178,1,0,144,27,0,0,240,34,0,0,0,0,0,0,160,176,1,0,0,18,0,0,0,0,0,0,0,0,0,0,72,175,1,0,0,18,0,0,0,0,0,0,0,0,0,0,112,193,1,0,240,70,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,12,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,208,68,2,0,104,104,2,0,24,249,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,121,101,115,0,0,0,0,0,118,101,114,115,105,111,110,0,115,116,97,110,100,97,108,111,110,101,0,0,0,0,0,0,110,111,0,0,0,0,0,0,101,110,99,111,100,105,110,103,0,0,0,0,0,0,0,0,85,84,70,45,56,0,0,0,85,84,70,45,49,54,76,69,0,0,0,0,0,0,0,0,85,84,70,45,49,54,66,69,0,0,0,0,0,0,0,0,85,84,70,45,49,54,0,0,85,83,45,65,83,67,73,73,0,0,0,0,0,0,0,0,83,89,83,84,69,77,0,0,82,69,81,85,73,82,69,68,0,0,0,0,0,0,0,0,80,85,66,76,73,67,0,0,80,67,68,65,84,65,0,0,78,79,84,65,84,73,79,78,0,0,0,0,0,0,0,0,78,77,84,79,75,69,78,83,0,0,0,0,0,0,0,0,78,77,84,79,75,69,78,0,78,68,65,84,65,0,0,0,73,83,79,45,56,56,53,57,45,49,0,0,0,0,0,0,73,77,80,76,73,69,68,0,73,68,82,69,70,83,0,0,73,68,82,69,70,0,0,0,73,68,0,0,0,0,0,0,70,73,88,69,68,0,0,0,69,78,84,73,84,89,0,0,69,78,84,73,84,73,69,83,0,0,0,0,0,0,0,0,69,77,80,84,89,0,0,0,69,76,69,77,69,78,84,0,68,79,67,84,89,80,69,0,67,68,65,84,65,0,0,0,65,84,84,76,73,83,84,0,65,78,89,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,8,0,0,0,255,255,255,255,0,0,0,0,0,0,0,0,190,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,8,0,0,0,255,255,255,255,0,0,0,0,0,0,0,0,180,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,88,131,2,0,0,0,0,0,96,131,2,0,0,0,0,0,104,131,2,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,2,0,0,0,4,0,0,0,8,0,0,0,16,0,0,0,32,0,0,0,64,0,0,0,128,0,0,0,0,1,0,0,0,2,0,0,0,4,0,0,0,8,0,0,0,16,0,0,0,32,0,0,0,64,0,0,0,128,0,0,0,0,1,0,0,0,2,0,0,0,4,0,0,0,8,0,0,0,16,0,0,0,32,0,0,0,64,0,0,0,128,0,0,0,0,1,0,0,0,2,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,240,191,0,0,0,0,0,0,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,0,0,0,0,0,0,0,0,0,0,240,63,172,1,0,0,0,0,0,0,2,0,0,0,0,0,0,0,0,0,0,0,0,0,240,63,42,0,0,0,0,0,0,0,3,0,0,0,0,0,0,0,0,0,0,0,0,0,224,63,242,0,0,0,0,0,0,0,4,0,0,0,0,0,0,0,0,0,0,0,0,0,240,63,12,0,0,0,0,0,0,0,5,0,0,0,0,0,0,0,51,51,51,51,51,51,243,63,130,0,0,0,0,0,0,0,6,0,0,0,0,0,0,0,154,153,153,153,153,153,233,63,54,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,80,49,2,0,25,0,0,0,0,0,0,0,0,0,0,0,240,4,2,0,1,0,0,0,48,85,2,0,2,0,0,0,16,228,1,0,3,0,0,0,168,208,1,0,4,0,0,0,144,187,1,0,5,0,0,0,88,170,1,0,6,0,0,0,112,154,1,0,0,0,0,0,216,137,1,0,17,0,0,0,144,121,1,0,18,0,0,0,0,108,1,0,18,0,0,0,176,125,2,0,1,0,0,0,0,0,0,0,0,0,0,0,160,112,2,0,8,0,0,0,88,98,2,0,64,0,0,0,96,77,2,0,32,0,0,0,72,71,2,0,8,0,0,0,104,60,2,0,32,0,0,0,0,0,0,0,0,0,0,0,168,34,2,0,0,0,0,0,1,0,0,0,32,24,2,0,1,0,0,0,0,0,0,0,120,14,2,0,1,0,0,0,1,0,0,0,112,154,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0])
    910 , "i8", ALLOC_NONE, Runtime.GLOBAL_BASE)
    911 function runPostSets() {
    912 }
    913 if (!awaitingMemoryInitializer) runPostSets();
    914 var tempDoublePtr = Runtime.alignMemory(allocate(12, "i8", ALLOC_STATIC), 8);
    915 assert(tempDoublePtr % 8 == 0);
    916 function copyTempFloat(ptr) { // functions, because inlining this code increases code size too much
    917   HEAP8[tempDoublePtr] = HEAP8[ptr];
    918   HEAP8[tempDoublePtr+1] = HEAP8[ptr+1];
    919   HEAP8[tempDoublePtr+2] = HEAP8[ptr+2];
    920   HEAP8[tempDoublePtr+3] = HEAP8[ptr+3];
    921 }
    922 function copyTempDouble(ptr) {
    923   HEAP8[tempDoublePtr] = HEAP8[ptr];
    924   HEAP8[tempDoublePtr+1] = HEAP8[ptr+1];
    925   HEAP8[tempDoublePtr+2] = HEAP8[ptr+2];
    926   HEAP8[tempDoublePtr+3] = HEAP8[ptr+3];
    927   HEAP8[tempDoublePtr+4] = HEAP8[ptr+4];
    928   HEAP8[tempDoublePtr+5] = HEAP8[ptr+5];
    929   HEAP8[tempDoublePtr+6] = HEAP8[ptr+6];
    930   HEAP8[tempDoublePtr+7] = HEAP8[ptr+7];
    931 }
    932   function _strncmp(px, py, n) {
    933       var i = 0;
    934       while (i < n) {
    935         var x = HEAPU8[(((px)+(i))|0)];
    936         var y = HEAPU8[(((py)+(i))|0)];
    937         if (x == y && x == 0) return 0;
    938         if (x == 0) return -1;
    939         if (y == 0) return 1;
    940         if (x == y) {
    941           i ++;
    942           continue;
    943         } else {
    944           return x > y ? 1 : -1;
    945         }
    946       }
    947       return 0;
    948     }function _strcmp(px, py) {
    949       return _strncmp(px, py, TOTAL_MEMORY);
    950     }
    951   Module["_memcmp"] = _memcmp;
    952   Module["_memcpy"] = _memcpy;var _llvm_memcpy_p0i8_p0i8_i32=_memcpy;
    953   var _sqrt=Math.sqrt;
    954   Module["_strlen"] = _strlen;
    955   function _parseXDotF() {
    956   Module['printErr']('missing function: parseXDotF'); abort(-1);
    957   }
    958   function _statXDot() {
    959   Module['printErr']('missing function: statXDot'); abort(-1);
    960   }
    961   var ERRNO_CODES={E2BIG:7,EACCES:13,EADDRINUSE:98,EADDRNOTAVAIL:99,EAFNOSUPPORT:97,EAGAIN:11,EALREADY:114,EBADF:9,EBADMSG:74,EBUSY:16,ECANCELED:125,ECHILD:10,ECONNABORTED:103,ECONNREFUSED:111,ECONNRESET:104,EDEADLK:35,EDESTADDRREQ:89,EDOM:33,EDQUOT:122,EEXIST:17,EFAULT:14,EFBIG:27,EHOSTUNREACH:113,EIDRM:43,EILSEQ:84,EINPROGRESS:115,EINTR:4,EINVAL:22,EIO:5,EISCONN:106,EISDIR:21,ELOOP:40,EMFILE:24,EMLINK:31,EMSGSIZE:90,EMULTIHOP:72,ENAMETOOLONG:36,ENETDOWN:100,ENETRESET:102,ENETUNREACH:101,ENFILE:23,ENOBUFS:105,ENODATA:61,ENODEV:19,ENOENT:2,ENOEXEC:8,ENOLCK:37,ENOLINK:67,ENOMEM:12,ENOMSG:42,ENOPROTOOPT:92,ENOSPC:28,ENOSR:63,ENOSTR:60,ENOSYS:38,ENOTCONN:107,ENOTDIR:20,ENOTEMPTY:39,ENOTRECOVERABLE:131,ENOTSOCK:88,ENOTSUP:95,ENOTTY:25,ENXIO:6,EOPNOTSUPP:45,EOVERFLOW:75,EOWNERDEAD:130,EPERM:1,EPIPE:32,EPROTO:71,EPROTONOSUPPORT:93,EPROTOTYPE:91,ERANGE:34,EROFS:30,ESPIPE:29,ESRCH:3,ESTALE:116,ETIME:62,ETIMEDOUT:110,ETXTBSY:26,EWOULDBLOCK:11,EXDEV:18};
    962   function ___setErrNo(value) {
    963       // For convenient setting and returning of errno.
    964       if (!___setErrNo.ret) ___setErrNo.ret = allocate([0], 'i32', ALLOC_NORMAL);
    965       HEAP32[((___setErrNo.ret)>>2)]=value
    966       return value;
    967     }
    968   var _stdin=allocate(1, "i32*", ALLOC_STATIC);
    969   var _stdout=allocate(1, "i32*", ALLOC_STATIC);
    970   var _stderr=allocate(1, "i32*", ALLOC_STATIC);
    971   var __impure_ptr=allocate(1, "i32*", ALLOC_STATIC);var FS={currentPath:"/",nextInode:2,streams:[null],ignorePermissions:true,joinPath:function (parts, forceRelative) {
    972         var ret = parts[0];
    973         for (var i = 1; i < parts.length; i++) {
    974           if (ret[ret.length-1] != '/') ret += '/';
    975           ret += parts[i];
    976         }
    977         if (forceRelative && ret[0] == '/') ret = ret.substr(1);
    978         return ret;
    979       },absolutePath:function (relative, base) {
    980         if (typeof relative !== 'string') return null;
    981         if (base === undefined) base = FS.currentPath;
    982         if (relative && relative[0] == '/') base = '';
    983         var full = base + '/' + relative;
    984         var parts = full.split('/').reverse();
    985         var absolute = [''];
    986         while (parts.length) {
    987           var part = parts.pop();
    988           if (part == '' || part == '.') {
    989             // Nothing.
    990           } else if (part == '..') {
    991             if (absolute.length > 1) absolute.pop();
    992           } else {
    993             absolute.push(part);
    994           }
    995         }
    996         return absolute.length == 1 ? '/' : absolute.join('/');
    997       },analyzePath:function (path, dontResolveLastLink, linksVisited) {
    998         var ret = {
    999           isRoot: false,
   1000           exists: false,
   1001           error: 0,
   1002           name: null,
   1003           path: null,
   1004           object: null,
   1005           parentExists: false,
   1006           parentPath: null,
   1007           parentObject: null
   1008         };
   1009         path = FS.absolutePath(path);
   1010         if (path == '/') {
   1011           ret.isRoot = true;
   1012           ret.exists = ret.parentExists = true;
   1013           ret.name = '/';
   1014           ret.path = ret.parentPath = '/';
   1015           ret.object = ret.parentObject = FS.root;
   1016         } else if (path !== null) {
   1017           linksVisited = linksVisited || 0;
   1018           path = path.slice(1).split('/');
   1019           var current = FS.root;
   1020           var traversed = [''];
   1021           while (path.length) {
   1022             if (path.length == 1 && current.isFolder) {
   1023               ret.parentExists = true;
   1024               ret.parentPath = traversed.length == 1 ? '/' : traversed.join('/');
   1025               ret.parentObject = current;
   1026               ret.name = path[0];
   1027             }
   1028             var target = path.shift();
   1029             if (!current.isFolder) {
   1030               ret.error = ERRNO_CODES.ENOTDIR;
   1031               break;
   1032             } else if (!current.read) {
   1033               ret.error = ERRNO_CODES.EACCES;
   1034               break;
   1035             } else if (!current.contents.hasOwnProperty(target)) {
   1036               ret.error = ERRNO_CODES.ENOENT;
   1037               break;
   1038             }
   1039             current = current.contents[target];
   1040             if (current.link && !(dontResolveLastLink && path.length == 0)) {
   1041               if (linksVisited > 40) { // Usual Linux SYMLOOP_MAX.
   1042                 ret.error = ERRNO_CODES.ELOOP;
   1043                 break;
   1044               }
   1045               var link = FS.absolutePath(current.link, traversed.join('/'));
   1046               ret = FS.analyzePath([link].concat(path).join('/'),
   1047                                    dontResolveLastLink, linksVisited + 1);
   1048               return ret;
   1049             }
   1050             traversed.push(target);
   1051             if (path.length == 0) {
   1052               ret.exists = true;
   1053               ret.path = traversed.join('/');
   1054               ret.object = current;
   1055             }
   1056           }
   1057         }
   1058         return ret;
   1059       },findObject:function (path, dontResolveLastLink) {
   1060         FS.ensureRoot();
   1061         var ret = FS.analyzePath(path, dontResolveLastLink);
   1062         if (ret.exists) {
   1063           return ret.object;
   1064         } else {
   1065           ___setErrNo(ret.error);
   1066           return null;
   1067         }
   1068       },createObject:function (parent, name, properties, canRead, canWrite) {
   1069         if (!parent) parent = '/';
   1070         if (typeof parent === 'string') parent = FS.findObject(parent);
   1071         if (!parent) {
   1072           ___setErrNo(ERRNO_CODES.EACCES);
   1073           throw new Error('Parent path must exist.');
   1074         }
   1075         if (!parent.isFolder) {
   1076           ___setErrNo(ERRNO_CODES.ENOTDIR);
   1077           throw new Error('Parent must be a folder.');
   1078         }
   1079         if (!parent.write && !FS.ignorePermissions) {
   1080           ___setErrNo(ERRNO_CODES.EACCES);
   1081           throw new Error('Parent folder must be writeable.');
   1082         }
   1083         if (!name || name == '.' || name == '..') {
   1084           ___setErrNo(ERRNO_CODES.ENOENT);
   1085           throw new Error('Name must not be empty.');
   1086         }
   1087         if (parent.contents.hasOwnProperty(name)) {
   1088           ___setErrNo(ERRNO_CODES.EEXIST);
   1089           throw new Error("Can't overwrite object.");
   1090         }
   1091         parent.contents[name] = {
   1092           read: canRead === undefined ? true : canRead,
   1093           write: canWrite === undefined ? false : canWrite,
   1094           timestamp: Date.now(),
   1095           inodeNumber: FS.nextInode++
   1096         };
   1097         for (var key in properties) {
   1098           if (properties.hasOwnProperty(key)) {
   1099             parent.contents[name][key] = properties[key];
   1100           }
   1101         }
   1102         return parent.contents[name];
   1103       },createFolder:function (parent, name, canRead, canWrite) {
   1104         var properties = {isFolder: true, isDevice: false, contents: {}};
   1105         return FS.createObject(parent, name, properties, canRead, canWrite);
   1106       },createPath:function (parent, path, canRead, canWrite) {
   1107         var current = FS.findObject(parent);
   1108         if (current === null) throw new Error('Invalid parent.');
   1109         path = path.split('/').reverse();
   1110         while (path.length) {
   1111           var part = path.pop();
   1112           if (!part) continue;
   1113           if (!current.contents.hasOwnProperty(part)) {
   1114             FS.createFolder(current, part, canRead, canWrite);
   1115           }
   1116           current = current.contents[part];
   1117         }
   1118         return current;
   1119       },createFile:function (parent, name, properties, canRead, canWrite) {
   1120         properties.isFolder = false;
   1121         return FS.createObject(parent, name, properties, canRead, canWrite);
   1122       },createDataFile:function (parent, name, data, canRead, canWrite) {
   1123         if (typeof data === 'string') {
   1124           var dataArray = new Array(data.length);
   1125           for (var i = 0, len = data.length; i < len; ++i) dataArray[i] = data.charCodeAt(i);
   1126           data = dataArray;
   1127         }
   1128         var properties = {
   1129           isDevice: false,
   1130           contents: data.subarray ? data.subarray(0) : data // as an optimization, create a new array wrapper (not buffer) here, to help JS engines understand this object
   1131         };
   1132         return FS.createFile(parent, name, properties, canRead, canWrite);
   1133       },createLazyFile:function (parent, name, url, canRead, canWrite) {
   1134         if (typeof XMLHttpRequest !== 'undefined') {
   1135           if (!ENVIRONMENT_IS_WORKER) throw 'Cannot do synchronous binary XHRs outside webworkers in modern browsers. Use --embed-file or --preload-file in emcc';
   1136           // Lazy chunked Uint8Array (implements get and length from Uint8Array). Actual getting is abstracted away for eventual reuse.
   1137           var LazyUint8Array = function(chunkSize, length) {
   1138             this.length = length;
   1139             this.chunkSize = chunkSize;
   1140             this.chunks = []; // Loaded chunks. Index is the chunk number
   1141           }
   1142           LazyUint8Array.prototype.get = function(idx) {
   1143             if (idx > this.length-1 || idx < 0) {
   1144               return undefined;
   1145             }
   1146             var chunkOffset = idx % chunkSize;
   1147             var chunkNum = Math.floor(idx / chunkSize);
   1148             return this.getter(chunkNum)[chunkOffset];
   1149           }
   1150           LazyUint8Array.prototype.setDataGetter = function(getter) {
   1151             this.getter = getter;
   1152           }
   1153           // Find length
   1154           var xhr = new XMLHttpRequest();
   1155           xhr.open('HEAD', url, false);
   1156           xhr.send(null);
   1157           if (!(xhr.status >= 200 && xhr.status < 300 || xhr.status === 304)) throw new Error("Couldn't load " + url + ". Status: " + xhr.status);
   1158           var datalength = Number(xhr.getResponseHeader("Content-length"));
   1159           var header;
   1160           var hasByteServing = (header = xhr.getResponseHeader("Accept-Ranges")) && header === "bytes";
   1161           var chunkSize = 1024*1024; // Chunk size in bytes
   1162           if (!hasByteServing) chunkSize = datalength;
   1163           // Function to get a range from the remote URL.
   1164           var doXHR = (function(from, to) {
   1165             if (from > to) throw new Error("invalid range (" + from + ", " + to + ") or no bytes requested!");
   1166             if (to > datalength-1) throw new Error("only " + datalength + " bytes available! programmer error!");
   1167             // TODO: Use mozResponseArrayBuffer, responseStream, etc. if available.
   1168             var xhr = new XMLHttpRequest();
   1169             xhr.open('GET', url, false);
   1170             if (datalength !== chunkSize) xhr.setRequestHeader("Range", "bytes=" + from + "-" + to);
   1171             // Some hints to the browser that we want binary data.
   1172             if (typeof Uint8Array != 'undefined') xhr.responseType = 'arraybuffer';
   1173             if (xhr.overrideMimeType) {
   1174               xhr.overrideMimeType('text/plain; charset=x-user-defined');
   1175             }
   1176             xhr.send(null);
   1177             if (!(xhr.status >= 200 && xhr.status < 300 || xhr.status === 304)) throw new Error("Couldn't load " + url + ". Status: " + xhr.status);
   1178             if (xhr.response !== undefined) {
   1179               return new Uint8Array(xhr.response || []);
   1180             } else {
   1181               return intArrayFromString(xhr.responseText || '', true);
   1182             }
   1183           });
   1184           var lazyArray = new LazyUint8Array(chunkSize, datalength);
   1185           lazyArray.setDataGetter(function(chunkNum) {
   1186             var start = chunkNum * lazyArray.chunkSize;
   1187             var end = (chunkNum+1) * lazyArray.chunkSize - 1; // including this byte
   1188             end = Math.min(end, datalength-1); // if datalength-1 is selected, this is the last block
   1189             if (typeof(lazyArray.chunks[chunkNum]) === "undefined") {
   1190               lazyArray.chunks[chunkNum] = doXHR(start, end);
   1191             }
   1192             if (typeof(lazyArray.chunks[chunkNum]) === "undefined") throw new Error("doXHR failed!");
   1193             return lazyArray.chunks[chunkNum];
   1194           });
   1195           var properties = { isDevice: false, contents: lazyArray };
   1196         } else {
   1197           var properties = { isDevice: false, url: url };
   1198         }
   1199         return FS.createFile(parent, name, properties, canRead, canWrite);
   1200       },createPreloadedFile:function (parent, name, url, canRead, canWrite, onload, onerror, dontCreateFile) {
   1201         Browser.init();
   1202         var fullname = FS.joinPath([parent, name], true);
   1203         function processData(byteArray) {
   1204           function finish(byteArray) {
   1205             if (!dontCreateFile) {
   1206               FS.createDataFile(parent, name, byteArray, canRead, canWrite);
   1207             }
   1208             if (onload) onload();
   1209             removeRunDependency('cp ' + fullname);
   1210           }
   1211           var handled = false;
   1212           Module['preloadPlugins'].forEach(function(plugin) {
   1213             if (handled) return;
   1214             if (plugin['canHandle'](fullname)) {
   1215               plugin['handle'](byteArray, fullname, finish, function() {
   1216                 if (onerror) onerror();
   1217                 removeRunDependency('cp ' + fullname);
   1218               });
   1219               handled = true;
   1220             }
   1221           });
   1222           if (!handled) finish(byteArray);
   1223         }
   1224         addRunDependency('cp ' + fullname);
   1225         if (typeof url == 'string') {
   1226           Browser.asyncLoad(url, function(byteArray) {
   1227             processData(byteArray);
   1228           }, onerror);
   1229         } else {
   1230           processData(url);
   1231         }
   1232       },createLink:function (parent, name, target, canRead, canWrite) {
   1233         var properties = {isDevice: false, link: target};
   1234         return FS.createFile(parent, name, properties, canRead, canWrite);
   1235       },createDevice:function (parent, name, input, output) {
   1236         if (!(input || output)) {
   1237           throw new Error('A device must have at least one callback defined.');
   1238         }
   1239         var ops = {isDevice: true, input: input, output: output};
   1240         return FS.createFile(parent, name, ops, Boolean(input), Boolean(output));
   1241       },forceLoadFile:function (obj) {
   1242         if (obj.isDevice || obj.isFolder || obj.link || obj.contents) return true;
   1243         var success = true;
   1244         if (typeof XMLHttpRequest !== 'undefined') {
   1245           throw new Error("Lazy loading should have been performed (contents set) in createLazyFile, but it was not. Lazy loading only works in web workers. Use --embed-file or --preload-file in emcc on the main thread.");
   1246         } else if (Module['read']) {
   1247           // Command-line.
   1248           try {
   1249             // WARNING: Can't read binary files in V8's d8 or tracemonkey's js, as
   1250             //          read() will try to parse UTF8.
   1251             obj.contents = intArrayFromString(Module['read'](obj.url), true);
   1252           } catch (e) {
   1253             success = false;
   1254           }
   1255         } else {
   1256           throw new Error('Cannot load without read() or XMLHttpRequest.');
   1257         }
   1258         if (!success) ___setErrNo(ERRNO_CODES.EIO);
   1259         return success;
   1260       },ensureRoot:function () {
   1261         if (FS.root) return;
   1262         // The main file system tree. All the contents are inside this.
   1263         FS.root = {
   1264           read: true,
   1265           write: true,
   1266           isFolder: true,
   1267           isDevice: false,
   1268           timestamp: Date.now(),
   1269           inodeNumber: 1,
   1270           contents: {}
   1271         };
   1272       },init:function (input, output, error) {
   1273         // Make sure we initialize only once.
   1274         assert(!FS.init.initialized, 'FS.init was previously called. If you want to initialize later with custom parameters, remove any earlier calls (note that one is automatically added to the generated code)');
   1275         FS.init.initialized = true;
   1276         FS.ensureRoot();
   1277         // Allow Module.stdin etc. to provide defaults, if none explicitly passed to us here
   1278         input = input || Module['stdin'];
   1279         output = output || Module['stdout'];
   1280         error = error || Module['stderr'];
   1281         // Default handlers.
   1282         var stdinOverridden = true, stdoutOverridden = true, stderrOverridden = true;
   1283         if (!input) {
   1284           stdinOverridden = false;
   1285           input = function() {
   1286             if (!input.cache || !input.cache.length) {
   1287               var result;
   1288               if (typeof window != 'undefined' &&
   1289                   typeof window.prompt == 'function') {
   1290                 // Browser.
   1291                 result = window.prompt('Input: ');
   1292                 if (result === null) result = String.fromCharCode(0); // cancel ==> EOF
   1293               } else if (typeof readline == 'function') {
   1294                 // Command line.
   1295                 result = readline();
   1296               }
   1297               if (!result) result = '';
   1298               input.cache = intArrayFromString(result + '\n', true);
   1299             }
   1300             return input.cache.shift();
   1301           };
   1302         }
   1303         var utf8 = new Runtime.UTF8Processor();
   1304         function simpleOutput(val) {
   1305           if (val === null || val === 10) {
   1306             output.printer(output.buffer.join(''));
   1307             output.buffer = [];
   1308           } else {
   1309             output.buffer.push(utf8.processCChar(val));
   1310           }
   1311         }
   1312         if (!output) {
   1313           stdoutOverridden = false;
   1314           output = simpleOutput;
   1315         }
   1316         if (!output.printer) output.printer = Module['print'];
   1317         if (!output.buffer) output.buffer = [];
   1318         if (!error) {
   1319           stderrOverridden = false;
   1320           error = simpleOutput;
   1321         }
   1322         if (!error.printer) error.printer = Module['print'];
   1323         if (!error.buffer) error.buffer = [];
   1324         // Create the temporary folder, if not already created
   1325         try {
   1326           FS.createFolder('/', 'tmp', true, true);
   1327         } catch(e) {}
   1328         // Create the I/O devices.
   1329         var devFolder = FS.createFolder('/', 'dev', true, true);
   1330         var stdin = FS.createDevice(devFolder, 'stdin', input);
   1331         var stdout = FS.createDevice(devFolder, 'stdout', null, output);
   1332         var stderr = FS.createDevice(devFolder, 'stderr', null, error);
   1333         FS.createDevice(devFolder, 'tty', input, output);
   1334         // Create default streams.
   1335         FS.streams[1] = {
   1336           path: '/dev/stdin',
   1337           object: stdin,
   1338           position: 0,
   1339           isRead: true,
   1340           isWrite: false,
   1341           isAppend: false,
   1342           isTerminal: !stdinOverridden,
   1343           error: false,
   1344           eof: false,
   1345           ungotten: []
   1346         };
   1347         FS.streams[2] = {
   1348           path: '/dev/stdout',
   1349           object: stdout,
   1350           position: 0,
   1351           isRead: false,
   1352           isWrite: true,
   1353           isAppend: false,
   1354           isTerminal: !stdoutOverridden,
   1355           error: false,
   1356           eof: false,
   1357           ungotten: []
   1358         };
   1359         FS.streams[3] = {
   1360           path: '/dev/stderr',
   1361           object: stderr,
   1362           position: 0,
   1363           isRead: false,
   1364           isWrite: true,
   1365           isAppend: false,
   1366           isTerminal: !stderrOverridden,
   1367           error: false,
   1368           eof: false,
   1369           ungotten: []
   1370         };
   1371         // TODO: put these low in memory like we used to assert on: assert(Math.max(_stdin, _stdout, _stderr) < 15000); // make sure these are low, we flatten arrays with these
   1372         HEAP32[((_stdin)>>2)]=1;
   1373         HEAP32[((_stdout)>>2)]=2;
   1374         HEAP32[((_stderr)>>2)]=3;
   1375         // Other system paths
   1376         FS.createPath('/', 'dev/shm/tmp', true, true); // temp files
   1377         // Newlib initialization
   1378         for (var i = FS.streams.length; i < Math.max(_stdin, _stdout, _stderr) + 4; i++) {
   1379           FS.streams[i] = null; // Make sure to keep FS.streams dense
   1380         }
   1381         FS.streams[_stdin] = FS.streams[1];
   1382         FS.streams[_stdout] = FS.streams[2];
   1383         FS.streams[_stderr] = FS.streams[3];
   1384         allocate([ allocate(
   1385           [0, 0, 0, 0, _stdin, 0, 0, 0, _stdout, 0, 0, 0, _stderr, 0, 0, 0],
   1386           'void*', ALLOC_DYNAMIC) ], 'void*', ALLOC_NONE, __impure_ptr);
   1387       },quit:function () {
   1388         if (!FS.init.initialized) return;
   1389         // Flush any partially-printed lines in stdout and stderr. Careful, they may have been closed
   1390         if (FS.streams[2] && FS.streams[2].object.output.buffer.length > 0) FS.streams[2].object.output(10);
   1391         if (FS.streams[3] && FS.streams[3].object.output.buffer.length > 0) FS.streams[3].object.output(10);
   1392       },standardizePath:function (path) {
   1393         if (path.substr(0, 2) == './') path = path.substr(2);
   1394         return path;
   1395       },deleteFile:function (path) {
   1396         path = FS.analyzePath(path);
   1397         if (!path.parentExists || !path.exists) {
   1398           throw 'Invalid path ' + path;
   1399         }
   1400         delete path.parentObject.contents[path.name];
   1401       }};
   1402   function _pwrite(fildes, buf, nbyte, offset) {
   1403       // ssize_t pwrite(int fildes, const void *buf, size_t nbyte, off_t offset);
   1404       // http://pubs.opengroup.org/onlinepubs/000095399/functions/write.html
   1405       var stream = FS.streams[fildes];
   1406       if (!stream || stream.object.isDevice) {
   1407         ___setErrNo(ERRNO_CODES.EBADF);
   1408         return -1;
   1409       } else if (!stream.isWrite) {
   1410         ___setErrNo(ERRNO_CODES.EACCES);
   1411         return -1;
   1412       } else if (stream.object.isFolder) {
   1413         ___setErrNo(ERRNO_CODES.EISDIR);
   1414         return -1;
   1415       } else if (nbyte < 0 || offset < 0) {
   1416         ___setErrNo(ERRNO_CODES.EINVAL);
   1417         return -1;
   1418       } else {
   1419         var contents = stream.object.contents;
   1420         while (contents.length < offset) contents.push(0);
   1421         for (var i = 0; i < nbyte; i++) {
   1422           contents[offset + i] = HEAPU8[(((buf)+(i))|0)];
   1423         }
   1424         stream.object.timestamp = Date.now();
   1425         return i;
   1426       }
   1427     }function _write(fildes, buf, nbyte) {
   1428       // ssize_t write(int fildes, const void *buf, size_t nbyte);
   1429       // http://pubs.opengroup.org/onlinepubs/000095399/functions/write.html
   1430       var stream = FS.streams[fildes];
   1431       if (!stream) {
   1432         ___setErrNo(ERRNO_CODES.EBADF);
   1433         return -1;
   1434       } else if (!stream.isWrite) {
   1435         ___setErrNo(ERRNO_CODES.EACCES);
   1436         return -1;
   1437       } else if (nbyte < 0) {
   1438         ___setErrNo(ERRNO_CODES.EINVAL);
   1439         return -1;
   1440       } else {
   1441         if (stream.object.isDevice) {
   1442           if (stream.object.output) {
   1443             for (var i = 0; i < nbyte; i++) {
   1444               try {
   1445                 stream.object.output(HEAP8[(((buf)+(i))|0)]);
   1446               } catch (e) {
   1447                 ___setErrNo(ERRNO_CODES.EIO);
   1448                 return -1;
   1449               }
   1450             }
   1451             stream.object.timestamp = Date.now();
   1452             return i;
   1453           } else {
   1454             ___setErrNo(ERRNO_CODES.ENXIO);
   1455             return -1;
   1456           }
   1457         } else {
   1458           var bytesWritten = _pwrite(fildes, buf, nbyte, stream.position);
   1459           if (bytesWritten != -1) stream.position += bytesWritten;
   1460           return bytesWritten;
   1461         }
   1462       }
   1463     }function _fwrite(ptr, size, nitems, stream) {
   1464       // size_t fwrite(const void *restrict ptr, size_t size, size_t nitems, FILE *restrict stream);
   1465       // http://pubs.opengroup.org/onlinepubs/000095399/functions/fwrite.html
   1466       var bytesToWrite = nitems * size;
   1467       if (bytesToWrite == 0) return 0;
   1468       var bytesWritten = _write(stream, ptr, bytesToWrite);
   1469       if (bytesWritten == -1) {
   1470         if (FS.streams[stream]) FS.streams[stream].error = true;
   1471         return 0;
   1472       } else {
   1473         return Math.floor(bytesWritten / size);
   1474       }
   1475     }
   1476   function __reallyNegative(x) {
   1477       return x < 0 || (x === 0 && (1/x) === -Infinity);
   1478     }function __formatString(format, varargs) {
   1479       var textIndex = format;
   1480       var argIndex = 0;
   1481       function getNextArg(type) {
   1482         // NOTE: Explicitly ignoring type safety. Otherwise this fails:
   1483         //       int x = 4; printf("%c\n", (char)x);
   1484         var ret;
   1485         if (type === 'double') {
   1486           ret = HEAPF64[(((varargs)+(argIndex))>>3)];
   1487         } else if (type == 'i64') {
   1488           ret = [HEAP32[(((varargs)+(argIndex))>>2)],
   1489                  HEAP32[(((varargs)+(argIndex+8))>>2)]];
   1490           argIndex += 8; // each 32-bit chunk is in a 64-bit block
   1491         } else {
   1492           type = 'i32'; // varargs are always i32, i64, or double
   1493           ret = HEAP32[(((varargs)+(argIndex))>>2)];
   1494         }
   1495         argIndex += Math.max(Runtime.getNativeFieldSize(type), Runtime.getAlignSize(type, null, true));
   1496         return ret;
   1497       }
   1498       var ret = [];
   1499       var curr, next, currArg;
   1500       while(1) {
   1501         var startTextIndex = textIndex;
   1502         curr = HEAP8[(textIndex)];
   1503         if (curr === 0) break;
   1504         next = HEAP8[((textIndex+1)|0)];
   1505         if (curr == 37) {
   1506           // Handle flags.
   1507           var flagAlwaysSigned = false;
   1508           var flagLeftAlign = false;
   1509           var flagAlternative = false;
   1510           var flagZeroPad = false;
   1511           flagsLoop: while (1) {
   1512             switch (next) {
   1513               case 43:
   1514                 flagAlwaysSigned = true;
   1515                 break;
   1516               case 45:
   1517                 flagLeftAlign = true;
   1518                 break;
   1519               case 35:
   1520                 flagAlternative = true;
   1521                 break;
   1522               case 48:
   1523                 if (flagZeroPad) {
   1524                   break flagsLoop;
   1525                 } else {
   1526                   flagZeroPad = true;
   1527                   break;
   1528                 }
   1529               default:
   1530                 break flagsLoop;
   1531             }
   1532             textIndex++;
   1533             next = HEAP8[((textIndex+1)|0)];
   1534           }
   1535           // Handle width.
   1536           var width = 0;
   1537           if (next == 42) {
   1538             width = getNextArg('i32');
   1539             textIndex++;
   1540             next = HEAP8[((textIndex+1)|0)];
   1541           } else {
   1542             while (next >= 48 && next <= 57) {
   1543               width = width * 10 + (next - 48);
   1544               textIndex++;
   1545               next = HEAP8[((textIndex+1)|0)];
   1546             }
   1547           }
   1548           // Handle precision.
   1549           var precisionSet = false;
   1550           if (next == 46) {
   1551             var precision = 0;
   1552             precisionSet = true;
   1553             textIndex++;
   1554             next = HEAP8[((textIndex+1)|0)];
   1555             if (next == 42) {
   1556               precision = getNextArg('i32');
   1557               textIndex++;
   1558             } else {
   1559               while(1) {
   1560                 var precisionChr = HEAP8[((textIndex+1)|0)];
   1561                 if (precisionChr < 48 ||
   1562                     precisionChr > 57) break;
   1563                 precision = precision * 10 + (precisionChr - 48);
   1564                 textIndex++;
   1565               }
   1566             }
   1567             next = HEAP8[((textIndex+1)|0)];
   1568           } else {
   1569             var precision = 6; // Standard default.
   1570           }
   1571           // Handle integer sizes. WARNING: These assume a 32-bit architecture!
   1572           var argSize;
   1573           switch (String.fromCharCode(next)) {
   1574             case 'h':
   1575               var nextNext = HEAP8[((textIndex+2)|0)];
   1576               if (nextNext == 104) {
   1577                 textIndex++;
   1578                 argSize = 1; // char (actually i32 in varargs)
   1579               } else {
   1580                 argSize = 2; // short (actually i32 in varargs)
   1581               }
   1582               break;
   1583             case 'l':
   1584               var nextNext = HEAP8[((textIndex+2)|0)];
   1585               if (nextNext == 108) {
   1586                 textIndex++;
   1587                 argSize = 8; // long long
   1588               } else {
   1589                 argSize = 4; // long
   1590               }
   1591               break;
   1592             case 'L': // long long
   1593             case 'q': // int64_t
   1594             case 'j': // intmax_t
   1595               argSize = 8;
   1596               break;
   1597             case 'z': // size_t
   1598             case 't': // ptrdiff_t
   1599             case 'I': // signed ptrdiff_t or unsigned size_t
   1600               argSize = 4;
   1601               break;
   1602             default:
   1603               argSize = null;
   1604           }
   1605           if (argSize) textIndex++;
   1606           next = HEAP8[((textIndex+1)|0)];
   1607           // Handle type specifier.
   1608           switch (String.fromCharCode(next)) {
   1609             case 'd': case 'i': case 'u': case 'o': case 'x': case 'X': case 'p': {
   1610               // Integer.
   1611               var signed = next == 100 || next == 105;
   1612               argSize = argSize || 4;
   1613               var currArg = getNextArg('i' + (argSize * 8));
   1614               var origArg = currArg;
   1615               var argText;
   1616               // Flatten i64-1 [low, high] into a (slightly rounded) double
   1617               if (argSize == 8) {
   1618                 currArg = Runtime.makeBigInt(currArg[0], currArg[1], next == 117);
   1619               }
   1620               // Truncate to requested size.
   1621               if (argSize <= 4) {
   1622                 var limit = Math.pow(256, argSize) - 1;
   1623                 currArg = (signed ? reSign : unSign)(currArg & limit, argSize * 8);
   1624               }
   1625               // Format the number.
   1626               var currAbsArg = Math.abs(currArg);
   1627               var prefix = '';
   1628               if (next == 100 || next == 105) {
   1629                 if (argSize == 8 && i64Math) argText = i64Math.stringify(origArg[0], origArg[1], null); else
   1630                 argText = reSign(currArg, 8 * argSize, 1).toString(10);
   1631               } else if (next == 117) {
   1632                 if (argSize == 8 && i64Math) argText = i64Math.stringify(origArg[0], origArg[1], true); else
   1633                 argText = unSign(currArg, 8 * argSize, 1).toString(10);
   1634                 currArg = Math.abs(currArg);
   1635               } else if (next == 111) {
   1636                 argText = (flagAlternative ? '0' : '') + currAbsArg.toString(8);
   1637               } else if (next == 120 || next == 88) {
   1638                 prefix = flagAlternative ? '0x' : '';
   1639                 if (argSize == 8 && i64Math) {
   1640                   if (origArg[1]) {
   1641                     argText = (origArg[1]>>>0).toString(16);
   1642                     var lower = (origArg[0]>>>0).toString(16);
   1643                     while (lower.length < 8) lower = '0' + lower;
   1644                     argText += lower;
   1645                   } else {
   1646                     argText = (origArg[0]>>>0).toString(16);
   1647                   }
   1648                 } else
   1649                 if (currArg < 0) {
   1650                   // Represent negative numbers in hex as 2's complement.
   1651                   currArg = -currArg;
   1652                   argText = (currAbsArg - 1).toString(16);
   1653                   var buffer = [];
   1654                   for (var i = 0; i < argText.length; i++) {
   1655                     buffer.push((0xF - parseInt(argText[i], 16)).toString(16));
   1656                   }
   1657                   argText = buffer.join('');
   1658                   while (argText.length < argSize * 2) argText = 'f' + argText;
   1659                 } else {
   1660                   argText = currAbsArg.toString(16);
   1661                 }
   1662                 if (next == 88) {
   1663                   prefix = prefix.toUpperCase();
   1664                   argText = argText.toUpperCase();
   1665                 }
   1666               } else if (next == 112) {
   1667                 if (currAbsArg === 0) {
   1668                   argText = '(nil)';
   1669                 } else {
   1670                   prefix = '0x';
   1671                   argText = currAbsArg.toString(16);
   1672                 }
   1673               }
   1674               if (precisionSet) {
   1675                 while (argText.length < precision) {
   1676                   argText = '0' + argText;
   1677                 }
   1678               }
   1679               // Add sign if needed
   1680               if (flagAlwaysSigned) {
   1681                 if (currArg < 0) {
   1682                   prefix = '-' + prefix;
   1683                 } else {
   1684                   prefix = '+' + prefix;
   1685                 }
   1686               }
   1687               // Add padding.
   1688               while (prefix.length + argText.length < width) {
   1689                 if (flagLeftAlign) {
   1690                   argText += ' ';
   1691                 } else {
   1692                   if (flagZeroPad) {
   1693                     argText = '0' + argText;
   1694                   } else {
   1695                     prefix = ' ' + prefix;
   1696                   }
   1697                 }
   1698               }
   1699               // Insert the result into the buffer.
   1700               argText = prefix + argText;
   1701               argText.split('').forEach(function(chr) {
   1702                 ret.push(chr.charCodeAt(0));
   1703               });
   1704               break;
   1705             }
   1706             case 'f': case 'F': case 'e': case 'E': case 'g': case 'G': {
   1707               // Float.
   1708               var currArg = getNextArg('double');
   1709               var argText;
   1710               if (isNaN(currArg)) {
   1711                 argText = 'nan';
   1712                 flagZeroPad = false;
   1713               } else if (!isFinite(currArg)) {
   1714                 argText = (currArg < 0 ? '-' : '') + 'inf';
   1715                 flagZeroPad = false;
   1716               } else {
   1717                 var isGeneral = false;
   1718                 var effectivePrecision = Math.min(precision, 20);
   1719                 // Convert g/G to f/F or e/E, as per:
   1720                 // http://pubs.opengroup.org/onlinepubs/9699919799/functions/printf.html
   1721                 if (next == 103 || next == 71) {
   1722                   isGeneral = true;
   1723                   precision = precision || 1;
   1724                   var exponent = parseInt(currArg.toExponential(effectivePrecision).split('e')[1], 10);
   1725                   if (precision > exponent && exponent >= -4) {
   1726                     next = ((next == 103) ? 'f' : 'F').charCodeAt(0);
   1727                     precision -= exponent + 1;
   1728                   } else {
   1729                     next = ((next == 103) ? 'e' : 'E').charCodeAt(0);
   1730                     precision--;
   1731                   }
   1732                   effectivePrecision = Math.min(precision, 20);
   1733                 }
   1734                 if (next == 101 || next == 69) {
   1735                   argText = currArg.toExponential(effectivePrecision);
   1736                   // Make sure the exponent has at least 2 digits.
   1737                   if (/[eE][-+]\d$/.test(argText)) {
   1738                     argText = argText.slice(0, -1) + '0' + argText.slice(-1);
   1739                   }
   1740                 } else if (next == 102 || next == 70) {
   1741                   argText = currArg.toFixed(effectivePrecision);
   1742                   if (currArg === 0 && __reallyNegative(currArg)) {
   1743                     argText = '-' + argText;
   1744                   }
   1745                 }
   1746                 var parts = argText.split('e');
   1747                 if (isGeneral && !flagAlternative) {
   1748                   // Discard trailing zeros and periods.
   1749                   while (parts[0].length > 1 && parts[0].indexOf('.') != -1 &&
   1750                          (parts[0].slice(-1) == '0' || parts[0].slice(-1) == '.')) {
   1751                     parts[0] = parts[0].slice(0, -1);
   1752                   }
   1753                 } else {
   1754                   // Make sure we have a period in alternative mode.
   1755                   if (flagAlternative && argText.indexOf('.') == -1) parts[0] += '.';
   1756                   // Zero pad until required precision.
   1757                   while (precision > effectivePrecision++) parts[0] += '0';
   1758                 }
   1759                 argText = parts[0] + (parts.length > 1 ? 'e' + parts[1] : '');
   1760                 // Capitalize 'E' if needed.
   1761                 if (next == 69) argText = argText.toUpperCase();
   1762                 // Add sign.
   1763                 if (flagAlwaysSigned && currArg >= 0) {
   1764                   argText = '+' + argText;
   1765                 }
   1766               }
   1767               // Add padding.
   1768               while (argText.length < width) {
   1769                 if (flagLeftAlign) {
   1770                   argText += ' ';
   1771                 } else {
   1772                   if (flagZeroPad && (argText[0] == '-' || argText[0] == '+')) {
   1773                     argText = argText[0] + '0' + argText.slice(1);
   1774                   } else {
   1775                     argText = (flagZeroPad ? '0' : ' ') + argText;
   1776                   }
   1777                 }
   1778               }
   1779               // Adjust case.
   1780               if (next < 97) argText = argText.toUpperCase();
   1781               // Insert the result into the buffer.
   1782               argText.split('').forEach(function(chr) {
   1783                 ret.push(chr.charCodeAt(0));
   1784               });
   1785               break;
   1786             }
   1787             case 's': {
   1788               // String.
   1789               var arg = getNextArg('i8*');
   1790               var argLength = arg ? _strlen(arg) : '(null)'.length;
   1791               if (precisionSet) argLength = Math.min(argLength, precision);
   1792               if (!flagLeftAlign) {
   1793                 while (argLength < width--) {
   1794                   ret.push(32);
   1795                 }
   1796               }
   1797               if (arg) {
   1798                 for (var i = 0; i < argLength; i++) {
   1799                   ret.push(HEAPU8[((arg++)|0)]);
   1800                 }
   1801               } else {
   1802                 ret = ret.concat(intArrayFromString('(null)'.substr(0, argLength), true));
   1803               }
   1804               if (flagLeftAlign) {
   1805                 while (argLength < width--) {
   1806                   ret.push(32);
   1807                 }
   1808               }
   1809               break;
   1810             }
   1811             case 'c': {
   1812               // Character.
   1813               if (flagLeftAlign) ret.push(getNextArg('i8'));
   1814               while (--width > 0) {
   1815                 ret.push(32);
   1816               }
   1817               if (!flagLeftAlign) ret.push(getNextArg('i8'));
   1818               break;
   1819             }
   1820             case 'n': {
   1821               // Write the length written so far to the next parameter.
   1822               var ptr = getNextArg('i32*');
   1823               HEAP32[((ptr)>>2)]=ret.length
   1824               break;
   1825             }
   1826             case '%': {
   1827               // Literal percent sign.
   1828               ret.push(curr);
   1829               break;
   1830             }
   1831             default: {
   1832               // Unknown specifiers remain untouched.
   1833               for (var i = startTextIndex; i < textIndex + 2; i++) {
   1834                 ret.push(HEAP8[(i)]);
   1835               }
   1836             }
   1837           }
   1838           textIndex += 2;
   1839           // TODO: Support a/A (hex float) and m (last error) specifiers.
   1840           // TODO: Support %1${specifier} for arg selection.
   1841         } else {
   1842           ret.push(curr);
   1843           textIndex += 1;
   1844         }
   1845       }
   1846       return ret;
   1847     }function _fprintf(stream, format, varargs) {
   1848       // int fprintf(FILE *restrict stream, const char *restrict format, ...);
   1849       // http://pubs.opengroup.org/onlinepubs/000095399/functions/printf.html
   1850       var result = __formatString(format, varargs);
   1851       var stack = Runtime.stackSave();
   1852       var ret = _fwrite(allocate(result, 'i8', ALLOC_STACK), 1, result.length, stream);
   1853       Runtime.stackRestore(stack);
   1854       return ret;
   1855     }
   1856   function ___assert_func(filename, line, func, condition) {
   1857       throw 'Assertion failed: ' + (condition ? Pointer_stringify(condition) : 'unknown condition') + ', at: ' + [filename ? Pointer_stringify(filename) : 'unknown filename', line, func ? Pointer_stringify(func) : 'unknown function'] + ' at ' + new Error().stack;
   1858     }
   1859   function _strdup(ptr) {
   1860       var len = _strlen(ptr);
   1861       var newStr = _malloc(len + 1);
   1862       _memcpy(newStr, ptr, len);
   1863       HEAP8[(((newStr)+(len))|0)]=0;
   1864       return newStr;
   1865     }
   1866   function _snprintf(s, n, format, varargs) {
   1867       // int snprintf(char *restrict s, size_t n, const char *restrict format, ...);
   1868       // http://pubs.opengroup.org/onlinepubs/000095399/functions/printf.html
   1869       var result = __formatString(format, varargs);
   1870       var limit = (n === undefined) ? result.length
   1871                                     : Math.min(result.length, Math.max(n - 1, 0));
   1872       if (s < 0) {
   1873         s = -s;
   1874         var buf = _malloc(limit+1);
   1875         HEAP32[((s)>>2)]=buf;
   1876         s = buf;
   1877       }
   1878       for (var i = 0; i < limit; i++) {
   1879         HEAP8[(((s)+(i))|0)]=result[i];
   1880       }
   1881       if (limit < n || (n === undefined)) HEAP8[(((s)+(i))|0)]=0;
   1882       return result.length;
   1883     }function _sprintf(s, format, varargs) {
   1884       // int sprintf(char *restrict s, const char *restrict format, ...);
   1885       // http://pubs.opengroup.org/onlinepubs/000095399/functions/printf.html
   1886       return _snprintf(s, undefined, format, varargs);
   1887     }
   1888   function _setlocale(category, locale) {
   1889       if (!_setlocale.ret) _setlocale.ret = allocate([0], 'i8', ALLOC_NORMAL);
   1890       return _setlocale.ret;
   1891     }
   1892   Module["_memset"] = _memset;var _llvm_memset_p0i8_i32=_memset;
   1893   function __isFloat(text) {
   1894       return !!(/^[+-]?[0-9]*\.?[0-9]+([eE][+-]?[0-9]+)?$/.exec(text));
   1895     }function __scanString(format, get, unget, varargs) {
   1896       if (!__scanString.whiteSpace) {
   1897         __scanString.whiteSpace = {};
   1898         __scanString.whiteSpace[32] = 1;
   1899         __scanString.whiteSpace[9] = 1;
   1900         __scanString.whiteSpace[10] = 1;
   1901         __scanString.whiteSpace[' '] = 1;
   1902         __scanString.whiteSpace['\t'] = 1;
   1903         __scanString.whiteSpace['\n'] = 1;
   1904       }
   1905       // Supports %x, %4x, %d.%d, %lld, %s, %f, %lf.
   1906       // TODO: Support all format specifiers.
   1907       format = Pointer_stringify(format);
   1908       var soFar = 0;
   1909       if (format.indexOf('%n') >= 0) {
   1910         // need to track soFar
   1911         var _get = get;
   1912         get = function() {
   1913           soFar++;
   1914           return _get();
   1915         }
   1916         var _unget = unget;
   1917         unget = function() {
   1918           soFar--;
   1919           return _unget();
   1920         }
   1921       }
   1922       var formatIndex = 0;
   1923       var argsi = 0;
   1924       var fields = 0;
   1925       var argIndex = 0;
   1926       var next;
   1927       mainLoop:
   1928       for (var formatIndex = 0; formatIndex < format.length;) {
   1929         if (format[formatIndex] === '%' && format[formatIndex+1] == 'n') {
   1930           var argPtr = HEAP32[(((varargs)+(argIndex))>>2)];
   1931           argIndex += Runtime.getAlignSize('void*', null, true);
   1932           HEAP32[((argPtr)>>2)]=soFar;
   1933           formatIndex += 2;
   1934           continue;
   1935         }
   1936         // TODO: Support strings like "%5c" etc.
   1937         if (format[formatIndex] === '%' && format[formatIndex+1] == 'c') {
   1938           var argPtr = HEAP32[(((varargs)+(argIndex))>>2)];
   1939           argIndex += Runtime.getAlignSize('void*', null, true);
   1940           fields++;
   1941           next = get();
   1942           HEAP8[(argPtr)]=next
   1943           formatIndex += 2;
   1944           continue;
   1945         }
   1946         // remove whitespace
   1947         while (1) {
   1948           next = get();
   1949           if (next == 0) return fields;
   1950           if (!(next in __scanString.whiteSpace)) break;
   1951         }
   1952         unget();
   1953         if (format[formatIndex] === '%') {
   1954           formatIndex++;
   1955           var suppressAssignment = false;
   1956           if (format[formatIndex] == '*') {
   1957             suppressAssignment = true;
   1958             formatIndex++;
   1959           }
   1960           var maxSpecifierStart = formatIndex;
   1961           while (format[formatIndex].charCodeAt(0) >= 48 &&
   1962                  format[formatIndex].charCodeAt(0) <= 57) {
   1963             formatIndex++;
   1964           }
   1965           var max_;
   1966           if (formatIndex != maxSpecifierStart) {
   1967             max_ = parseInt(format.slice(maxSpecifierStart, formatIndex), 10);
   1968           }
   1969           var long_ = false;
   1970           var half = false;
   1971           var longLong = false;
   1972           if (format[formatIndex] == 'l') {
   1973             long_ = true;
   1974             formatIndex++;
   1975             if(format[formatIndex] == 'l') {
   1976               longLong = true;
   1977               formatIndex++;
   1978             }
   1979           } else if (format[formatIndex] == 'h') {
   1980             half = true;
   1981             formatIndex++;
   1982           }
   1983           var type = format[formatIndex];
   1984           formatIndex++;
   1985           var curr = 0;
   1986           var buffer = [];
   1987           // Read characters according to the format. floats are trickier, they may be in an unfloat state in the middle, then be a valid float later
   1988           if (type == 'f' || type == 'e' || type == 'g' || type == 'E') {
   1989             var last = 0;
   1990             next = get();
   1991             while (next > 0) {
   1992               buffer.push(String.fromCharCode(next));
   1993               if (__isFloat(buffer.join(''))) {
   1994                 last = buffer.length;
   1995               }
   1996               next = get();
   1997             }
   1998             for (var i = 0; i < buffer.length - last + 1; i++) {
   1999               unget();
   2000             }
   2001             buffer.length = last;
   2002           } else {
   2003             next = get();
   2004             var first = true;
   2005             while ((curr < max_ || isNaN(max_)) && next > 0) {
   2006               if (!(next in __scanString.whiteSpace) && // stop on whitespace
   2007                   (type == 's' ||
   2008                    ((type === 'd' || type == 'u' || type == 'i') && ((next >= 48 && next <= 57) ||
   2009                                                                      (first && next == 45))) ||
   2010                    (type === 'x' && (next >= 48 && next <= 57 ||
   2011                                      next >= 97 && next <= 102 ||
   2012                                      next >= 65 && next <= 70))) &&
   2013                   (formatIndex >= format.length || next !== format[formatIndex].charCodeAt(0))) { // Stop when we read something that is coming up
   2014                 buffer.push(String.fromCharCode(next));
   2015                 next = get();
   2016                 curr++;
   2017                 first = false;
   2018               } else {
   2019                 break;
   2020               }
   2021             }
   2022             unget();
   2023           }
   2024           if (buffer.length === 0) return 0;  // Failure.
   2025           if (suppressAssignment) continue;
   2026           var text = buffer.join('');
   2027           var argPtr = HEAP32[(((varargs)+(argIndex))>>2)];
   2028           argIndex += Runtime.getAlignSize('void*', null, true);
   2029           switch (type) {
   2030             case 'd': case 'u': case 'i':
   2031               if (half) {
   2032                 HEAP16[((argPtr)>>1)]=parseInt(text, 10);
   2033               } else if(longLong) {
   2034                 (tempI64 = [parseInt(text, 10)>>>0,Math.min(Math.floor((parseInt(text, 10))/(+(4294967296))), (+(4294967295)))>>>0],HEAP32[((argPtr)>>2)]=tempI64[0],HEAP32[(((argPtr)+(4))>>2)]=tempI64[1]);
   2035               } else {
   2036                 HEAP32[((argPtr)>>2)]=parseInt(text, 10);
   2037               }
   2038               break;
   2039             case 'x':
   2040               HEAP32[((argPtr)>>2)]=parseInt(text, 16)
   2041               break;
   2042             case 'f':
   2043             case 'e':
   2044             case 'g':
   2045             case 'E':
   2046               // fallthrough intended
   2047               if (long_) {
   2048                 HEAPF64[((argPtr)>>3)]=parseFloat(text)
   2049               } else {
   2050                 HEAPF32[((argPtr)>>2)]=parseFloat(text)
   2051               }
   2052               break;
   2053             case 's':
   2054               var array = intArrayFromString(text);
   2055               for (var j = 0; j < array.length; j++) {
   2056                 HEAP8[(((argPtr)+(j))|0)]=array[j]
   2057               }
   2058               break;
   2059           }
   2060           fields++;
   2061         } else if (format[formatIndex] in __scanString.whiteSpace) {
   2062           next = get();
   2063           while (next in __scanString.whiteSpace) {
   2064             if (next <= 0) break mainLoop;  // End of input.
   2065             next = get();
   2066           }
   2067           unget(next);
   2068           formatIndex++;
   2069         } else {
   2070           // Not a specifier.
   2071           next = get();
   2072           if (format[formatIndex].charCodeAt(0) !== next) {
   2073             unget(next);
   2074             break mainLoop;
   2075           }
   2076           formatIndex++;
   2077         }
   2078       }
   2079       return fields;
   2080     }function _sscanf(s, format, varargs) {
   2081       // int sscanf(const char *restrict s, const char *restrict format, ... );
   2082       // http://pubs.opengroup.org/onlinepubs/000095399/functions/scanf.html
   2083       var index = 0;
   2084       var get = function() { return HEAP8[(((s)+(index++))|0)]; };
   2085       var unget = function() { index--; };
   2086       return __scanString(format, get, unget, varargs);
   2087     }
   2088   var ___strtok_state=0;
   2089   function _strtok_r(s, delim, lasts) {
   2090       var skip_leading_delim = 1;
   2091       var spanp;
   2092       var c, sc;
   2093       var tok;
   2094       if (s == 0 && (s = getValue(lasts, 'i8*')) == 0) {
   2095         return 0;
   2096       }
   2097       cont: while (1) {
   2098         c = getValue(s++, 'i8');
   2099         for (spanp = delim; (sc = getValue(spanp++, 'i8')) != 0;) {
   2100           if (c == sc) {
   2101             if (skip_leading_delim) {
   2102               continue cont;
   2103             } else {
   2104               setValue(lasts, s, 'i8*');
   2105               setValue(s - 1, 0, 'i8');
   2106               return s - 1;
   2107             }
   2108           }
   2109         }
   2110         break;
   2111       }
   2112       if (c == 0) {
   2113         setValue(lasts, 0, 'i8*');
   2114         return 0;
   2115       }
   2116       tok = s - 1;
   2117       for (;;) {
   2118         c = getValue(s++, 'i8');
   2119         spanp = delim;
   2120         do {
   2121           if ((sc = getValue(spanp++, 'i8')) == c) {
   2122             if (c == 0) {
   2123               s = 0;
   2124             } else {
   2125               setValue(s - 1, 0, 'i8');
   2126             }
   2127             setValue(lasts, s, 'i8*');
   2128             return tok;
   2129           }
   2130         } while (sc != 0);
   2131       }
   2132       abort('strtok_r error!');
   2133     }function _strtok(s, delim) {
   2134       return _strtok_r(s, delim, ___strtok_state);
   2135     }
   2136   function _isspace(chr) {
   2137       return chr in { 32: 0, 9: 0, 10: 0, 11: 0, 12: 0, 13: 0 };
   2138     }
   2139   Module["_strcpy"] = _strcpy;
   2140   Module["_strcat"] = _strcat;
   2141   function _strchr(ptr, chr) {
   2142       ptr--;
   2143       do {
   2144         ptr++;
   2145         var val = HEAP8[(ptr)];
   2146         if (val == chr) return ptr;
   2147       } while (val);
   2148       return 0;
   2149     }
   2150   var _cos=Math.cos;
   2151   var _sin=Math.sin;
   2152   var _atan2=Math.atan2;
   2153   function __parseInt(str, endptr, base, min, max, bits, unsign) {
   2154       // Skip space.
   2155       while (_isspace(HEAP8[(str)])) str++;
   2156       // Check for a plus/minus sign.
   2157       var multiplier = 1;
   2158       if (HEAP8[(str)] == 45) {
   2159         multiplier = -1;
   2160         str++;
   2161       } else if (HEAP8[(str)] == 43) {
   2162         str++;
   2163       }
   2164       // Find base.
   2165       var finalBase = base;
   2166       if (!finalBase) {
   2167         if (HEAP8[(str)] == 48) {
   2168           if (HEAP8[((str+1)|0)] == 120 ||
   2169               HEAP8[((str+1)|0)] == 88) {
   2170             finalBase = 16;
   2171             str += 2;
   2172           } else {
   2173             finalBase = 8;
   2174             str++;
   2175           }
   2176         }
   2177       }
   2178       if (!finalBase) finalBase = 10;
   2179       // Get digits.
   2180       var chr;
   2181       var ret = 0;
   2182       while ((chr = HEAP8[(str)]) != 0) {
   2183         var digit = parseInt(String.fromCharCode(chr), finalBase);
   2184         if (isNaN(digit)) {
   2185           break;
   2186         } else {
   2187           ret = ret * finalBase + digit;
   2188           str++;
   2189         }
   2190       }
   2191       // Apply sign.
   2192       ret *= multiplier;
   2193       // Set end pointer.
   2194       if (endptr) {
   2195         HEAP32[((endptr)>>2)]=str
   2196       }
   2197       // Unsign if needed.
   2198       if (unsign) {
   2199         if (Math.abs(ret) > max) {
   2200           ret = max;
   2201           ___setErrNo(ERRNO_CODES.ERANGE);
   2202         } else {
   2203           ret = unSign(ret, bits);
   2204         }
   2205       }
   2206       // Validate range.
   2207       if (ret > max || ret < min) {
   2208         ret = ret > max ? max : min;
   2209         ___setErrNo(ERRNO_CODES.ERANGE);
   2210       }
   2211       if (bits == 64) {
   2212         return ((asm.setTempRet0(Math.min(Math.floor((ret)/(+(4294967296))), (+(4294967295)))>>>0),ret>>>0)|0);
   2213       }
   2214       return ret;
   2215     }function _strtol(str, endptr, base) {
   2216       return __parseInt(str, endptr, base, -2147483648, 2147483647, 32);  // LONG_MIN, LONG_MAX.
   2217     }function _atoi(ptr) {
   2218       return _strtol(ptr, null, 10);
   2219     }
   2220   function _pread(fildes, buf, nbyte, offset) {
   2221       // ssize_t pread(int fildes, void *buf, size_t nbyte, off_t offset);
   2222       // http://pubs.opengroup.org/onlinepubs/000095399/functions/read.html
   2223       var stream = FS.streams[fildes];
   2224       if (!stream || stream.object.isDevice) {
   2225         ___setErrNo(ERRNO_CODES.EBADF);
   2226         return -1;
   2227       } else if (!stream.isRead) {
   2228         ___setErrNo(ERRNO_CODES.EACCES);
   2229         return -1;
   2230       } else if (stream.object.isFolder) {
   2231         ___setErrNo(ERRNO_CODES.EISDIR);
   2232         return -1;
   2233       } else if (nbyte < 0 || offset < 0) {
   2234         ___setErrNo(ERRNO_CODES.EINVAL);
   2235         return -1;
   2236       } else {
   2237         var bytesRead = 0;
   2238         while (stream.ungotten.length && nbyte > 0) {
   2239           HEAP8[((buf++)|0)]=stream.ungotten.pop()
   2240           nbyte--;
   2241           bytesRead++;
   2242         }
   2243         var contents = stream.object.contents;
   2244         var size = Math.min(contents.length - offset, nbyte);
   2245         if (contents.subarray) { // typed array
   2246           HEAPU8.set(contents.subarray(offset, offset+size), buf);
   2247         } else
   2248         if (contents.slice) { // normal array
   2249           for (var i = 0; i < size; i++) {
   2250             HEAP8[(((buf)+(i))|0)]=contents[offset + i]
   2251           }
   2252         } else {
   2253           for (var i = 0; i < size; i++) { // LazyUint8Array from sync binary XHR
   2254             HEAP8[(((buf)+(i))|0)]=contents.get(offset + i)
   2255           }
   2256         }
   2257         bytesRead += size;
   2258         return bytesRead;
   2259       }
   2260     }function _read(fildes, buf, nbyte) {
   2261       // ssize_t read(int fildes, void *buf, size_t nbyte);
   2262       // http://pubs.opengroup.org/onlinepubs/000095399/functions/read.html
   2263       var stream = FS.streams[fildes];
   2264       if (!stream) {
   2265         ___setErrNo(ERRNO_CODES.EBADF);
   2266         return -1;
   2267       } else if (!stream.isRead) {
   2268         ___setErrNo(ERRNO_CODES.EACCES);
   2269         return -1;
   2270       } else if (nbyte < 0) {
   2271         ___setErrNo(ERRNO_CODES.EINVAL);
   2272         return -1;
   2273       } else {
   2274         var bytesRead;
   2275         if (stream.object.isDevice) {
   2276           if (stream.object.input) {
   2277             bytesRead = 0;
   2278             while (stream.ungotten.length && nbyte > 0) {
   2279               HEAP8[((buf++)|0)]=stream.ungotten.pop()
   2280               nbyte--;
   2281               bytesRead++;
   2282             }
   2283             for (var i = 0; i < nbyte; i++) {
   2284               try {
   2285                 var result = stream.object.input();
   2286               } catch (e) {
   2287                 ___setErrNo(ERRNO_CODES.EIO);
   2288                 return -1;
   2289               }
   2290               if (result === null || result === undefined) break;
   2291               bytesRead++;
   2292               HEAP8[(((buf)+(i))|0)]=result
   2293             }
   2294             return bytesRead;
   2295           } else {
   2296             ___setErrNo(ERRNO_CODES.ENXIO);
   2297             return -1;
   2298           }
   2299         } else {
   2300           var ungotSize = stream.ungotten.length;
   2301           bytesRead = _pread(fildes, buf, nbyte, stream.position);
   2302           if (bytesRead != -1) {
   2303             stream.position += (stream.ungotten.length - ungotSize) + bytesRead;
   2304           }
   2305           return bytesRead;
   2306         }
   2307       }
   2308     }function _fgetc(stream) {
   2309       // int fgetc(FILE *stream);
   2310       // http://pubs.opengroup.org/onlinepubs/000095399/functions/fgetc.html
   2311       if (!FS.streams[stream]) return -1;
   2312       var streamObj = FS.streams[stream];
   2313       if (streamObj.eof || streamObj.error) return -1;
   2314       var ret = _read(stream, _fgetc.ret, 1);
   2315       if (ret == 0) {
   2316         streamObj.eof = true;
   2317         return -1;
   2318       } else if (ret == -1) {
   2319         streamObj.error = true;
   2320         return -1;
   2321       } else {
   2322         return HEAPU8[((_fgetc.ret)|0)];
   2323       }
   2324     }function _fgets(s, n, stream) {
   2325       // char *fgets(char *restrict s, int n, FILE *restrict stream);
   2326       // http://pubs.opengroup.org/onlinepubs/000095399/functions/fgets.html
   2327       if (!FS.streams[stream]) return 0;
   2328       var streamObj = FS.streams[stream];
   2329       if (streamObj.error || streamObj.eof) return 0;
   2330       var byte_;
   2331       for (var i = 0; i < n - 1 && byte_ != 10; i++) {
   2332         byte_ = _fgetc(stream);
   2333         if (byte_ == -1) {
   2334           if (streamObj.error || (streamObj.eof && i == 0)) return 0;
   2335           else if (streamObj.eof) break;
   2336         }
   2337         HEAP8[(((s)+(i))|0)]=byte_
   2338       }
   2339       HEAP8[(((s)+(i))|0)]=0
   2340       return s;
   2341     }
   2342   function _strrchr(ptr, chr) {
   2343       var ptr2 = ptr + _strlen(ptr);
   2344       do {
   2345         if (HEAP8[(ptr2)] == chr) return ptr2;
   2346         ptr2--;
   2347       } while (ptr2 >= ptr);
   2348       return 0;
   2349     }
   2350   function _access(path, amode) {
   2351       // int access(const char *path, int amode);
   2352       // http://pubs.opengroup.org/onlinepubs/000095399/functions/access.html
   2353       path = Pointer_stringify(path);
   2354       var target = FS.findObject(path);
   2355       if (target === null) return -1;
   2356       if ((amode & 2 && !target.write) ||  // W_OK.
   2357           ((amode & 1 || amode & 4) && !target.read)) {  // X_OK, R_OK.
   2358         ___setErrNo(ERRNO_CODES.EACCES);
   2359         return -1;
   2360       } else {
   2361         return 0;
   2362       }
   2363     }
   2364   var _fabs=Math.abs;
   2365   Module["_strncpy"] = _strncpy;
   2366   function _bsearch(key, base, num, size, compar) {
   2367       var cmp = function(x, y) {
   2368         return Runtime.dynCall('iii', compar, [x, y])
   2369       };
   2370       var left = 0;
   2371       var right = num;
   2372       var mid, test, addr;
   2373       while (left < right) {
   2374         mid = (left + right) >>> 1;
   2375         addr = base + (mid * size);
   2376         test = cmp(key, addr);
   2377         if (test < 0) {
   2378           right = mid;
   2379         } else if (test > 0) {
   2380           left = mid + 1;
   2381         } else {
   2382           return addr;
   2383         }
   2384       }
   2385       return 0;
   2386     }
   2387   function __exit(status) {
   2388       // void _exit(int status);
   2389       // http://pubs.opengroup.org/onlinepubs/000095399/functions/exit.html
   2390       function ExitStatus() {
   2391         this.name = "ExitStatus";
   2392         this.message = "Program terminated with exit(" + status + ")";
   2393         this.status = status;
   2394         Module.print('Exit Status: ' + status);
   2395       };
   2396       ExitStatus.prototype = new Error();
   2397       ExitStatus.prototype.constructor = ExitStatus;
   2398       exitRuntime();
   2399       ABORT = true;
   2400       throw new ExitStatus();
   2401     }function _exit(status) {
   2402       __exit(status);
   2403     }
   2404   Module["_tolower"] = _tolower;
   2405   function _rand() {
   2406       return Math.floor(Math.random()*0x80000000);
   2407     }
   2408   function _abort() {
   2409       ABORT = true;
   2410       throw 'abort() at ' + (new Error().stack);
   2411     }
   2412   function _toupper(chr) {
   2413       if (chr >= 97 && chr <= 122) {
   2414         return chr - 97 + 65;
   2415       } else {
   2416         return chr;
   2417       }
   2418     }
   2419   function _fputc(c, stream) {
   2420       // int fputc(int c, FILE *stream);
   2421       // http://pubs.opengroup.org/onlinepubs/000095399/functions/fputc.html
   2422       var chr = unSign(c & 0xFF);
   2423       HEAP8[((_fputc.ret)|0)]=chr
   2424       var ret = _write(stream, _fputc.ret, 1);
   2425       if (ret == -1) {
   2426         if (FS.streams[stream]) FS.streams[stream].error = true;
   2427         return -1;
   2428       } else {
   2429         return chr;
   2430       }
   2431     }
   2432   function _hypot(a, b) {
   2433        return Math.sqrt(a*a + b*b);
   2434     }
   2435   function _isupper(chr) {
   2436       return chr >= 65 && chr <= 90;
   2437     }
   2438   var ___dirent_struct_layout={__size__:1040,d_ino:0,d_name:4,d_off:1028,d_reclen:1032,d_type:1036};function _open(path, oflag, varargs) {
   2439       // int open(const char *path, int oflag, ...);
   2440       // http://pubs.opengroup.org/onlinepubs/009695399/functions/open.html
   2441       // NOTE: This implementation tries to mimic glibc rather than strictly
   2442       // following the POSIX standard.
   2443       var mode = HEAP32[((varargs)>>2)];
   2444       // Simplify flags.
   2445       var accessMode = oflag & 3;
   2446       var isWrite = accessMode != 0;
   2447       var isRead = accessMode != 1;
   2448       var isCreate = Boolean(oflag & 512);
   2449       var isExistCheck = Boolean(oflag & 2048);
   2450       var isTruncate = Boolean(oflag & 1024);
   2451       var isAppend = Boolean(oflag & 8);
   2452       // Verify path.
   2453       var origPath = path;
   2454       path = FS.analyzePath(Pointer_stringify(path));
   2455       if (!path.parentExists) {
   2456         ___setErrNo(path.error);
   2457         return -1;
   2458       }
   2459       var target = path.object || null;
   2460       var finalPath;
   2461       // Verify the file exists, create if needed and allowed.
   2462       if (target) {
   2463         if (isCreate && isExistCheck) {
   2464           ___setErrNo(ERRNO_CODES.EEXIST);
   2465           return -1;
   2466         }
   2467         if ((isWrite || isCreate || isTruncate) && target.isFolder) {
   2468           ___setErrNo(ERRNO_CODES.EISDIR);
   2469           return -1;
   2470         }
   2471         if (isRead && !target.read || isWrite && !target.write) {
   2472           ___setErrNo(ERRNO_CODES.EACCES);
   2473           return -1;
   2474         }
   2475         if (isTruncate && !target.isDevice) {
   2476           target.contents = [];
   2477         } else {
   2478           if (!FS.forceLoadFile(target)) {
   2479             ___setErrNo(ERRNO_CODES.EIO);
   2480             return -1;
   2481           }
   2482         }
   2483         finalPath = path.path;
   2484       } else {
   2485         if (!isCreate) {
   2486           ___setErrNo(ERRNO_CODES.ENOENT);
   2487           return -1;
   2488         }
   2489         if (!path.parentObject.write) {
   2490           ___setErrNo(ERRNO_CODES.EACCES);
   2491           return -1;
   2492         }
   2493         target = FS.createDataFile(path.parentObject, path.name, [],
   2494                                    mode & 0x100, mode & 0x80);  // S_IRUSR, S_IWUSR.
   2495         finalPath = path.parentPath + '/' + path.name;
   2496       }
   2497       // Actually create an open stream.
   2498       var id = FS.streams.length; // Keep dense
   2499       if (target.isFolder) {
   2500         var entryBuffer = 0;
   2501         if (___dirent_struct_layout) {
   2502           entryBuffer = _malloc(___dirent_struct_layout.__size__);
   2503         }
   2504         var contents = [];
   2505         for (var key in target.contents) contents.push(key);
   2506         FS.streams[id] = {
   2507           path: finalPath,
   2508           object: target,
   2509           // An index into contents. Special values: -2 is ".", -1 is "..".
   2510           position: -2,
   2511           isRead: true,
   2512           isWrite: false,
   2513           isAppend: false,
   2514           error: false,
   2515           eof: false,
   2516           ungotten: [],
   2517           // Folder-specific properties:
   2518           // Remember the contents at the time of opening in an array, so we can
   2519           // seek between them relying on a single order.
   2520           contents: contents,
   2521           // Each stream has its own area for readdir() returns.
   2522           currentEntry: entryBuffer
   2523         };
   2524       } else {
   2525         FS.streams[id] = {
   2526           path: finalPath,
   2527           object: target,
   2528           position: 0,
   2529           isRead: isRead,
   2530           isWrite: isWrite,
   2531           isAppend: isAppend,
   2532           error: false,
   2533           eof: false,
   2534           ungotten: []
   2535         };
   2536       }
   2537       return id;
   2538     }function _fopen(filename, mode) {
   2539       // FILE *fopen(const char *restrict filename, const char *restrict mode);
   2540       // http://pubs.opengroup.org/onlinepubs/000095399/functions/fopen.html
   2541       var flags;
   2542       mode = Pointer_stringify(mode);
   2543       if (mode[0] == 'r') {
   2544         if (mode.indexOf('+') != -1) {
   2545           flags = 2;
   2546         } else {
   2547           flags = 0;
   2548         }
   2549       } else if (mode[0] == 'w') {
   2550         if (mode.indexOf('+') != -1) {
   2551           flags = 2;
   2552         } else {
   2553           flags = 1;
   2554         }
   2555         flags |= 512;
   2556         flags |= 1024;
   2557       } else if (mode[0] == 'a') {
   2558         if (mode.indexOf('+') != -1) {
   2559           flags = 2;
   2560         } else {
   2561           flags = 1;
   2562         }
   2563         flags |= 512;
   2564         flags |= 8;
   2565       } else {
   2566         ___setErrNo(ERRNO_CODES.EINVAL);
   2567         return 0;
   2568       }
   2569       var ret = _open(filename, flags, allocate([0x1FF, 0, 0, 0], 'i32', ALLOC_STACK));  // All creation permissions.
   2570       return (ret == -1) ? 0 : ret;
   2571     }
   2572   function _close(fildes) {
   2573       // int close(int fildes);
   2574       // http://pubs.opengroup.org/onlinepubs/000095399/functions/close.html
   2575       if (FS.streams[fildes]) {
   2576         if (FS.streams[fildes].currentEntry) {
   2577           _free(FS.streams[fildes].currentEntry);
   2578         }
   2579         FS.streams[fildes] = null;
   2580         return 0;
   2581       } else {
   2582         ___setErrNo(ERRNO_CODES.EBADF);
   2583         return -1;
   2584       }
   2585     }
   2586   function _fsync(fildes) {
   2587       // int fsync(int fildes);
   2588       // http://pubs.opengroup.org/onlinepubs/000095399/functions/fsync.html
   2589       if (FS.streams[fildes]) {
   2590         // We write directly to the file system, so there's nothing to do here.
   2591         return 0;
   2592       } else {
   2593         ___setErrNo(ERRNO_CODES.EBADF);
   2594         return -1;
   2595       }
   2596     }function _fclose(stream) {
   2597       // int fclose(FILE *stream);
   2598       // http://pubs.opengroup.org/onlinepubs/000095399/functions/fclose.html
   2599       _fsync(stream);
   2600       return _close(stream);
   2601     }
   2602   function _strstr(ptr1, ptr2) {
   2603       var check = 0, start;
   2604       do {
   2605         if (!check) {
   2606           start = ptr1;
   2607           check = ptr2;
   2608         }
   2609         var curr1 = HEAP8[((ptr1++)|0)];
   2610         var curr2 = HEAP8[((check++)|0)];
   2611         if (curr2 == 0) return start;
   2612         if (curr2 != curr1) {
   2613           // rewind to one character after start, to find ez in eeez
   2614           ptr1 = start + 1;
   2615           check = 0;
   2616         }
   2617       } while (curr1);
   2618       return 0;
   2619     }
   2620   var ___stat_struct_layout={__size__:68,st_dev:0,st_ino:4,st_mode:8,st_nlink:12,st_uid:16,st_gid:20,st_rdev:24,st_size:28,st_atime:32,st_spare1:36,st_mtime:40,st_spare2:44,st_ctime:48,st_spare3:52,st_blksize:56,st_blocks:60,st_spare4:64};function _stat(path, buf, dontResolveLastLink) {
   2621       // http://pubs.opengroup.org/onlinepubs/7908799/xsh/stat.html
   2622       // int stat(const char *path, struct stat *buf);
   2623       // NOTE: dontResolveLastLink is a shortcut for lstat(). It should never be
   2624       //       used in client code.
   2625       var obj = FS.findObject(Pointer_stringify(path), dontResolveLastLink);
   2626       if (obj === null || !FS.forceLoadFile(obj)) return -1;
   2627       var offsets = ___stat_struct_layout;
   2628       // Constants.
   2629       HEAP32[(((buf)+(offsets.st_nlink))>>2)]=1
   2630       HEAP32[(((buf)+(offsets.st_uid))>>2)]=0
   2631       HEAP32[(((buf)+(offsets.st_gid))>>2)]=0
   2632       HEAP32[(((buf)+(offsets.st_blksize))>>2)]=4096
   2633       // Variables.
   2634       HEAP32[(((buf)+(offsets.st_ino))>>2)]=obj.inodeNumber
   2635       var time = Math.floor(obj.timestamp / 1000);
   2636       if (offsets.st_atime === undefined) {
   2637         offsets.st_atime = offsets.st_atim.tv_sec;
   2638         offsets.st_mtime = offsets.st_mtim.tv_sec;
   2639         offsets.st_ctime = offsets.st_ctim.tv_sec;
   2640         var nanosec = (obj.timestamp % 1000) * 1000;
   2641         HEAP32[(((buf)+(offsets.st_atim.tv_nsec))>>2)]=nanosec
   2642         HEAP32[(((buf)+(offsets.st_mtim.tv_nsec))>>2)]=nanosec
   2643         HEAP32[(((buf)+(offsets.st_ctim.tv_nsec))>>2)]=nanosec
   2644       }
   2645       HEAP32[(((buf)+(offsets.st_atime))>>2)]=time
   2646       HEAP32[(((buf)+(offsets.st_mtime))>>2)]=time
   2647       HEAP32[(((buf)+(offsets.st_ctime))>>2)]=time
   2648       var mode = 0;
   2649       var size = 0;
   2650       var blocks = 0;
   2651       var dev = 0;
   2652       var rdev = 0;
   2653       if (obj.isDevice) {
   2654         //  Device numbers reuse inode numbers.
   2655         dev = rdev = obj.inodeNumber;
   2656         size = blocks = 0;
   2657         mode = 0x2000;  // S_IFCHR.
   2658       } else {
   2659         dev = 1;
   2660         rdev = 0;
   2661         // NOTE: In our implementation, st_blocks = Math.ceil(st_size/st_blksize),
   2662         //       but this is not required by the standard.
   2663         if (obj.isFolder) {
   2664           size = 4096;
   2665           blocks = 1;
   2666           mode = 0x4000;  // S_IFDIR.
   2667         } else {
   2668           var data = obj.contents || obj.link;
   2669           size = data.length;
   2670           blocks = Math.ceil(data.length / 4096);
   2671           mode = obj.link === undefined ? 0x8000 : 0xA000;  // S_IFREG, S_IFLNK.
   2672         }
   2673       }
   2674       HEAP32[(((buf)+(offsets.st_dev))>>2)]=dev;
   2675       HEAP32[(((buf)+(offsets.st_rdev))>>2)]=rdev;
   2676       HEAP32[(((buf)+(offsets.st_size))>>2)]=size
   2677       HEAP32[(((buf)+(offsets.st_blocks))>>2)]=blocks
   2678       if (obj.read) mode |= 0x16D;  // S_IRUSR | S_IXUSR | S_IRGRP | S_IXGRP | S_IROTH | S_IXOTH.
   2679       if (obj.write) mode |= 0x92;  // S_IWUSR | S_IWGRP | S_IWOTH.
   2680       HEAP32[(((buf)+(offsets.st_mode))>>2)]=mode
   2681       return 0;
   2682     }function _fstat(fildes, buf) {
   2683       // int fstat(int fildes, struct stat *buf);
   2684       // http://pubs.opengroup.org/onlinepubs/7908799/xsh/fstat.html
   2685       if (!FS.streams[fildes]) {
   2686         ___setErrNo(ERRNO_CODES.EBADF);
   2687         return -1;
   2688       } else {
   2689         var pathArray = intArrayFromString(FS.streams[fildes].path);
   2690         return _stat(allocate(pathArray, 'i8', ALLOC_STACK), buf);
   2691       }
   2692     }
   2693   function _fileno(stream) {
   2694       // int fileno(FILE *stream);
   2695       // http://pubs.opengroup.org/onlinepubs/000095399/functions/fileno.html
   2696       // We use file descriptor numbers and FILE* streams interchangeably.
   2697       return stream;
   2698     }
   2699   function _lseek(fildes, offset, whence) {
   2700       // off_t lseek(int fildes, off_t offset, int whence);
   2701       // http://pubs.opengroup.org/onlinepubs/000095399/functions/lseek.html
   2702       if (FS.streams[fildes] && !FS.streams[fildes].object.isDevice) {
   2703         var stream = FS.streams[fildes];
   2704         var position = offset;
   2705         if (whence === 1) {  // SEEK_CUR.
   2706           position += stream.position;
   2707         } else if (whence === 2) {  // SEEK_END.
   2708           position += stream.object.contents.length;
   2709         }
   2710         if (position < 0) {
   2711           ___setErrNo(ERRNO_CODES.EINVAL);
   2712           return -1;
   2713         } else {
   2714           stream.ungotten = [];
   2715           stream.position = position;
   2716           return position;
   2717         }
   2718       } else {
   2719         ___setErrNo(ERRNO_CODES.EBADF);
   2720         return -1;
   2721       }
   2722     }function _fseek(stream, offset, whence) {
   2723       // int fseek(FILE *stream, long offset, int whence);
   2724       // http://pubs.opengroup.org/onlinepubs/000095399/functions/fseek.html
   2725       var ret = _lseek(stream, offset, whence);
   2726       if (ret == -1) {
   2727         return -1;
   2728       } else {
   2729         FS.streams[stream].eof = false;
   2730         return 0;
   2731       }
   2732     }
   2733   function _fread(ptr, size, nitems, stream) {
   2734       // size_t fread(void *restrict ptr, size_t size, size_t nitems, FILE *restrict stream);
   2735       // http://pubs.opengroup.org/onlinepubs/000095399/functions/fread.html
   2736       var bytesToRead = nitems * size;
   2737       if (bytesToRead == 0) return 0;
   2738       var bytesRead = _read(stream, ptr, bytesToRead);
   2739       var streamObj = FS.streams[stream];
   2740       if (bytesRead == -1) {
   2741         if (streamObj) streamObj.error = true;
   2742         return 0;
   2743       } else {
   2744         if (bytesRead < bytesToRead) streamObj.eof = true;
   2745         return Math.floor(bytesRead / size);
   2746       }
   2747     }
   2748   var _environ=allocate(1, "i32*", ALLOC_STATIC);var ___environ=_environ;function ___buildEnvironment(env) {
   2749       // WARNING: Arbitrary limit!
   2750       var MAX_ENV_VALUES = 64;
   2751       var TOTAL_ENV_SIZE = 1024;
   2752       // Statically allocate memory for the environment.
   2753       var poolPtr;
   2754       var envPtr;
   2755       if (!___buildEnvironment.called) {
   2756         ___buildEnvironment.called = true;
   2757         // Set default values. Use string keys for Closure Compiler compatibility.
   2758         ENV['USER'] = 'root';
   2759         ENV['PATH'] = '/';
   2760         ENV['PWD'] = '/';
   2761         ENV['HOME'] = '/home/emscripten';
   2762         ENV['LANG'] = 'en_US.UTF-8';
   2763         ENV['_'] = './this.program';
   2764         // Allocate memory.
   2765         poolPtr = allocate(TOTAL_ENV_SIZE, 'i8', ALLOC_STATIC);
   2766         envPtr = allocate(MAX_ENV_VALUES * 4,
   2767                           'i8*', ALLOC_STATIC);
   2768         HEAP32[((envPtr)>>2)]=poolPtr
   2769         HEAP32[((_environ)>>2)]=envPtr;
   2770       } else {
   2771         envPtr = HEAP32[((_environ)>>2)];
   2772         poolPtr = HEAP32[((envPtr)>>2)];
   2773       }
   2774       // Collect key=value lines.
   2775       var strings = [];
   2776       var totalSize = 0;
   2777       for (var key in env) {
   2778         if (typeof env[key] === 'string') {
   2779           var line = key + '=' + env[key];
   2780           strings.push(line);
   2781           totalSize += line.length;
   2782         }
   2783       }
   2784       if (totalSize > TOTAL_ENV_SIZE) {
   2785         throw new Error('Environment size exceeded TOTAL_ENV_SIZE!');
   2786       }
   2787       // Make new.
   2788       var ptrSize = 4;
   2789       for (var i = 0; i < strings.length; i++) {
   2790         var line = strings[i];
   2791         for (var j = 0; j < line.length; j++) {
   2792           HEAP8[(((poolPtr)+(j))|0)]=line.charCodeAt(j);
   2793         }
   2794         HEAP8[(((poolPtr)+(j))|0)]=0;
   2795         HEAP32[(((envPtr)+(i * ptrSize))>>2)]=poolPtr;
   2796         poolPtr += line.length + 1;
   2797       }
   2798       HEAP32[(((envPtr)+(strings.length * ptrSize))>>2)]=0;
   2799     }var ENV={};function _getenv(name) {
   2800       // char *getenv(const char *name);
   2801       // http://pubs.opengroup.org/onlinepubs/009695399/functions/getenv.html
   2802       if (name === 0) return 0;
   2803       name = Pointer_stringify(name);
   2804       if (!ENV.hasOwnProperty(name)) return 0;
   2805       if (_getenv.ret) _free(_getenv.ret);
   2806       _getenv.ret = allocate(intArrayFromString(ENV[name]), 'i8', ALLOC_NORMAL);
   2807       return _getenv.ret;
   2808     }
   2809   function _putenv(string) {
   2810       // int putenv(char *string);
   2811       // http://pubs.opengroup.org/onlinepubs/009695399/functions/putenv.html
   2812       // WARNING: According to the standard (and the glibc implementation), the
   2813       //          string is taken by reference so future changes are reflected.
   2814       //          We copy it instead, possibly breaking some uses.
   2815       if (string === 0) {
   2816         ___setErrNo(ERRNO_CODES.EINVAL);
   2817         return -1;
   2818       }
   2819       string = Pointer_stringify(string);
   2820       var splitPoint = string.indexOf('=')
   2821       if (string === '' || string.indexOf('=') === -1) {
   2822         ___setErrNo(ERRNO_CODES.EINVAL);
   2823         return -1;
   2824       }
   2825       var name = string.slice(0, splitPoint);
   2826       var value = string.slice(splitPoint + 1);
   2827       if (!(name in ENV) || ENV[name] !== value) {
   2828         ENV[name] = value;
   2829         ___buildEnvironment(ENV);
   2830       }
   2831       return 0;
   2832     }
   2833   function _freeXDot() {
   2834   Module['printErr']('missing function: freeXDot'); abort(-1);
   2835   }
   2836   var ___tms_struct_layout={__size__:16,tms_utime:0,tms_stime:4,tms_cutime:8,tms_cstime:12};function _times(buffer) {
   2837       // clock_t times(struct tms *buffer);
   2838       // http://pubs.opengroup.org/onlinepubs/009695399/functions/times.html
   2839       // NOTE: This is fake, since we can't calculate real CPU time usage in JS.
   2840       if (buffer !== 0) {
   2841         _memset(buffer, 0, ___tms_struct_layout.__size__);
   2842       }
   2843       return 0;
   2844     }
   2845   var ERRNO_MESSAGES={1:"Operation not permitted",2:"No such file or directory",3:"No such process",4:"Interrupted system call",5:"Input/output error",6:"No such device or address",8:"Exec format error",9:"Bad file descriptor",10:"No child processes",11:"Resource temporarily unavailable",12:"Cannot allocate memory",13:"Permission denied",14:"Bad address",16:"Device or resource busy",17:"File exists",18:"Invalid cross-device link",19:"No such device",20:"Not a directory",21:"Is a directory",22:"Invalid argument",23:"Too many open files in system",24:"Too many open files",25:"Inappropriate ioctl for device",26:"Text file busy",27:"File too large",28:"No space left on device",29:"Illegal seek",30:"Read-only file system",31:"Too many links",32:"Broken pipe",33:"Numerical argument out of domain",34:"Numerical result out of range",35:"Resource deadlock avoided",36:"File name too long",37:"No locks available",38:"Function not implemented",39:"Directory not empty",40:"Too many levels of symbolic links",42:"No message of desired type",43:"Identifier removed",45:"Op not supported on transport endpoint",60:"Device not a stream",61:"No data available",62:"Timer expired",63:"Out of streams resources",67:"Link has been severed",71:"Protocol error",72:"Multihop attempted",74:"Bad message",75:"Value too large for defined data type",84:"Invalid or incomplete multibyte or wide character",88:"Socket operation on non-socket",89:"Destination address required",90:"Message too long",91:"Protocol wrong type for socket",92:"Protocol not available",93:"Protocol not supported",95:"Operation not supported",97:"Address family not supported by protocol",98:"Address already in use",99:"Cannot assign requested address",100:"Network is down",101:"Network is unreachable",102:"Network dropped connection on reset",103:"Software caused connection abort",104:"Connection reset by peer",105:"No buffer space available",106:"Transport endpoint is already connected",107:"Transport endpoint is not connected",110:"Connection timed out",111:"Connection refused",113:"No route to host",114:"Operation already in progress",115:"Operation now in progress",116:"Stale NFS file handle",122:"Disk quota exceeded",125:"Operation canceled",130:"Owner died",131:"State not recoverable"};function _strerror_r(errnum, strerrbuf, buflen) {
   2846       if (errnum in ERRNO_MESSAGES) {
   2847         if (ERRNO_MESSAGES[errnum].length > buflen - 1) {
   2848           return ___setErrNo(ERRNO_CODES.ERANGE);
   2849         } else {
   2850           var msg = ERRNO_MESSAGES[errnum];
   2851           for (var i = 0; i < msg.length; i++) {
   2852             HEAP8[(((strerrbuf)+(i))|0)]=msg.charCodeAt(i)
   2853           }
   2854           HEAP8[(((strerrbuf)+(i))|0)]=0
   2855           return 0;
   2856         }
   2857       } else {
   2858         return ___setErrNo(ERRNO_CODES.EINVAL);
   2859       }
   2860     }function _strerror(errnum) {
   2861       if (!_strerror.buffer) _strerror.buffer = _malloc(256);
   2862       _strerror_r(errnum, _strerror.buffer, 256);
   2863       return _strerror.buffer;
   2864     }
   2865   function ___errno_location() {
   2866       if (!___setErrNo.ret) {
   2867         ___setErrNo.ret = allocate([0], 'i32', ALLOC_NORMAL);
   2868         HEAP32[((___setErrNo.ret)>>2)]=0
   2869       }
   2870       return ___setErrNo.ret;
   2871     }var ___errno=___errno_location;
   2872   function _ferror(stream) {
   2873       // int ferror(FILE *stream);
   2874       // http://pubs.opengroup.org/onlinepubs/000095399/functions/ferror.html
   2875       return Number(FS.streams[stream] && FS.streams[stream].error);
   2876     }
   2877   function _fflush(stream) {
   2878       // int fflush(FILE *stream);
   2879       // http://pubs.opengroup.org/onlinepubs/000095399/functions/fflush.html
   2880       var flush = function(filedes) {
   2881         // Right now we write all data directly, except for output devices.
   2882         if (FS.streams[filedes] && FS.streams[filedes].object.output) {
   2883           if (!FS.streams[filedes].isTerminal) { // don't flush terminals, it would cause a \n to also appear
   2884             FS.streams[filedes].object.output(null);
   2885           }
   2886         }
   2887       };
   2888       try {
   2889         if (stream === 0) {
   2890           for (var i = 0; i < FS.streams.length; i++) if (FS.streams[i]) flush(i);
   2891         } else {
   2892           flush(stream);
   2893         }
   2894         return 0;
   2895       } catch (e) {
   2896         ___setErrNo(ERRNO_CODES.EIO);
   2897         return -1;
   2898       }
   2899     }
   2900   var _llvm_va_start=undefined;
   2901   function _vsprintf(s, format, va_arg) {
   2902       return _sprintf(s, format, HEAP32[((va_arg)>>2)]);
   2903     }
   2904   function _llvm_va_end() {}
   2905   function _feof(stream) {
   2906       // int feof(FILE *stream);
   2907       // http://pubs.opengroup.org/onlinepubs/000095399/functions/feof.html
   2908       return Number(FS.streams[stream] && FS.streams[stream].eof);
   2909     }
   2910   var _llvm_pow_f64=Math.pow;
   2911   function _isalnum(chr) {
   2912       return (chr >= 48 && chr <= 57) ||
   2913              (chr >= 97 && chr <= 122) ||
   2914              (chr >= 65 && chr <= 90);
   2915     }
   2916   function _isalpha(chr) {
   2917       return (chr >= 97 && chr <= 122) ||
   2918              (chr >= 65 && chr <= 90);
   2919     }
   2920   function _islower(chr) {
   2921       return chr >= 97 && chr <= 122;
   2922     }
   2923   function _ispunct(chr) {
   2924       return (chr >= 33 && chr <= 47) ||
   2925              (chr >= 58 && chr <= 64) ||
   2926              (chr >= 91 && chr <= 96) ||
   2927              (chr >= 123 && chr <= 126);
   2928     }
   2929   function _ftell(stream) {
   2930       // long ftell(FILE *stream);
   2931       // http://pubs.opengroup.org/onlinepubs/000095399/functions/ftell.html
   2932       if (FS.streams[stream]) {
   2933         stream = FS.streams[stream];
   2934         if (stream.object.isDevice) {
   2935           ___setErrNo(ERRNO_CODES.ESPIPE);
   2936           return -1;
   2937         } else {
   2938           return stream.position;
   2939         }
   2940       } else {
   2941         ___setErrNo(ERRNO_CODES.EBADF);
   2942         return -1;
   2943       }
   2944     }
   2945   function _vfprintf(s, f, va_arg) {
   2946       return _fprintf(s, f, HEAP32[((va_arg)>>2)]);
   2947     }
   2948   function _tmpnam(s, dir, prefix) {
   2949       // char *tmpnam(char *s);
   2950       // http://pubs.opengroup.org/onlinepubs/000095399/functions/tmpnam.html
   2951       // NOTE: The dir and prefix arguments are for internal use only.
   2952       var folder = FS.findObject(dir || '/tmp');
   2953       if (!folder || !folder.isFolder) {
   2954         dir = '/tmp';
   2955         folder = FS.findObject(dir);
   2956         if (!folder || !folder.isFolder) return 0;
   2957       }
   2958       var name = prefix || 'file';
   2959       do {
   2960         name += String.fromCharCode(65 + Math.floor(Math.random() * 25));
   2961       } while (name in folder.contents);
   2962       var result = dir + '/' + name;
   2963       if (!_tmpnam.buffer) _tmpnam.buffer = _malloc(256);
   2964       if (!s) s = _tmpnam.buffer;
   2965       for (var i = 0; i < result.length; i++) {
   2966         HEAP8[(((s)+(i))|0)]=result.charCodeAt(i);
   2967       }
   2968       HEAP8[(((s)+(i))|0)]=0;
   2969       return s;
   2970     }function _tmpfile() {
   2971       // FILE *tmpfile(void);
   2972       // http://pubs.opengroup.org/onlinepubs/000095399/functions/tmpfile.html
   2973       // TODO: Delete the created file on closing.
   2974       if (_tmpfile.mode) {
   2975         _tmpfile.mode = allocate(intArrayFromString('w+'), 'i8', ALLOC_NORMAL);
   2976       }
   2977       return _fopen(_tmpnam(0), _tmpfile.mode);
   2978     }
   2979   function _vsnprintf(s, n, format, va_arg) {
   2980       return _snprintf(s, n, format, HEAP32[((va_arg)>>2)]);
   2981     }
   2982   function _iscntrl(chr) {
   2983       return (0 <= chr && chr <= 0x1F) || chr === 0x7F;
   2984     }
   2985   function _qsort(base, num, size, cmp) {
   2986       if (num == 0 || size == 0) return;
   2987       // forward calls to the JavaScript sort method
   2988       // first, sort the items logically
   2989       var comparator = function(x, y) {
   2990         return Runtime.dynCall('iii', cmp, [x, y]);
   2991       }
   2992       var keys = [];
   2993       for (var i = 0; i < num; i++) keys.push(i);
   2994       keys.sort(function(a, b) {
   2995         return comparator(base+a*size, base+b*size);
   2996       });
   2997       // apply the sort
   2998       var temp = _malloc(num*size);
   2999       _memcpy(temp, base, num*size);
   3000       for (var i = 0; i < num; i++) {
   3001         if (keys[i] == i) continue; // already in place
   3002         _memcpy(base+i*size, temp+keys[i]*size, size);
   3003       }
   3004       _free(temp);
   3005     }
   3006   var _ceil=Math.ceil;
   3007   function _bcopy() {
   3008   Module['printErr']('missing function: bcopy'); abort(-1);
   3009   }
   3010   function _time(ptr) {
   3011       var ret = Math.floor(Date.now()/1000);
   3012       if (ptr) {
   3013         HEAP32[((ptr)>>2)]=ret
   3014       }
   3015       return ret;
   3016     }
   3017   function _srand(seed) {}
   3018   function _sysconf(name) {
   3019       // long sysconf(int name);
   3020       // http://pubs.opengroup.org/onlinepubs/009695399/functions/sysconf.html
   3021       switch(name) {
   3022         case 8: return PAGE_SIZE;
   3023         case 54:
   3024         case 56:
   3025         case 21:
   3026         case 61:
   3027         case 63:
   3028         case 22:
   3029         case 67:
   3030         case 23:
   3031         case 24:
   3032         case 25:
   3033         case 26:
   3034         case 27:
   3035         case 69:
   3036         case 28:
   3037         case 101:
   3038         case 70:
   3039         case 71:
   3040         case 29:
   3041         case 30:
   3042         case 199:
   3043         case 75:
   3044         case 76:
   3045         case 32:
   3046         case 43:
   3047         case 44:
   3048         case 80:
   3049         case 46:
   3050         case 47:
   3051         case 45:
   3052         case 48:
   3053         case 49:
   3054         case 42:
   3055         case 82:
   3056         case 33:
   3057         case 7:
   3058         case 108:
   3059         case 109:
   3060         case 107:
   3061         case 112:
   3062         case 119:
   3063         case 121:
   3064           return 200809;
   3065         case 13:
   3066         case 104:
   3067         case 94:
   3068         case 95:
   3069         case 34:
   3070         case 35:
   3071         case 77:
   3072         case 81:
   3073         case 83:
   3074         case 84:
   3075         case 85:
   3076         case 86:
   3077         case 87:
   3078         case 88:
   3079         case 89:
   3080         case 90:
   3081         case 91:
   3082         case 94:
   3083         case 95:
   3084         case 110:
   3085         case 111:
   3086         case 113:
   3087         case 114:
   3088         case 115:
   3089         case 116:
   3090         case 117:
   3091         case 118:
   3092         case 120:
   3093         case 40:
   3094         case 16:
   3095         case 79:
   3096         case 19:
   3097           return -1;
   3098         case 92:
   3099         case 93:
   3100         case 5:
   3101         case 72:
   3102         case 6:
   3103         case 74:
   3104         case 92:
   3105         case 93:
   3106         case 96:
   3107         case 97:
   3108         case 98:
   3109         case 99:
   3110         case 102:
   3111         case 103:
   3112         case 105:
   3113           return 1;
   3114         case 38:
   3115         case 66:
   3116         case 50:
   3117         case 51:
   3118         case 4:
   3119           return 1024;
   3120         case 15:
   3121         case 64:
   3122         case 41:
   3123           return 32;
   3124         case 55:
   3125         case 37:
   3126         case 17:
   3127           return 2147483647;
   3128         case 18:
   3129         case 1:
   3130           return 47839;
   3131         case 59:
   3132         case 57:
   3133           return 99;
   3134         case 68:
   3135         case 58:
   3136           return 2048;
   3137         case 0: return 2097152;
   3138         case 3: return 65536;
   3139         case 14: return 32768;
   3140         case 73: return 32767;
   3141         case 39: return 16384;
   3142         case 60: return 1000;
   3143         case 106: return 700;
   3144         case 52: return 256;
   3145         case 62: return 255;
   3146         case 2: return 100;
   3147         case 65: return 64;
   3148         case 36: return 20;
   3149         case 100: return 16;
   3150         case 20: return 6;
   3151         case 53: return 4;
   3152         case 10: return 1;
   3153       }
   3154       ___setErrNo(ERRNO_CODES.EINVAL);
   3155       return -1;
   3156     }
   3157   function _sbrk(bytes) {
   3158       // Implement a Linux-like 'memory area' for our 'process'.
   3159       // Changes the size of the memory area by |bytes|; returns the
   3160       // address of the previous top ('break') of the memory area
   3161       // We control the "dynamic" memory - DYNAMIC_BASE to DYNAMICTOP
   3162       var self = _sbrk;
   3163       if (!self.called) {
   3164         DYNAMICTOP = alignMemoryPage(DYNAMICTOP); // make sure we start out aligned
   3165         self.called = true;
   3166         assert(Runtime.dynamicAlloc);
   3167         self.alloc = Runtime.dynamicAlloc;
   3168         Runtime.dynamicAlloc = function() { abort('cannot dynamically allocate, sbrk now has control') };
   3169       }
   3170       var ret = DYNAMICTOP;
   3171       if (bytes != 0) self.alloc(bytes);
   3172       return ret;  // Previous break location.
   3173     }
   3174   var _llvm_memset_p0i8_i64=_memset;
   3175   Module["_memmove"] = _memmove;var _llvm_memmove_p0i8_p0i8_i32=_memmove;
   3176   var Browser={mainLoop:{scheduler:null,shouldPause:false,paused:false,queue:[],pause:function () {
   3177           Browser.mainLoop.shouldPause = true;
   3178         },resume:function () {
   3179           if (Browser.mainLoop.paused) {
   3180             Browser.mainLoop.paused = false;
   3181             Browser.mainLoop.scheduler();
   3182           }
   3183           Browser.mainLoop.shouldPause = false;
   3184         },updateStatus:function () {
   3185           if (Module['setStatus']) {
   3186             var message = Module['statusMessage'] || 'Please wait...';
   3187             var remaining = Browser.mainLoop.remainingBlockers;
   3188             var expected = Browser.mainLoop.expectedBlockers;
   3189             if (remaining) {
   3190               if (remaining < expected) {
   3191                 Module['setStatus'](message + ' (' + (expected - remaining) + '/' + expected + ')');
   3192               } else {
   3193                 Module['setStatus'](message);
   3194               }
   3195             } else {
   3196               Module['setStatus']('');
   3197             }
   3198           }
   3199         }},isFullScreen:false,pointerLock:false,moduleContextCreatedCallbacks:[],workers:[],init:function () {
   3200         if (Browser.initted) return;
   3201         Browser.initted = true;
   3202         try {
   3203           new Blob();
   3204           Browser.hasBlobConstructor = true;
   3205         } catch(e) {
   3206           Browser.hasBlobConstructor = false;
   3207           console.log("warning: no blob constructor, cannot create blobs with mimetypes");
   3208         }
   3209         Browser.BlobBuilder = typeof MozBlobBuilder != "undefined" ? MozBlobBuilder : (typeof WebKitBlobBuilder != "undefined" ? WebKitBlobBuilder : (!Browser.hasBlobConstructor ? console.log("warning: no BlobBuilder") : null));
   3210         Browser.URLObject = typeof window != "undefined" ? (window.URL ? window.URL : window.webkitURL) : console.log("warning: cannot create object URLs");
   3211         // Support for plugins that can process preloaded files. You can add more of these to
   3212         // your app by creating and appending to Module.preloadPlugins.
   3213         //
   3214         // Each plugin is asked if it can handle a file based on the file's name. If it can,
   3215         // it is given the file's raw data. When it is done, it calls a callback with the file's
   3216         // (possibly modified) data. For example, a plugin might decompress a file, or it
   3217         // might create some side data structure for use later (like an Image element, etc.).
   3218         function getMimetype(name) {
   3219           return {
   3220             'jpg': 'image/jpeg',
   3221             'jpeg': 'image/jpeg',
   3222             'png': 'image/png',
   3223             'bmp': 'image/bmp',
   3224             'ogg': 'audio/ogg',
   3225             'wav': 'audio/wav',
   3226             'mp3': 'audio/mpeg'
   3227           }[name.substr(name.lastIndexOf('.')+1)];
   3228         }
   3229         if (!Module["preloadPlugins"]) Module["preloadPlugins"] = [];
   3230         var imagePlugin = {};
   3231         imagePlugin['canHandle'] = function(name) {
   3232           return !Module.noImageDecoding && /\.(jpg|jpeg|png|bmp)$/.exec(name);
   3233         };
   3234         imagePlugin['handle'] = function(byteArray, name, onload, onerror) {
   3235           var b = null;
   3236           if (Browser.hasBlobConstructor) {
   3237             try {
   3238               b = new Blob([byteArray], { type: getMimetype(name) });
   3239             } catch(e) {
   3240               Runtime.warnOnce('Blob constructor present but fails: ' + e + '; falling back to blob builder');
   3241             }
   3242           }
   3243           if (!b) {
   3244             var bb = new Browser.BlobBuilder();
   3245             bb.append((new Uint8Array(byteArray)).buffer); // we need to pass a buffer, and must copy the array to get the right data range
   3246             b = bb.getBlob();
   3247           }
   3248           var url = Browser.URLObject.createObjectURL(b);
   3249           var img = new Image();
   3250           img.onload = function() {
   3251             assert(img.complete, 'Image ' + name + ' could not be decoded');
   3252             var canvas = document.createElement('canvas');
   3253             canvas.width = img.width;
   3254             canvas.height = img.height;
   3255             var ctx = canvas.getContext('2d');
   3256             ctx.drawImage(img, 0, 0);
   3257             Module["preloadedImages"][name] = canvas;
   3258             Browser.URLObject.revokeObjectURL(url);
   3259             if (onload) onload(byteArray);
   3260           };
   3261           img.onerror = function(event) {
   3262             console.log('Image ' + url + ' could not be decoded');
   3263             if (onerror) onerror();
   3264           };
   3265           img.src = url;
   3266         };
   3267         Module['preloadPlugins'].push(imagePlugin);
   3268         var audioPlugin = {};
   3269         audioPlugin['canHandle'] = function(name) {
   3270           return !Module.noAudioDecoding && name.substr(-4) in { '.ogg': 1, '.wav': 1, '.mp3': 1 };
   3271         };
   3272         audioPlugin['handle'] = function(byteArray, name, onload, onerror) {
   3273           var done = false;
   3274           function finish(audio) {
   3275             if (done) return;
   3276             done = true;
   3277             Module["preloadedAudios"][name] = audio;
   3278             if (onload) onload(byteArray);
   3279           }
   3280           function fail() {
   3281             if (done) return;
   3282             done = true;
   3283             Module["preloadedAudios"][name] = new Audio(); // empty shim
   3284             if (onerror) onerror();
   3285           }
   3286           if (Browser.hasBlobConstructor) {
   3287             try {
   3288               var b = new Blob([byteArray], { type: getMimetype(name) });
   3289             } catch(e) {
   3290               return fail();
   3291             }
   3292             var url = Browser.URLObject.createObjectURL(b); // XXX we never revoke this!
   3293             var audio = new Audio();
   3294             audio.addEventListener('canplaythrough', function() { finish(audio) }, false); // use addEventListener due to chromium bug 124926
   3295             audio.onerror = function(event) {
   3296               if (done) return;
   3297               console.log('warning: browser could not fully decode audio ' + name + ', trying slower base64 approach');
   3298               function encode64(data) {
   3299                 var BASE = 'ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/';
   3300                 var PAD = '=';
   3301                 var ret = '';
   3302                 var leftchar = 0;
   3303                 var leftbits = 0;
   3304                 for (var i = 0; i < data.length; i++) {
   3305                   leftchar = (leftchar << 8) | data[i];
   3306                   leftbits += 8;
   3307                   while (leftbits >= 6) {
   3308                     var curr = (leftchar >> (leftbits-6)) & 0x3f;
   3309                     leftbits -= 6;
   3310                     ret += BASE[curr];
   3311                   }
   3312                 }
   3313                 if (leftbits == 2) {
   3314                   ret += BASE[(leftchar&3) << 4];
   3315                   ret += PAD + PAD;
   3316                 } else if (leftbits == 4) {
   3317                   ret += BASE[(leftchar&0xf) << 2];
   3318                   ret += PAD;
   3319                 }
   3320                 return ret;
   3321               }
   3322               audio.src = 'data:audio/x-' + name.substr(-3) + ';base64,' + encode64(byteArray);
   3323               finish(audio); // we don't wait for confirmation this worked - but it's worth trying
   3324             };
   3325             audio.src = url;
   3326             // workaround for chrome bug 124926 - we do not always get oncanplaythrough or onerror
   3327             setTimeout(function() {
   3328               finish(audio); // try to use it even though it is not necessarily ready to play
   3329             }, 10000);
   3330           } else {
   3331             return fail();
   3332           }
   3333         };
   3334         Module['preloadPlugins'].push(audioPlugin);
   3335         // Canvas event setup
   3336         var canvas = Module['canvas'];
   3337         canvas.requestPointerLock = canvas['requestPointerLock'] ||
   3338                                     canvas['mozRequestPointerLock'] ||
   3339                                     canvas['webkitRequestPointerLock'];
   3340         canvas.exitPointerLock = document['exitPointerLock'] ||
   3341                                  document['mozExitPointerLock'] ||
   3342                                  document['webkitExitPointerLock'];
   3343         canvas.exitPointerLock = canvas.exitPointerLock.bind(document);
   3344         function pointerLockChange() {
   3345           Browser.pointerLock = document['pointerLockElement'] === canvas ||
   3346                                 document['mozPointerLockElement'] === canvas ||
   3347                                 document['webkitPointerLockElement'] === canvas;
   3348         }
   3349         document.addEventListener('pointerlockchange', pointerLockChange, false);
   3350         document.addEventListener('mozpointerlockchange', pointerLockChange, false);
   3351         document.addEventListener('webkitpointerlockchange', pointerLockChange, false);
   3352         if (Module['elementPointerLock']) {
   3353           canvas.addEventListener("click", function(ev) {
   3354             if (!Browser.pointerLock && canvas.requestPointerLock) {
   3355               canvas.requestPointerLock();
   3356               ev.preventDefault();
   3357             }
   3358           }, false);
   3359         }
   3360       },createContext:function (canvas, useWebGL, setInModule) {
   3361         var ctx;
   3362         try {
   3363           if (useWebGL) {
   3364             ctx = canvas.getContext('experimental-webgl', {
   3365               alpha: false
   3366             });
   3367           } else {
   3368             ctx = canvas.getContext('2d');
   3369           }
   3370           if (!ctx) throw ':(';
   3371         } catch (e) {
   3372           Module.print('Could not create canvas - ' + e);
   3373           return null;
   3374         }
   3375         if (useWebGL) {
   3376           // Set the background of the WebGL canvas to black
   3377           canvas.style.backgroundColor = "black";
   3378           // Warn on context loss
   3379           canvas.addEventListener('webglcontextlost', function(event) {
   3380             alert('WebGL context lost. You will need to reload the page.');
   3381           }, false);
   3382         }
   3383         if (setInModule) {
   3384           Module.ctx = ctx;
   3385           Module.useWebGL = useWebGL;
   3386           Browser.moduleContextCreatedCallbacks.forEach(function(callback) { callback() });
   3387           Browser.init();
   3388         }
   3389         return ctx;
   3390       },destroyContext:function (canvas, useWebGL, setInModule) {},fullScreenHandlersInstalled:false,lockPointer:undefined,resizeCanvas:undefined,requestFullScreen:function (lockPointer, resizeCanvas) {
   3391         this.lockPointer = lockPointer;
   3392         this.resizeCanvas = resizeCanvas;
   3393         if (typeof this.lockPointer === 'undefined') this.lockPointer = true;
   3394         if (typeof this.resizeCanvas === 'undefined') this.resizeCanvas = false;
   3395         var canvas = Module['canvas'];
   3396         function fullScreenChange() {
   3397           Browser.isFullScreen = false;
   3398           if ((document['webkitFullScreenElement'] || document['webkitFullscreenElement'] ||
   3399                document['mozFullScreenElement'] || document['mozFullscreenElement'] ||
   3400                document['fullScreenElement'] || document['fullscreenElement']) === canvas) {
   3401             canvas.cancelFullScreen = document['cancelFullScreen'] ||
   3402                                       document['mozCancelFullScreen'] ||
   3403                                       document['webkitCancelFullScreen'];
   3404             canvas.cancelFullScreen = canvas.cancelFullScreen.bind(document);
   3405             if (Browser.lockPointer) canvas.requestPointerLock();
   3406             Browser.isFullScreen = true;
   3407             if (Browser.resizeCanvas) Browser.setFullScreenCanvasSize();
   3408           } else if (Browser.resizeCanvas){
   3409             Browser.setWindowedCanvasSize();
   3410           }
   3411           if (Module['onFullScreen']) Module['onFullScreen'](Browser.isFullScreen);
   3412         }
   3413         if (!this.fullScreenHandlersInstalled) {
   3414           this.fullScreenHandlersInstalled = true;
   3415           document.addEventListener('fullscreenchange', fullScreenChange, false);
   3416           document.addEventListener('mozfullscreenchange', fullScreenChange, false);
   3417           document.addEventListener('webkitfullscreenchange', fullScreenChange, false);
   3418         }
   3419         canvas.requestFullScreen = canvas['requestFullScreen'] ||
   3420                                    canvas['mozRequestFullScreen'] ||
   3421                                    (canvas['webkitRequestFullScreen'] ? function() { canvas['webkitRequestFullScreen'](Element['ALLOW_KEYBOARD_INPUT']) } : null);
   3422         canvas.requestFullScreen(); 
   3423       },requestAnimationFrame:function (func) {
   3424         if (!window.requestAnimationFrame) {
   3425           window.requestAnimationFrame = window['requestAnimationFrame'] ||
   3426                                          window['mozRequestAnimationFrame'] ||
   3427                                          window['webkitRequestAnimationFrame'] ||
   3428                                          window['msRequestAnimationFrame'] ||
   3429                                          window['oRequestAnimationFrame'] ||
   3430                                          window['setTimeout'];
   3431         }
   3432         window.requestAnimationFrame(func);
   3433       },getMovementX:function (event) {
   3434         return event['movementX'] ||
   3435                event['mozMovementX'] ||
   3436                event['webkitMovementX'] ||
   3437                0;
   3438       },getMovementY:function (event) {
   3439         return event['movementY'] ||
   3440                event['mozMovementY'] ||
   3441                event['webkitMovementY'] ||
   3442                0;
   3443       },xhrLoad:function (url, onload, onerror) {
   3444         var xhr = new XMLHttpRequest();
   3445         xhr.open('GET', url, true);
   3446         xhr.responseType = 'arraybuffer';
   3447         xhr.onload = function() {
   3448           if (xhr.status == 200 || (xhr.status == 0 && xhr.response)) { // file URLs can return 0
   3449             onload(xhr.response);
   3450           } else {
   3451             onerror();
   3452           }
   3453         };
   3454         xhr.onerror = onerror;
   3455         xhr.send(null);
   3456       },asyncLoad:function (url, onload, onerror, noRunDep) {
   3457         Browser.xhrLoad(url, function(arrayBuffer) {
   3458           assert(arrayBuffer, 'Loading data file "' + url + '" failed (no arrayBuffer).');
   3459           onload(new Uint8Array(arrayBuffer));
   3460           if (!noRunDep) removeRunDependency('al ' + url);
   3461         }, function(event) {
   3462           if (onerror) {
   3463             onerror();
   3464           } else {
   3465             throw 'Loading data file "' + url + '" failed.';
   3466           }
   3467         });
   3468         if (!noRunDep) addRunDependency('al ' + url);
   3469       },resizeListeners:[],updateResizeListeners:function () {
   3470         var canvas = Module['canvas'];
   3471         Browser.resizeListeners.forEach(function(listener) {
   3472           listener(canvas.width, canvas.height);
   3473         });
   3474       },setCanvasSize:function (width, height, noUpdates) {
   3475         var canvas = Module['canvas'];
   3476         canvas.width = width;
   3477         canvas.height = height;
   3478         if (!noUpdates) Browser.updateResizeListeners();
   3479       },windowedWidth:0,windowedHeight:0,setFullScreenCanvasSize:function () {
   3480         var canvas = Module['canvas'];
   3481         this.windowedWidth = canvas.width;
   3482         this.windowedHeight = canvas.height;
   3483         canvas.width = screen.width;
   3484         canvas.height = screen.height;
   3485         var flags = HEAPU32[((SDL.screen+Runtime.QUANTUM_SIZE*0)>>2)];
   3486         flags = flags | 0x00800000; // set SDL_FULLSCREEN flag
   3487         HEAP32[((SDL.screen+Runtime.QUANTUM_SIZE*0)>>2)]=flags
   3488         Browser.updateResizeListeners();
   3489       },setWindowedCanvasSize:function () {
   3490         var canvas = Module['canvas'];
   3491         canvas.width = this.windowedWidth;
   3492         canvas.height = this.windowedHeight;
   3493         var flags = HEAPU32[((SDL.screen+Runtime.QUANTUM_SIZE*0)>>2)];
   3494         flags = flags & ~0x00800000; // clear SDL_FULLSCREEN flag
   3495         HEAP32[((SDL.screen+Runtime.QUANTUM_SIZE*0)>>2)]=flags
   3496         Browser.updateResizeListeners();
   3497       }};
   3498 __ATINIT__.unshift({ func: function() { if (!Module["noFSInit"] && !FS.init.initialized) FS.init() } });__ATMAIN__.push({ func: function() { FS.ignorePermissions = false } });__ATEXIT__.push({ func: function() { FS.quit() } });Module["FS_createFolder"] = FS.createFolder;Module["FS_createPath"] = FS.createPath;Module["FS_createDataFile"] = FS.createDataFile;Module["FS_createPreloadedFile"] = FS.createPreloadedFile;Module["FS_createLazyFile"] = FS.createLazyFile;Module["FS_createLink"] = FS.createLink;Module["FS_createDevice"] = FS.createDevice;
   3499 ___strtok_state = Runtime.staticAlloc(4);
   3500 _fgetc.ret = allocate([0], "i8", ALLOC_STATIC);
   3501 _fputc.ret = allocate([0], "i8", ALLOC_STATIC);
   3502 ___buildEnvironment(ENV);
   3503 Module["requestFullScreen"] = function(lockPointer, resizeCanvas) { Browser.requestFullScreen(lockPointer, resizeCanvas) };
   3504   Module["requestAnimationFrame"] = function(func) { Browser.requestAnimationFrame(func) };
   3505   Module["pauseMainLoop"] = function() { Browser.mainLoop.pause() };
   3506   Module["resumeMainLoop"] = function() { Browser.mainLoop.resume() };
   3507 STACK_BASE = STACKTOP = Runtime.alignMemory(STATICTOP);
   3508 staticSealed = true; // seal the static portion of memory
   3509 STACK_MAX = STACK_BASE + 5242880;
   3510 DYNAMIC_BASE = DYNAMICTOP = Runtime.alignMemory(STACK_MAX);
   3511 assert(DYNAMIC_BASE < TOTAL_MEMORY); // Stack must fit in TOTAL_MEMORY; allocations from here on may enlarge TOTAL_MEMORY
   3512 var Math_min = Math.min;
   3513 function invoke_iiiii(index,a1,a2,a3,a4) {
   3514   try {
   3515     return Module.dynCall_iiiii(index,a1,a2,a3,a4);
   3516   } catch(e) {
   3517     if (typeof e !== 'number' && e !== 'longjmp') throw e;
   3518     asm.setThrew(1, 0);
   3519   }
   3520 }
   3521 function invoke_viiiffi(index,a1,a2,a3,a4,a5,a6) {
   3522   try {
   3523     Module.dynCall_viiiffi(index,a1,a2,a3,a4,a5,a6);
   3524   } catch(e) {
   3525     if (typeof e !== 'number' && e !== 'longjmp') throw e;
   3526     asm.setThrew(1, 0);
   3527   }
   3528 }
   3529 function invoke_viiiii(index,a1,a2,a3,a4,a5) {
   3530   try {
   3531     Module.dynCall_viiiii(index,a1,a2,a3,a4,a5);
   3532   } catch(e) {
   3533     if (typeof e !== 'number' && e !== 'longjmp') throw e;
   3534     asm.setThrew(1, 0);
   3535   }
   3536 }
   3537 function invoke_vi(index,a1) {
   3538   try {
   3539     Module.dynCall_vi(index,a1);
   3540   } catch(e) {
   3541     if (typeof e !== 'number' && e !== 'longjmp') throw e;
   3542     asm.setThrew(1, 0);
   3543   }
   3544 }
   3545 function invoke_vii(index,a1,a2) {
   3546   try {
   3547     Module.dynCall_vii(index,a1,a2);
   3548   } catch(e) {
   3549     if (typeof e !== 'number' && e !== 'longjmp') throw e;
   3550     asm.setThrew(1, 0);
   3551   }
   3552 }
   3553 function invoke_ii(index,a1) {
   3554   try {
   3555     return Module.dynCall_ii(index,a1);
   3556   } catch(e) {
   3557     if (typeof e !== 'number' && e !== 'longjmp') throw e;
   3558     asm.setThrew(1, 0);
   3559   }
   3560 }
   3561 function invoke_iiii(index,a1,a2,a3) {
   3562   try {
   3563     return Module.dynCall_iiii(index,a1,a2,a3);
   3564   } catch(e) {
   3565     if (typeof e !== 'number' && e !== 'longjmp') throw e;
   3566     asm.setThrew(1, 0);
   3567   }
   3568 }
   3569 function invoke_viii(index,a1,a2,a3) {
   3570   try {
   3571     Module.dynCall_viii(index,a1,a2,a3);
   3572   } catch(e) {
   3573     if (typeof e !== 'number' && e !== 'longjmp') throw e;
   3574     asm.setThrew(1, 0);
   3575   }
   3576 }
   3577 function invoke_v(index) {
   3578   try {
   3579     Module.dynCall_v(index);
   3580   } catch(e) {
   3581     if (typeof e !== 'number' && e !== 'longjmp') throw e;
   3582     asm.setThrew(1, 0);
   3583   }
   3584 }
   3585 function invoke_viiiiiiiii(index,a1,a2,a3,a4,a5,a6,a7,a8,a9) {
   3586   try {
   3587     Module.dynCall_viiiiiiiii(index,a1,a2,a3,a4,a5,a6,a7,a8,a9);
   3588   } catch(e) {
   3589     if (typeof e !== 'number' && e !== 'longjmp') throw e;
   3590     asm.setThrew(1, 0);
   3591   }
   3592 }
   3593 function invoke_viiiiii(index,a1,a2,a3,a4,a5,a6) {
   3594   try {
   3595     Module.dynCall_viiiiii(index,a1,a2,a3,a4,a5,a6);
   3596   } catch(e) {
   3597     if (typeof e !== 'number' && e !== 'longjmp') throw e;
   3598     asm.setThrew(1, 0);
   3599   }
   3600 }
   3601 function invoke_iii(index,a1,a2) {
   3602   try {
   3603     return Module.dynCall_iii(index,a1,a2);
   3604   } catch(e) {
   3605     if (typeof e !== 'number' && e !== 'longjmp') throw e;
   3606     asm.setThrew(1, 0);
   3607   }
   3608 }
   3609 function invoke_iiiiii(index,a1,a2,a3,a4,a5) {
   3610   try {
   3611     return Module.dynCall_iiiiii(index,a1,a2,a3,a4,a5);
   3612   } catch(e) {
   3613     if (typeof e !== 'number' && e !== 'longjmp') throw e;
   3614     asm.setThrew(1, 0);
   3615   }
   3616 }
   3617 function invoke_viiii(index,a1,a2,a3,a4) {
   3618   try {
   3619     Module.dynCall_viiii(index,a1,a2,a3,a4);
   3620   } catch(e) {
   3621     if (typeof e !== 'number' && e !== 'longjmp') throw e;
   3622     asm.setThrew(1, 0);
   3623   }
   3624 }
   3625 function asmPrintInt(x, y) {
   3626   Module.print('int ' + x + ',' + y);// + ' ' + new Error().stack);
   3627 }
   3628 function asmPrintFloat(x, y) {
   3629   Module.print('float ' + x + ',' + y);// + ' ' + new Error().stack);
   3630 }
   3631 // EMSCRIPTEN_START_ASM
   3632 var asm = (function(global, env, buffer) {
   3633   'use asm';
   3634   var HEAP8 = new global.Int8Array(buffer);
   3635   var HEAP16 = new global.Int16Array(buffer);
   3636   var HEAP32 = new global.Int32Array(buffer);
   3637   var HEAPU8 = new global.Uint8Array(buffer);
   3638   var HEAPU16 = new global.Uint16Array(buffer);
   3639   var HEAPU32 = new global.Uint32Array(buffer);
   3640   var HEAPF32 = new global.Float32Array(buffer);
   3641   var HEAPF64 = new global.Float64Array(buffer);
   3642   var STACKTOP=env.STACKTOP|0;
   3643   var STACK_MAX=env.STACK_MAX|0;
   3644   var tempDoublePtr=env.tempDoublePtr|0;
   3645   var ABORT=env.ABORT|0;
   3646   var _stdout=env._stdout|0;
   3647   var _stderr=env._stderr|0;
   3648   var NaN=+env.NaN;
   3649   var Infinity=+env.Infinity;
   3650   var __THREW__ = 0;
   3651   var threwValue = 0;
   3652   var setjmpId = 0;
   3653   var undef = 0;
   3654   var tempInt = 0, tempBigInt = 0, tempBigIntP = 0, tempBigIntS = 0, tempBigIntR = 0.0, tempBigIntI = 0, tempBigIntD = 0, tempValue = 0, tempDouble = 0.0;
   3655   var tempRet0 = 0;
   3656   var tempRet1 = 0;
   3657   var tempRet2 = 0;
   3658   var tempRet3 = 0;
   3659   var tempRet4 = 0;
   3660   var tempRet5 = 0;
   3661   var tempRet6 = 0;
   3662   var tempRet7 = 0;
   3663   var tempRet8 = 0;
   3664   var tempRet9 = 0;
   3665   var Math_floor=global.Math.floor;
   3666   var Math_abs=global.Math.abs;
   3667   var Math_sqrt=global.Math.sqrt;
   3668   var Math_pow=global.Math.pow;
   3669   var Math_cos=global.Math.cos;
   3670   var Math_sin=global.Math.sin;
   3671   var Math_tan=global.Math.tan;
   3672   var Math_acos=global.Math.acos;
   3673   var Math_asin=global.Math.asin;
   3674   var Math_atan=global.Math.atan;
   3675   var Math_atan2=global.Math.atan2;
   3676   var Math_exp=global.Math.exp;
   3677   var Math_log=global.Math.log;
   3678   var Math_ceil=global.Math.ceil;
   3679   var Math_imul=global.Math.imul;
   3680   var abort=env.abort;
   3681   var assert=env.assert;
   3682   var asmPrintInt=env.asmPrintInt;
   3683   var asmPrintFloat=env.asmPrintFloat;
   3684   var copyTempDouble=env.copyTempDouble;
   3685   var copyTempFloat=env.copyTempFloat;
   3686   var Math_min=env.min;
   3687   var invoke_iiiii=env.invoke_iiiii;
   3688   var invoke_viiiffi=env.invoke_viiiffi;
   3689   var invoke_viiiii=env.invoke_viiiii;
   3690   var invoke_vi=env.invoke_vi;
   3691   var invoke_vii=env.invoke_vii;
   3692   var invoke_ii=env.invoke_ii;
   3693   var invoke_iiii=env.invoke_iiii;
   3694   var invoke_viii=env.invoke_viii;
   3695   var invoke_v=env.invoke_v;
   3696   var invoke_viiiiiiiii=env.invoke_viiiiiiiii;
   3697   var invoke_viiiiii=env.invoke_viiiiii;
   3698   var invoke_iii=env.invoke_iii;
   3699   var invoke_iiiiii=env.invoke_iiiiii;
   3700   var invoke_viiii=env.invoke_viiii;
   3701   var _lseek=env._lseek;
   3702   var __scanString=env.__scanString;
   3703   var _fclose=env._fclose;
   3704   var __isFloat=env.__isFloat;
   3705   var _fflush=env._fflush;
   3706   var _strtol=env._strtol;
   3707   var _fputc=env._fputc;
   3708   var _strtok=env._strtok;
   3709   var _fwrite=env._fwrite;
   3710   var _tmpnam=env._tmpnam;
   3711   var _isspace=env._isspace;
   3712   var _read=env._read;
   3713   var _ceil=env._ceil;
   3714   var _fileno=env._fileno;
   3715   var _strstr=env._strstr;
   3716   var _fsync=env._fsync;
   3717   var _strcmp=env._strcmp;
   3718   var _strncmp=env._strncmp;
   3719   var _tmpfile=env._tmpfile;
   3720   var _snprintf=env._snprintf;
   3721   var _fgetc=env._fgetc;
   3722   var _hypot=env._hypot;
   3723   var _fgets=env._fgets;
   3724   var _close=env._close;
   3725   var _strchr=env._strchr;
   3726   var ___setErrNo=env.___setErrNo;
   3727   var _access=env._access;
   3728   var _ftell=env._ftell;
   3729   var _exit=env._exit;
   3730   var _sprintf=env._sprintf;
   3731   var _strrchr=env._strrchr;
   3732   var _ferror=env._ferror;
   3733   var _cos=env._cos;
   3734   var _putenv=env._putenv;
   3735   var _times=env._times;
   3736   var _bsearch=env._bsearch;
   3737   var _islower=env._islower;
   3738   var __exit=env.__exit;
   3739   var _isupper=env._isupper;
   3740   var _rand=env._rand;
   3741   var _setlocale=env._setlocale;
   3742   var _bcopy=env._bcopy;
   3743   var _toupper=env._toupper;
   3744   var _pread=env._pread;
   3745   var _fopen=env._fopen;
   3746   var _open=env._open;
   3747   var _isalnum=env._isalnum;
   3748   var _qsort=env._qsort;
   3749   var _isalpha=env._isalpha;
   3750   var _strdup=env._strdup;
   3751   var _srand=env._srand;
   3752   var __formatString=env.__formatString;
   3753   var _getenv=env._getenv;
   3754   var _atoi=env._atoi;
   3755   var _vfprintf=env._vfprintf;
   3756   var _llvm_pow_f64=env._llvm_pow_f64;
   3757   var _sbrk=env._sbrk;
   3758   var ___errno_location=env.___errno_location;
   3759   var _strerror=env._strerror;
   3760   var _fstat=env._fstat;
   3761   var __parseInt=env.__parseInt;
   3762   var _freeXDot=env._freeXDot;
   3763   var _vsprintf=env._vsprintf;
   3764   var _vsnprintf=env._vsnprintf;
   3765   var _sscanf=env._sscanf;
   3766   var _sysconf=env._sysconf;
   3767   var _fread=env._fread;
   3768   var _strtok_r=env._strtok_r;
   3769   var _abort=env._abort;
   3770   var _fprintf=env._fprintf;
   3771   var ___buildEnvironment=env.___buildEnvironment;
   3772   var _feof=env._feof;
   3773   var _statXDot=env._statXDot;
   3774   var _ispunct=env._ispunct;
   3775   var _fabs=env._fabs;
   3776   var __reallyNegative=env.__reallyNegative;
   3777   var _fseek=env._fseek;
   3778   var _sqrt=env._sqrt;
   3779   var _write=env._write;
   3780   var _sin=env._sin;
   3781   var _stat=env._stat;
   3782   var _parseXDotF=env._parseXDotF;
   3783   var _llvm_va_end=env._llvm_va_end;
   3784   var ___assert_func=env.___assert_func;
   3785   var _pwrite=env._pwrite;
   3786   var _strerror_r=env._strerror_r;
   3787   var _iscntrl=env._iscntrl;
   3788   var _atan2=env._atan2;
   3789   var _time=env._time;
   3790 // EMSCRIPTEN_START_FUNCS
   3791 function stackAlloc(size) {
   3792   size = size | 0;
   3793   var ret = 0;
   3794   ret = STACKTOP;
   3795   STACKTOP = STACKTOP + size | 0;
   3796   STACKTOP = STACKTOP + 7 >> 3 << 3;
   3797   return ret | 0;
   3798 }
   3799 function stackSave() {
   3800   return STACKTOP | 0;
   3801 }
   3802 function stackRestore(top) {
   3803   top = top | 0;
   3804   STACKTOP = top;
   3805 }
   3806 function setThrew(threw, value) {
   3807   threw = threw | 0;
   3808   value = value | 0;
   3809   if ((__THREW__ | 0) == 0) {
   3810     __THREW__ = threw;
   3811     threwValue = value;
   3812   }
   3813 }
   3814 function setTempRet0(value) {
   3815   value = value | 0;
   3816   tempRet0 = value;
   3817 }
   3818 function setTempRet1(value) {
   3819   value = value | 0;
   3820   tempRet1 = value;
   3821 }
   3822 function setTempRet2(value) {
   3823   value = value | 0;
   3824   tempRet2 = value;
   3825 }
   3826 function setTempRet3(value) {
   3827   value = value | 0;
   3828   tempRet3 = value;
   3829 }
   3830 function setTempRet4(value) {
   3831   value = value | 0;
   3832   tempRet4 = value;
   3833 }
   3834 function setTempRet5(value) {
   3835   value = value | 0;
   3836   tempRet5 = value;
   3837 }
   3838 function setTempRet6(value) {
   3839   value = value | 0;
   3840   tempRet6 = value;
   3841 }
   3842 function setTempRet7(value) {
   3843   value = value | 0;
   3844   tempRet7 = value;
   3845 }
   3846 function setTempRet8(value) {
   3847   value = value | 0;
   3848   tempRet8 = value;
   3849 }
   3850 function setTempRet9(value) {
   3851   value = value | 0;
   3852   tempRet9 = value;
   3853 }
   3854 function _dtflatten($dt) {
   3855   $dt = $dt | 0;
   3856   var $data = 0, $0 = 0, $type = 0, $1 = 0, $and = 0, $tobool = 0, $here = 0, $2 = 0, $and4 = 0, $tobool5 = 0, $_htab = 0, $3 = 0, $ntab = 0, $4 = 0, $add_ptr = 0, $cmp46 = 0, $s_049 = 0, $last_048 = 0, $list_047 = 0, $5 = 0, $tobool9 = 0, $tobool11 = 0, $right = 0, $list_1_ph = 0, $last_1_ph = 0, $last_1 = 0, $right14 = 0, $6 = 0, $tobool15 = 0, $list_2 = 0, $last_2 = 0, $incdec_ptr = 0, $cmp = 0, $and21 = 0, $tobool22 = 0, $hh25 = 0, $_head = 0, $7 = 0, $here28 = 0, $8 = 0, $tobool29 = 0, $hl40 = 0, $_left41 = 0, $9 = 0, $tobool3242 = 0, $r_0_lcssa = 0, $r_1_in35 = 0, $r_136 = 0, $tobool4137 = 0, $10 = 0, $hl44 = 0, $r_043 = 0, $right34 = 0, $11 = 0, $12 = 0, $_c34 = 0, $hl = 0, $_left = 0, $13 = 0, $tobool32 = 0, $r_139 = 0, $r_1_in38 = 0, $hl43 = 0, $_left44 = 0, $14 = 0, $tobool45 = 0, $r_0_pn_be = 0, $r_1_in = 0, $r_1 = 0, $tobool41 = 0, $t_0 = 0, $r_2 = 0, $right47 = 0, $15 = 0, $16 = 0, $_c = 0, $hl51 = 0, $_left52 = 0, $17 = 0, $tobool53 = 0, $list_3 = 0, $18 = 0, $here63 = 0, $19 = 0, $type65 = 0, $20 = 0, $or = 0, $retval_0 = 0, label = 0;
   3857   label = 2;
   3858   while (1) switch (label | 0) {
   3859    case 2:
   3860     $data = $dt + 8 | 0;
   3861     $0 = HEAP32[$data >> 2] | 0;
   3862     $type = $0 | 0;
   3863     $1 = HEAP32[$type >> 2] | 0;
   3864     $and = $1 & 4096;
   3865     $tobool = ($and | 0) == 0;
   3866     if ($tobool) {
   3867       label = 4;
   3868       break;
   3869     } else {
   3870       label = 3;
   3871       break;
   3872     }
   3873    case 3:
   3874     $here = $0 + 4 | 0;
   3875     $2 = HEAP32[$here >> 2] | 0;
   3876     $retval_0 = $2;
   3877     label = 24;
   3878     break;
   3879    case 4:
   3880     $and4 = $1 & 3;
   3881     $tobool5 = ($and4 | 0) == 0;
   3882     if ($tobool5) {
   3883       label = 13;
   3884       break;
   3885     } else {
   3886       label = 5;
   3887       break;
   3888     }
   3889    case 5:
   3890     $_htab = $0 + 8 | 0;
   3891     $3 = HEAP32[$_htab >> 2] | 0;
   3892     $ntab = $0 + 12 | 0;
   3893     $4 = HEAP32[$ntab >> 2] | 0;
   3894     $add_ptr = $3 + ($4 << 2) | 0;
   3895     $cmp46 = ($4 | 0) > 0;
   3896     if ($cmp46) {
   3897       $list_047 = 0;
   3898       $last_048 = 0;
   3899       $s_049 = $3;
   3900       label = 6;
   3901       break;
   3902     } else {
   3903       $list_3 = 0;
   3904       label = 23;
   3905       break;
   3906     }
   3907    case 6:
   3908     $5 = HEAP32[$s_049 >> 2] | 0;
   3909     $tobool9 = ($5 | 0) == 0;
   3910     if ($tobool9) {
   3911       $last_2 = $last_048;
   3912       $list_2 = $list_047;
   3913       label = 12;
   3914       break;
   3915     } else {
   3916       label = 7;
   3917       break;
   3918     }
   3919    case 7:
   3920     $tobool11 = ($last_048 | 0) == 0;
   3921     if ($tobool11) {
   3922       $last_1_ph = $5;
   3923       $list_1_ph = $5;
   3924       label = 9;
   3925       break;
   3926     } else {
   3927       label = 8;
   3928       break;
   3929     }
   3930    case 8:
   3931     $right = $last_048 | 0;
   3932     HEAP32[$right >> 2] = $5;
   3933     $last_1_ph = $last_048;
   3934     $list_1_ph = $list_047;
   3935     label = 9;
   3936     break;
   3937    case 9:
   3938     $last_1 = $last_1_ph;
   3939     label = 10;
   3940     break;
   3941    case 10:
   3942     $right14 = $last_1 | 0;
   3943     $6 = HEAP32[$right14 >> 2] | 0;
   3944     $tobool15 = ($6 | 0) == 0;
   3945     if ($tobool15) {
   3946       label = 11;
   3947       break;
   3948     } else {
   3949       $last_1 = $6;
   3950       label = 10;
   3951       break;
   3952     }
   3953    case 11:
   3954     HEAP32[$s_049 >> 2] = $last_1;
   3955     $last_2 = $last_1;
   3956     $list_2 = $list_1_ph;
   3957     label = 12;
   3958     break;
   3959    case 12:
   3960     $incdec_ptr = $s_049 + 4 | 0;
   3961     $cmp = $incdec_ptr >>> 0 < $add_ptr >>> 0;
   3962     if ($cmp) {
   3963       $list_047 = $list_2;
   3964       $last_048 = $last_2;
   3965       $s_049 = $incdec_ptr;
   3966       label = 6;
   3967       break;
   3968     } else {
   3969       $list_3 = $list_2;
   3970       label = 23;
   3971       break;
   3972     }
   3973    case 13:
   3974     $and21 = $1 & 112;
   3975     $tobool22 = ($and21 | 0) == 0;
   3976     if ($tobool22) {
   3977       label = 15;
   3978       break;
   3979     } else {
   3980       label = 14;
   3981       break;
   3982     }
   3983    case 14:
   3984     $hh25 = $0 + 8 | 0;
   3985     $_head = $hh25;
   3986     $7 = HEAP32[$_head >> 2] | 0;
   3987     $list_3 = $7;
   3988     label = 23;
   3989     break;
   3990    case 15:
   3991     $here28 = $0 + 4 | 0;
   3992     $8 = HEAP32[$here28 >> 2] | 0;
   3993     $tobool29 = ($8 | 0) == 0;
   3994     if ($tobool29) {
   3995       $list_3 = 0;
   3996       label = 23;
   3997       break;
   3998     } else {
   3999       label = 16;
   4000       break;
   4001     }
   4002    case 16:
   4003     $hl40 = $8 + 4 | 0;
   4004     $_left41 = $hl40;
   4005     $9 = HEAP32[$_left41 >> 2] | 0;
   4006     $tobool3242 = ($9 | 0) == 0;
   4007     if ($tobool3242) {
   4008       $r_0_lcssa = $8;
   4009       label = 17;
   4010       break;
   4011     } else {
   4012       $r_043 = $8;
   4013       $hl44 = $hl40;
   4014       $10 = $9;
   4015       label = 18;
   4016       break;
   4017     }
   4018    case 17:
   4019     $r_1_in35 = $r_0_lcssa | 0;
   4020     $r_136 = HEAP32[$r_1_in35 >> 2] | 0;
   4021     $tobool4137 = ($r_136 | 0) == 0;
   4022     if ($tobool4137) {
   4023       $list_3 = $r_0_lcssa;
   4024       label = 23;
   4025       break;
   4026     } else {
   4027       $r_1_in38 = $r_1_in35;
   4028       $r_139 = $r_136;
   4029       label = 19;
   4030       break;
   4031     }
   4032    case 18:
   4033     $right34 = $10 | 0;
   4034     $11 = HEAP32[$right34 >> 2] | 0;
   4035     $12 = $hl44 | 0;
   4036     $_c34 = $11;
   4037     HEAP32[$12 >> 2] = $_c34;
   4038     HEAP32[$right34 >> 2] = $r_043;
   4039     $hl = $10 + 4 | 0;
   4040     $_left = $hl;
   4041     $13 = HEAP32[$_left >> 2] | 0;
   4042     $tobool32 = ($13 | 0) == 0;
   4043     if ($tobool32) {
   4044       $r_0_lcssa = $10;
   4045       label = 17;
   4046       break;
   4047     } else {
   4048       $r_043 = $10;
   4049       $hl44 = $hl;
   4050       $10 = $13;
   4051       label = 18;
   4052       break;
   4053     }
   4054    case 19:
   4055     $hl43 = $r_139 + 4 | 0;
   4056     $_left44 = $hl43;
   4057     $14 = HEAP32[$_left44 >> 2] | 0;
   4058     $tobool45 = ($14 | 0) == 0;
   4059     if ($tobool45) {
   4060       $r_0_pn_be = $r_139;
   4061       label = 20;
   4062       break;
   4063     } else {
   4064       $r_2 = $r_139;
   4065       $t_0 = $14;
   4066       label = 21;
   4067       break;
   4068     }
   4069    case 20:
   4070     $r_1_in = $r_0_pn_be | 0;
   4071     $r_1 = HEAP32[$r_1_in >> 2] | 0;
   4072     $tobool41 = ($r_1 | 0) == 0;
   4073     if ($tobool41) {
   4074       $list_3 = $r_0_lcssa;
   4075       label = 23;
   4076       break;
   4077     } else {
   4078       $r_1_in38 = $r_1_in;
   4079       $r_139 = $r_1;
   4080       label = 19;
   4081       break;
   4082     }
   4083    case 21:
   4084     $right47 = $t_0 | 0;
   4085     $15 = HEAP32[$right47 >> 2] | 0;
   4086     $16 = $r_2 + 4 | 0;
   4087     $_c = $15;
   4088     HEAP32[$16 >> 2] = $_c;
   4089     HEAP32[$right47 >> 2] = $r_2;
   4090     $hl51 = $t_0 + 4 | 0;
   4091     $_left52 = $hl51;
   4092     $17 = HEAP32[$_left52 >> 2] | 0;
   4093     $tobool53 = ($17 | 0) == 0;
   4094     if ($tobool53) {
   4095       label = 22;
   4096       break;
   4097     } else {
   4098       $r_2 = $t_0;
   4099       $t_0 = $17;
   4100       label = 21;
   4101       break;
   4102     }
   4103    case 22:
   4104     HEAP32[$r_1_in38 >> 2] = $t_0;
   4105     $r_0_pn_be = $t_0;
   4106     label = 20;
   4107     break;
   4108    case 23:
   4109     $18 = HEAP32[$data >> 2] | 0;
   4110     $here63 = $18 + 4 | 0;
   4111     HEAP32[$here63 >> 2] = $list_3;
   4112     $19 = HEAP32[$data >> 2] | 0;
   4113     $type65 = $19 | 0;
   4114     $20 = HEAP32[$type65 >> 2] | 0;
   4115     $or = $20 | 4096;
   4116     HEAP32[$type65 >> 2] = $or;
   4117     $retval_0 = $list_3;
   4118     label = 24;
   4119     break;
   4120    case 24:
   4121     return $retval_0 | 0;
   4122   }
   4123   return 0;
   4124 }
   4125 function _vizRenderFromString($string, $format) {
   4126   $string = $string | 0;
   4127   $format = $format | 0;
   4128   var $call = 0, $call1 = 0, $0 = 0;
   4129   $call = _gvContext() | 0;
   4130   _gvAddLibrary($call, 14400);
   4131   _gvAddLibrary($call, 14392);
   4132   $call1 = _agmemread($string) | 0;
   4133   $0 = $call1;
   4134   _gvLayout($call, $0, 163256);
   4135   _gvRender($call, $0, $format, HEAP32[_stdout >> 2] | 0);
   4136   _gvFreeLayout(0, $0);
   4137   _agclose($call1);
   4138   _gvFreeContext($call);
   4139   return;
   4140 }
   4141 function _dtclose($dt) {
   4142   $dt = $dt | 0;
   4143   var $tobool = 0, $nview = 0, $0 = 0, $cmp = 0, $disc1 = 0, $1 = 0, $eventf = 0, $2 = 0, $tobool2 = 0, $call = 0, $cmp4 = 0, $ev_0 = 0, $view = 0, $3 = 0, $tobool7 = 0, $call9 = 0, $cmp11 = 0, $meth = 0, $4 = 0, $searchf = 0, $5 = 0, $call13 = 0, $call14 = 0, $cmp15 = 0, $data = 0, $6 = 0, $ntab = 0, $7 = 0, $cmp18 = 0, $memoryf = 0, $8 = 0, $_htab = 0, $9 = 0, $10 = 0, $call21 = 0, $memoryf23 = 0, $11 = 0, $12 = 0, $13 = 0, $call25 = 0, $type = 0, $14 = 0, $cmp27 = 0, $15 = 0, $cmp32 = 0, $or_cond = 0, $memoryf34 = 0, $16 = 0, $17 = 0, $call35 = 0, $18 = 0, $tobool39 = 0, $call42 = 0, $retval_0 = 0, label = 0;
   4144   label = 2;
   4145   while (1) switch (label | 0) {
   4146    case 2:
   4147     $tobool = ($dt | 0) == 0;
   4148     if ($tobool) {
   4149       $retval_0 = -1;
   4150       label = 19;
   4151       break;
   4152     } else {
   4153       label = 3;
   4154       break;
   4155     }
   4156    case 3:
   4157     $nview = $dt + 24 | 0;
   4158     $0 = HEAP32[$nview >> 2] | 0;
   4159     $cmp = ($0 | 0) > 0;
   4160     if ($cmp) {
   4161       $retval_0 = -1;
   4162       label = 19;
   4163       break;
   4164     } else {
   4165       label = 4;
   4166       break;
   4167     }
   4168    case 4:
   4169     $disc1 = $dt + 4 | 0;
   4170     $1 = HEAP32[$disc1 >> 2] | 0;
   4171     $eventf = $1 + 32 | 0;
   4172     $2 = HEAP32[$eventf >> 2] | 0;
   4173     $tobool2 = ($2 | 0) == 0;
   4174     if ($tobool2) {
   4175       $ev_0 = 0;
   4176       label = 6;
   4177       break;
   4178     } else {
   4179       label = 5;
   4180       break;
   4181     }
   4182    case 5:
   4183     $call = FUNCTION_TABLE_iiiii[$2 & 1023]($dt, 2, 0, $1) | 0;
   4184     $cmp4 = ($call | 0) < 0;
   4185     if ($cmp4) {
   4186       $retval_0 = -1;
   4187       label = 19;
   4188       break;
   4189     } else {
   4190       $ev_0 = $call;
   4191       label = 6;
   4192       break;
   4193     }
   4194    case 6:
   4195     $view = $dt + 28 | 0;
   4196     $3 = HEAP32[$view >> 2] | 0;
   4197     $tobool7 = ($3 | 0) == 0;
   4198     if ($tobool7) {
   4199       label = 8;
   4200       break;
   4201     } else {
   4202       label = 7;
   4203       break;
   4204     }
   4205    case 7:
   4206     $call9 = _dtview($dt, 0) | 0;
   4207     label = 8;
   4208     break;
   4209    case 8:
   4210     $cmp11 = ($ev_0 | 0) == 0;
   4211     if ($cmp11) {
   4212       label = 9;
   4213       break;
   4214     } else {
   4215       label = 13;
   4216       break;
   4217     }
   4218    case 9:
   4219     $meth = $dt + 16 | 0;
   4220     $4 = HEAP32[$meth >> 2] | 0;
   4221     $searchf = $4 | 0;
   4222     $5 = HEAP32[$searchf >> 2] | 0;
   4223     $call13 = FUNCTION_TABLE_iiii[$5 & 1023]($dt, 0, 64) | 0;
   4224     $call14 = _dtsize($dt) | 0;
   4225     $cmp15 = ($call14 | 0) > 0;
   4226     if ($cmp15) {
   4227       $retval_0 = -1;
   4228       label = 19;
   4229       break;
   4230     } else {
   4231       label = 10;
   4232       break;
   4233     }
   4234    case 10:
   4235     $data = $dt + 8 | 0;
   4236     $6 = HEAP32[$data >> 2] | 0;
   4237     $ntab = $6 + 12 | 0;
   4238     $7 = HEAP32[$ntab >> 2] | 0;
   4239     $cmp18 = ($7 | 0) > 0;
   4240     if ($cmp18) {
   4241       label = 11;
   4242       break;
   4243     } else {
   4244       label = 12;
   4245       break;
   4246     }
   4247    case 11:
   4248     $memoryf = $dt + 12 | 0;
   4249     $8 = HEAP32[$memoryf >> 2] | 0;
   4250     $_htab = $6 + 8 | 0;
   4251     $9 = HEAP32[$_htab >> 2] | 0;
   4252     $10 = $9;
   4253     $call21 = FUNCTION_TABLE_iiiii[$8 & 1023]($dt, $10, 0, $1) | 0;
   4254     label = 12;
   4255     break;
   4256    case 12:
   4257     $memoryf23 = $dt + 12 | 0;
   4258     $11 = HEAP32[$memoryf23 >> 2] | 0;
   4259     $12 = HEAP32[$data >> 2] | 0;
   4260     $13 = $12;
   4261     $call25 = FUNCTION_TABLE_iiiii[$11 & 1023]($dt, $13, 0, $1) | 0;
   4262     label = 13;
   4263     break;
   4264    case 13:
   4265     $type = $dt + 20 | 0;
   4266     $14 = HEAP32[$type >> 2] | 0;
   4267     $cmp27 = ($14 | 0) == 0;
   4268     if ($cmp27) {
   4269       label = 14;
   4270       break;
   4271     } else {
   4272       label = 15;
   4273       break;
   4274     }
   4275    case 14:
   4276     $15 = $dt;
   4277     _free($15);
   4278     label = 17;
   4279     break;
   4280    case 15:
   4281     $cmp32 = ($14 | 0) == 1;
   4282     $or_cond = $cmp11 & $cmp32;
   4283     if ($or_cond) {
   4284       label = 16;
   4285       break;
   4286     } else {
   4287       label = 17;
   4288       break;
   4289     }
   4290    case 16:
   4291     $memoryf34 = $dt + 12 | 0;
   4292     $16 = HEAP32[$memoryf34 >> 2] | 0;
   4293     $17 = $dt;
   4294     $call35 = FUNCTION_TABLE_iiiii[$16 & 1023]($dt, $17, 0, $1) | 0;
   4295     label = 17;
   4296     break;
   4297    case 17:
   4298     $18 = HEAP32[$eventf >> 2] | 0;
   4299     $tobool39 = ($18 | 0) == 0;
   4300     if ($tobool39) {
   4301       $retval_0 = 0;
   4302       label = 19;
   4303       break;
   4304     } else {
   4305       label = 18;
   4306       break;
   4307     }
   4308    case 18:
   4309     $call42 = FUNCTION_TABLE_iiiii[$18 & 1023]($dt, 6, 0, $1) | 0;
   4310     $retval_0 = 0;
   4311     label = 19;
   4312     break;
   4313    case 19:
   4314     return $retval_0 | 0;
   4315   }
   4316   return 0;
   4317 }
   4318 function _dtdisc($dt, $disc, $type) {
   4319   $dt = $dt | 0;
   4320   $disc = $disc | 0;
   4321   $type = $type | 0;
   4322   var $disc1 = 0, $0 = 0, $tobool = 0, $memoryf = 0, $1 = 0, $memoryf3 = 0, $tobool4 = 0, $dtmemory_ = 0, $tobool8 = 0, $meth = 0, $2 = 0, $searchf11 = 0, $3 = 0, $data = 0, $4 = 0, $type12 = 0, $5 = 0, $and = 0, $tobool13 = 0, $call = 0, $eventf = 0, $6 = 0, $tobool14 = 0, $7 = 0, $call16 = 0, $cmp = 0, $memoryf20 = 0, $8 = 0, $memoryf21 = 0, $tobool22 = 0, $dtmemory_51 = 0, $9 = 0, $type27 = 0, $10 = 0, $and28 = 0, $tobool29 = 0, $and33 = 0, $tobool34 = 0, $and36 = 0, $tobool37 = 0, $and43 = 0, $tobool44 = 0, $11 = 0, $12 = 0, $and54 = 0, $tobool55 = 0, $call58 = 0, $13 = 0, $type60 = 0, $14 = 0, $and61 = 0, $15 = 0, $here = 0, $16 = 0, $size = 0, $17 = 0, $type65 = 0, $18 = 0, $and66 = 0, $tobool67 = 0, $tobool7452 = 0, $and76 = 0, $tobool77 = 0, $link = 0, $size86 = 0, $key = 0, $hashf = 0, $_htab = 0, $19 = 0, $ntab = 0, $20 = 0, $add_ptr = 0, $cmp7155 = 0, $s_056 = 0, $incdec_ptr = 0, $cmp71 = 0, $r_053 = 0, $right = 0, $21 = 0, $22 = 0, $cmp79 = 0, $obj = 0, $23 = 0, $24 = 0, $25 = 0, $idx_neg = 0, $add_ptr83 = 0, $cond85 = 0, $26 = 0, $cmp87 = 0, $27 = 0, $add_ptr89 = 0, $28 = 0, $29 = 0, $cond94 = 0, $30 = 0, $tobool95 = 0, $call98 = 0, $31 = 0, $call101 = 0, $cond103 = 0, $_hash = 0, $32 = 0, $call105 = 0, $tobool74 = 0, $retval_0 = 0, label = 0;
   4323   label = 2;
   4324   while (1) switch (label | 0) {
   4325    case 2:
   4326     $disc1 = $dt + 4 | 0;
   4327     $0 = HEAP32[$disc1 >> 2] | 0;
   4328     $tobool = ($0 | 0) == 0;
   4329     if ($tobool) {
   4330       label = 3;
   4331       break;
   4332     } else {
   4333       label = 4;
   4334       break;
   4335     }
   4336    case 3:
   4337     HEAP32[$disc1 >> 2] = $disc;
   4338     $memoryf = $disc + 28 | 0;
   4339     $1 = HEAP32[$memoryf >> 2] | 0;
   4340     $memoryf3 = $dt + 12 | 0;
   4341     $tobool4 = ($1 | 0) == 0;
   4342     $dtmemory_ = $tobool4 ? 238 : $1;
   4343     HEAP32[$memoryf3 >> 2] = $dtmemory_;
   4344     $retval_0 = $disc;
   4345     label = 31;
   4346     break;
   4347    case 4:
   4348     $tobool8 = ($disc | 0) == 0;
   4349     if ($tobool8) {
   4350       $retval_0 = $0;
   4351       label = 31;
   4352       break;
   4353     } else {
   4354       label = 5;
   4355       break;
   4356     }
   4357    case 5:
   4358     $meth = $dt + 16 | 0;
   4359     $2 = HEAP32[$meth >> 2] | 0;
   4360     $searchf11 = $2 | 0;
   4361     $3 = HEAP32[$searchf11 >> 2] | 0;
   4362     $data = $dt + 8 | 0;
   4363     $4 = HEAP32[$data >> 2] | 0;
   4364     $type12 = $4 | 0;
   4365     $5 = HEAP32[$type12 >> 2] | 0;
   4366     $and = $5 & 4096;
   4367     $tobool13 = ($and | 0) == 0;
   4368     if ($tobool13) {
   4369       label = 7;
   4370       break;
   4371     } else {
   4372       label = 6;
   4373       break;
   4374     }
   4375    case 6:
   4376     $call = _dtrestore($dt, 0) | 0;
   4377     label = 7;
   4378     break;
   4379    case 7:
   4380     $eventf = $0 + 32 | 0;
   4381     $6 = HEAP32[$eventf >> 2] | 0;
   4382     $tobool14 = ($6 | 0) == 0;
   4383     if ($tobool14) {
   4384       label = 9;
   4385       break;
   4386     } else {
   4387       label = 8;
   4388       break;
   4389     }
   4390    case 8:
   4391     $7 = $disc;
   4392     $call16 = FUNCTION_TABLE_iiiii[$6 & 1023]($dt, 3, $7, $0) | 0;
   4393     $cmp = ($call16 | 0) < 0;
   4394     if ($cmp) {
   4395       $retval_0 = 0;
   4396       label = 31;
   4397       break;
   4398     } else {
   4399       label = 9;
   4400       break;
   4401     }
   4402    case 9:
   4403     HEAP32[$disc1 >> 2] = $disc;
   4404     $memoryf20 = $disc + 28 | 0;
   4405     $8 = HEAP32[$memoryf20 >> 2] | 0;
   4406     $memoryf21 = $dt + 12 | 0;
   4407     $tobool22 = ($8 | 0) == 0;
   4408     $dtmemory_51 = $tobool22 ? 238 : $8;
   4409     HEAP32[$memoryf21 >> 2] = $dtmemory_51;
   4410     $9 = HEAP32[$data >> 2] | 0;
   4411     $type27 = $9 | 0;
   4412     $10 = HEAP32[$type27 >> 2] | 0;
   4413     $and28 = $10 & 112;
   4414     $tobool29 = ($and28 | 0) == 0;
   4415     if ($tobool29) {
   4416       label = 10;
   4417       break;
   4418     } else {
   4419       $retval_0 = $0;
   4420       label = 31;
   4421       break;
   4422     }
   4423    case 10:
   4424     $and33 = $10 & 2;
   4425     $tobool34 = ($and33 | 0) == 0;
   4426     if ($tobool34) {
   4427       label = 12;
   4428       break;
   4429     } else {
   4430       label = 11;
   4431       break;
   4432     }
   4433    case 11:
   4434     $and36 = $type & 2;
   4435     $tobool37 = ($and36 | 0) == 0;
   4436     if ($tobool37) {
   4437       label = 15;
   4438       break;
   4439     } else {
   4440       $retval_0 = $0;
   4441       label = 31;
   4442       break;
   4443     }
   4444    case 12:
   4445     $and43 = $10 & 3;
   4446     $tobool44 = ($and43 | 0) == 0;
   4447     if ($tobool44) {
   4448       label = 14;
   4449       break;
   4450     } else {
   4451       label = 13;
   4452       break;
   4453     }
   4454    case 13:
   4455     $11 = $type & 3;
   4456     $12 = ($11 | 0) == 3;
   4457     if ($12) {
   4458       $retval_0 = $0;
   4459       label = 31;
   4460       break;
   4461     } else {
   4462       label = 15;
   4463       break;
   4464     }
   4465    case 14:
   4466     $and54 = $type & 1;
   4467     $tobool55 = ($and54 | 0) == 0;
   4468     if ($tobool55) {
   4469       label = 15;
   4470       break;
   4471     } else {
   4472       $retval_0 = $0;
   4473       label = 31;
   4474       break;
   4475     }
   4476    case 15:
   4477     $call58 = _dtflatten($dt) | 0;
   4478     $13 = HEAP32[$data >> 2] | 0;
   4479     $type60 = $13 | 0;
   4480     $14 = HEAP32[$type60 >> 2] | 0;
   4481     $and61 = $14 & -4097;
   4482     HEAP32[$type60 >> 2] = $and61;
   4483     $15 = HEAP32[$data >> 2] | 0;
   4484     $here = $15 + 4 | 0;
   4485     HEAP32[$here >> 2] = 0;
   4486     $16 = HEAP32[$data >> 2] | 0;
   4487     $size = $16 + 16 | 0;
   4488     HEAP32[$size >> 2] = 0;
   4489     $17 = HEAP32[$data >> 2] | 0;
   4490     $type65 = $17 | 0;
   4491     $18 = HEAP32[$type65 >> 2] | 0;
   4492     $and66 = $18 & 3;
   4493     $tobool67 = ($and66 | 0) == 0;
   4494     if ($tobool67) {
   4495       label = 16;
   4496       break;
   4497     } else {
   4498       label = 18;
   4499       break;
   4500     }
   4501    case 16:
   4502     $tobool7452 = ($call58 | 0) == 0;
   4503     if ($tobool7452) {
   4504       $retval_0 = $0;
   4505       label = 31;
   4506       break;
   4507     } else {
   4508       label = 17;
   4509       break;
   4510     }
   4511    case 17:
   4512     $and76 = $type & 2;
   4513     $tobool77 = ($and76 | 0) == 0;
   4514     $link = $disc + 8 | 0;
   4515     $size86 = $disc + 4 | 0;
   4516     $key = $disc | 0;
   4517     $hashf = $disc + 24 | 0;
   4518     $r_053 = $call58;
   4519     label = 20;
   4520     break;
   4521    case 18:
   4522     $_htab = $17 + 8 | 0;
   4523     $19 = HEAP32[$_htab >> 2] | 0;
   4524     $ntab = $17 + 12 | 0;
   4525     $20 = HEAP32[$ntab >> 2] | 0;
   4526     $add_ptr = $19 + ($20 << 2) | 0;
   4527     $cmp7155 = ($20 | 0) > 0;
   4528     if ($cmp7155) {
   4529       $s_056 = $19;
   4530       label = 19;
   4531       break;
   4532     } else {
   4533       label = 16;
   4534       break;
   4535     }
   4536    case 19:
   4537     $incdec_ptr = $s_056 + 4 | 0;
   4538     HEAP32[$s_056 >> 2] = 0;
   4539     $cmp71 = $incdec_ptr >>> 0 < $add_ptr >>> 0;
   4540     if ($cmp71) {
   4541       $s_056 = $incdec_ptr;
   4542       label = 19;
   4543       break;
   4544     } else {
   4545       label = 16;
   4546       break;
   4547     }
   4548    case 20:
   4549     $right = $r_053 | 0;
   4550     $21 = HEAP32[$right >> 2] | 0;
   4551     if ($tobool77) {
   4552       label = 21;
   4553       break;
   4554     } else {
   4555       label = 30;
   4556       break;
   4557     }
   4558    case 21:
   4559     $22 = HEAP32[$link >> 2] | 0;
   4560     $cmp79 = ($22 | 0) < 0;
   4561     if ($cmp79) {
   4562       label = 22;
   4563       break;
   4564     } else {
   4565       label = 23;
   4566       break;
   4567     }
   4568    case 22:
   4569     $obj = $r_053 + 8 | 0;
   4570     $23 = $obj;
   4571     $24 = HEAP32[$23 >> 2] | 0;
   4572     $cond85 = $24;
   4573     label = 24;
   4574     break;
   4575    case 23:
   4576     $25 = $r_053;
   4577     $idx_neg = -$22 | 0;
   4578     $add_ptr83 = $25 + $idx_neg | 0;
   4579     $cond85 = $add_ptr83;
   4580     label = 24;
   4581     break;
   4582    case 24:
   4583     $26 = HEAP32[$size86 >> 2] | 0;
   4584     $cmp87 = ($26 | 0) < 0;
   4585     $27 = HEAP32[$key >> 2] | 0;
   4586     $add_ptr89 = $cond85 + $27 | 0;
   4587     if ($cmp87) {
   4588       label = 25;
   4589       break;
   4590     } else {
   4591       $cond94 = $add_ptr89;
   4592       label = 26;
   4593       break;
   4594     }
   4595    case 25:
   4596     $28 = $add_ptr89;
   4597     $29 = HEAP32[$28 >> 2] | 0;
   4598     $cond94 = $29;
   4599     label = 26;
   4600     break;
   4601    case 26:
   4602     $30 = HEAP32[$hashf >> 2] | 0;
   4603     $tobool95 = ($30 | 0) == 0;
   4604     if ($tobool95) {
   4605       label = 28;
   4606       break;
   4607     } else {
   4608       label = 27;
   4609       break;
   4610     }
   4611    case 27:
   4612     $call98 = FUNCTION_TABLE_iiii[$30 & 1023]($dt, $cond94, $disc) | 0;
   4613     $cond103 = $call98;
   4614     label = 29;
   4615     break;
   4616    case 28:
   4617     $31 = HEAP32[$size86 >> 2] | 0;
   4618     $call101 = _dtstrhash(0, $cond94, $31) | 0;
   4619     $cond103 = $call101;
   4620     label = 29;
   4621     break;
   4622    case 29:
   4623     $_hash = $r_053 + 4 | 0;
   4624     HEAP32[$_hash >> 2] = $cond103;
   4625     label = 30;
   4626     break;
   4627    case 30:
   4628     $32 = $r_053;
   4629     $call105 = FUNCTION_TABLE_iiii[$3 & 1023]($dt, $32, 32) | 0;
   4630     $tobool74 = ($21 | 0) == 0;
   4631     if ($tobool74) {
   4632       $retval_0 = $0;
   4633       label = 31;
   4634       break;
   4635     } else {
   4636       $r_053 = $21;
   4637       label = 20;
   4638       break;
   4639     }
   4640    case 31:
   4641     return $retval_0 | 0;
   4642   }
   4643   return 0;
   4644 }
   4645 function _dtmemory($dt, $addr, $size, $disc) {
   4646   $dt = $dt | 0;
   4647   $addr = $addr | 0;
   4648   $size = $size | 0;
   4649   $disc = $disc | 0;
   4650   var $tobool = 0, $cmp3 = 0, $call = 0, $call4 = 0, $retval_0 = 0, label = 0;
   4651   label = 2;
   4652   while (1) switch (label | 0) {
   4653    case 2:
   4654     $tobool = ($addr | 0) == 0;
   4655     $cmp3 = ($size | 0) == 0;
   4656     if ($tobool) {
   4657       label = 6;
   4658       break;
   4659     } else {
   4660       label = 3;
   4661       break;
   4662     }
   4663    case 3:
   4664     if ($cmp3) {
   4665       label = 4;
   4666       break;
   4667     } else {
   4668       label = 5;
   4669       break;
   4670     }
   4671    case 4:
   4672     _free($addr);
   4673     $retval_0 = 0;
   4674     label = 8;
   4675     break;
   4676    case 5:
   4677     $call = _realloc($addr, $size) | 0;
   4678     $retval_0 = $call;
   4679     label = 8;
   4680     break;
   4681    case 6:
   4682     if ($cmp3) {
   4683       $retval_0 = 0;
   4684       label = 8;
   4685       break;
   4686     } else {
   4687       label = 7;
   4688       break;
   4689     }
   4690    case 7:
   4691     $call4 = _malloc($size) | 0;
   4692     $retval_0 = $call4;
   4693     label = 8;
   4694     break;
   4695    case 8:
   4696     return $retval_0 | 0;
   4697   }
   4698   return 0;
   4699 }
   4700 function _dtlist($dt, $obj, $type) {
   4701   $dt = $dt | 0;
   4702   $obj = $obj | 0;
   4703   $type = $type | 0;
   4704   var $data = 0, $0 = 0, $type1 = 0, $1 = 0, $and = 0, $tobool = 0, $2 = 0, $call = 0, $disc2 = 0, $3 = 0, $key3 = 0, $4 = 0, $size = 0, $5 = 0, $link = 0, $6 = 0, $comparf = 0, $7 = 0, $type4 = 0, $8 = 0, $and5 = 0, $tobool6 = 0, $and7 = 0, $tobool8 = 0, $9 = 0, $hh = 0, $_head = 0, $10 = 0, $tobool11 = 0, $and13 = 0, $tobool14 = 0, $11 = 0, $here199 = 0, $hl = 0, $_left = 0, $12 = 0, $13 = 0, $here = 0, $tobool18 = 0, $r_0201 = 0, $cmp = 0, $obj21 = 0, $14 = 0, $15 = 0, $16 = 0, $idx_neg = 0, $add_ptr = 0, $and28 = 0, $tobool29 = 0, $17 = 0, $type32 = 0, $18 = 0, $and33 = 0, $tobool34 = 0, $hh36 = 0, $_head37 = 0, $19 = 0, $tobool38 = 0, $and42 = 0, $tobool43 = 0, $freef = 0, $20 = 0, $tobool45 = 0, $21 = 0, $cmp48 = 0, $22 = 0, $hh51 = 0, $_head52 = 0, $23 = 0, $tobool53205 = 0, $memoryf = 0, $cmp58 = 0, $idx_neg62 = 0, $r_2206 = 0, $right = 0, $24 = 0, $25 = 0, $tobool55 = 0, $obj60 = 0, $26 = 0, $27 = 0, $28 = 0, $add_ptr63 = 0, $cond65 = 0, $29 = 0, $cmp68 = 0, $tobool53 = 0, $30 = 0, $31 = 0, $call70 = 0, $32 = 0, $here74 = 0, $33 = 0, $34 = 0, $35 = 0, $size79 = 0, $and82 = 0, $tobool83 = 0, $makef = 0, $36 = 0, $tobool85 = 0, $and86 = 0, $tobool87 = 0, $call90 = 0, $tobool91 = 0, $obj_addr_0 = 0, $cmp94 = 0, $add_ptr96 = 0, $memoryf98 = 0, $37 = 0, $call99 = 0, $tobool100 = 0, $obj102 = 0, $38 = 0, $39 = 0, $tobool105 = 0, $freef107 = 0, $40 = 0, $tobool108 = 0, $and110 = 0, $tobool111 = 0, $r_3_in = 0, $r_3 = 0, $41 = 0, $type118 = 0, $42 = 0, $and119 = 0, $tobool120 = 0, $and122 = 0, $tobool123 = 0, $and129 = 0, $tobool130 = 0, $and132 = 0, $tobool133 = 0, $here136 = 0, $43 = 0, $tobool137 = 0, $right139 = 0, $44 = 0, $tobool140 = 0, $right144 = 0, $45 = 0, $r_3_c196 = 0, $hl148 = 0, $_left149 = 0, $hh159 = 0, $_head160 = 0, $46 = 0, $cmp161 = 0, $hl164 = 0, $_left165 = 0, $47 = 0, $hl166 = 0, $_left167 = 0, $right170 = 0, $right171 = 0, $48 = 0, $r_3_c195 = 0, $and179 = 0, $tobool180 = 0, $49 = 0, $hh183 = 0, $_head184 = 0, $50 = 0, $right185 = 0, $tobool186 = 0, $hl188 = 0, $_left189 = 0, $51 = 0, $hl190 = 0, $_left191 = 0, $52 = 0, $r_3_c194 = 0, $hl195 = 0, $_left196 = 0, $53 = 0, $54 = 0, $55 = 0, $hh203 = 0, $_head204 = 0, $56 = 0, $tobool205 = 0, $hl207 = 0, $_left208 = 0, $57 = 0, $right209 = 0, $58 = 0, $hl212 = 0, $_left213 = 0, $59 = 0, $r_3_c192 = 0, $60 = 0, $r_3_c = 0, $hl220 = 0, $_left221 = 0, $right223 = 0, $61 = 0, $size228 = 0, $62 = 0, $cmp229 = 0, $add = 0, $63 = 0, $here235 = 0, $cmp236 = 0, $obj238 = 0, $64 = 0, $65 = 0, $idx_neg240 = 0, $add_ptr241 = 0, $and245 = 0, $tobool246 = 0, $66 = 0, $here249 = 0, $67 = 0, $tobool250 = 0, $cmp252 = 0, $obj254 = 0, $68 = 0, $69 = 0, $70 = 0, $idx_neg256 = 0, $add_ptr257 = 0, $cond259 = 0, $cmp260 = 0, $cmp266 = 0, $add_ptr268 = 0, $71 = 0, $72 = 0, $cond274 = 0, $73 = 0, $hh276 = 0, $_head277 = 0, $cmp281 = 0, $cmp289 = 0, $tobool296 = 0, $cmp300 = 0, $idx_neg285 = 0, $r_4_in = 0, $r_4 = 0, $tobool279 = 0, $obj283 = 0, $74 = 0, $75 = 0, $76 = 0, $add_ptr286 = 0, $cond288 = 0, $add_ptr291 = 0, $77 = 0, $78 = 0, $cond295 = 0, $call298 = 0, $call302 = 0, $call304 = 0, $cond308 = 0, $cmp309 = 0, $right313 = 0, $r_5 = 0, $tobool316 = 0, $79 = 0, $or = 0, $and320 = 0, $tobool321 = 0, $r_6 = 0, $right323 = 0, $80 = 0, $tobool324 = 0, $hl326 = 0, $_left327 = 0, $81 = 0, $82 = 0, $_c191 = 0, $83 = 0, $hh333 = 0, $_head334 = 0, $84 = 0, $cmp335 = 0, $85 = 0, $86 = 0, $_c189 = 0, $87 = 0, $hh342 = 0, $_head343 = 0, $88 = 0, $tobool344 = 0, $hl346 = 0, $_left347 = 0, $89 = 0, $90 = 0, $_c190 = 0, $hl356 = 0, $_left357 = 0, $91 = 0, $right358 = 0, $hl359 = 0, $_left360 = 0, $92 = 0, $cmp361 = 0, $93 = 0, $94 = 0, $_c = 0, $95 = 0, $here370 = 0, $96 = 0, $cmp371 = 0, $97 = 0, $cond376 = 0, $98 = 0, $here378 = 0, $99 = 0, $size380 = 0, $100 = 0, $sub = 0, $cmp381 = 0, $obj383 = 0, $101 = 0, $102 = 0, $103 = 0, $idx_neg385 = 0, $add_ptr386 = 0, $cond388 = 0, $freef389 = 0, $104 = 0, $tobool390 = 0, $and392 = 0, $tobool393 = 0, $105 = 0, $cmp398 = 0, $memoryf400 = 0, $106 = 0, $107 = 0, $call401 = 0, $and404 = 0, $tobool405 = 0, $right407 = 0, $108 = 0, $and409 = 0, $tobool410 = 0, $109 = 0, $hh413 = 0, $_head414 = 0, $110 = 0, $cmp415 = 0, $111 = 0, $here426203 = 0, $hl418 = 0, $_left419 = 0, $112 = 0, $r_7 = 0, $113 = 0, $here426 = 0, $tobool427 = 0, $cmp429 = 0, $obj431 = 0, $114 = 0, $115 = 0, $116 = 0, $idx_neg433 = 0, $add_ptr434 = 0, $retval_0 = 0, label = 0;
   4705   label = 2;
   4706   while (1) switch (label | 0) {
   4707    case 2:
   4708     $data = $dt + 8 | 0;
   4709     $0 = HEAP32[$data >> 2] | 0;
   4710     $type1 = $0 | 0;
   4711     $1 = HEAP32[$type1 >> 2] | 0;
   4712     $and = $1 & 4096;
   4713     $tobool = ($and | 0) == 0;
   4714     if ($tobool) {
   4715       label = 4;
   4716       break;
   4717     } else {
   4718       label = 3;
   4719       break;
   4720     }
   4721    case 3:
   4722     $2 = $dt;
   4723     $call = _dtrestore($2, 0) | 0;
   4724     label = 4;
   4725     break;
   4726    case 4:
   4727     $disc2 = $dt + 4 | 0;
   4728     $3 = HEAP32[$disc2 >> 2] | 0;
   4729     $key3 = $3 | 0;
   4730     $4 = HEAP32[$key3 >> 2] | 0;
   4731     $size = $3 + 4 | 0;
   4732     $5 = HEAP32[$size >> 2] | 0;
   4733     $link = $3 + 8 | 0;
   4734     $6 = HEAP32[$link >> 2] | 0;
   4735     $comparf = $3 + 20 | 0;
   4736     $7 = HEAP32[$comparf >> 2] | 0;
   4737     $type4 = $dt + 20 | 0;
   4738     $8 = HEAP32[$type4 >> 2] | 0;
   4739     $and5 = $8 & -32769;
   4740     HEAP32[$type4 >> 2] = $and5;
   4741     $tobool6 = ($obj | 0) == 0;
   4742     if ($tobool6) {
   4743       label = 5;
   4744       break;
   4745     } else {
   4746       label = 30;
   4747       break;
   4748     }
   4749    case 5:
   4750     $and7 = $type & 384;
   4751     $tobool8 = ($and7 | 0) == 0;
   4752     if ($tobool8) {
   4753       label = 13;
   4754       break;
   4755     } else {
   4756       label = 6;
   4757       break;
   4758     }
   4759    case 6:
   4760     $9 = HEAP32[$data >> 2] | 0;
   4761     $hh = $9 + 8 | 0;
   4762     $_head = $hh;
   4763     $10 = HEAP32[$_head >> 2] | 0;
   4764     $tobool11 = ($10 | 0) == 0;
   4765     if ($tobool11) {
   4766       $retval_0 = 0;
   4767       label = 117;
   4768       break;
   4769     } else {
   4770       label = 7;
   4771       break;
   4772     }
   4773    case 7:
   4774     $and13 = $type & 256;
   4775     $tobool14 = ($and13 | 0) == 0;
   4776     if ($tobool14) {
   4777       label = 8;
   4778       break;
   4779     } else {
   4780       label = 9;
   4781       break;
   4782     }
   4783    case 8:
   4784     $11 = HEAP32[$data >> 2] | 0;
   4785     $here199 = $11 + 4 | 0;
   4786     HEAP32[$here199 >> 2] = $10;
   4787     $r_0201 = $10;
   4788     label = 10;
   4789     break;
   4790    case 9:
   4791     $hl = $10 + 4 | 0;
   4792     $_left = $hl;
   4793     $12 = HEAP32[$_left >> 2] | 0;
   4794     $13 = HEAP32[$data >> 2] | 0;
   4795     $here = $13 + 4 | 0;
   4796     HEAP32[$here >> 2] = $12;
   4797     $tobool18 = ($12 | 0) == 0;
   4798     if ($tobool18) {
   4799       $retval_0 = 0;
   4800       label = 117;
   4801       break;
   4802     } else {
   4803       $r_0201 = $12;
   4804       label = 10;
   4805       break;
   4806     }
   4807    case 10:
   4808     $cmp = ($6 | 0) < 0;
   4809     if ($cmp) {
   4810       label = 11;
   4811       break;
   4812     } else {
   4813       label = 12;
   4814       break;
   4815     }
   4816    case 11:
   4817     $obj21 = $r_0201 + 8 | 0;
   4818     $14 = $obj21;
   4819     $15 = HEAP32[$14 >> 2] | 0;
   4820     $retval_0 = $15;
   4821     label = 117;
   4822     break;
   4823    case 12:
   4824     $16 = $r_0201;
   4825     $idx_neg = -$6 | 0;
   4826     $add_ptr = $16 + $idx_neg | 0;
   4827     $retval_0 = $add_ptr;
   4828     label = 117;
   4829     break;
   4830    case 13:
   4831     $and28 = $type & 4098;
   4832     $tobool29 = ($and28 | 0) == 0;
   4833     if ($tobool29) {
   4834       label = 16;
   4835       break;
   4836     } else {
   4837       label = 14;
   4838       break;
   4839     }
   4840    case 14:
   4841     $17 = HEAP32[$data >> 2] | 0;
   4842     $type32 = $17 | 0;
   4843     $18 = HEAP32[$type32 >> 2] | 0;
   4844     $and33 = $18 & 144;
   4845     $tobool34 = ($and33 | 0) == 0;
   4846     if ($tobool34) {
   4847       label = 15;
   4848       break;
   4849     } else {
   4850       $retval_0 = 0;
   4851       label = 117;
   4852       break;
   4853     }
   4854    case 15:
   4855     $hh36 = $17 + 8 | 0;
   4856     $_head37 = $hh36;
   4857     $19 = HEAP32[$_head37 >> 2] | 0;
   4858     $tobool38 = ($19 | 0) == 0;
   4859     if ($tobool38) {
   4860       $retval_0 = 0;
   4861       label = 117;
   4862       break;
   4863     } else {
   4864       $r_6 = $19;
   4865       label = 90;
   4866       break;
   4867     }
   4868    case 16:
   4869     $and42 = $type & 64;
   4870     $tobool43 = ($and42 | 0) == 0;
   4871     if ($tobool43) {
   4872       $retval_0 = 0;
   4873       label = 117;
   4874       break;
   4875     } else {
   4876       label = 17;
   4877       break;
   4878     }
   4879    case 17:
   4880     $freef = $3 + 16 | 0;
   4881     $20 = HEAP32[$freef >> 2] | 0;
   4882     $tobool45 = ($20 | 0) == 0;
   4883     if ($tobool45) {
   4884       label = 18;
   4885       break;
   4886     } else {
   4887       label = 19;
   4888       break;
   4889     }
   4890    case 18:
   4891     $21 = HEAP32[$link >> 2] | 0;
   4892     $cmp48 = ($21 | 0) < 0;
   4893     if ($cmp48) {
   4894       label = 19;
   4895       break;
   4896     } else {
   4897       label = 29;
   4898       break;
   4899     }
   4900    case 19:
   4901     $22 = HEAP32[$data >> 2] | 0;
   4902     $hh51 = $22 + 8 | 0;
   4903     $_head52 = $hh51;
   4904     $23 = HEAP32[$_head52 >> 2] | 0;
   4905     $tobool53205 = ($23 | 0) == 0;
   4906     if ($tobool53205) {
   4907       label = 29;
   4908       break;
   4909     } else {
   4910       label = 20;
   4911       break;
   4912     }
   4913    case 20:
   4914     $memoryf = $dt + 12 | 0;
   4915     $cmp58 = ($6 | 0) < 0;
   4916     $idx_neg62 = -$6 | 0;
   4917     $r_2206 = $23;
   4918     label = 21;
   4919     break;
   4920    case 21:
   4921     $right = $r_2206 | 0;
   4922     $24 = HEAP32[$right >> 2] | 0;
   4923     $25 = HEAP32[$freef >> 2] | 0;
   4924     $tobool55 = ($25 | 0) == 0;
   4925     if ($tobool55) {
   4926       label = 26;
   4927       break;
   4928     } else {
   4929       label = 22;
   4930       break;
   4931     }
   4932    case 22:
   4933     if ($cmp58) {
   4934       label = 23;
   4935       break;
   4936     } else {
   4937       label = 24;
   4938       break;
   4939     }
   4940    case 23:
   4941     $obj60 = $r_2206 + 8 | 0;
   4942     $26 = $obj60;
   4943     $27 = HEAP32[$26 >> 2] | 0;
   4944     $cond65 = $27;
   4945     label = 25;
   4946     break;
   4947    case 24:
   4948     $28 = $r_2206;
   4949     $add_ptr63 = $28 + $idx_neg62 | 0;
   4950     $cond65 = $add_ptr63;
   4951     label = 25;
   4952     break;
   4953    case 25:
   4954     FUNCTION_TABLE_viii[$25 & 1023]($dt, $cond65, $3);
   4955     label = 26;
   4956     break;
   4957    case 26:
   4958     $29 = HEAP32[$link >> 2] | 0;
   4959     $cmp68 = ($29 | 0) < 0;
   4960     if ($cmp68) {
   4961       label = 28;
   4962       break;
   4963     } else {
   4964       label = 27;
   4965       break;
   4966     }
   4967    case 27:
   4968     $tobool53 = ($24 | 0) == 0;
   4969     if ($tobool53) {
   4970       label = 29;
   4971       break;
   4972     } else {
   4973       $r_2206 = $24;
   4974       label = 21;
   4975       break;
   4976     }
   4977    case 28:
   4978     $30 = HEAP32[$memoryf >> 2] | 0;
   4979     $31 = $r_2206;
   4980     $call70 = FUNCTION_TABLE_iiiii[$30 & 1023]($dt, $31, 0, $3) | 0;
   4981     label = 27;
   4982     break;
   4983    case 29:
   4984     $32 = HEAP32[$data >> 2] | 0;
   4985     $here74 = $32 + 4 | 0;
   4986     HEAP32[$here74 >> 2] = 0;
   4987     $33 = HEAP32[$data >> 2] | 0;
   4988     $34 = $33 + 8 | 0;
   4989     HEAP32[$34 >> 2] = 0;
   4990     $35 = HEAP32[$data >> 2] | 0;
   4991     $size79 = $35 + 16 | 0;
   4992     HEAP32[$size79 >> 2] = 0;
   4993     $retval_0 = 0;
   4994     label = 117;
   4995     break;
   4996    case 30:
   4997     $and82 = $type & 2049;
   4998     $tobool83 = ($and82 | 0) == 0;
   4999     if ($tobool83) {
   5000       label = 66;
   5001       break;
   5002     } else {
   5003       label = 31;
   5004       break;
   5005     }
   5006    case 31:
   5007     $makef = $3 + 12 | 0;
   5008     $36 = HEAP32[$makef >> 2] | 0;
   5009     $tobool85 = ($36 | 0) == 0;
   5010     if ($tobool85) {
   5011       $obj_addr_0 = $obj;
   5012       label = 34;
   5013       break;
   5014     } else {
   5015       label = 32;
   5016       break;
   5017     }
   5018    case 32:
   5019     $and86 = $type & 1;
   5020     $tobool87 = ($and86 | 0) == 0;
   5021     if ($tobool87) {
   5022       $obj_addr_0 = $obj;
   5023       label = 34;
   5024       break;
   5025     } else {
   5026       label = 33;
   5027       break;
   5028     }
   5029    case 33:
   5030     $call90 = FUNCTION_TABLE_iiii[$36 & 1023]($dt, $obj, $3) | 0;
   5031     $tobool91 = ($call90 | 0) == 0;
   5032     if ($tobool91) {
   5033       $retval_0 = 0;
   5034       label = 117;
   5035       break;
   5036     } else {
   5037       $obj_addr_0 = $call90;
   5038       label = 34;
   5039       break;
   5040     }
   5041    case 34:
   5042     $cmp94 = ($6 | 0) > -1;
   5043     if ($cmp94) {
   5044       label = 35;
   5045       break;
   5046     } else {
   5047       label = 36;
   5048       break;
   5049     }
   5050    case 35:
   5051     $add_ptr96 = $obj_addr_0 + $6 | 0;
   5052     $r_3_in = $add_ptr96;
   5053     label = 42;
   5054     break;
   5055    case 36:
   5056     $memoryf98 = $dt + 12 | 0;
   5057     $37 = HEAP32[$memoryf98 >> 2] | 0;
   5058     $call99 = FUNCTION_TABLE_iiiii[$37 & 1023]($dt, 0, 12, $3) | 0;
   5059     $tobool100 = ($call99 | 0) == 0;
   5060     if ($tobool100) {
   5061       label = 38;
   5062       break;
   5063     } else {
   5064       label = 37;
   5065       break;
   5066     }
   5067    case 37:
   5068     $obj102 = $call99 + 8 | 0;
   5069     $38 = $obj102;
   5070     HEAP32[$38 >> 2] = $obj_addr_0;
   5071     $r_3_in = $call99;
   5072     label = 42;
   5073     break;
   5074    case 38:
   5075     $39 = HEAP32[$makef >> 2] | 0;
   5076     $tobool105 = ($39 | 0) == 0;
   5077     if ($tobool105) {
   5078       $retval_0 = 0;
   5079       label = 117;
   5080       break;
   5081     } else {
   5082       label = 39;
   5083       break;
   5084     }
   5085    case 39:
   5086     $freef107 = $3 + 16 | 0;
   5087     $40 = HEAP32[$freef107 >> 2] | 0;
   5088     $tobool108 = ($40 | 0) == 0;
   5089     if ($tobool108) {
   5090       $retval_0 = 0;
   5091       label = 117;
   5092       break;
   5093     } else {
   5094       label = 40;
   5095       break;
   5096     }
   5097    case 40:
   5098     $and110 = $type & 1;
   5099     $tobool111 = ($and110 | 0) == 0;
   5100     if ($tobool111) {
   5101       $retval_0 = 0;
   5102       label = 117;
   5103       break;
   5104     } else {
   5105       label = 41;
   5106       break;
   5107     }
   5108    case 41:
   5109     FUNCTION_TABLE_viii[$40 & 1023]($dt, $obj_addr_0, $3);
   5110     $retval_0 = 0;
   5111     label = 117;
   5112     break;
   5113    case 42:
   5114     $r_3 = $r_3_in;
   5115     $41 = HEAP32[$data >> 2] | 0;
   5116     $type118 = $41 | 0;
   5117     $42 = HEAP32[$type118 >> 2] | 0;
   5118     $and119 = $42 & 128;
   5119     $tobool120 = ($and119 | 0) == 0;
   5120     if ($tobool120) {
   5121       label = 44;
   5122       break;
   5123     } else {
   5124       label = 43;
   5125       break;
   5126     }
   5127    case 43:
   5128     $and122 = $type & 8192;
   5129     $tobool123 = ($and122 | 0) == 0;
   5130     if ($tobool123) {
   5131       label = 53;
   5132       break;
   5133     } else {
   5134       label = 57;
   5135       break;
   5136     }
   5137    case 44:
   5138     $and129 = $42 & 16;
   5139     $tobool130 = ($and129 | 0) == 0;
   5140     if ($tobool130) {
   5141       label = 52;
   5142       break;
   5143     } else {
   5144       label = 45;
   5145       break;
   5146     }
   5147    case 45:
   5148     $and132 = $type & 8192;
   5149     $tobool133 = ($and132 | 0) == 0;
   5150     $here136 = $41 + 4 | 0;
   5151     $43 = HEAP32[$here136 >> 2] | 0;
   5152     $tobool137 = ($43 | 0) != 0;
   5153     if ($tobool133) {
   5154       label = 49;
   5155       break;
   5156     } else {
   5157       label = 46;
   5158       break;
   5159     }
   5160    case 46:
   5161     if ($tobool137) {
   5162       label = 47;
   5163       break;
   5164     } else {
   5165       label = 57;
   5166       break;
   5167     }
   5168    case 47:
   5169     $right139 = $43 | 0;
   5170     $44 = HEAP32[$right139 >> 2] | 0;
   5171     $tobool140 = ($44 | 0) == 0;
   5172     if ($tobool140) {
   5173       label = 57;
   5174       break;
   5175     } else {
   5176       label = 48;
   5177       break;
   5178     }
   5179    case 48:
   5180     $right144 = $r_3_in;
   5181     HEAP32[$right144 >> 2] = $44;
   5182     $45 = $44 + 4 | 0;
   5183     $r_3_c196 = $r_3_in;
   5184     HEAP32[$45 >> 2] = $r_3_c196;
   5185     $hl148 = $r_3_in + 4 | 0;
   5186     $_left149 = $hl148;
   5187     HEAP32[$_left149 >> 2] = $43;
   5188     HEAP32[$right139 >> 2] = $r_3;
   5189     label = 61;
   5190     break;
   5191    case 49:
   5192     if ($tobool137) {
   5193       label = 50;
   5194       break;
   5195     } else {
   5196       label = 53;
   5197       break;
   5198     }
   5199    case 50:
   5200     $hh159 = $41 + 8 | 0;
   5201     $_head160 = $hh159;
   5202     $46 = HEAP32[$_head160 >> 2] | 0;
   5203     $cmp161 = ($43 | 0) == ($46 | 0);
   5204     if ($cmp161) {
   5205       label = 53;
   5206       break;
   5207     } else {
   5208       label = 51;
   5209       break;
   5210     }
   5211    case 51:
   5212     $hl164 = $43 + 4 | 0;
   5213     $_left165 = $hl164;
   5214     $47 = HEAP32[$_left165 >> 2] | 0;
   5215     $hl166 = $r_3_in + 4 | 0;
   5216     $_left167 = $hl166;
   5217     HEAP32[$_left167 >> 2] = $47;
   5218     $right170 = $47 | 0;
   5219     HEAP32[$right170 >> 2] = $r_3;
   5220     $right171 = $r_3_in;
   5221     HEAP32[$right171 >> 2] = $43;
   5222     $48 = $hl164 | 0;
   5223     $r_3_c195 = $r_3_in;
   5224     HEAP32[$48 >> 2] = $r_3_c195;
   5225     label = 61;
   5226     break;
   5227    case 52:
   5228     $and179 = $42 & 32;
   5229     $tobool180 = ($and179 | 0) == 0;
   5230     if ($tobool180) {
   5231       label = 57;
   5232       break;
   5233     } else {
   5234       label = 53;
   5235       break;
   5236     }
   5237    case 53:
   5238     $49 = HEAP32[$data >> 2] | 0;
   5239     $hh183 = $49 + 8 | 0;
   5240     $_head184 = $hh183;
   5241     $50 = HEAP32[$_head184 >> 2] | 0;
   5242     $right185 = $r_3_in;
   5243     HEAP32[$right185 >> 2] = $50;
   5244     $tobool186 = ($50 | 0) == 0;
   5245     if ($tobool186) {
   5246       label = 55;
   5247       break;
   5248     } else {
   5249       label = 54;
   5250       break;
   5251     }
   5252    case 54:
   5253     $hl188 = $50 + 4 | 0;
   5254     $_left189 = $hl188;
   5255     $51 = HEAP32[$_left189 >> 2] | 0;
   5256     $hl190 = $r_3_in + 4 | 0;
   5257     $_left191 = $hl190;
   5258     HEAP32[$_left191 >> 2] = $51;
   5259     $52 = $hl188 | 0;
   5260     $r_3_c194 = $r_3_in;
   5261     HEAP32[$52 >> 2] = $r_3_c194;
   5262     label = 56;
   5263     break;
   5264    case 55:
   5265     $hl195 = $r_3_in + 4 | 0;
   5266     $_left196 = $hl195;
   5267     HEAP32[$_left196 >> 2] = $r_3;
   5268     label = 56;
   5269     break;
   5270    case 56:
   5271     $53 = HEAP32[$data >> 2] | 0;
   5272     $54 = $53 + 8 | 0;
   5273     HEAP32[$54 >> 2] = $right185;
   5274     label = 61;
   5275     break;
   5276    case 57:
   5277     $55 = HEAP32[$data >> 2] | 0;
   5278     $hh203 = $55 + 8 | 0;
   5279     $_head204 = $hh203;
   5280     $56 = HEAP32[$_head204 >> 2] | 0;
   5281     $tobool205 = ($56 | 0) == 0;
   5282     if ($tobool205) {
   5283       label = 59;
   5284       break;
   5285     } else {
   5286       label = 58;
   5287       break;
   5288     }
   5289    case 58:
   5290     $hl207 = $56 + 4 | 0;
   5291     $_left208 = $hl207;
   5292     $57 = HEAP32[$_left208 >> 2] | 0;
   5293     $right209 = $57 | 0;
   5294     HEAP32[$right209 >> 2] = $r_3;
   5295     $58 = HEAP32[$_left208 >> 2] | 0;
   5296     $hl212 = $r_3_in + 4 | 0;
   5297     $_left213 = $hl212;
   5298     HEAP32[$_left213 >> 2] = $58;
   5299     $59 = $hl207 | 0;
   5300     $r_3_c192 = $r_3_in;
   5301     HEAP32[$59 >> 2] = $r_3_c192;
   5302     label = 60;
   5303     break;
   5304    case 59:
   5305     $60 = $hh203 | 0;
   5306     $r_3_c = $r_3_in;
   5307     HEAP32[$60 >> 2] = $r_3_c;
   5308     $hl220 = $r_3_in + 4 | 0;
   5309     $_left221 = $hl220;
   5310     HEAP32[$_left221 >> 2] = $r_3;
   5311     label = 60;
   5312     break;
   5313    case 60:
   5314     $right223 = $r_3_in;
   5315     HEAP32[$right223 >> 2] = 0;
   5316     label = 61;
   5317     break;
   5318    case 61:
   5319     $61 = HEAP32[$data >> 2] | 0;
   5320     $size228 = $61 + 16 | 0;
   5321     $62 = HEAP32[$size228 >> 2] | 0;
   5322     $cmp229 = ($62 | 0) > -1;
   5323     if ($cmp229) {
   5324       label = 62;
   5325       break;
   5326     } else {
   5327       label = 63;
   5328       break;
   5329     }
   5330    case 62:
   5331     $add = $62 + 1 | 0;
   5332     HEAP32[$size228 >> 2] = $add;
   5333     label = 63;
   5334     break;
   5335    case 63:
   5336     $63 = HEAP32[$data >> 2] | 0;
   5337     $here235 = $63 + 4 | 0;
   5338     HEAP32[$here235 >> 2] = $r_3;
   5339     $cmp236 = ($6 | 0) < 0;
   5340     if ($cmp236) {
   5341       label = 64;
   5342       break;
   5343     } else {
   5344       label = 65;
   5345       break;
   5346     }
   5347    case 64:
   5348     $obj238 = $r_3_in + 8 | 0;
   5349     $64 = $obj238;
   5350     $65 = HEAP32[$64 >> 2] | 0;
   5351     $retval_0 = $65;
   5352     label = 117;
   5353     break;
   5354    case 65:
   5355     $idx_neg240 = -$6 | 0;
   5356     $add_ptr241 = $r_3_in + $idx_neg240 | 0;
   5357     $retval_0 = $add_ptr241;
   5358     label = 117;
   5359     break;
   5360    case 66:
   5361     $and245 = $type & 512;
   5362     $tobool246 = ($and245 | 0) != 0;
   5363     if ($tobool246) {
   5364       $cond274 = $obj;
   5365       label = 75;
   5366       break;
   5367     } else {
   5368       label = 67;
   5369       break;
   5370     }
   5371    case 67:
   5372     $66 = HEAP32[$data >> 2] | 0;
   5373     $here249 = $66 + 4 | 0;
   5374     $67 = HEAP32[$here249 >> 2] | 0;
   5375     $tobool250 = ($67 | 0) == 0;
   5376     if ($tobool250) {
   5377       label = 73;
   5378       break;
   5379     } else {
   5380       label = 68;
   5381       break;
   5382     }
   5383    case 68:
   5384     $cmp252 = ($6 | 0) < 0;
   5385     if ($cmp252) {
   5386       label = 69;
   5387       break;
   5388     } else {
   5389       label = 70;
   5390       break;
   5391     }
   5392    case 69:
   5393     $obj254 = $67 + 8 | 0;
   5394     $68 = $obj254;
   5395     $69 = HEAP32[$68 >> 2] | 0;
   5396     $cond259 = $69;
   5397     label = 71;
   5398     break;
   5399    case 70:
   5400     $70 = $67;
   5401     $idx_neg256 = -$6 | 0;
   5402     $add_ptr257 = $70 + $idx_neg256 | 0;
   5403     $cond259 = $add_ptr257;
   5404     label = 71;
   5405     break;
   5406    case 71:
   5407     $cmp260 = ($cond259 | 0) == ($obj | 0);
   5408     if ($cmp260) {
   5409       $r_5 = $67;
   5410       label = 88;
   5411       break;
   5412     } else {
   5413       label = 72;
   5414       break;
   5415     }
   5416    case 72:
   5417     if ($tobool246) {
   5418       $cond274 = $obj;
   5419       label = 75;
   5420       break;
   5421     } else {
   5422       label = 73;
   5423       break;
   5424     }
   5425    case 73:
   5426     $cmp266 = ($5 | 0) < 0;
   5427     $add_ptr268 = $obj + $4 | 0;
   5428     if ($cmp266) {
   5429       label = 74;
   5430       break;
   5431     } else {
   5432       $cond274 = $add_ptr268;
   5433       label = 75;
   5434       break;
   5435     }
   5436    case 74:
   5437     $71 = $add_ptr268;
   5438     $72 = HEAP32[$71 >> 2] | 0;
   5439     $cond274 = $72;
   5440     label = 75;
   5441     break;
   5442    case 75:
   5443     $73 = HEAP32[$data >> 2] | 0;
   5444     $hh276 = $73 + 8 | 0;
   5445     $_head277 = $hh276;
   5446     $cmp281 = ($6 | 0) < 0;
   5447     $cmp289 = ($5 | 0) < 0;
   5448     $tobool296 = ($7 | 0) == 0;
   5449     $cmp300 = ($5 | 0) < 1;
   5450     $idx_neg285 = -$6 | 0;
   5451     $r_4_in = $_head277;
   5452     label = 76;
   5453     break;
   5454    case 76:
   5455     $r_4 = HEAP32[$r_4_in >> 2] | 0;
   5456     $tobool279 = ($r_4 | 0) == 0;
   5457     if ($tobool279) {
   5458       $retval_0 = 0;
   5459       label = 117;
   5460       break;
   5461     } else {
   5462       label = 77;
   5463       break;
   5464     }
   5465    case 77:
   5466     if ($cmp281) {
   5467       label = 78;
   5468       break;
   5469     } else {
   5470       label = 79;
   5471       break;
   5472     }
   5473    case 78:
   5474     $obj283 = $r_4 + 8 | 0;
   5475     $74 = $obj283;
   5476     $75 = HEAP32[$74 >> 2] | 0;
   5477     $cond288 = $75;
   5478     label = 80;
   5479     break;
   5480    case 79:
   5481     $76 = $r_4;
   5482     $add_ptr286 = $76 + $idx_neg285 | 0;
   5483     $cond288 = $add_ptr286;
   5484     label = 80;
   5485     break;
   5486    case 80:
   5487     $add_ptr291 = $cond288 + $4 | 0;
   5488     if ($cmp289) {
   5489       label = 81;
   5490       break;
   5491     } else {
   5492       $cond295 = $add_ptr291;
   5493       label = 82;
   5494       break;
   5495     }
   5496    case 81:
   5497     $77 = $add_ptr291;
   5498     $78 = HEAP32[$77 >> 2] | 0;
   5499     $cond295 = $78;
   5500     label = 82;
   5501     break;
   5502    case 82:
   5503     if ($tobool296) {
   5504       label = 84;
   5505       break;
   5506     } else {
   5507       label = 83;
   5508       break;
   5509     }
   5510    case 83:
   5511     $call298 = FUNCTION_TABLE_iiiii[$7 & 1023]($dt, $cond274, $cond295, $3) | 0;
   5512     $cond308 = $call298;
   5513     label = 87;
   5514     break;
   5515    case 84:
   5516     if ($cmp300) {
   5517       label = 85;
   5518       break;
   5519     } else {
   5520       label = 86;
   5521       break;
   5522     }
   5523    case 85:
   5524     $call302 = _strcmp($cond274 | 0, $cond295 | 0) | 0;
   5525     $cond308 = $call302;
   5526     label = 87;
   5527     break;
   5528    case 86:
   5529     $call304 = _memcmp($cond274 | 0, $cond295 | 0, $5 | 0) | 0;
   5530     $cond308 = $call304;
   5531     label = 87;
   5532     break;
   5533    case 87:
   5534     $cmp309 = ($cond308 | 0) == 0;
   5535     $right313 = $r_4 | 0;
   5536     if ($cmp309) {
   5537       $r_5 = $r_4;
   5538       label = 88;
   5539       break;
   5540     } else {
   5541       $r_4_in = $right313;
   5542       label = 76;
   5543       break;
   5544     }
   5545    case 88:
   5546     $tobool316 = ($r_5 | 0) == 0;
   5547     if ($tobool316) {
   5548       $retval_0 = 0;
   5549       label = 117;
   5550       break;
   5551     } else {
   5552       label = 89;
   5553       break;
   5554     }
   5555    case 89:
   5556     $79 = HEAP32[$type4 >> 2] | 0;
   5557     $or = $79 | 32768;
   5558     HEAP32[$type4 >> 2] = $or;
   5559     $and320 = $type & 4098;
   5560     $tobool321 = ($and320 | 0) == 0;
   5561     if ($tobool321) {
   5562       label = 107;
   5563       break;
   5564     } else {
   5565       $r_6 = $r_5;
   5566       label = 90;
   5567       break;
   5568     }
   5569    case 90:
   5570     $right323 = $r_6 | 0;
   5571     $80 = HEAP32[$right323 >> 2] | 0;
   5572     $tobool324 = ($80 | 0) == 0;
   5573     if ($tobool324) {
   5574       label = 92;
   5575       break;
   5576     } else {
   5577       label = 91;
   5578       break;
   5579     }
   5580    case 91:
   5581     $hl326 = $r_6 + 4 | 0;
   5582     $_left327 = $hl326;
   5583     $81 = HEAP32[$_left327 >> 2] | 0;
   5584     $82 = $80 + 4 | 0;
   5585     $_c191 = $81;
   5586     HEAP32[$82 >> 2] = $_c191;
   5587     label = 92;
   5588     break;
   5589    case 92:
   5590     $83 = HEAP32[$data >> 2] | 0;
   5591     $hh333 = $83 + 8 | 0;
   5592     $_head334 = $hh333;
   5593     $84 = HEAP32[$_head334 >> 2] | 0;
   5594     $cmp335 = ($r_6 | 0) == ($84 | 0);
   5595     $85 = HEAP32[$right323 >> 2] | 0;
   5596     if ($cmp335) {
   5597       label = 93;
   5598       break;
   5599     } else {
   5600       label = 95;
   5601       break;
   5602     }
   5603    case 93:
   5604     $86 = $hh333 | 0;
   5605     $_c189 = $85 | 0;
   5606     HEAP32[$86 >> 2] = $_c189;
   5607     $87 = HEAP32[$data >> 2] | 0;
   5608     $hh342 = $87 + 8 | 0;
   5609     $_head343 = $hh342;
   5610     $88 = HEAP32[$_head343 >> 2] | 0;
   5611     $tobool344 = ($88 | 0) == 0;
   5612     if ($tobool344) {
   5613       label = 97;
   5614       break;
   5615     } else {
   5616       label = 94;
   5617       break;
   5618     }
   5619    case 94:
   5620     $hl346 = $84 + 4 | 0;
   5621     $_left347 = $hl346;
   5622     $89 = HEAP32[$_left347 >> 2] | 0;
   5623     $90 = $88 + 4 | 0;
   5624     $_c190 = $89;
   5625     HEAP32[$90 >> 2] = $_c190;
   5626     label = 97;
   5627     break;
   5628    case 95:
   5629     $hl356 = $r_6 + 4 | 0;
   5630     $_left357 = $hl356;
   5631     $91 = HEAP32[$_left357 >> 2] | 0;
   5632     $right358 = $91 | 0;
   5633     HEAP32[$right358 >> 2] = $85;
   5634     $hl359 = $84 + 4 | 0;
   5635     $_left360 = $hl359;
   5636     $92 = HEAP32[$_left360 >> 2] | 0;
   5637     $cmp361 = ($r_6 | 0) == ($92 | 0);
   5638     if ($cmp361) {
   5639       label = 96;
   5640       break;
   5641     } else {
   5642       label = 97;
   5643       break;
   5644     }
   5645    case 96:
   5646     $93 = HEAP32[$_left357 >> 2] | 0;
   5647     $94 = $hl359 | 0;
   5648     $_c = $93;
   5649     HEAP32[$94 >> 2] = $_c;
   5650     label = 97;
   5651     break;
   5652    case 97:
   5653     $95 = HEAP32[$data >> 2] | 0;
   5654     $here370 = $95 + 4 | 0;
   5655     $96 = HEAP32[$here370 >> 2] | 0;
   5656     $cmp371 = ($r_6 | 0) == ($96 | 0);
   5657     if ($cmp371) {
   5658       label = 98;
   5659       break;
   5660     } else {
   5661       $cond376 = 0;
   5662       label = 99;
   5663       break;
   5664     }
   5665    case 98:
   5666     $97 = HEAP32[$right323 >> 2] | 0;
   5667     $cond376 = $97;
   5668     label = 99;
   5669     break;
   5670    case 99:
   5671     $98 = HEAP32[$data >> 2] | 0;
   5672     $here378 = $98 + 4 | 0;
   5673     HEAP32[$here378 >> 2] = $cond376;
   5674     $99 = HEAP32[$data >> 2] | 0;
   5675     $size380 = $99 + 16 | 0;
   5676     $100 = HEAP32[$size380 >> 2] | 0;
   5677     $sub = $100 - 1 | 0;
   5678     HEAP32[$size380 >> 2] = $sub;
   5679     $cmp381 = ($6 | 0) < 0;
   5680     if ($cmp381) {
   5681       label = 100;
   5682       break;
   5683     } else {
   5684       label = 101;
   5685       break;
   5686     }
   5687    case 100:
   5688     $obj383 = $r_6 + 8 | 0;
   5689     $101 = $obj383;
   5690     $102 = HEAP32[$101 >> 2] | 0;
   5691     $cond388 = $102;
   5692     label = 102;
   5693     break;
   5694    case 101:
   5695     $103 = $r_6;
   5696     $idx_neg385 = -$6 | 0;
   5697     $add_ptr386 = $103 + $idx_neg385 | 0;
   5698     $cond388 = $add_ptr386;
   5699     label = 102;
   5700     break;
   5701    case 102:
   5702     $freef389 = $3 + 16 | 0;
   5703     $104 = HEAP32[$freef389 >> 2] | 0;
   5704     $tobool390 = ($104 | 0) == 0;
   5705     if ($tobool390) {
   5706       label = 105;
   5707       break;
   5708     } else {
   5709       label = 103;
   5710       break;
   5711     }
   5712    case 103:
   5713     $and392 = $type & 2;
   5714     $tobool393 = ($and392 | 0) == 0;
   5715     if ($tobool393) {
   5716       label = 105;
   5717       break;
   5718     } else {
   5719       label = 104;
   5720       break;
   5721     }
   5722    case 104:
   5723     FUNCTION_TABLE_viii[$104 & 1023]($dt, $cond388, $3);
   5724     label = 105;
   5725     break;
   5726    case 105:
   5727     $105 = HEAP32[$link >> 2] | 0;
   5728     $cmp398 = ($105 | 0) < 0;
   5729     if ($cmp398) {
   5730       label = 106;
   5731       break;
   5732     } else {
   5733       $retval_0 = $cond388;
   5734       label = 117;
   5735       break;
   5736     }
   5737    case 106:
   5738     $memoryf400 = $dt + 12 | 0;
   5739     $106 = HEAP32[$memoryf400 >> 2] | 0;
   5740     $107 = $r_6;
   5741     $call401 = FUNCTION_TABLE_iiiii[$106 & 1023]($dt, $107, 0, $3) | 0;
   5742     $retval_0 = $cond388;
   5743     label = 117;
   5744     break;
   5745    case 107:
   5746     $and404 = $type & 8;
   5747     $tobool405 = ($and404 | 0) == 0;
   5748     if ($tobool405) {
   5749       label = 109;
   5750       break;
   5751     } else {
   5752       label = 108;
   5753       break;
   5754     }
   5755    case 108:
   5756     $right407 = $r_5 | 0;
   5757     $108 = HEAP32[$right407 >> 2] | 0;
   5758     $r_7 = $108;
   5759     label = 113;
   5760     break;
   5761    case 109:
   5762     $and409 = $type & 16;
   5763     $tobool410 = ($and409 | 0) == 0;
   5764     if ($tobool410) {
   5765       $r_7 = $r_5;
   5766       label = 113;
   5767       break;
   5768     } else {
   5769       label = 110;
   5770       break;
   5771     }
   5772    case 110:
   5773     $109 = HEAP32[$data >> 2] | 0;
   5774     $hh413 = $109 + 8 | 0;
   5775     $_head414 = $hh413;
   5776     $110 = HEAP32[$_head414 >> 2] | 0;
   5777     $cmp415 = ($r_5 | 0) == ($110 | 0);
   5778     if ($cmp415) {
   5779       label = 111;
   5780       break;
   5781     } else {
   5782       label = 112;
   5783       break;
   5784     }
   5785    case 111:
   5786     $111 = HEAP32[$data >> 2] | 0;
   5787     $here426203 = $111 + 4 | 0;
   5788     HEAP32[$here426203 >> 2] = 0;
   5789     $retval_0 = 0;
   5790     label = 117;
   5791     break;
   5792    case 112:
   5793     $hl418 = $r_5 + 4 | 0;
   5794     $_left419 = $hl418;
   5795     $112 = HEAP32[$_left419 >> 2] | 0;
   5796     $r_7 = $112;
   5797     label = 113;
   5798     break;
   5799    case 113:
   5800     $113 = HEAP32[$data >> 2] | 0;
   5801     $here426 = $113 + 4 | 0;
   5802     HEAP32[$here426 >> 2] = $r_7;
   5803     $tobool427 = ($r_7 | 0) == 0;
   5804     if ($tobool427) {
   5805       $retval_0 = 0;
   5806       label = 117;
   5807       break;
   5808     } else {
   5809       label = 114;
   5810       break;
   5811     }
   5812    case 114:
   5813     $cmp429 = ($6 | 0) < 0;
   5814     if ($cmp429) {
   5815       label = 115;
   5816       break;
   5817     } else {
   5818       label = 116;
   5819       break;
   5820     }
   5821    case 115:
   5822     $obj431 = $r_7 + 8 | 0;
   5823     $114 = $obj431;
   5824     $115 = HEAP32[$114 >> 2] | 0;
   5825     $retval_0 = $115;
   5826     label = 117;
   5827     break;
   5828    case 116:
   5829     $116 = $r_7;
   5830     $idx_neg433 = -$6 | 0;
   5831     $add_ptr434 = $116 + $idx_neg433 | 0;
   5832     $retval_0 = $add_ptr434;
   5833     label = 117;
   5834     break;
   5835    case 117:
   5836     return $retval_0 | 0;
   5837   }
   5838   return 0;
   5839 }
   5840 function _dtopen($disc, $meth) {
   5841   $disc = $disc | 0;
   5842   $meth = $meth | 0;
   5843   var $data = 0, $tobool = 0, $tobool1 = 0, $or_cond = 0, $call = 0, $0 = 0, $tobool2 = 0, $searchf = 0, $meth5 = 0, $1 = 0, $disc6 = 0, $2 = 0, $call7 = 0, $type = 0, $eventf = 0, $3 = 0, $tobool8 = 0, $4 = 0, $5 = 0, $call11 = 0, $cmp = 0, $cmp13 = 0, $6 = 0, $tobool15 = 0, $type17 = 0, $7 = 0, $type18 = 0, $8 = 0, $and = 0, $tobool19 = 0, $memoryf = 0, $9 = 0, $tobool23 = 0, $10 = 0, $call27 = 0, $11 = 0, $tobool28 = 0, $searchf31 = 0, $meth32 = 0, $12 = 0, $disc33 = 0, $13 = 0, $call34 = 0, $type35 = 0, $14 = 0, $nview36 = 0, $15 = 0, $walk37 = 0, $16 = 0, $view38 = 0, $17 = 0, $dt_0 = 0, $memoryf42 = 0, $18 = 0, $call43 = 0, $19 = 0, $tobool44 = 0, $dt_1 = 0, $20 = 0, $type47 = 0, $21 = 0, $type48 = 0, $22 = 0, $here = 0, $23 = 0, $_htab = 0, $24 = 0, $loop = 0, $25 = 0, $size = 0, $26 = 0, $ntab = 0, $27 = 0, $minp = 0, $dt_2 = 0, $28 = 0, $data49 = 0, $searchf50 = 0, $29 = 0, $searchf51 = 0, $meth52 = 0, $30 = 0, $tobool54 = 0, $31 = 0, $call57 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   5844   __stackBase__ = STACKTOP;
   5845   STACKTOP = STACKTOP + 8 | 0;
   5846   label = 2;
   5847   while (1) switch (label | 0) {
   5848    case 2:
   5849     $data = __stackBase__ | 0;
   5850     $tobool = ($disc | 0) == 0;
   5851     $tobool1 = ($meth | 0) == 0;
   5852     $or_cond = $tobool | $tobool1;
   5853     if ($or_cond) {
   5854       $retval_0 = 0;
   5855       label = 17;
   5856       break;
   5857     } else {
   5858       label = 3;
   5859       break;
   5860     }
   5861    case 3:
   5862     $call = _malloc(40) | 0;
   5863     $0 = $call;
   5864     $tobool2 = ($call | 0) == 0;
   5865     if ($tobool2) {
   5866       $retval_0 = 0;
   5867       label = 17;
   5868       break;
   5869     } else {
   5870       label = 4;
   5871       break;
   5872     }
   5873    case 4:
   5874     $searchf = $call;
   5875     HEAP32[$searchf >> 2] = 0;
   5876     $meth5 = $call + 16 | 0;
   5877     $1 = $meth5;
   5878     HEAP32[$1 >> 2] = 0;
   5879     $disc6 = $call + 4 | 0;
   5880     $2 = $disc6;
   5881     HEAP32[$2 >> 2] = 0;
   5882     $call7 = _dtdisc($0, $disc, 0) | 0;
   5883     $type = $call + 20 | 0;
   5884     $eventf = $disc + 32 | 0;
   5885     _memset($type | 0, 0, 20);
   5886     $3 = HEAP32[$eventf >> 2] | 0;
   5887     $tobool8 = ($3 | 0) == 0;
   5888     if ($tobool8) {
   5889       $dt_0 = $0;
   5890       label = 12;
   5891       break;
   5892     } else {
   5893       label = 5;
   5894       break;
   5895     }
   5896    case 5:
   5897     HEAP32[$data >> 2] = 0;
   5898     $4 = HEAP32[$eventf >> 2] | 0;
   5899     $5 = $data;
   5900     $call11 = FUNCTION_TABLE_iiiii[$4 & 1023]($0, 1, $5, $disc) | 0;
   5901     $cmp = ($call11 | 0) < 0;
   5902     if ($cmp) {
   5903       $dt_1 = $0;
   5904       label = 13;
   5905       break;
   5906     } else {
   5907       label = 6;
   5908       break;
   5909     }
   5910    case 6:
   5911     $cmp13 = ($call11 | 0) > 0;
   5912     if ($cmp13) {
   5913       label = 7;
   5914       break;
   5915     } else {
   5916       $dt_0 = $0;
   5917       label = 12;
   5918       break;
   5919     }
   5920    case 7:
   5921     $6 = HEAP32[$data >> 2] | 0;
   5922     $tobool15 = ($6 | 0) == 0;
   5923     if ($tobool15) {
   5924       label = 9;
   5925       break;
   5926     } else {
   5927       label = 8;
   5928       break;
   5929     }
   5930    case 8:
   5931     $type17 = $6 | 0;
   5932     $7 = HEAP32[$type17 >> 2] | 0;
   5933     $type18 = $meth + 4 | 0;
   5934     $8 = HEAP32[$type18 >> 2] | 0;
   5935     $and = $8 & $7;
   5936     $tobool19 = ($and | 0) == 0;
   5937     if ($tobool19) {
   5938       $dt_1 = $0;
   5939       label = 13;
   5940       break;
   5941     } else {
   5942       $dt_2 = $0;
   5943       label = 15;
   5944       break;
   5945     }
   5946    case 9:
   5947     $memoryf = $disc + 28 | 0;
   5948     $9 = HEAP32[$memoryf >> 2] | 0;
   5949     $tobool23 = ($9 | 0) == 0;
   5950     if ($tobool23) {
   5951       $dt_1 = $0;
   5952       label = 13;
   5953       break;
   5954     } else {
   5955       label = 10;
   5956       break;
   5957     }
   5958    case 10:
   5959     _free($call);
   5960     $10 = HEAP32[$memoryf >> 2] | 0;
   5961     $call27 = FUNCTION_TABLE_iiiii[$10 & 1023](0, 0, 40, $disc) | 0;
   5962     $11 = $call27;
   5963     $tobool28 = ($call27 | 0) == 0;
   5964     if ($tobool28) {
   5965       $retval_0 = 0;
   5966       label = 17;
   5967       break;
   5968     } else {
   5969       label = 11;
   5970       break;
   5971     }
   5972    case 11:
   5973     $searchf31 = $call27;
   5974     HEAP32[$searchf31 >> 2] = 0;
   5975     $meth32 = $call27 + 16 | 0;
   5976     $12 = $meth32;
   5977     HEAP32[$12 >> 2] = 0;
   5978     $disc33 = $call27 + 4 | 0;
   5979     $13 = $disc33;
   5980     HEAP32[$13 >> 2] = 0;
   5981     $call34 = _dtdisc($11, $disc, 0) | 0;
   5982     $type35 = $call27 + 20 | 0;
   5983     $14 = $type35;
   5984     HEAP32[$14 >> 2] = 1;
   5985     $nview36 = $call27 + 24 | 0;
   5986     $15 = $nview36;
   5987     HEAP32[$15 >> 2] = 0;
   5988     $walk37 = $call27 + 32 | 0;
   5989     $16 = $walk37;
   5990     HEAP32[$16 >> 2] = 0;
   5991     $view38 = $call27 + 28 | 0;
   5992     $17 = $view38;
   5993     HEAP32[$17 >> 2] = 0;
   5994     $dt_0 = $11;
   5995     label = 12;
   5996     break;
   5997    case 12:
   5998     $memoryf42 = $dt_0 + 12 | 0;
   5999     $18 = HEAP32[$memoryf42 >> 2] | 0;
   6000     $call43 = FUNCTION_TABLE_iiiii[$18 & 1023]($dt_0, 0, 28, $disc) | 0;
   6001     $19 = $call43;
   6002     HEAP32[$data >> 2] = $19;
   6003     $tobool44 = ($call43 | 0) == 0;
   6004     if ($tobool44) {
   6005       $dt_1 = $dt_0;
   6006       label = 13;
   6007       break;
   6008     } else {
   6009       label = 14;
   6010       break;
   6011     }
   6012    case 13:
   6013     $20 = $dt_1;
   6014     _free($20);
   6015     $retval_0 = 0;
   6016     label = 17;
   6017     break;
   6018    case 14:
   6019     $type47 = $meth + 4 | 0;
   6020     $21 = HEAP32[$type47 >> 2] | 0;
   6021     $type48 = $call43;
   6022     HEAP32[$type48 >> 2] = $21;
   6023     $22 = HEAP32[$data >> 2] | 0;
   6024     $here = $22 + 4 | 0;
   6025     HEAP32[$here >> 2] = 0;
   6026     $23 = HEAP32[$data >> 2] | 0;
   6027     $_htab = $23 + 8 | 0;
   6028     HEAP32[$_htab >> 2] = 0;
   6029     $24 = HEAP32[$data >> 2] | 0;
   6030     $loop = $24 + 20 | 0;
   6031     HEAP32[$loop >> 2] = 0;
   6032     $25 = HEAP32[$data >> 2] | 0;
   6033     $size = $25 + 16 | 0;
   6034     HEAP32[$size >> 2] = 0;
   6035     $26 = HEAP32[$data >> 2] | 0;
   6036     $ntab = $26 + 12 | 0;
   6037     HEAP32[$ntab >> 2] = 0;
   6038     $27 = HEAP32[$data >> 2] | 0;
   6039     $minp = $27 + 24 | 0;
   6040     HEAP32[$minp >> 2] = 0;
   6041     $dt_2 = $dt_0;
   6042     label = 15;
   6043     break;
   6044    case 15:
   6045     $28 = HEAP32[$data >> 2] | 0;
   6046     $data49 = $dt_2 + 8 | 0;
   6047     HEAP32[$data49 >> 2] = $28;
   6048     $searchf50 = $meth | 0;
   6049     $29 = HEAP32[$searchf50 >> 2] | 0;
   6050     $searchf51 = $dt_2 | 0;
   6051     HEAP32[$searchf51 >> 2] = $29;
   6052     $meth52 = $dt_2 + 16 | 0;
   6053     HEAP32[$meth52 >> 2] = $meth;
   6054     $30 = HEAP32[$eventf >> 2] | 0;
   6055     $tobool54 = ($30 | 0) == 0;
   6056     if ($tobool54) {
   6057       $retval_0 = $dt_2;
   6058       label = 17;
   6059       break;
   6060     } else {
   6061       label = 16;
   6062       break;
   6063     }
   6064    case 16:
   6065     $31 = $dt_2;
   6066     $call57 = FUNCTION_TABLE_iiiii[$30 & 1023]($dt_2, 5, $31, $disc) | 0;
   6067     $retval_0 = $dt_2;
   6068     label = 17;
   6069     break;
   6070    case 17:
   6071     STACKTOP = __stackBase__;
   6072     return $retval_0 | 0;
   6073   }
   6074   return 0;
   6075 }
   6076 function _dtsize($dt) {
   6077   $dt = $dt | 0;
   6078   var $data = 0, $0 = 0, $type = 0, $1 = 0, $and = 0, $tobool = 0, $call = 0, $2 = 0, $size2 = 0, $3 = 0, $cmp = 0, $type4 = 0, $4 = 0, $and5 = 0, $tobool6 = 0, $here = 0, $5 = 0, $call9 = 0, $and14 = 0, $tobool15 = 0, $hh = 0, $_head = 0, $t_010 = 0, $tobool1811 = 0, $t_013 = 0, $size_012 = 0, $add = 0, $right = 0, $t_0 = 0, $tobool18 = 0, $size_0_lcssa = 0, $6 = 0, $size20 = 0, $7 = 0, $size24 = 0, $8 = 0, label = 0;
   6079   label = 2;
   6080   while (1) switch (label | 0) {
   6081    case 2:
   6082     $data = $dt + 8 | 0;
   6083     $0 = HEAP32[$data >> 2] | 0;
   6084     $type = $0 | 0;
   6085     $1 = HEAP32[$type >> 2] | 0;
   6086     $and = $1 & 4096;
   6087     $tobool = ($and | 0) == 0;
   6088     if ($tobool) {
   6089       label = 4;
   6090       break;
   6091     } else {
   6092       label = 3;
   6093       break;
   6094     }
   6095    case 3:
   6096     $call = _dtrestore($dt, 0) | 0;
   6097     label = 4;
   6098     break;
   6099    case 4:
   6100     $2 = HEAP32[$data >> 2] | 0;
   6101     $size2 = $2 + 16 | 0;
   6102     $3 = HEAP32[$size2 >> 2] | 0;
   6103     $cmp = ($3 | 0) < 0;
   6104     if ($cmp) {
   6105       label = 5;
   6106       break;
   6107     } else {
   6108       label = 11;
   6109       break;
   6110     }
   6111    case 5:
   6112     $type4 = $2 | 0;
   6113     $4 = HEAP32[$type4 >> 2] | 0;
   6114     $and5 = $4 & 12;
   6115     $tobool6 = ($and5 | 0) == 0;
   6116     if ($tobool6) {
   6117       label = 7;
   6118       break;
   6119     } else {
   6120       label = 6;
   6121       break;
   6122     }
   6123    case 6:
   6124     $here = $2 + 4 | 0;
   6125     $5 = HEAP32[$here >> 2] | 0;
   6126     $call9 = _treecount($5) | 0;
   6127     HEAP32[$size2 >> 2] = $call9;
   6128     label = 11;
   6129     break;
   6130    case 7:
   6131     $and14 = $4 & 112;
   6132     $tobool15 = ($and14 | 0) == 0;
   6133     if ($tobool15) {
   6134       label = 11;
   6135       break;
   6136     } else {
   6137       label = 8;
   6138       break;
   6139     }
   6140    case 8:
   6141     $hh = $2 + 8 | 0;
   6142     $_head = $hh;
   6143     $t_010 = HEAP32[$_head >> 2] | 0;
   6144     $tobool1811 = ($t_010 | 0) == 0;
   6145     if ($tobool1811) {
   6146       $size_0_lcssa = 0;
   6147       label = 10;
   6148       break;
   6149     } else {
   6150       $size_012 = 0;
   6151       $t_013 = $t_010;
   6152       label = 9;
   6153       break;
   6154     }
   6155    case 9:
   6156     $add = $size_012 + 1 | 0;
   6157     $right = $t_013 | 0;
   6158     $t_0 = HEAP32[$right >> 2] | 0;
   6159     $tobool18 = ($t_0 | 0) == 0;
   6160     if ($tobool18) {
   6161       $size_0_lcssa = $add;
   6162       label = 10;
   6163       break;
   6164     } else {
   6165       $size_012 = $add;
   6166       $t_013 = $t_0;
   6167       label = 9;
   6168       break;
   6169     }
   6170    case 10:
   6171     $6 = HEAP32[$data >> 2] | 0;
   6172     $size20 = $6 + 16 | 0;
   6173     HEAP32[$size20 >> 2] = $size_0_lcssa;
   6174     label = 11;
   6175     break;
   6176    case 11:
   6177     $7 = HEAP32[$data >> 2] | 0;
   6178     $size24 = $7 + 16 | 0;
   6179     $8 = HEAP32[$size24 >> 2] | 0;
   6180     return $8 | 0;
   6181   }
   6182   return 0;
   6183 }
   6184 function _treecount($e) {
   6185   $e = $e | 0;
   6186   var $tobool = 0, $hl = 0, $_left = 0, $0 = 0, $call = 0, $right = 0, $1 = 0, $call1 = 0, $add = 0, $add2 = 0, label = 0;
   6187   label = 2;
   6188   while (1) switch (label | 0) {
   6189    case 2:
   6190     $tobool = ($e | 0) == 0;
   6191     if ($tobool) {
   6192       label = 4;
   6193       break;
   6194     } else {
   6195       label = 3;
   6196       break;
   6197     }
   6198    case 3:
   6199     $hl = $e + 4 | 0;
   6200     $_left = $hl;
   6201     $0 = HEAP32[$_left >> 2] | 0;
   6202     $call = _treecount($0) | 0;
   6203     $right = $e | 0;
   6204     $1 = HEAP32[$right >> 2] | 0;
   6205     $call1 = _treecount($1) | 0;
   6206     $add = $call + 1 | 0;
   6207     $add2 = $add + $call1 | 0;
   6208     return $add2 | 0;
   6209    case 4:
   6210     return 0;
   6211   }
   6212   return 0;
   6213 }
   6214 function _dtstrhash($h, $args, $n) {
   6215   $h = $h | 0;
   6216   $args = $args | 0;
   6217   $n = $n | 0;
   6218   var $cmp = 0, $0 = 0, $cmp120 = 0, $1 = 0, $h_addr_022 = 0, $s_021 = 0, $conv = 0, $shl = 0, $add = 0, $arrayidx4 = 0, $2 = 0, $conv5 = 0, $add6 = 0, $mul = 0, $tobool = 0, $cond = 0, $add_ptr = 0, $3 = 0, $cmp1 = 0, $h_addr_0_lcssa = 0, $s_0_lcssa = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $add_ptr9_sum = 0, $add_ptr10 = 0, $cmp1224 = 0, $h_addr_126 = 0, $s_125 = 0, $4 = 0, $conv16 = 0, $shl17 = 0, $add18 = 0, $arrayidx19 = 0, $5 = 0, $conv20 = 0, $add21 = 0, $mul22 = 0, $add_ptr24 = 0, $cmp12 = 0, $h_addr_1_lcssa = 0, $s_1_lcssa = 0, $cmp26 = 0, $6 = 0, $conv30 = 0, $shl31 = 0, $add32 = 0, $mul33 = 0, $n_addr_0 = 0, $h_addr_2 = 0, $add35 = 0, $mul36 = 0, label = 0;
   6219   label = 2;
   6220   while (1) switch (label | 0) {
   6221    case 2:
   6222     $cmp = ($n | 0) < 1;
   6223     if ($cmp) {
   6224       label = 3;
   6225       break;
   6226     } else {
   6227       label = 6;
   6228       break;
   6229     }
   6230    case 3:
   6231     $0 = HEAP8[$args] | 0;
   6232     $cmp120 = $0 << 24 >> 24 == 0;
   6233     if ($cmp120) {
   6234       $s_0_lcssa = $args;
   6235       $h_addr_0_lcssa = $h;
   6236       label = 5;
   6237       break;
   6238     } else {
   6239       $s_021 = $args;
   6240       $h_addr_022 = $h;
   6241       $1 = $0;
   6242       label = 4;
   6243       break;
   6244     }
   6245    case 4:
   6246     $conv = $1 & 255;
   6247     $shl = $conv << 8;
   6248     $add = $shl + $h_addr_022 | 0;
   6249     $arrayidx4 = $s_021 + 1 | 0;
   6250     $2 = HEAP8[$arrayidx4] | 0;
   6251     $conv5 = $2 & 255;
   6252     $add6 = $add + $conv5 | 0;
   6253     $mul = Math_imul($add6, 17109811);
   6254     $tobool = $2 << 24 >> 24 != 0;
   6255     $cond = $tobool ? 2 : 1;
   6256     $add_ptr = $s_021 + $cond | 0;
   6257     $3 = HEAP8[$add_ptr] | 0;
   6258     $cmp1 = $3 << 24 >> 24 == 0;
   6259     if ($cmp1) {
   6260       $s_0_lcssa = $add_ptr;
   6261       $h_addr_0_lcssa = $mul;
   6262       label = 5;
   6263       break;
   6264     } else {
   6265       $s_021 = $add_ptr;
   6266       $h_addr_022 = $mul;
   6267       $1 = $3;
   6268       label = 4;
   6269       break;
   6270     }
   6271    case 5:
   6272     $sub_ptr_lhs_cast = $s_0_lcssa;
   6273     $sub_ptr_rhs_cast = $args;
   6274     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   6275     $h_addr_2 = $h_addr_0_lcssa;
   6276     $n_addr_0 = $sub_ptr_sub;
   6277     label = 10;
   6278     break;
   6279    case 6:
   6280     $add_ptr9_sum = $n - 1 | 0;
   6281     $add_ptr10 = $args + $add_ptr9_sum | 0;
   6282     $cmp1224 = ($add_ptr9_sum | 0) > 0;
   6283     if ($cmp1224) {
   6284       $s_125 = $args;
   6285       $h_addr_126 = $h;
   6286       label = 7;
   6287       break;
   6288     } else {
   6289       $s_1_lcssa = $args;
   6290       $h_addr_1_lcssa = $h;
   6291       label = 8;
   6292       break;
   6293     }
   6294    case 7:
   6295     $4 = HEAP8[$s_125] | 0;
   6296     $conv16 = $4 & 255;
   6297     $shl17 = $conv16 << 8;
   6298     $add18 = $shl17 + $h_addr_126 | 0;
   6299     $arrayidx19 = $s_125 + 1 | 0;
   6300     $5 = HEAP8[$arrayidx19] | 0;
   6301     $conv20 = $5 & 255;
   6302     $add21 = $add18 + $conv20 | 0;
   6303     $mul22 = Math_imul($add21, 17109811);
   6304     $add_ptr24 = $s_125 + 2 | 0;
   6305     $cmp12 = $add_ptr24 >>> 0 < $add_ptr10 >>> 0;
   6306     if ($cmp12) {
   6307       $s_125 = $add_ptr24;
   6308       $h_addr_126 = $mul22;
   6309       label = 7;
   6310       break;
   6311     } else {
   6312       $s_1_lcssa = $add_ptr24;
   6313       $h_addr_1_lcssa = $mul22;
   6314       label = 8;
   6315       break;
   6316     }
   6317    case 8:
   6318     $cmp26 = $s_1_lcssa >>> 0 > $add_ptr10 >>> 0;
   6319     if ($cmp26) {
   6320       $h_addr_2 = $h_addr_1_lcssa;
   6321       $n_addr_0 = $n;
   6322       label = 10;
   6323       break;
   6324     } else {
   6325       label = 9;
   6326       break;
   6327     }
   6328    case 9:
   6329     $6 = HEAP8[$s_1_lcssa] | 0;
   6330     $conv30 = $6 & 255;
   6331     $shl31 = $conv30 << 8;
   6332     $add32 = $shl31 + $h_addr_1_lcssa | 0;
   6333     $mul33 = Math_imul($add32, 17109811);
   6334     $h_addr_2 = $mul33;
   6335     $n_addr_0 = $n;
   6336     label = 10;
   6337     break;
   6338    case 10:
   6339     $add35 = $h_addr_2 + $n_addr_0 | 0;
   6340     $mul36 = Math_imul($add35, 17109811);
   6341     return $mul36 | 0;
   6342   }
   6343   return 0;
   6344 }
   6345 function _dttree($dt, $obj, $type) {
   6346   $dt = $dt | 0;
   6347   $obj = $obj | 0;
   6348   $type = $type | 0;
   6349   var $link = 0, $turn = 0, $data = 0, $0 = 0, $type1 = 0, $1 = 0, $and = 0, $tobool = 0, $2 = 0, $call = 0, $disc2 = 0, $3 = 0, $key3 = 0, $4 = 0, $size = 0, $5 = 0, $link4 = 0, $6 = 0, $comparf = 0, $7 = 0, $type5 = 0, $8 = 0, $and6 = 0, $9 = 0, $here = 0, $10 = 0, $tobool8 = 0, $tobool9 = 0, $and10 = 0, $tobool11 = 0, $and13 = 0, $tobool14 = 0, $freef = 0, $11 = 0, $tobool16 = 0, $12 = 0, $cmp19 = 0, $memoryf = 0, $cmp30 = 0, $idx_neg = 0, $root_0 = 0, $hl = 0, $_left = 0, $13 = 0, $tobool21 = 0, $right = 0, $14 = 0, $15 = 0, $_c389 = 0, $right25 = 0, $16 = 0, $17 = 0, $tobool27 = 0, $obj32 = 0, $18 = 0, $19 = 0, $20 = 0, $add_ptr = 0, $cond35 = 0, $21 = 0, $cmp38 = 0, $22 = 0, $23 = 0, $call40 = 0, $tobool42 = 0, $24 = 0, $size45 = 0, $25 = 0, $here47 = 0, $and48 = 0, $tobool49 = 0, $right52431 = 0, $26 = 0, $tobool53432 = 0, $hl63425 = 0, $_left64426 = 0, $27 = 0, $tobool65427 = 0, $28 = 0, $right52434 = 0, $root_1433 = 0, $hl55 = 0, $_left56 = 0, $29 = 0, $30 = 0, $root_1_c = 0, $right52 = 0, $31 = 0, $tobool53 = 0, $32 = 0, $hl63429 = 0, $root_2428 = 0, $right67 = 0, $33 = 0, $34 = 0, $_c = 0, $hl63 = 0, $_left64 = 0, $35 = 0, $tobool65 = 0, $root_3 = 0, $36 = 0, $here74 = 0, $cmp75 = 0, $obj77 = 0, $37 = 0, $38 = 0, $39 = 0, $idx_neg79 = 0, $add_ptr80 = 0, $meth = 0, $40 = 0, $type84 = 0, $41 = 0, $cmp85 = 0, $and86 = 0, $tobool87 = 0, $cmp89 = 0, $add_ptr91 = 0, $42 = 0, $43 = 0, $cond95 = 0, $searchf96 = 0, $44 = 0, $call97 = 0, $tobool98496 = 0, $tobool106 = 0, $cmp110 = 0, $o_0497 = 0, $add_ptr101 = 0, $45 = 0, $46 = 0, $cond105 = 0, $call108 = 0, $call112 = 0, $call114 = 0, $cond118 = 0, $cmp119 = 0, $cmp122 = 0, $47 = 0, $here125 = 0, $48 = 0, $hl126 = 0, $_left127 = 0, $49 = 0, $right128 = 0, $right129 = 0, $50 = 0, $51 = 0, $_c411 = 0, $52 = 0, $call135 = 0, $tobool98 = 0, $and137 = 0, $tobool138 = 0, $and140 = 0, $tobool141 = 0, $cmp144 = 0, $add_ptr146 = 0, $53 = 0, $54 = 0, $cond152 = 0, $tobool153 = 0, $and157 = 0, $tobool158 = 0, $55 = 0, $cmp160 = 0, $obj162 = 0, $56 = 0, $57 = 0, $idx_neg164 = 0, $add_ptr165 = 0, $cond167 = 0, $cmp168 = 0, $add_ptr170 = 0, $58 = 0, $59 = 0, $cond174 = 0, $tobool175 = 0, $tobool179 = 0, $cmp181 = 0, $obj183 = 0, $60 = 0, $61 = 0, $62 = 0, $idx_neg185 = 0, $add_ptr186 = 0, $cond188 = 0, $cmp189 = 0, $cmp191 = 0, $add_ptr193 = 0, $63 = 0, $64 = 0, $key_0 = 0, $me_0 = 0, $obj_addr_0 = 0, $65 = 0, $type199 = 0, $66 = 0, $cmp200 = 0, $67 = 0, $minp203 = 0, $68 = 0, $cmp204 = 0, $and206 = 0, $tobool207 = 0, $cmp212 = 0, $cmp220 = 0, $tobool227 = 0, $cmp231 = 0, $idx_neg216 = 0, $l_2_ph = 0, $r_2_ph = 0, $root_6_ph = 0, $cmp317 = 0, $cmp325 = 0, $tobool332 = 0, $cmp373 = 0, $idx_neg358 = 0, $cmp336 = 0, $idx_neg321 = 0, $cmp437 = 0, $idx_neg422 = 0, $t_0 = 0, $n_0 = 0, $cmp210 = 0, $cmp266485 = 0, $obj214 = 0, $69 = 0, $70 = 0, $71 = 0, $add_ptr217 = 0, $cond219 = 0, $add_ptr222 = 0, $72 = 0, $73 = 0, $cond226 = 0, $call229 = 0, $call233 = 0, $call235 = 0, $cond239 = 0, $cmp240 = 0, $obj244 = 0, $74 = 0, $75 = 0, $76 = 0, $idx_neg246 = 0, $add_ptr247 = 0, $arrayidx = 0, $cmp251 = 0, $hl253 = 0, $_left254 = 0, $right256 = 0, $cond258_in = 0, $cond258 = 0, $tobool259 = 0, $inc = 0, $root_4489 = 0, $n_1488 = 0, $r_0487 = 0, $l_0486 = 0, $arrayidx268 = 0, $77 = 0, $cmp269 = 0, $hl271 = 0, $_left272 = 0, $78 = 0, $add407 = 0, $arrayidx273 = 0, $79 = 0, $cmp274 = 0, $right276 = 0, $80 = 0, $81 = 0, $_c409 = 0, $82 = 0, $_c410 = 0, $hl282 = 0, $_left283 = 0, $right285 = 0, $83 = 0, $root_4_c408 = 0, $right288 = 0, $right291 = 0, $84 = 0, $add292405 = 0, $arrayidx293 = 0, $85 = 0, $cmp294 = 0, $hl296 = 0, $_left297 = 0, $86 = 0, $87 = 0, $root_4_c = 0, $right301 = 0, $right302 = 0, $88 = 0, $_c406 = 0, $right306 = 0, $hl307 = 0, $_left308 = 0, $l_1 = 0, $r_1 = 0, $root_5_in = 0, $root_5 = 0, $add312 = 0, $cmp266 = 0, $r_2 = 0, $root_6 = 0, $obj319 = 0, $89 = 0, $90 = 0, $91 = 0, $add_ptr322 = 0, $cond324 = 0, $add_ptr327 = 0, $92 = 0, $93 = 0, $cond331 = 0, $call334 = 0, $call338 = 0, $call340 = 0, $cond344 = 0, $cmp345 = 0, $cmp348 = 0, $hl350 = 0, $_left351 = 0, $94 = 0, $tobool352 = 0, $obj356 = 0, $95 = 0, $96 = 0, $97 = 0, $add_ptr359 = 0, $cond361 = 0, $add_ptr364 = 0, $98 = 0, $99 = 0, $cond368 = 0, $call371 = 0, $call375 = 0, $call377 = 0, $cond381 = 0, $cmp382 = 0, $right384 = 0, $100 = 0, $101 = 0, $_c403 = 0, $102 = 0, $_c404 = 0, $hl390 = 0, $_left391 = 0, $103 = 0, $tobool392 = 0, $cmp396 = 0, $104 = 0, $root_6_c402 = 0, $right401 = 0, $105 = 0, $root_6_c401 = 0, $right404 = 0, $106 = 0, $tobool405 = 0, $107 = 0, $root_6_c400 = 0, $right415 = 0, $108 = 0, $tobool416 = 0, $obj420 = 0, $109 = 0, $110 = 0, $111 = 0, $add_ptr423 = 0, $cond425 = 0, $add_ptr428 = 0, $112 = 0, $113 = 0, $cond432 = 0, $call435 = 0, $call439 = 0, $call441 = 0, $cond445 = 0, $cmp446 = 0, $hl448 = 0, $_left449 = 0, $114 = 0, $115 = 0, $root_6_c = 0, $right453 = 0, $right454 = 0, $116 = 0, $tobool455 = 0, $l_2_ph459 = 0, $r_2_ph460 = 0, $root_6_ph461 = 0, $cmp459 = 0, $right461 = 0, $117 = 0, $_c399 = 0, $right465 = 0, $hl466 = 0, $_left467 = 0, $118 = 0, $tobool468 = 0, $right474 = 0, $l_3 = 0, $r_3 = 0, $me_1 = 0, $root_7 = 0, $obj_addr_1 = 0, $tobool482 = 0, $119 = 0, $or = 0, $hl485 = 0, $_left486 = 0, $120 = 0, $right487 = 0, $right488 = 0, $121 = 0, $122 = 0, $_c396 = 0, $and491 = 0, $tobool492 = 0, $root_8 = 0, $right494 = 0, $123 = 0, $124 = 0, $_c391 = 0, $hl497 = 0, $_left498 = 0, $125 = 0, $right499 = 0, $126 = 0, $type501 = 0, $127 = 0, $and502 = 0, $tobool503 = 0, $and505 = 0, $tobool506 = 0, $cmp508 = 0, $obj510 = 0, $128 = 0, $129 = 0, $130 = 0, $idx_neg512 = 0, $add_ptr513 = 0, $cond515 = 0, $cmp516 = 0, $add_ptr518 = 0, $131 = 0, $132 = 0, $cond522 = 0, $hl524442 = 0, $_left525443 = 0, $133 = 0, $tobool526444 = 0, $tobool555 = 0, $cmp559 = 0, $idx_neg544 = 0, $134 = 0, $hl524446 = 0, $root_9445 = 0, $right529436 = 0, $135 = 0, $tobool530437 = 0, $136 = 0, $right529439 = 0, $t_1438 = 0, $hl532 = 0, $_left533 = 0, $137 = 0, $138 = 0, $t_1_c393 = 0, $right529 = 0, $139 = 0, $tobool530 = 0, $right529_lcssa = 0, $t_1_lcssa = 0, $140 = 0, $t_1_c = 0, $obj542 = 0, $141 = 0, $142 = 0, $143 = 0, $add_ptr545 = 0, $cond547 = 0, $add_ptr550 = 0, $144 = 0, $145 = 0, $cond554 = 0, $call557 = 0, $call561 = 0, $call563 = 0, $cond567 = 0, $cmp568 = 0, $146 = 0, $_c392 = 0, $hl524 = 0, $_left525 = 0, $147 = 0, $tobool526 = 0, $root_10 = 0, $148 = 0, $here578 = 0, $cmp579 = 0, $obj581 = 0, $149 = 0, $150 = 0, $151 = 0, $idx_neg583 = 0, $add_ptr584 = 0, $and588 = 0, $tobool589 = 0, $right591 = 0, $152 = 0, $153 = 0, $_c398 = 0, $obj_addr_1421 = 0, $r_3414 = 0, $hl596 = 0, $_left597 = 0, $154 = 0, $tobool598 = 0, $hl601453 = 0, $_left602454 = 0, $155 = 0, $tobool603455 = 0, $156 = 0, $hl601457 = 0, $root_11456 = 0, $right605 = 0, $157 = 0, $158 = 0, $_c395 = 0, $hl601 = 0, $_left602 = 0, $159 = 0, $tobool603 = 0, $root_11_lcssa = 0, $right610 = 0, $160 = 0, $161 = 0, $_c394 = 0, $and615 = 0, $tobool616 = 0, $hl618 = 0, $_left619 = 0, $162 = 0, $163 = 0, $164 = 0, $root_7_c397 = 0, $obj_addr_1424 = 0, $r_3416 = 0, $right625 = 0, $165 = 0, $tobool626 = 0, $right629448 = 0, $166 = 0, $tobool630449 = 0, $167 = 0, $right629451 = 0, $root_12450 = 0, $hl632 = 0, $_left633 = 0, $168 = 0, $169 = 0, $root_12_c = 0, $right629 = 0, $170 = 0, $tobool630 = 0, $root_12_lcssa = 0, $hl638 = 0, $_left639 = 0, $171 = 0, $and643 = 0, $tobool644 = 0, $r_4 = 0, $root_13 = 0, $cmp646 = 0, $obj648 = 0, $172 = 0, $173 = 0, $174 = 0, $idx_neg650 = 0, $add_ptr651 = 0, $cond653 = 0, $freef654 = 0, $175 = 0, $tobool655 = 0, $and657 = 0, $tobool658 = 0, $176 = 0, $cmp663 = 0, $memoryf665 = 0, $177 = 0, $178 = 0, $call666 = 0, $179 = 0, $size669 = 0, $180 = 0, $sub = 0, $cmp670 = 0, $181 = 0, $size673 = 0, $and676 = 0, $tobool677 = 0, $182 = 0, $type680 = 0, $183 = 0, $and681 = 0, $tobool682 = 0, $184 = 0, $hl687 = 0, $_left688 = 0, $185 = 0, $186 = 0, $root_7_c = 0, $and693 = 0, $tobool694 = 0, $187 = 0, $type697 = 0, $188 = 0, $and698 = 0, $tobool699 = 0, $freef701 = 0, $189 = 0, $tobool702 = 0, $190 = 0, $cmp707 = 0, $memoryf709 = 0, $191 = 0, $192 = 0, $call710 = 0, $193 = 0, $hl715 = 0, $_left716 = 0, $194 = 0, $right717 = 0, $195 = 0, $me_1_c = 0, $196 = 0, $size721 = 0, $197 = 0, $add722 = 0, $obj_addr_1422 = 0, $me_1418 = 0, $r_3415 = 0, $l_3413 = 0, $198 = 0, $right733 = 0, $and734 = 0, $tobool735 = 0, $and738 = 0, $tobool739 = 0, $and742 = 0, $tobool743 = 0, $r_5_ph = 0, $obj_addr_2_ph = 0, $r_5 = 0, $hl746 = 0, $_left747 = 0, $199 = 0, $tobool748 = 0, $right751 = 0, $200 = 0, $201 = 0, $_c390 = 0, $hl754 = 0, $_left755 = 0, $202 = 0, $203 = 0, $here757 = 0, $and758 = 0, $tobool759 = 0, $cond763 = 0, $and765 = 0, $tobool766 = 0, $obj_addr_1423 = 0, $root_7419 = 0, $r_3417 = 0, $makef = 0, $204 = 0, $tobool768 = 0, $and770 = 0, $tobool771 = 0, $call774 = 0, $obj_addr_3 = 0, $tobool776 = 0, $cmp778 = 0, $add_ptr780 = 0, $205 = 0, $memoryf782 = 0, $206 = 0, $call783 = 0, $207 = 0, $tobool784 = 0, $obj786 = 0, $208 = 0, $209 = 0, $tobool789 = 0, $freef791 = 0, $210 = 0, $tobool792 = 0, $and794 = 0, $tobool795 = 0, $root_14 = 0, $tobool802 = 0, $211 = 0, $size805 = 0, $212 = 0, $cmp806 = 0, $add810 = 0, $and814 = 0, $tobool815 = 0, $213 = 0, $size818 = 0, $214 = 0, $add819 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   6350   __stackBase__ = STACKTOP;
   6351   STACKTOP = STACKTOP + 128 | 0;
   6352   label = 2;
   6353   while (1) switch (label | 0) {
   6354    case 2:
   6355     $link = __stackBase__ | 0;
   6356     $turn = __stackBase__ + 8 | 0;
   6357     $data = $dt + 8 | 0;
   6358     $0 = HEAP32[$data >> 2] | 0;
   6359     $type1 = $0 | 0;
   6360     $1 = HEAP32[$type1 >> 2] | 0;
   6361     $and = $1 & 4096;
   6362     $tobool = ($and | 0) == 0;
   6363     if ($tobool) {
   6364       label = 4;
   6365       break;
   6366     } else {
   6367       label = 3;
   6368       break;
   6369     }
   6370    case 3:
   6371     $2 = $dt;
   6372     $call = _dtrestore($2, 0) | 0;
   6373     label = 4;
   6374     break;
   6375    case 4:
   6376     $disc2 = $dt + 4 | 0;
   6377     $3 = HEAP32[$disc2 >> 2] | 0;
   6378     $key3 = $3 | 0;
   6379     $4 = HEAP32[$key3 >> 2] | 0;
   6380     $size = $3 + 4 | 0;
   6381     $5 = HEAP32[$size >> 2] | 0;
   6382     $link4 = $3 + 8 | 0;
   6383     $6 = HEAP32[$link4 >> 2] | 0;
   6384     $comparf = $3 + 20 | 0;
   6385     $7 = HEAP32[$comparf >> 2] | 0;
   6386     $type5 = $dt + 20 | 0;
   6387     $8 = HEAP32[$type5 >> 2] | 0;
   6388     $and6 = $8 & -32769;
   6389     HEAP32[$type5 >> 2] = $and6;
   6390     $9 = HEAP32[$data >> 2] | 0;
   6391     $here = $9 + 4 | 0;
   6392     $10 = HEAP32[$here >> 2] | 0;
   6393     $tobool8 = ($obj | 0) == 0;
   6394     if ($tobool8) {
   6395       label = 5;
   6396       break;
   6397     } else {
   6398       label = 30;
   6399       break;
   6400     }
   6401    case 5:
   6402     $tobool9 = ($10 | 0) == 0;
   6403     if ($tobool9) {
   6404       $retval_0 = 0;
   6405       label = 231;
   6406       break;
   6407     } else {
   6408       label = 6;
   6409       break;
   6410     }
   6411    case 6:
   6412     $and10 = $type & 448;
   6413     $tobool11 = ($and10 | 0) == 0;
   6414     if ($tobool11) {
   6415       $retval_0 = 0;
   6416       label = 231;
   6417       break;
   6418     } else {
   6419       label = 7;
   6420       break;
   6421     }
   6422    case 7:
   6423     $and13 = $type & 64;
   6424     $tobool14 = ($and13 | 0) == 0;
   6425     if ($tobool14) {
   6426       label = 22;
   6427       break;
   6428     } else {
   6429       label = 8;
   6430       break;
   6431     }
   6432    case 8:
   6433     $freef = $3 + 16 | 0;
   6434     $11 = HEAP32[$freef >> 2] | 0;
   6435     $tobool16 = ($11 | 0) == 0;
   6436     if ($tobool16) {
   6437       label = 9;
   6438       break;
   6439     } else {
   6440       label = 10;
   6441       break;
   6442     }
   6443    case 9:
   6444     $12 = HEAP32[$link4 >> 2] | 0;
   6445     $cmp19 = ($12 | 0) < 0;
   6446     if ($cmp19) {
   6447       label = 10;
   6448       break;
   6449     } else {
   6450       label = 21;
   6451       break;
   6452     }
   6453    case 10:
   6454     $memoryf = $dt + 12 | 0;
   6455     $cmp30 = ($6 | 0) < 0;
   6456     $idx_neg = -$6 | 0;
   6457     $root_0 = $10;
   6458     label = 11;
   6459     break;
   6460    case 11:
   6461     $hl = $root_0 + 4 | 0;
   6462     $_left = $hl;
   6463     $13 = HEAP32[$_left >> 2] | 0;
   6464     $tobool21 = ($13 | 0) == 0;
   6465     if ($tobool21) {
   6466       label = 13;
   6467       break;
   6468     } else {
   6469       label = 12;
   6470       break;
   6471     }
   6472    case 12:
   6473     $right = $13 | 0;
   6474     $14 = HEAP32[$right >> 2] | 0;
   6475     $15 = $hl | 0;
   6476     $_c389 = $14;
   6477     HEAP32[$15 >> 2] = $_c389;
   6478     HEAP32[$right >> 2] = $root_0;
   6479     $root_0 = $13;
   6480     label = 11;
   6481     break;
   6482    case 13:
   6483     $right25 = $root_0 | 0;
   6484     $16 = HEAP32[$right25 >> 2] | 0;
   6485     $17 = HEAP32[$freef >> 2] | 0;
   6486     $tobool27 = ($17 | 0) == 0;
   6487     if ($tobool27) {
   6488       label = 18;
   6489       break;
   6490     } else {
   6491       label = 14;
   6492       break;
   6493     }
   6494    case 14:
   6495     if ($cmp30) {
   6496       label = 15;
   6497       break;
   6498     } else {
   6499       label = 16;
   6500       break;
   6501     }
   6502    case 15:
   6503     $obj32 = $root_0 + 8 | 0;
   6504     $18 = $obj32;
   6505     $19 = HEAP32[$18 >> 2] | 0;
   6506     $cond35 = $19;
   6507     label = 17;
   6508     break;
   6509    case 16:
   6510     $20 = $root_0;
   6511     $add_ptr = $20 + $idx_neg | 0;
   6512     $cond35 = $add_ptr;
   6513     label = 17;
   6514     break;
   6515    case 17:
   6516     FUNCTION_TABLE_viii[$17 & 1023]($dt, $cond35, $3);
   6517     label = 18;
   6518     break;
   6519    case 18:
   6520     $21 = HEAP32[$link4 >> 2] | 0;
   6521     $cmp38 = ($21 | 0) < 0;
   6522     if ($cmp38) {
   6523       label = 19;
   6524       break;
   6525     } else {
   6526       label = 20;
   6527       break;
   6528     }
   6529    case 19:
   6530     $22 = HEAP32[$memoryf >> 2] | 0;
   6531     $23 = $root_0;
   6532     $call40 = FUNCTION_TABLE_iiiii[$22 & 1023]($dt, $23, 0, $3) | 0;
   6533     label = 20;
   6534     break;
   6535    case 20:
   6536     $tobool42 = ($16 | 0) == 0;
   6537     if ($tobool42) {
   6538       label = 21;
   6539       break;
   6540     } else {
   6541       $root_0 = $16;
   6542       label = 11;
   6543       break;
   6544     }
   6545    case 21:
   6546     $24 = HEAP32[$data >> 2] | 0;
   6547     $size45 = $24 + 16 | 0;
   6548     HEAP32[$size45 >> 2] = 0;
   6549     $25 = HEAP32[$data >> 2] | 0;
   6550     $here47 = $25 + 4 | 0;
   6551     HEAP32[$here47 >> 2] = 0;
   6552     $retval_0 = 0;
   6553     label = 231;
   6554     break;
   6555    case 22:
   6556     $and48 = $type & 256;
   6557     $tobool49 = ($and48 | 0) == 0;
   6558     if ($tobool49) {
   6559       label = 24;
   6560       break;
   6561     } else {
   6562       label = 23;
   6563       break;
   6564     }
   6565    case 23:
   6566     $right52431 = $10 | 0;
   6567     $26 = HEAP32[$right52431 >> 2] | 0;
   6568     $tobool53432 = ($26 | 0) == 0;
   6569     if ($tobool53432) {
   6570       $root_3 = $10;
   6571       label = 27;
   6572       break;
   6573     } else {
   6574       $root_1433 = $10;
   6575       $right52434 = $right52431;
   6576       $28 = $26;
   6577       label = 25;
   6578       break;
   6579     }
   6580    case 24:
   6581     $hl63425 = $10 + 4 | 0;
   6582     $_left64426 = $hl63425;
   6583     $27 = HEAP32[$_left64426 >> 2] | 0;
   6584     $tobool65427 = ($27 | 0) == 0;
   6585     if ($tobool65427) {
   6586       $root_3 = $10;
   6587       label = 27;
   6588       break;
   6589     } else {
   6590       $root_2428 = $10;
   6591       $hl63429 = $hl63425;
   6592       $32 = $27;
   6593       label = 26;
   6594       break;
   6595     }
   6596    case 25:
   6597     $hl55 = $28 + 4 | 0;
   6598     $_left56 = $hl55;
   6599     $29 = HEAP32[$_left56 >> 2] | 0;
   6600     HEAP32[$right52434 >> 2] = $29;
   6601     $30 = $hl55 | 0;
   6602     $root_1_c = $root_1433;
   6603     HEAP32[$30 >> 2] = $root_1_c;
   6604     $right52 = $28 | 0;
   6605     $31 = HEAP32[$right52 >> 2] | 0;
   6606     $tobool53 = ($31 | 0) == 0;
   6607     if ($tobool53) {
   6608       $root_3 = $28;
   6609       label = 27;
   6610       break;
   6611     } else {
   6612       $root_1433 = $28;
   6613       $right52434 = $right52;
   6614       $28 = $31;
   6615       label = 25;
   6616       break;
   6617     }
   6618    case 26:
   6619     $right67 = $32 | 0;
   6620     $33 = HEAP32[$right67 >> 2] | 0;
   6621     $34 = $hl63429 | 0;
   6622     $_c = $33;
   6623     HEAP32[$34 >> 2] = $_c;
   6624     HEAP32[$right67 >> 2] = $root_2428;
   6625     $hl63 = $32 + 4 | 0;
   6626     $_left64 = $hl63;
   6627     $35 = HEAP32[$_left64 >> 2] | 0;
   6628     $tobool65 = ($35 | 0) == 0;
   6629     if ($tobool65) {
   6630       $root_3 = $32;
   6631       label = 27;
   6632       break;
   6633     } else {
   6634       $root_2428 = $32;
   6635       $hl63429 = $hl63;
   6636       $32 = $35;
   6637       label = 26;
   6638       break;
   6639     }
   6640    case 27:
   6641     $36 = HEAP32[$data >> 2] | 0;
   6642     $here74 = $36 + 4 | 0;
   6643     HEAP32[$here74 >> 2] = $root_3;
   6644     $cmp75 = ($6 | 0) < 0;
   6645     if ($cmp75) {
   6646       label = 28;
   6647       break;
   6648     } else {
   6649       label = 29;
   6650       break;
   6651     }
   6652    case 28:
   6653     $obj77 = $root_3 + 8 | 0;
   6654     $37 = $obj77;
   6655     $38 = HEAP32[$37 >> 2] | 0;
   6656     $retval_0 = $38;
   6657     label = 231;
   6658     break;
   6659    case 29:
   6660     $39 = $root_3;
   6661     $idx_neg79 = -$6 | 0;
   6662     $add_ptr80 = $39 + $idx_neg79 | 0;
   6663     $retval_0 = $add_ptr80;
   6664     label = 231;
   6665     break;
   6666    case 30:
   6667     $meth = $dt + 16 | 0;
   6668     $40 = HEAP32[$meth >> 2] | 0;
   6669     $type84 = $40 + 4 | 0;
   6670     $41 = HEAP32[$type84 >> 2] | 0;
   6671     $cmp85 = ($41 | 0) == 8;
   6672     if ($cmp85) {
   6673       label = 31;
   6674       break;
   6675     } else {
   6676       label = 47;
   6677       break;
   6678     }
   6679    case 31:
   6680     $and86 = $type & 4098;
   6681     $tobool87 = ($and86 | 0) == 0;
   6682     if ($tobool87) {
   6683       label = 47;
   6684       break;
   6685     } else {
   6686       label = 32;
   6687       break;
   6688     }
   6689    case 32:
   6690     $cmp89 = ($5 | 0) < 0;
   6691     $add_ptr91 = $obj + $4 | 0;
   6692     if ($cmp89) {
   6693       label = 33;
   6694       break;
   6695     } else {
   6696       $cond95 = $add_ptr91;
   6697       label = 34;
   6698       break;
   6699     }
   6700    case 33:
   6701     $42 = $add_ptr91;
   6702     $43 = HEAP32[$42 >> 2] | 0;
   6703     $cond95 = $43;
   6704     label = 34;
   6705     break;
   6706    case 34:
   6707     $searchf96 = $dt;
   6708     $44 = HEAP32[$searchf96 >> 2] | 0;
   6709     $call97 = FUNCTION_TABLE_iiii[$44 & 1023]($dt, $obj, 4) | 0;
   6710     $tobool98496 = ($call97 | 0) == 0;
   6711     if ($tobool98496) {
   6712       label = 47;
   6713       break;
   6714     } else {
   6715       label = 35;
   6716       break;
   6717     }
   6718    case 35:
   6719     $tobool106 = ($7 | 0) == 0;
   6720     $cmp110 = ($5 | 0) < 1;
   6721     $o_0497 = $call97;
   6722     label = 36;
   6723     break;
   6724    case 36:
   6725     $add_ptr101 = $o_0497 + $4 | 0;
   6726     if ($cmp89) {
   6727       label = 37;
   6728       break;
   6729     } else {
   6730       $cond105 = $add_ptr101;
   6731       label = 38;
   6732       break;
   6733     }
   6734    case 37:
   6735     $45 = $add_ptr101;
   6736     $46 = HEAP32[$45 >> 2] | 0;
   6737     $cond105 = $46;
   6738     label = 38;
   6739     break;
   6740    case 38:
   6741     if ($tobool106) {
   6742       label = 40;
   6743       break;
   6744     } else {
   6745       label = 39;
   6746       break;
   6747     }
   6748    case 39:
   6749     $call108 = FUNCTION_TABLE_iiiii[$7 & 1023]($dt, $cond95, $cond105, $3) | 0;
   6750     $cond118 = $call108;
   6751     label = 43;
   6752     break;
   6753    case 40:
   6754     if ($cmp110) {
   6755       label = 41;
   6756       break;
   6757     } else {
   6758       label = 42;
   6759       break;
   6760     }
   6761    case 41:
   6762     $call112 = _strcmp($cond95 | 0, $cond105 | 0) | 0;
   6763     $cond118 = $call112;
   6764     label = 43;
   6765     break;
   6766    case 42:
   6767     $call114 = _memcmp($cond95 | 0, $cond105 | 0, $5 | 0) | 0;
   6768     $cond118 = $call114;
   6769     label = 43;
   6770     break;
   6771    case 43:
   6772     $cmp119 = ($cond118 | 0) == 0;
   6773     if ($cmp119) {
   6774       label = 44;
   6775       break;
   6776     } else {
   6777       label = 47;
   6778       break;
   6779     }
   6780    case 44:
   6781     $cmp122 = ($o_0497 | 0) == ($obj | 0);
   6782     if ($cmp122) {
   6783       label = 45;
   6784       break;
   6785     } else {
   6786       label = 46;
   6787       break;
   6788     }
   6789    case 45:
   6790     $47 = HEAP32[$data >> 2] | 0;
   6791     $here125 = $47 + 4 | 0;
   6792     $48 = HEAP32[$here125 >> 2] | 0;
   6793     $hl126 = $48 + 4 | 0;
   6794     $_left127 = $hl126;
   6795     $49 = HEAP32[$_left127 >> 2] | 0;
   6796     $right128 = $link | 0;
   6797     HEAP32[$right128 >> 2] = $49;
   6798     $right129 = $48 | 0;
   6799     $50 = HEAP32[$right129 >> 2] | 0;
   6800     $51 = $link + 4 | 0;
   6801     $_c411 = $50;
   6802     HEAP32[$51 >> 2] = $_c411;
   6803     $root_13 = $48;
   6804     $r_4 = $link;
   6805     label = 187;
   6806     break;
   6807    case 46:
   6808     $52 = HEAP32[$searchf96 >> 2] | 0;
   6809     $call135 = FUNCTION_TABLE_iiii[$52 & 1023]($dt, $o_0497, 8) | 0;
   6810     $tobool98 = ($call135 | 0) == 0;
   6811     if ($tobool98) {
   6812       label = 47;
   6813       break;
   6814     } else {
   6815       $o_0497 = $call135;
   6816       label = 36;
   6817       break;
   6818     }
   6819    case 47:
   6820     $and137 = $type & 2565;
   6821     $tobool138 = ($and137 | 0) == 0;
   6822     if ($tobool138) {
   6823       label = 52;
   6824       break;
   6825     } else {
   6826       label = 48;
   6827       break;
   6828     }
   6829    case 48:
   6830     $and140 = $type & 512;
   6831     $tobool141 = ($and140 | 0) == 0;
   6832     if ($tobool141) {
   6833       label = 49;
   6834       break;
   6835     } else {
   6836       $cond152 = $obj;
   6837       label = 51;
   6838       break;
   6839     }
   6840    case 49:
   6841     $cmp144 = ($5 | 0) < 0;
   6842     $add_ptr146 = $obj + $4 | 0;
   6843     if ($cmp144) {
   6844       label = 50;
   6845       break;
   6846     } else {
   6847       $cond152 = $add_ptr146;
   6848       label = 51;
   6849       break;
   6850     }
   6851    case 50:
   6852     $53 = $add_ptr146;
   6853     $54 = HEAP32[$53 >> 2] | 0;
   6854     $cond152 = $54;
   6855     label = 51;
   6856     break;
   6857    case 51:
   6858     $tobool153 = ($10 | 0) == 0;
   6859     if ($tobool153) {
   6860       $l_3413 = $link;
   6861       $r_3415 = $link;
   6862       $me_1418 = 0;
   6863       $obj_addr_1422 = $obj;
   6864       label = 207;
   6865       break;
   6866     } else {
   6867       $obj_addr_0 = $obj;
   6868       $me_0 = 0;
   6869       $key_0 = $cond152;
   6870       label = 66;
   6871       break;
   6872     }
   6873    case 52:
   6874     $and157 = $type & 32;
   6875     $tobool158 = ($and157 | 0) == 0;
   6876     if ($tobool158) {
   6877       label = 59;
   6878       break;
   6879     } else {
   6880       label = 53;
   6881       break;
   6882     }
   6883    case 53:
   6884     $55 = $obj;
   6885     $cmp160 = ($6 | 0) < 0;
   6886     if ($cmp160) {
   6887       label = 54;
   6888       break;
   6889     } else {
   6890       label = 55;
   6891       break;
   6892     }
   6893    case 54:
   6894     $obj162 = $obj + 8 | 0;
   6895     $56 = $obj162;
   6896     $57 = HEAP32[$56 >> 2] | 0;
   6897     $cond167 = $57;
   6898     label = 56;
   6899     break;
   6900    case 55:
   6901     $idx_neg164 = -$6 | 0;
   6902     $add_ptr165 = $obj + $idx_neg164 | 0;
   6903     $cond167 = $add_ptr165;
   6904     label = 56;
   6905     break;
   6906    case 56:
   6907     $cmp168 = ($5 | 0) < 0;
   6908     $add_ptr170 = $cond167 + $4 | 0;
   6909     if ($cmp168) {
   6910       label = 57;
   6911       break;
   6912     } else {
   6913       $cond174 = $add_ptr170;
   6914       label = 58;
   6915       break;
   6916     }
   6917    case 57:
   6918     $58 = $add_ptr170;
   6919     $59 = HEAP32[$58 >> 2] | 0;
   6920     $cond174 = $59;
   6921     label = 58;
   6922     break;
   6923    case 58:
   6924     $tobool175 = ($10 | 0) == 0;
   6925     if ($tobool175) {
   6926       $l_3413 = $link;
   6927       $r_3415 = $link;
   6928       $me_1418 = $55;
   6929       $obj_addr_1422 = $cond167;
   6930       label = 207;
   6931       break;
   6932     } else {
   6933       $obj_addr_0 = $cond167;
   6934       $me_0 = $55;
   6935       $key_0 = $cond174;
   6936       label = 66;
   6937       break;
   6938     }
   6939    case 59:
   6940     $tobool179 = ($10 | 0) == 0;
   6941     if ($tobool179) {
   6942       $l_3413 = $link;
   6943       $r_3415 = $link;
   6944       $me_1418 = 0;
   6945       $obj_addr_1422 = $obj;
   6946       label = 207;
   6947       break;
   6948     } else {
   6949       label = 60;
   6950       break;
   6951     }
   6952    case 60:
   6953     $cmp181 = ($6 | 0) < 0;
   6954     if ($cmp181) {
   6955       label = 61;
   6956       break;
   6957     } else {
   6958       label = 62;
   6959       break;
   6960     }
   6961    case 61:
   6962     $obj183 = $10 + 8 | 0;
   6963     $60 = $obj183;
   6964     $61 = HEAP32[$60 >> 2] | 0;
   6965     $cond188 = $61;
   6966     label = 63;
   6967     break;
   6968    case 62:
   6969     $62 = $10;
   6970     $idx_neg185 = -$6 | 0;
   6971     $add_ptr186 = $62 + $idx_neg185 | 0;
   6972     $cond188 = $add_ptr186;
   6973     label = 63;
   6974     break;
   6975    case 63:
   6976     $cmp189 = ($cond188 | 0) == ($obj | 0);
   6977     if ($cmp189) {
   6978       $obj_addr_1 = $obj;
   6979       $root_7 = $10;
   6980       $me_1 = 0;
   6981       $r_3 = $link;
   6982       $l_3 = $link;
   6983       label = 146;
   6984       break;
   6985     } else {
   6986       label = 64;
   6987       break;
   6988     }
   6989    case 64:
   6990     $cmp191 = ($5 | 0) < 0;
   6991     $add_ptr193 = $obj + $4 | 0;
   6992     if ($cmp191) {
   6993       label = 65;
   6994       break;
   6995     } else {
   6996       $obj_addr_0 = $obj;
   6997       $me_0 = 0;
   6998       $key_0 = $add_ptr193;
   6999       label = 66;
   7000       break;
   7001     }
   7002    case 65:
   7003     $63 = $add_ptr193;
   7004     $64 = HEAP32[$63 >> 2] | 0;
   7005     $obj_addr_0 = $obj;
   7006     $me_0 = 0;
   7007     $key_0 = $64;
   7008     label = 66;
   7009     break;
   7010    case 66:
   7011     $65 = HEAP32[$meth >> 2] | 0;
   7012     $type199 = $65 + 4 | 0;
   7013     $66 = HEAP32[$type199 >> 2] | 0;
   7014     $cmp200 = ($66 | 0) == 4;
   7015     if ($cmp200) {
   7016       label = 67;
   7017       break;
   7018     } else {
   7019       $root_6_ph = $10;
   7020       $r_2_ph = $link;
   7021       $l_2_ph = $link;
   7022       label = 70;
   7023       break;
   7024     }
   7025    case 67:
   7026     $67 = HEAP32[$data >> 2] | 0;
   7027     $minp203 = $67 + 24 | 0;
   7028     $68 = HEAP32[$minp203 >> 2] | 0;
   7029     $cmp204 = ($68 | 0) == 0;
   7030     if ($cmp204) {
   7031       $root_6_ph = $10;
   7032       $r_2_ph = $link;
   7033       $l_2_ph = $link;
   7034       label = 70;
   7035       break;
   7036     } else {
   7037       label = 68;
   7038       break;
   7039     }
   7040    case 68:
   7041     $and206 = $type & 516;
   7042     $tobool207 = ($and206 | 0) == 0;
   7043     if ($tobool207) {
   7044       $root_6_ph = $10;
   7045       $r_2_ph = $link;
   7046       $l_2_ph = $link;
   7047       label = 70;
   7048       break;
   7049     } else {
   7050       label = 69;
   7051       break;
   7052     }
   7053    case 69:
   7054     $cmp212 = ($6 | 0) < 0;
   7055     $cmp220 = ($5 | 0) < 0;
   7056     $tobool227 = ($7 | 0) == 0;
   7057     $cmp231 = ($5 | 0) < 1;
   7058     $idx_neg216 = -$6 | 0;
   7059     $n_0 = 0;
   7060     $t_0 = $10;
   7061     label = 71;
   7062     break;
   7063    case 70:
   7064     $cmp317 = ($6 | 0) < 0;
   7065     $cmp325 = ($5 | 0) < 0;
   7066     $tobool332 = ($7 | 0) != 0;
   7067     $cmp373 = ($5 | 0) < 1;
   7068     $idx_neg358 = -$6 | 0;
   7069     $cmp336 = ($5 | 0) < 1;
   7070     $idx_neg321 = -$6 | 0;
   7071     $cmp437 = ($5 | 0) < 1;
   7072     $idx_neg422 = -$6 | 0;
   7073     $root_6_ph461 = $root_6_ph;
   7074     $r_2_ph460 = $r_2_ph;
   7075     $l_2_ph459 = $l_2_ph;
   7076     label = 141;
   7077     break;
   7078    case 71:
   7079     $cmp210 = ($n_0 | 0) < ($68 | 0);
   7080     if ($cmp210) {
   7081       label = 73;
   7082       break;
   7083     } else {
   7084       label = 72;
   7085       break;
   7086     }
   7087    case 72:
   7088     $cmp266485 = ($68 | 0) > 0;
   7089     if ($cmp266485) {
   7090       $l_0486 = $link;
   7091       $r_0487 = $link;
   7092       $n_1488 = 0;
   7093       $root_4489 = $10;
   7094       label = 91;
   7095       break;
   7096     } else {
   7097       $root_6_ph = $10;
   7098       $r_2_ph = $link;
   7099       $l_2_ph = $link;
   7100       label = 70;
   7101       break;
   7102     }
   7103    case 73:
   7104     if ($cmp212) {
   7105       label = 74;
   7106       break;
   7107     } else {
   7108       label = 75;
   7109       break;
   7110     }
   7111    case 74:
   7112     $obj214 = $t_0 + 8 | 0;
   7113     $69 = $obj214;
   7114     $70 = HEAP32[$69 >> 2] | 0;
   7115     $cond219 = $70;
   7116     label = 76;
   7117     break;
   7118    case 75:
   7119     $71 = $t_0;
   7120     $add_ptr217 = $71 + $idx_neg216 | 0;
   7121     $cond219 = $add_ptr217;
   7122     label = 76;
   7123     break;
   7124    case 76:
   7125     $add_ptr222 = $cond219 + $4 | 0;
   7126     if ($cmp220) {
   7127       label = 77;
   7128       break;
   7129     } else {
   7130       $cond226 = $add_ptr222;
   7131       label = 78;
   7132       break;
   7133     }
   7134    case 77:
   7135     $72 = $add_ptr222;
   7136     $73 = HEAP32[$72 >> 2] | 0;
   7137     $cond226 = $73;
   7138     label = 78;
   7139     break;
   7140    case 78:
   7141     if ($tobool227) {
   7142       label = 80;
   7143       break;
   7144     } else {
   7145       label = 79;
   7146       break;
   7147     }
   7148    case 79:
   7149     $call229 = FUNCTION_TABLE_iiiii[$7 & 1023]($dt, $key_0, $cond226, $3) | 0;
   7150     $cond239 = $call229;
   7151     label = 83;
   7152     break;
   7153    case 80:
   7154     if ($cmp231) {
   7155       label = 81;
   7156       break;
   7157     } else {
   7158       label = 82;
   7159       break;
   7160     }
   7161    case 81:
   7162     $call233 = _strcmp($key_0 | 0, $cond226 | 0) | 0;
   7163     $cond239 = $call233;
   7164     label = 83;
   7165     break;
   7166    case 82:
   7167     $call235 = _memcmp($key_0 | 0, $cond226 | 0, $5 | 0) | 0;
   7168     $cond239 = $call235;
   7169     label = 83;
   7170     break;
   7171    case 83:
   7172     $cmp240 = ($cond239 | 0) == 0;
   7173     if ($cmp240) {
   7174       label = 84;
   7175       break;
   7176     } else {
   7177       label = 87;
   7178       break;
   7179     }
   7180    case 84:
   7181     if ($cmp212) {
   7182       label = 85;
   7183       break;
   7184     } else {
   7185       label = 86;
   7186       break;
   7187     }
   7188    case 85:
   7189     $obj244 = $t_0 + 8 | 0;
   7190     $74 = $obj244;
   7191     $75 = HEAP32[$74 >> 2] | 0;
   7192     $retval_0 = $75;
   7193     label = 231;
   7194     break;
   7195    case 86:
   7196     $76 = $t_0;
   7197     $idx_neg246 = -$6 | 0;
   7198     $add_ptr247 = $76 + $idx_neg246 | 0;
   7199     $retval_0 = $add_ptr247;
   7200     label = 231;
   7201     break;
   7202    case 87:
   7203     $arrayidx = $turn + ($n_0 << 2) | 0;
   7204     HEAP32[$arrayidx >> 2] = $cond239;
   7205     $cmp251 = ($cond239 | 0) < 0;
   7206     if ($cmp251) {
   7207       label = 88;
   7208       break;
   7209     } else {
   7210       label = 89;
   7211       break;
   7212     }
   7213    case 88:
   7214     $hl253 = $t_0 + 4 | 0;
   7215     $_left254 = $hl253;
   7216     $cond258_in = $_left254;
   7217     label = 90;
   7218     break;
   7219    case 89:
   7220     $right256 = $t_0 | 0;
   7221     $cond258_in = $right256;
   7222     label = 90;
   7223     break;
   7224    case 90:
   7225     $cond258 = HEAP32[$cond258_in >> 2] | 0;
   7226     $tobool259 = ($cond258 | 0) == 0;
   7227     $inc = $n_0 + 1 | 0;
   7228     if ($tobool259) {
   7229       $retval_0 = 0;
   7230       label = 231;
   7231       break;
   7232     } else {
   7233       $n_0 = $inc;
   7234       $t_0 = $cond258;
   7235       label = 71;
   7236       break;
   7237     }
   7238    case 91:
   7239     $arrayidx268 = $turn + ($n_1488 << 2) | 0;
   7240     $77 = HEAP32[$arrayidx268 >> 2] | 0;
   7241     $cmp269 = ($77 | 0) < 0;
   7242     if ($cmp269) {
   7243       label = 92;
   7244       break;
   7245     } else {
   7246       label = 95;
   7247       break;
   7248     }
   7249    case 92:
   7250     $hl271 = $root_4489 + 4 | 0;
   7251     $_left272 = $hl271;
   7252     $78 = HEAP32[$_left272 >> 2] | 0;
   7253     $add407 = $n_1488 | 1;
   7254     $arrayidx273 = $turn + ($add407 << 2) | 0;
   7255     $79 = HEAP32[$arrayidx273 >> 2] | 0;
   7256     $cmp274 = ($79 | 0) < 0;
   7257     if ($cmp274) {
   7258       label = 93;
   7259       break;
   7260     } else {
   7261       label = 94;
   7262       break;
   7263     }
   7264    case 93:
   7265     $right276 = $78 | 0;
   7266     $80 = HEAP32[$right276 >> 2] | 0;
   7267     $81 = $hl271 | 0;
   7268     $_c409 = $80;
   7269     HEAP32[$81 >> 2] = $_c409;
   7270     HEAP32[$right276 >> 2] = $root_4489;
   7271     $82 = $r_0487 + 4 | 0;
   7272     $_c410 = $78;
   7273     HEAP32[$82 >> 2] = $_c410;
   7274     $hl282 = $78 + 4 | 0;
   7275     $_left283 = $hl282;
   7276     $root_5_in = $_left283;
   7277     $r_1 = $78;
   7278     $l_1 = $l_0486;
   7279     label = 98;
   7280     break;
   7281    case 94:
   7282     $right285 = $l_0486 | 0;
   7283     HEAP32[$right285 >> 2] = $78;
   7284     $83 = $r_0487 + 4 | 0;
   7285     $root_4_c408 = $root_4489;
   7286     HEAP32[$83 >> 2] = $root_4_c408;
   7287     $right288 = $78 | 0;
   7288     $root_5_in = $right288;
   7289     $r_1 = $root_4489;
   7290     $l_1 = $78;
   7291     label = 98;
   7292     break;
   7293    case 95:
   7294     $right291 = $root_4489 | 0;
   7295     $84 = HEAP32[$right291 >> 2] | 0;
   7296     $add292405 = $n_1488 | 1;
   7297     $arrayidx293 = $turn + ($add292405 << 2) | 0;
   7298     $85 = HEAP32[$arrayidx293 >> 2] | 0;
   7299     $cmp294 = ($85 | 0) > 0;
   7300     if ($cmp294) {
   7301       label = 96;
   7302       break;
   7303     } else {
   7304       label = 97;
   7305       break;
   7306     }
   7307    case 96:
   7308     $hl296 = $84 + 4 | 0;
   7309     $_left297 = $hl296;
   7310     $86 = HEAP32[$_left297 >> 2] | 0;
   7311     HEAP32[$right291 >> 2] = $86;
   7312     $87 = $hl296 | 0;
   7313     $root_4_c = $root_4489;
   7314     HEAP32[$87 >> 2] = $root_4_c;
   7315     $right301 = $l_0486 | 0;
   7316     HEAP32[$right301 >> 2] = $84;
   7317     $right302 = $84 | 0;
   7318     $root_5_in = $right302;
   7319     $r_1 = $r_0487;
   7320     $l_1 = $84;
   7321     label = 98;
   7322     break;
   7323    case 97:
   7324     $88 = $r_0487 + 4 | 0;
   7325     $_c406 = $84;
   7326     HEAP32[$88 >> 2] = $_c406;
   7327     $right306 = $l_0486 | 0;
   7328     HEAP32[$right306 >> 2] = $root_4489;
   7329     $hl307 = $84 + 4 | 0;
   7330     $_left308 = $hl307;
   7331     $root_5_in = $_left308;
   7332     $r_1 = $84;
   7333     $l_1 = $root_4489;
   7334     label = 98;
   7335     break;
   7336    case 98:
   7337     $root_5 = HEAP32[$root_5_in >> 2] | 0;
   7338     $add312 = $n_1488 + 2 | 0;
   7339     $cmp266 = ($add312 | 0) < ($68 | 0);
   7340     if ($cmp266) {
   7341       $l_0486 = $l_1;
   7342       $r_0487 = $r_1;
   7343       $n_1488 = $add312;
   7344       $root_4489 = $root_5;
   7345       label = 91;
   7346       break;
   7347     } else {
   7348       $root_6_ph = $root_5;
   7349       $r_2_ph = $r_1;
   7350       $l_2_ph = $l_1;
   7351       label = 70;
   7352       break;
   7353     }
   7354    case 99:
   7355     if ($cmp317) {
   7356       label = 100;
   7357       break;
   7358     } else {
   7359       label = 101;
   7360       break;
   7361     }
   7362    case 100:
   7363     $obj319 = $root_6 + 8 | 0;
   7364     $89 = $obj319;
   7365     $90 = HEAP32[$89 >> 2] | 0;
   7366     $cond324 = $90;
   7367     label = 102;
   7368     break;
   7369    case 101:
   7370     $91 = $root_6;
   7371     $add_ptr322 = $91 + $idx_neg321 | 0;
   7372     $cond324 = $add_ptr322;
   7373     label = 102;
   7374     break;
   7375    case 102:
   7376     $add_ptr327 = $cond324 + $4 | 0;
   7377     if ($cmp325) {
   7378       label = 103;
   7379       break;
   7380     } else {
   7381       $cond331 = $add_ptr327;
   7382       label = 104;
   7383       break;
   7384     }
   7385    case 103:
   7386     $92 = $add_ptr327;
   7387     $93 = HEAP32[$92 >> 2] | 0;
   7388     $cond331 = $93;
   7389     label = 104;
   7390     break;
   7391    case 104:
   7392     if ($tobool332) {
   7393       label = 105;
   7394       break;
   7395     } else {
   7396       label = 106;
   7397       break;
   7398     }
   7399    case 105:
   7400     $call334 = FUNCTION_TABLE_iiiii[$7 & 1023]($dt, $key_0, $cond331, $3) | 0;
   7401     $cond344 = $call334;
   7402     label = 109;
   7403     break;
   7404    case 106:
   7405     if ($cmp336) {
   7406       label = 107;
   7407       break;
   7408     } else {
   7409       label = 108;
   7410       break;
   7411     }
   7412    case 107:
   7413     $call338 = _strcmp($key_0 | 0, $cond331 | 0) | 0;
   7414     $cond344 = $call338;
   7415     label = 109;
   7416     break;
   7417    case 108:
   7418     $call340 = _memcmp($key_0 | 0, $cond331 | 0, $5 | 0) | 0;
   7419     $cond344 = $call340;
   7420     label = 109;
   7421     break;
   7422    case 109:
   7423     $cmp345 = ($cond344 | 0) == 0;
   7424     if ($cmp345) {
   7425       $obj_addr_1 = $obj_addr_0;
   7426       $root_7 = $root_6;
   7427       $me_1 = $me_0;
   7428       $r_3 = $r_2;
   7429       $l_3 = $l_2_ph459;
   7430       label = 146;
   7431       break;
   7432     } else {
   7433       label = 110;
   7434       break;
   7435     }
   7436    case 110:
   7437     $cmp348 = ($cond344 | 0) < 0;
   7438     if ($cmp348) {
   7439       label = 111;
   7440       break;
   7441     } else {
   7442       label = 128;
   7443       break;
   7444     }
   7445    case 111:
   7446     $hl350 = $root_6 + 4 | 0;
   7447     $_left351 = $hl350;
   7448     $94 = HEAP32[$_left351 >> 2] | 0;
   7449     $tobool352 = ($94 | 0) == 0;
   7450     if ($tobool352) {
   7451       label = 127;
   7452       break;
   7453     } else {
   7454       label = 112;
   7455       break;
   7456     }
   7457    case 112:
   7458     if ($cmp317) {
   7459       label = 113;
   7460       break;
   7461     } else {
   7462       label = 114;
   7463       break;
   7464     }
   7465    case 113:
   7466     $obj356 = $94 + 8 | 0;
   7467     $95 = $obj356;
   7468     $96 = HEAP32[$95 >> 2] | 0;
   7469     $cond361 = $96;
   7470     label = 115;
   7471     break;
   7472    case 114:
   7473     $97 = $94;
   7474     $add_ptr359 = $97 + $idx_neg358 | 0;
   7475     $cond361 = $add_ptr359;
   7476     label = 115;
   7477     break;
   7478    case 115:
   7479     $add_ptr364 = $cond361 + $4 | 0;
   7480     if ($cmp325) {
   7481       label = 116;
   7482       break;
   7483     } else {
   7484       $cond368 = $add_ptr364;
   7485       label = 117;
   7486       break;
   7487     }
   7488    case 116:
   7489     $98 = $add_ptr364;
   7490     $99 = HEAP32[$98 >> 2] | 0;
   7491     $cond368 = $99;
   7492     label = 117;
   7493     break;
   7494    case 117:
   7495     if ($tobool332) {
   7496       label = 118;
   7497       break;
   7498     } else {
   7499       label = 119;
   7500       break;
   7501     }
   7502    case 118:
   7503     $call371 = FUNCTION_TABLE_iiiii[$7 & 1023]($dt, $key_0, $cond368, $3) | 0;
   7504     $cond381 = $call371;
   7505     label = 122;
   7506     break;
   7507    case 119:
   7508     if ($cmp373) {
   7509       label = 120;
   7510       break;
   7511     } else {
   7512       label = 121;
   7513       break;
   7514     }
   7515    case 120:
   7516     $call375 = _strcmp($key_0 | 0, $cond368 | 0) | 0;
   7517     $cond381 = $call375;
   7518     label = 122;
   7519     break;
   7520    case 121:
   7521     $call377 = _memcmp($key_0 | 0, $cond368 | 0, $5 | 0) | 0;
   7522     $cond381 = $call377;
   7523     label = 122;
   7524     break;
   7525    case 122:
   7526     $cmp382 = ($cond381 | 0) < 0;
   7527     if ($cmp382) {
   7528       label = 123;
   7529       break;
   7530     } else {
   7531       label = 124;
   7532       break;
   7533     }
   7534    case 123:
   7535     $right384 = $94 | 0;
   7536     $100 = HEAP32[$right384 >> 2] | 0;
   7537     $101 = $hl350 | 0;
   7538     $_c403 = $100;
   7539     HEAP32[$101 >> 2] = $_c403;
   7540     HEAP32[$right384 >> 2] = $root_6;
   7541     $102 = $r_2 + 4 | 0;
   7542     $_c404 = $94;
   7543     HEAP32[$102 >> 2] = $_c404;
   7544     $hl390 = $94 + 4 | 0;
   7545     $_left391 = $hl390;
   7546     $103 = HEAP32[$_left391 >> 2] | 0;
   7547     $tobool392 = ($103 | 0) == 0;
   7548     if ($tobool392) {
   7549       $l_3413 = $l_2_ph459;
   7550       $r_3415 = $94;
   7551       $me_1418 = $me_0;
   7552       $obj_addr_1422 = $obj_addr_0;
   7553       label = 207;
   7554       break;
   7555     } else {
   7556       $root_6 = $103;
   7557       $r_2 = $94;
   7558       label = 99;
   7559       break;
   7560     }
   7561    case 124:
   7562     $cmp396 = ($cond381 | 0) == 0;
   7563     if ($cmp396) {
   7564       label = 125;
   7565       break;
   7566     } else {
   7567       label = 126;
   7568       break;
   7569     }
   7570    case 125:
   7571     $104 = $r_2 + 4 | 0;
   7572     $root_6_c402 = $root_6;
   7573     HEAP32[$104 >> 2] = $root_6_c402;
   7574     $obj_addr_1 = $obj_addr_0;
   7575     $root_7 = $94;
   7576     $me_1 = $me_0;
   7577     $r_3 = $root_6;
   7578     $l_3 = $l_2_ph459;
   7579     label = 146;
   7580     break;
   7581    case 126:
   7582     $right401 = $l_2_ph459 | 0;
   7583     HEAP32[$right401 >> 2] = $94;
   7584     $105 = $r_2 + 4 | 0;
   7585     $root_6_c401 = $root_6;
   7586     HEAP32[$105 >> 2] = $root_6_c401;
   7587     $right404 = $94 | 0;
   7588     $106 = HEAP32[$right404 >> 2] | 0;
   7589     $tobool405 = ($106 | 0) == 0;
   7590     if ($tobool405) {
   7591       $l_3413 = $94;
   7592       $r_3415 = $root_6;
   7593       $me_1418 = $me_0;
   7594       $obj_addr_1422 = $obj_addr_0;
   7595       label = 207;
   7596       break;
   7597     } else {
   7598       $root_6_ph461 = $106;
   7599       $r_2_ph460 = $root_6;
   7600       $l_2_ph459 = $94;
   7601       label = 141;
   7602       break;
   7603     }
   7604    case 127:
   7605     $107 = $r_2 + 4 | 0;
   7606     $root_6_c400 = $root_6;
   7607     HEAP32[$107 >> 2] = $root_6_c400;
   7608     $l_3413 = $l_2_ph459;
   7609     $r_3415 = $root_6;
   7610     $me_1418 = $me_0;
   7611     $obj_addr_1422 = $obj_addr_0;
   7612     label = 207;
   7613     break;
   7614    case 128:
   7615     $right415 = $root_6 | 0;
   7616     $108 = HEAP32[$right415 >> 2] | 0;
   7617     $tobool416 = ($108 | 0) == 0;
   7618     if ($tobool416) {
   7619       label = 145;
   7620       break;
   7621     } else {
   7622       label = 129;
   7623       break;
   7624     }
   7625    case 129:
   7626     if ($cmp317) {
   7627       label = 130;
   7628       break;
   7629     } else {
   7630       label = 131;
   7631       break;
   7632     }
   7633    case 130:
   7634     $obj420 = $108 + 8 | 0;
   7635     $109 = $obj420;
   7636     $110 = HEAP32[$109 >> 2] | 0;
   7637     $cond425 = $110;
   7638     label = 132;
   7639     break;
   7640    case 131:
   7641     $111 = $108;
   7642     $add_ptr423 = $111 + $idx_neg422 | 0;
   7643     $cond425 = $add_ptr423;
   7644     label = 132;
   7645     break;
   7646    case 132:
   7647     $add_ptr428 = $cond425 + $4 | 0;
   7648     if ($cmp325) {
   7649       label = 133;
   7650       break;
   7651     } else {
   7652       $cond432 = $add_ptr428;
   7653       label = 134;
   7654       break;
   7655     }
   7656    case 133:
   7657     $112 = $add_ptr428;
   7658     $113 = HEAP32[$112 >> 2] | 0;
   7659     $cond432 = $113;
   7660     label = 134;
   7661     break;
   7662    case 134:
   7663     if ($tobool332) {
   7664       label = 135;
   7665       break;
   7666     } else {
   7667       label = 136;
   7668       break;
   7669     }
   7670    case 135:
   7671     $call435 = FUNCTION_TABLE_iiiii[$7 & 1023]($dt, $key_0, $cond432, $3) | 0;
   7672     $cond445 = $call435;
   7673     label = 139;
   7674     break;
   7675    case 136:
   7676     if ($cmp437) {
   7677       label = 137;
   7678       break;
   7679     } else {
   7680       label = 138;
   7681       break;
   7682     }
   7683    case 137:
   7684     $call439 = _strcmp($key_0 | 0, $cond432 | 0) | 0;
   7685     $cond445 = $call439;
   7686     label = 139;
   7687     break;
   7688    case 138:
   7689     $call441 = _memcmp($key_0 | 0, $cond432 | 0, $5 | 0) | 0;
   7690     $cond445 = $call441;
   7691     label = 139;
   7692     break;
   7693    case 139:
   7694     $cmp446 = ($cond445 | 0) > 0;
   7695     if ($cmp446) {
   7696       label = 140;
   7697       break;
   7698     } else {
   7699       label = 142;
   7700       break;
   7701     }
   7702    case 140:
   7703     $hl448 = $108 + 4 | 0;
   7704     $_left449 = $hl448;
   7705     $114 = HEAP32[$_left449 >> 2] | 0;
   7706     HEAP32[$right415 >> 2] = $114;
   7707     $115 = $hl448 | 0;
   7708     $root_6_c = $root_6;
   7709     HEAP32[$115 >> 2] = $root_6_c;
   7710     $right453 = $l_2_ph459 | 0;
   7711     HEAP32[$right453 >> 2] = $108;
   7712     $right454 = $108 | 0;
   7713     $116 = HEAP32[$right454 >> 2] | 0;
   7714     $tobool455 = ($116 | 0) == 0;
   7715     if ($tobool455) {
   7716       $l_3413 = $108;
   7717       $r_3415 = $r_2;
   7718       $me_1418 = $me_0;
   7719       $obj_addr_1422 = $obj_addr_0;
   7720       label = 207;
   7721       break;
   7722     } else {
   7723       $root_6_ph461 = $116;
   7724       $r_2_ph460 = $r_2;
   7725       $l_2_ph459 = $108;
   7726       label = 141;
   7727       break;
   7728     }
   7729    case 141:
   7730     $root_6 = $root_6_ph461;
   7731     $r_2 = $r_2_ph460;
   7732     label = 99;
   7733     break;
   7734    case 142:
   7735     $cmp459 = ($cond445 | 0) == 0;
   7736     if ($cmp459) {
   7737       label = 143;
   7738       break;
   7739     } else {
   7740       label = 144;
   7741       break;
   7742     }
   7743    case 143:
   7744     $right461 = $l_2_ph459 | 0;
   7745     HEAP32[$right461 >> 2] = $root_6;
   7746     $obj_addr_1 = $obj_addr_0;
   7747     $root_7 = $108;
   7748     $me_1 = $me_0;
   7749     $r_3 = $r_2;
   7750     $l_3 = $root_6;
   7751     label = 146;
   7752     break;
   7753    case 144:
   7754     $117 = $r_2 + 4 | 0;
   7755     $_c399 = $108;
   7756     HEAP32[$117 >> 2] = $_c399;
   7757     $right465 = $l_2_ph459 | 0;
   7758     HEAP32[$right465 >> 2] = $root_6;
   7759     $hl466 = $108 + 4 | 0;
   7760     $_left467 = $hl466;
   7761     $118 = HEAP32[$_left467 >> 2] | 0;
   7762     $tobool468 = ($118 | 0) == 0;
   7763     if ($tobool468) {
   7764       $l_3413 = $root_6;
   7765       $r_3415 = $108;
   7766       $me_1418 = $me_0;
   7767       $obj_addr_1422 = $obj_addr_0;
   7768       label = 207;
   7769       break;
   7770     } else {
   7771       $root_6_ph461 = $118;
   7772       $r_2_ph460 = $108;
   7773       $l_2_ph459 = $root_6;
   7774       label = 141;
   7775       break;
   7776     }
   7777    case 145:
   7778     $right474 = $l_2_ph459 | 0;
   7779     HEAP32[$right474 >> 2] = $root_6;
   7780     $l_3413 = $root_6;
   7781     $r_3415 = $r_2;
   7782     $me_1418 = $me_0;
   7783     $obj_addr_1422 = $obj_addr_0;
   7784     label = 207;
   7785     break;
   7786    case 146:
   7787     $tobool482 = ($root_7 | 0) == 0;
   7788     if ($tobool482) {
   7789       $l_3413 = $l_3;
   7790       $r_3415 = $r_3;
   7791       $me_1418 = $me_1;
   7792       $obj_addr_1422 = $obj_addr_1;
   7793       label = 207;
   7794       break;
   7795     } else {
   7796       label = 147;
   7797       break;
   7798     }
   7799    case 147:
   7800     $119 = HEAP32[$type5 >> 2] | 0;
   7801     $or = $119 | 32768;
   7802     HEAP32[$type5 >> 2] = $or;
   7803     $hl485 = $root_7 + 4 | 0;
   7804     $_left486 = $hl485;
   7805     $120 = HEAP32[$_left486 >> 2] | 0;
   7806     $right487 = $l_3 | 0;
   7807     HEAP32[$right487 >> 2] = $120;
   7808     $right488 = $root_7 | 0;
   7809     $121 = HEAP32[$right488 >> 2] | 0;
   7810     $122 = $r_3 + 4 | 0;
   7811     $_c396 = $121;
   7812     HEAP32[$122 >> 2] = $_c396;
   7813     $and491 = $type & 516;
   7814     $tobool492 = ($and491 | 0) == 0;
   7815     if ($tobool492) {
   7816       label = 174;
   7817       break;
   7818     } else {
   7819       $root_8 = $root_7;
   7820       label = 148;
   7821       break;
   7822     }
   7823    case 148:
   7824     $right494 = $link | 0;
   7825     $123 = HEAP32[$right494 >> 2] | 0;
   7826     $124 = $root_8 + 4 | 0;
   7827     $_c391 = $123;
   7828     HEAP32[$124 >> 2] = $_c391;
   7829     $hl497 = $link + 4 | 0;
   7830     $_left498 = $hl497;
   7831     $125 = HEAP32[$_left498 >> 2] | 0;
   7832     $right499 = $root_8 | 0;
   7833     HEAP32[$right499 >> 2] = $125;
   7834     $126 = HEAP32[$meth >> 2] | 0;
   7835     $type501 = $126 + 4 | 0;
   7836     $127 = HEAP32[$type501 >> 2] | 0;
   7837     $and502 = $127 & 8;
   7838     $tobool503 = ($and502 | 0) == 0;
   7839     if ($tobool503) {
   7840       $root_10 = $root_8;
   7841       label = 171;
   7842       break;
   7843     } else {
   7844       label = 149;
   7845       break;
   7846     }
   7847    case 149:
   7848     $and505 = $type & 516;
   7849     $tobool506 = ($and505 | 0) == 0;
   7850     if ($tobool506) {
   7851       $root_10 = $root_8;
   7852       label = 171;
   7853       break;
   7854     } else {
   7855       label = 150;
   7856       break;
   7857     }
   7858    case 150:
   7859     $cmp508 = ($6 | 0) < 0;
   7860     if ($cmp508) {
   7861       label = 151;
   7862       break;
   7863     } else {
   7864       label = 152;
   7865       break;
   7866     }
   7867    case 151:
   7868     $obj510 = $root_8 + 8 | 0;
   7869     $128 = $obj510;
   7870     $129 = HEAP32[$128 >> 2] | 0;
   7871     $cond515 = $129;
   7872     label = 153;
   7873     break;
   7874    case 152:
   7875     $130 = $root_8;
   7876     $idx_neg512 = -$6 | 0;
   7877     $add_ptr513 = $130 + $idx_neg512 | 0;
   7878     $cond515 = $add_ptr513;
   7879     label = 153;
   7880     break;
   7881    case 153:
   7882     $cmp516 = ($5 | 0) < 0;
   7883     $add_ptr518 = $cond515 + $4 | 0;
   7884     if ($cmp516) {
   7885       label = 154;
   7886       break;
   7887     } else {
   7888       $cond522 = $add_ptr518;
   7889       label = 155;
   7890       break;
   7891     }
   7892    case 154:
   7893     $131 = $add_ptr518;
   7894     $132 = HEAP32[$131 >> 2] | 0;
   7895     $cond522 = $132;
   7896     label = 155;
   7897     break;
   7898    case 155:
   7899     $hl524442 = $root_8 + 4 | 0;
   7900     $_left525443 = $hl524442;
   7901     $133 = HEAP32[$_left525443 >> 2] | 0;
   7902     $tobool526444 = ($133 | 0) == 0;
   7903     if ($tobool526444) {
   7904       $root_10 = $root_8;
   7905       label = 171;
   7906       break;
   7907     } else {
   7908       label = 156;
   7909       break;
   7910     }
   7911    case 156:
   7912     $tobool555 = ($7 | 0) == 0;
   7913     $cmp559 = ($5 | 0) < 1;
   7914     $idx_neg544 = -$6 | 0;
   7915     $root_9445 = $root_8;
   7916     $hl524446 = $hl524442;
   7917     $134 = $133;
   7918     label = 157;
   7919     break;
   7920    case 157:
   7921     $right529436 = $134 | 0;
   7922     $135 = HEAP32[$right529436 >> 2] | 0;
   7923     $tobool530437 = ($135 | 0) == 0;
   7924     if ($tobool530437) {
   7925       $t_1_lcssa = $134;
   7926       $right529_lcssa = $right529436;
   7927       label = 159;
   7928       break;
   7929     } else {
   7930       $t_1438 = $134;
   7931       $right529439 = $right529436;
   7932       $136 = $135;
   7933       label = 158;
   7934       break;
   7935     }
   7936    case 158:
   7937     $hl532 = $136 + 4 | 0;
   7938     $_left533 = $hl532;
   7939     $137 = HEAP32[$_left533 >> 2] | 0;
   7940     HEAP32[$right529439 >> 2] = $137;
   7941     $138 = $hl532 | 0;
   7942     $t_1_c393 = $t_1438;
   7943     HEAP32[$138 >> 2] = $t_1_c393;
   7944     $right529 = $136 | 0;
   7945     $139 = HEAP32[$right529 >> 2] | 0;
   7946     $tobool530 = ($139 | 0) == 0;
   7947     if ($tobool530) {
   7948       $t_1_lcssa = $136;
   7949       $right529_lcssa = $right529;
   7950       label = 159;
   7951       break;
   7952     } else {
   7953       $t_1438 = $136;
   7954       $right529439 = $right529;
   7955       $136 = $139;
   7956       label = 158;
   7957       break;
   7958     }
   7959    case 159:
   7960     $140 = $hl524446 | 0;
   7961     $t_1_c = $t_1_lcssa;
   7962     HEAP32[$140 >> 2] = $t_1_c;
   7963     if ($cmp508) {
   7964       label = 160;
   7965       break;
   7966     } else {
   7967       label = 161;
   7968       break;
   7969     }
   7970    case 160:
   7971     $obj542 = $t_1_lcssa + 8 | 0;
   7972     $141 = $obj542;
   7973     $142 = HEAP32[$141 >> 2] | 0;
   7974     $cond547 = $142;
   7975     label = 162;
   7976     break;
   7977    case 161:
   7978     $143 = $t_1_lcssa;
   7979     $add_ptr545 = $143 + $idx_neg544 | 0;
   7980     $cond547 = $add_ptr545;
   7981     label = 162;
   7982     break;
   7983    case 162:
   7984     $add_ptr550 = $cond547 + $4 | 0;
   7985     if ($cmp516) {
   7986       label = 163;
   7987       break;
   7988     } else {
   7989       $cond554 = $add_ptr550;
   7990       label = 164;
   7991       break;
   7992     }
   7993    case 163:
   7994     $144 = $add_ptr550;
   7995     $145 = HEAP32[$144 >> 2] | 0;
   7996     $cond554 = $145;
   7997     label = 164;
   7998     break;
   7999    case 164:
   8000     if ($tobool555) {
   8001       label = 166;
   8002       break;
   8003     } else {
   8004       label = 165;
   8005       break;
   8006     }
   8007    case 165:
   8008     $call557 = FUNCTION_TABLE_iiiii[$7 & 1023]($dt, $cond522, $cond554, $3) | 0;
   8009     $cond567 = $call557;
   8010     label = 169;
   8011     break;
   8012    case 166:
   8013     if ($cmp559) {
   8014       label = 167;
   8015       break;
   8016     } else {
   8017       label = 168;
   8018       break;
   8019     }
   8020    case 167:
   8021     $call561 = _strcmp($cond522 | 0, $cond554 | 0) | 0;
   8022     $cond567 = $call561;
   8023     label = 169;
   8024     break;
   8025    case 168:
   8026     $call563 = _memcmp($cond522 | 0, $cond554 | 0, $5 | 0) | 0;
   8027     $cond567 = $call563;
   8028     label = 169;
   8029     break;
   8030    case 169:
   8031     $cmp568 = ($cond567 | 0) == 0;
   8032     if ($cmp568) {
   8033       label = 170;
   8034       break;
   8035     } else {
   8036       $root_10 = $root_9445;
   8037       label = 171;
   8038       break;
   8039     }
   8040    case 170:
   8041     $146 = HEAP32[$right529_lcssa >> 2] | 0;
   8042     $_c392 = $146;
   8043     HEAP32[$140 >> 2] = $_c392;
   8044     HEAP32[$right529_lcssa >> 2] = $root_9445;
   8045     $hl524 = $t_1_lcssa + 4 | 0;
   8046     $_left525 = $hl524;
   8047     $147 = HEAP32[$_left525 >> 2] | 0;
   8048     $tobool526 = ($147 | 0) == 0;
   8049     if ($tobool526) {
   8050       $root_10 = $t_1_lcssa;
   8051       label = 171;
   8052       break;
   8053     } else {
   8054       $root_9445 = $t_1_lcssa;
   8055       $hl524446 = $hl524;
   8056       $134 = $147;
   8057       label = 157;
   8058       break;
   8059     }
   8060    case 171:
   8061     $148 = HEAP32[$data >> 2] | 0;
   8062     $here578 = $148 + 4 | 0;
   8063     HEAP32[$here578 >> 2] = $root_10;
   8064     $cmp579 = ($6 | 0) < 0;
   8065     if ($cmp579) {
   8066       label = 172;
   8067       break;
   8068     } else {
   8069       label = 173;
   8070       break;
   8071     }
   8072    case 172:
   8073     $obj581 = $root_10 + 8 | 0;
   8074     $149 = $obj581;
   8075     $150 = HEAP32[$149 >> 2] | 0;
   8076     $retval_0 = $150;
   8077     label = 231;
   8078     break;
   8079    case 173:
   8080     $151 = $root_10;
   8081     $idx_neg583 = -$6 | 0;
   8082     $add_ptr584 = $151 + $idx_neg583 | 0;
   8083     $retval_0 = $add_ptr584;
   8084     label = 231;
   8085     break;
   8086    case 174:
   8087     $and588 = $type & 8;
   8088     $tobool589 = ($and588 | 0) == 0;
   8089     if ($tobool589) {
   8090       label = 180;
   8091       break;
   8092     } else {
   8093       label = 175;
   8094       break;
   8095     }
   8096    case 175:
   8097     $right591 = $link | 0;
   8098     $152 = HEAP32[$right591 >> 2] | 0;
   8099     $153 = $hl485 | 0;
   8100     $_c398 = $152;
   8101     HEAP32[$153 >> 2] = $_c398;
   8102     HEAP32[$right488 >> 2] = 0;
   8103     HEAP32[$right591 >> 2] = $root_7;
   8104     $r_3414 = $r_3;
   8105     $obj_addr_1421 = $obj_addr_1;
   8106     label = 176;
   8107     break;
   8108    case 176:
   8109     $hl596 = $link + 4 | 0;
   8110     $_left597 = $hl596;
   8111     $154 = HEAP32[$_left597 >> 2] | 0;
   8112     $tobool598 = ($154 | 0) == 0;
   8113     if ($tobool598) {
   8114       $obj_addr_2_ph = $obj_addr_1421;
   8115       $r_5_ph = $r_3414;
   8116       label = 210;
   8117       break;
   8118     } else {
   8119       label = 177;
   8120       break;
   8121     }
   8122    case 177:
   8123     $hl601453 = $154 + 4 | 0;
   8124     $_left602454 = $hl601453;
   8125     $155 = HEAP32[$_left602454 >> 2] | 0;
   8126     $tobool603455 = ($155 | 0) == 0;
   8127     if ($tobool603455) {
   8128       $root_11_lcssa = $154;
   8129       label = 179;
   8130       break;
   8131     } else {
   8132       $root_11456 = $154;
   8133       $hl601457 = $hl601453;
   8134       $156 = $155;
   8135       label = 178;
   8136       break;
   8137     }
   8138    case 178:
   8139     $right605 = $156 | 0;
   8140     $157 = HEAP32[$right605 >> 2] | 0;
   8141     $158 = $hl601457 | 0;
   8142     $_c395 = $157;
   8143     HEAP32[$158 >> 2] = $_c395;
   8144     HEAP32[$right605 >> 2] = $root_11456;
   8145     $hl601 = $156 + 4 | 0;
   8146     $_left602 = $hl601;
   8147     $159 = HEAP32[$_left602 >> 2] | 0;
   8148     $tobool603 = ($159 | 0) == 0;
   8149     if ($tobool603) {
   8150       $root_11_lcssa = $156;
   8151       label = 179;
   8152       break;
   8153     } else {
   8154       $root_11456 = $156;
   8155       $hl601457 = $hl601;
   8156       $156 = $159;
   8157       label = 178;
   8158       break;
   8159     }
   8160    case 179:
   8161     $right610 = $root_11_lcssa | 0;
   8162     $160 = HEAP32[$right610 >> 2] | 0;
   8163     $161 = $hl596 | 0;
   8164     $_c394 = $160;
   8165     HEAP32[$161 >> 2] = $_c394;
   8166     $root_8 = $root_11_lcssa;
   8167     label = 148;
   8168     break;
   8169    case 180:
   8170     $and615 = $type & 16;
   8171     $tobool616 = ($and615 | 0) == 0;
   8172     if ($tobool616) {
   8173       label = 186;
   8174       break;
   8175     } else {
   8176       label = 181;
   8177       break;
   8178     }
   8179    case 181:
   8180     $hl618 = $link + 4 | 0;
   8181     $_left619 = $hl618;
   8182     $162 = HEAP32[$_left619 >> 2] | 0;
   8183     HEAP32[$right488 >> 2] = $162;
   8184     $163 = $hl485 | 0;
   8185     HEAP32[$163 >> 2] = 0;
   8186     $164 = $hl618 | 0;
   8187     $root_7_c397 = $root_7;
   8188     HEAP32[$164 >> 2] = $root_7_c397;
   8189     $r_3416 = $r_3;
   8190     $obj_addr_1424 = $obj_addr_1;
   8191     label = 182;
   8192     break;
   8193    case 182:
   8194     $right625 = $link | 0;
   8195     $165 = HEAP32[$right625 >> 2] | 0;
   8196     $tobool626 = ($165 | 0) == 0;
   8197     if ($tobool626) {
   8198       $obj_addr_2_ph = $obj_addr_1424;
   8199       $r_5_ph = $r_3416;
   8200       label = 210;
   8201       break;
   8202     } else {
   8203       label = 183;
   8204       break;
   8205     }
   8206    case 183:
   8207     $right629448 = $165 | 0;
   8208     $166 = HEAP32[$right629448 >> 2] | 0;
   8209     $tobool630449 = ($166 | 0) == 0;
   8210     if ($tobool630449) {
   8211       $root_12_lcssa = $165;
   8212       label = 185;
   8213       break;
   8214     } else {
   8215       $root_12450 = $165;
   8216       $right629451 = $right629448;
   8217       $167 = $166;
   8218       label = 184;
   8219       break;
   8220     }
   8221    case 184:
   8222     $hl632 = $167 + 4 | 0;
   8223     $_left633 = $hl632;
   8224     $168 = HEAP32[$_left633 >> 2] | 0;
   8225     HEAP32[$right629451 >> 2] = $168;
   8226     $169 = $hl632 | 0;
   8227     $root_12_c = $root_12450;
   8228     HEAP32[$169 >> 2] = $root_12_c;
   8229     $right629 = $167 | 0;
   8230     $170 = HEAP32[$right629 >> 2] | 0;
   8231     $tobool630 = ($170 | 0) == 0;
   8232     if ($tobool630) {
   8233       $root_12_lcssa = $167;
   8234       label = 185;
   8235       break;
   8236     } else {
   8237       $root_12450 = $167;
   8238       $right629451 = $right629;
   8239       $167 = $170;
   8240       label = 184;
   8241       break;
   8242     }
   8243    case 185:
   8244     $hl638 = $root_12_lcssa + 4 | 0;
   8245     $_left639 = $hl638;
   8246     $171 = HEAP32[$_left639 >> 2] | 0;
   8247     HEAP32[$right625 >> 2] = $171;
   8248     $root_8 = $root_12_lcssa;
   8249     label = 148;
   8250     break;
   8251    case 186:
   8252     $and643 = $type & 4098;
   8253     $tobool644 = ($and643 | 0) == 0;
   8254     if ($tobool644) {
   8255       label = 197;
   8256       break;
   8257     } else {
   8258       $root_13 = $root_7;
   8259       $r_4 = $r_3;
   8260       label = 187;
   8261       break;
   8262     }
   8263    case 187:
   8264     $cmp646 = ($6 | 0) < 0;
   8265     if ($cmp646) {
   8266       label = 188;
   8267       break;
   8268     } else {
   8269       label = 189;
   8270       break;
   8271     }
   8272    case 188:
   8273     $obj648 = $root_13 + 8 | 0;
   8274     $172 = $obj648;
   8275     $173 = HEAP32[$172 >> 2] | 0;
   8276     $cond653 = $173;
   8277     label = 190;
   8278     break;
   8279    case 189:
   8280     $174 = $root_13;
   8281     $idx_neg650 = -$6 | 0;
   8282     $add_ptr651 = $174 + $idx_neg650 | 0;
   8283     $cond653 = $add_ptr651;
   8284     label = 190;
   8285     break;
   8286    case 190:
   8287     $freef654 = $3 + 16 | 0;
   8288     $175 = HEAP32[$freef654 >> 2] | 0;
   8289     $tobool655 = ($175 | 0) == 0;
   8290     if ($tobool655) {
   8291       label = 193;
   8292       break;
   8293     } else {
   8294       label = 191;
   8295       break;
   8296     }
   8297    case 191:
   8298     $and657 = $type & 2;
   8299     $tobool658 = ($and657 | 0) == 0;
   8300     if ($tobool658) {
   8301       label = 193;
   8302       break;
   8303     } else {
   8304       label = 192;
   8305       break;
   8306     }
   8307    case 192:
   8308     FUNCTION_TABLE_viii[$175 & 1023]($dt, $cond653, $3);
   8309     label = 193;
   8310     break;
   8311    case 193:
   8312     $176 = HEAP32[$link4 >> 2] | 0;
   8313     $cmp663 = ($176 | 0) < 0;
   8314     if ($cmp663) {
   8315       label = 194;
   8316       break;
   8317     } else {
   8318       label = 195;
   8319       break;
   8320     }
   8321    case 194:
   8322     $memoryf665 = $dt + 12 | 0;
   8323     $177 = HEAP32[$memoryf665 >> 2] | 0;
   8324     $178 = $root_13;
   8325     $call666 = FUNCTION_TABLE_iiiii[$177 & 1023]($dt, $178, 0, $3) | 0;
   8326     label = 195;
   8327     break;
   8328    case 195:
   8329     $179 = HEAP32[$data >> 2] | 0;
   8330     $size669 = $179 + 16 | 0;
   8331     $180 = HEAP32[$size669 >> 2] | 0;
   8332     $sub = $180 - 1 | 0;
   8333     HEAP32[$size669 >> 2] = $sub;
   8334     $cmp670 = ($sub | 0) < 0;
   8335     if ($cmp670) {
   8336       label = 196;
   8337       break;
   8338     } else {
   8339       $obj_addr_2_ph = $cond653;
   8340       $r_5_ph = $r_4;
   8341       label = 210;
   8342       break;
   8343     }
   8344    case 196:
   8345     $181 = HEAP32[$data >> 2] | 0;
   8346     $size673 = $181 + 16 | 0;
   8347     HEAP32[$size673 >> 2] = -1;
   8348     $obj_addr_2_ph = $cond653;
   8349     $r_5_ph = $r_4;
   8350     label = 210;
   8351     break;
   8352    case 197:
   8353     $and676 = $type & 2049;
   8354     $tobool677 = ($and676 | 0) == 0;
   8355     if ($tobool677) {
   8356       label = 200;
   8357       break;
   8358     } else {
   8359       label = 198;
   8360       break;
   8361     }
   8362    case 198:
   8363     $182 = HEAP32[$meth >> 2] | 0;
   8364     $type680 = $182 + 4 | 0;
   8365     $183 = HEAP32[$type680 >> 2] | 0;
   8366     $and681 = $183 & 4;
   8367     $tobool682 = ($and681 | 0) == 0;
   8368     if ($tobool682) {
   8369       label = 199;
   8370       break;
   8371     } else {
   8372       $root_8 = $root_7;
   8373       label = 148;
   8374       break;
   8375     }
   8376    case 199:
   8377     $184 = $hl485 | 0;
   8378     HEAP32[$184 >> 2] = 0;
   8379     $hl687 = $link + 4 | 0;
   8380     $_left688 = $hl687;
   8381     $185 = HEAP32[$_left688 >> 2] | 0;
   8382     HEAP32[$right488 >> 2] = $185;
   8383     $186 = $hl687 | 0;
   8384     $root_7_c = $root_7;
   8385     HEAP32[$186 >> 2] = $root_7_c;
   8386     $r_3417 = $r_3;
   8387     $root_7419 = $root_7;
   8388     $obj_addr_1423 = $obj_addr_1;
   8389     label = 214;
   8390     break;
   8391    case 200:
   8392     $and693 = $type & 32;
   8393     $tobool694 = ($and693 | 0) == 0;
   8394     if ($tobool694) {
   8395       $retval_0 = 0;
   8396       label = 231;
   8397       break;
   8398     } else {
   8399       label = 201;
   8400       break;
   8401     }
   8402    case 201:
   8403     $187 = HEAP32[$meth >> 2] | 0;
   8404     $type697 = $187 + 4 | 0;
   8405     $188 = HEAP32[$type697 >> 2] | 0;
   8406     $and698 = $188 & 4;
   8407     $tobool699 = ($and698 | 0) == 0;
   8408     if ($tobool699) {
   8409       label = 206;
   8410       break;
   8411     } else {
   8412       label = 202;
   8413       break;
   8414     }
   8415    case 202:
   8416     $freef701 = $3 + 16 | 0;
   8417     $189 = HEAP32[$freef701 >> 2] | 0;
   8418     $tobool702 = ($189 | 0) == 0;
   8419     if ($tobool702) {
   8420       label = 204;
   8421       break;
   8422     } else {
   8423       label = 203;
   8424       break;
   8425     }
   8426    case 203:
   8427     FUNCTION_TABLE_viii[$189 & 1023]($dt, $obj_addr_1, $3);
   8428     label = 204;
   8429     break;
   8430    case 204:
   8431     $190 = HEAP32[$link4 >> 2] | 0;
   8432     $cmp707 = ($190 | 0) < 0;
   8433     if ($cmp707) {
   8434       label = 205;
   8435       break;
   8436     } else {
   8437       $root_8 = $root_7;
   8438       label = 148;
   8439       break;
   8440     }
   8441    case 205:
   8442     $memoryf709 = $dt + 12 | 0;
   8443     $191 = HEAP32[$memoryf709 >> 2] | 0;
   8444     $192 = $me_1;
   8445     $call710 = FUNCTION_TABLE_iiiii[$191 & 1023]($dt, $192, 0, $3) | 0;
   8446     $root_8 = $root_7;
   8447     label = 148;
   8448     break;
   8449    case 206:
   8450     $193 = $me_1 + 4 | 0;
   8451     HEAP32[$193 >> 2] = 0;
   8452     $hl715 = $link + 4 | 0;
   8453     $_left716 = $hl715;
   8454     $194 = HEAP32[$_left716 >> 2] | 0;
   8455     $right717 = $me_1 | 0;
   8456     HEAP32[$right717 >> 2] = $194;
   8457     $195 = $hl715 | 0;
   8458     $me_1_c = $me_1;
   8459     HEAP32[$195 >> 2] = $me_1_c;
   8460     $196 = HEAP32[$data >> 2] | 0;
   8461     $size721 = $196 + 16 | 0;
   8462     $197 = HEAP32[$size721 >> 2] | 0;
   8463     $add722 = $197 + 1 | 0;
   8464     HEAP32[$size721 >> 2] = $add722;
   8465     $root_8 = $root_7;
   8466     label = 148;
   8467     break;
   8468    case 207:
   8469     $198 = $r_3415 + 4 | 0;
   8470     HEAP32[$198 >> 2] = 0;
   8471     $right733 = $l_3413 | 0;
   8472     HEAP32[$right733 >> 2] = 0;
   8473     $and734 = $type & 8;
   8474     $tobool735 = ($and734 | 0) == 0;
   8475     if ($tobool735) {
   8476       label = 208;
   8477       break;
   8478     } else {
   8479       $r_3414 = $r_3415;
   8480       $obj_addr_1421 = $obj_addr_1422;
   8481       label = 176;
   8482       break;
   8483     }
   8484    case 208:
   8485     $and738 = $type & 16;
   8486     $tobool739 = ($and738 | 0) == 0;
   8487     if ($tobool739) {
   8488       label = 209;
   8489       break;
   8490     } else {
   8491       $r_3416 = $r_3415;
   8492       $obj_addr_1424 = $obj_addr_1422;
   8493       label = 182;
   8494       break;
   8495     }
   8496    case 209:
   8497     $and742 = $type & 516;
   8498     $tobool743 = ($and742 | 0) == 0;
   8499     if ($tobool743) {
   8500       label = 213;
   8501       break;
   8502     } else {
   8503       $obj_addr_2_ph = $obj_addr_1422;
   8504       $r_5_ph = $r_3415;
   8505       label = 210;
   8506       break;
   8507     }
   8508    case 210:
   8509     $r_5 = $r_5_ph;
   8510     label = 211;
   8511     break;
   8512    case 211:
   8513     $hl746 = $r_5 + 4 | 0;
   8514     $_left747 = $hl746;
   8515     $199 = HEAP32[$_left747 >> 2] | 0;
   8516     $tobool748 = ($199 | 0) == 0;
   8517     if ($tobool748) {
   8518       label = 212;
   8519       break;
   8520     } else {
   8521       $r_5 = $199;
   8522       label = 211;
   8523       break;
   8524     }
   8525    case 212:
   8526     $right751 = $link | 0;
   8527     $200 = HEAP32[$right751 >> 2] | 0;
   8528     $201 = $hl746 | 0;
   8529     $_c390 = $200;
   8530     HEAP32[$201 >> 2] = $_c390;
   8531     $hl754 = $link + 4 | 0;
   8532     $_left755 = $hl754;
   8533     $202 = HEAP32[$_left755 >> 2] | 0;
   8534     $203 = HEAP32[$data >> 2] | 0;
   8535     $here757 = $203 + 4 | 0;
   8536     HEAP32[$here757 >> 2] = $202;
   8537     $and758 = $type & 2;
   8538     $tobool759 = ($and758 | 0) != 0;
   8539     $cond763 = $tobool759 ? $obj_addr_2_ph : 0;
   8540     $retval_0 = $cond763;
   8541     label = 231;
   8542     break;
   8543    case 213:
   8544     $and765 = $type & 2049;
   8545     $tobool766 = ($and765 | 0) == 0;
   8546     if ($tobool766) {
   8547       label = 229;
   8548       break;
   8549     } else {
   8550       $r_3417 = $r_3415;
   8551       $root_7419 = 0;
   8552       $obj_addr_1423 = $obj_addr_1422;
   8553       label = 214;
   8554       break;
   8555     }
   8556    case 214:
   8557     $makef = $3 + 12 | 0;
   8558     $204 = HEAP32[$makef >> 2] | 0;
   8559     $tobool768 = ($204 | 0) == 0;
   8560     if ($tobool768) {
   8561       $obj_addr_3 = $obj_addr_1423;
   8562       label = 217;
   8563       break;
   8564     } else {
   8565       label = 215;
   8566       break;
   8567     }
   8568    case 215:
   8569     $and770 = $type & 1;
   8570     $tobool771 = ($and770 | 0) == 0;
   8571     if ($tobool771) {
   8572       $obj_addr_3 = $obj_addr_1423;
   8573       label = 217;
   8574       break;
   8575     } else {
   8576       label = 216;
   8577       break;
   8578     }
   8579    case 216:
   8580     $call774 = FUNCTION_TABLE_iiii[$204 & 1023]($dt, $obj_addr_1423, $3) | 0;
   8581     $obj_addr_3 = $call774;
   8582     label = 217;
   8583     break;
   8584    case 217:
   8585     $tobool776 = ($obj_addr_3 | 0) == 0;
   8586     if ($tobool776) {
   8587       $root_14 = $root_7419;
   8588       label = 226;
   8589       break;
   8590     } else {
   8591       label = 218;
   8592       break;
   8593     }
   8594    case 218:
   8595     $cmp778 = ($6 | 0) > -1;
   8596     if ($cmp778) {
   8597       label = 219;
   8598       break;
   8599     } else {
   8600       label = 220;
   8601       break;
   8602     }
   8603    case 219:
   8604     $add_ptr780 = $obj_addr_3 + $6 | 0;
   8605     $205 = $add_ptr780;
   8606     $root_14 = $205;
   8607     label = 226;
   8608     break;
   8609    case 220:
   8610     $memoryf782 = $dt + 12 | 0;
   8611     $206 = HEAP32[$memoryf782 >> 2] | 0;
   8612     $call783 = FUNCTION_TABLE_iiiii[$206 & 1023]($dt, 0, 12, $3) | 0;
   8613     $207 = $call783;
   8614     $tobool784 = ($call783 | 0) == 0;
   8615     if ($tobool784) {
   8616       label = 222;
   8617       break;
   8618     } else {
   8619       label = 221;
   8620       break;
   8621     }
   8622    case 221:
   8623     $obj786 = $call783 + 8 | 0;
   8624     $208 = $obj786;
   8625     HEAP32[$208 >> 2] = $obj_addr_3;
   8626     $root_14 = $207;
   8627     label = 226;
   8628     break;
   8629    case 222:
   8630     $209 = HEAP32[$makef >> 2] | 0;
   8631     $tobool789 = ($209 | 0) == 0;
   8632     if ($tobool789) {
   8633       $root_14 = $207;
   8634       label = 226;
   8635       break;
   8636     } else {
   8637       label = 223;
   8638       break;
   8639     }
   8640    case 223:
   8641     $freef791 = $3 + 16 | 0;
   8642     $210 = HEAP32[$freef791 >> 2] | 0;
   8643     $tobool792 = ($210 | 0) == 0;
   8644     if ($tobool792) {
   8645       $root_14 = $207;
   8646       label = 226;
   8647       break;
   8648     } else {
   8649       label = 224;
   8650       break;
   8651     }
   8652    case 224:
   8653     $and794 = $type & 1;
   8654     $tobool795 = ($and794 | 0) == 0;
   8655     if ($tobool795) {
   8656       $root_14 = $207;
   8657       label = 226;
   8658       break;
   8659     } else {
   8660       label = 225;
   8661       break;
   8662     }
   8663    case 225:
   8664     FUNCTION_TABLE_viii[$210 & 1023]($dt, $obj_addr_3, $3);
   8665     $root_14 = $207;
   8666     label = 226;
   8667     break;
   8668    case 226:
   8669     $tobool802 = ($root_14 | 0) == 0;
   8670     if ($tobool802) {
   8671       $obj_addr_2_ph = $obj_addr_3;
   8672       $r_5_ph = $r_3417;
   8673       label = 210;
   8674       break;
   8675     } else {
   8676       label = 227;
   8677       break;
   8678     }
   8679    case 227:
   8680     $211 = HEAP32[$data >> 2] | 0;
   8681     $size805 = $211 + 16 | 0;
   8682     $212 = HEAP32[$size805 >> 2] | 0;
   8683     $cmp806 = ($212 | 0) > -1;
   8684     if ($cmp806) {
   8685       label = 228;
   8686       break;
   8687     } else {
   8688       $root_8 = $root_14;
   8689       label = 148;
   8690       break;
   8691     }
   8692    case 228:
   8693     $add810 = $212 + 1 | 0;
   8694     HEAP32[$size805 >> 2] = $add810;
   8695     $root_8 = $root_14;
   8696     label = 148;
   8697     break;
   8698    case 229:
   8699     $and814 = $type & 32;
   8700     $tobool815 = ($and814 | 0) == 0;
   8701     if ($tobool815) {
   8702       $obj_addr_2_ph = 0;
   8703       $r_5_ph = $r_3415;
   8704       label = 210;
   8705       break;
   8706     } else {
   8707       label = 230;
   8708       break;
   8709     }
   8710    case 230:
   8711     $213 = HEAP32[$data >> 2] | 0;
   8712     $size818 = $213 + 16 | 0;
   8713     $214 = HEAP32[$size818 >> 2] | 0;
   8714     $add819 = $214 + 1 | 0;
   8715     HEAP32[$size818 >> 2] = $add819;
   8716     $root_8 = $me_1418;
   8717     label = 148;
   8718     break;
   8719    case 231:
   8720     STACKTOP = __stackBase__;
   8721     return $retval_0 | 0;
   8722   }
   8723   return 0;
   8724 }
   8725 function _inside($inside_context, $p) {
   8726   $inside_context = $inside_context | 0;
   8727   $p = $p | 0;
   8728   var $1 = 0, $sub = 0.0, $sub13 = 0.0, tempParam = 0, __stackBase__ = 0;
   8729   __stackBase__ = STACKTOP;
   8730   tempParam = $p;
   8731   $p = STACKTOP;
   8732   STACKTOP = STACKTOP + 16 | 0;
   8733   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   8734   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   8735   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   8736   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   8737   $1 = HEAP32[$inside_context >> 2] | 0;
   8738   $sub = +HEAPF64[$p >> 3] - +HEAPF64[$1 >> 3];
   8739   $sub13 = +HEAPF64[$p + 8 >> 3] - +HEAPF64[$1 + 8 >> 3];
   8740   STACKTOP = __stackBase__;
   8741   return $sub * $sub + $sub13 * $sub13 <= +HEAPF64[HEAP32[$inside_context + 4 >> 2] >> 3] & 1 | 0;
   8742 }
   8743 function _dtrestore($dt, $list) {
   8744   $dt = $dt | 0;
   8745   $list = $list | 0;
   8746   var $meth = 0, $0 = 0, $searchf1 = 0, $1 = 0, $data = 0, $2 = 0, $type2 = 0, $3 = 0, $and = 0, $tobool = 0, $tobool3 = 0, $here = 0, $4 = 0, $size = 0, $5 = 0, $cmp = 0, $list_addr_0 = 0, $type_0 = 0, $6 = 0, $type11 = 0, $7 = 0, $and12 = 0, $8 = 0, $type14 = 0, $9 = 0, $and15 = 0, $tobool16 = 0, $here19 = 0, $tobool20 = 0, $10 = 0, $_htab = 0, $11 = 0, $ntab = 0, $12 = 0, $add_ptr = 0, $cmp2430 = 0, $list_addr_132 = 0, $s_031 = 0, $13 = 0, $tobool25 = 0, $right = 0, $14 = 0, $list_addr_2 = 0, $incdec_ptr = 0, $cmp24 = 0, $size31 = 0, $tobool3227 = 0, $list_addr_328 = 0, $right33 = 0, $15 = 0, $16 = 0, $call = 0, $tobool32 = 0, $and38 = 0, $tobool39 = 0, $here45 = 0, $17 = 0, $18 = 0, $list_addr_0_c = 0, $tobool49 = 0, $19 = 0, $size52 = 0, $retval_0 = 0, label = 0;
   8747   label = 2;
   8748   while (1) switch (label | 0) {
   8749    case 2:
   8750     $meth = $dt + 16 | 0;
   8751     $0 = HEAP32[$meth >> 2] | 0;
   8752     $searchf1 = $0 | 0;
   8753     $1 = HEAP32[$searchf1 >> 2] | 0;
   8754     $data = $dt + 8 | 0;
   8755     $2 = HEAP32[$data >> 2] | 0;
   8756     $type2 = $2 | 0;
   8757     $3 = HEAP32[$type2 >> 2] | 0;
   8758     $and = $3 & 4096;
   8759     $tobool = ($list | 0) == 0;
   8760     if ($tobool) {
   8761       label = 3;
   8762       break;
   8763     } else {
   8764       label = 5;
   8765       break;
   8766     }
   8767    case 3:
   8768     $tobool3 = ($and | 0) == 0;
   8769     if ($tobool3) {
   8770       $retval_0 = -1;
   8771       label = 19;
   8772       break;
   8773     } else {
   8774       label = 4;
   8775       break;
   8776     }
   8777    case 4:
   8778     $here = $2 + 4 | 0;
   8779     $4 = HEAP32[$here >> 2] | 0;
   8780     $type_0 = $and;
   8781     $list_addr_0 = $4;
   8782     label = 6;
   8783     break;
   8784    case 5:
   8785     $size = $2 + 16 | 0;
   8786     $5 = HEAP32[$size >> 2] | 0;
   8787     $cmp = ($5 | 0) == 0;
   8788     if ($cmp) {
   8789       $type_0 = 0;
   8790       $list_addr_0 = $list;
   8791       label = 6;
   8792       break;
   8793     } else {
   8794       $retval_0 = -1;
   8795       label = 19;
   8796       break;
   8797     }
   8798    case 6:
   8799     $6 = HEAP32[$data >> 2] | 0;
   8800     $type11 = $6 | 0;
   8801     $7 = HEAP32[$type11 >> 2] | 0;
   8802     $and12 = $7 & -4097;
   8803     HEAP32[$type11 >> 2] = $and12;
   8804     $8 = HEAP32[$data >> 2] | 0;
   8805     $type14 = $8 | 0;
   8806     $9 = HEAP32[$type14 >> 2] | 0;
   8807     $and15 = $9 & 3;
   8808     $tobool16 = ($and15 | 0) == 0;
   8809     if ($tobool16) {
   8810       label = 14;
   8811       break;
   8812     } else {
   8813       label = 7;
   8814       break;
   8815     }
   8816    case 7:
   8817     $here19 = $8 + 4 | 0;
   8818     HEAP32[$here19 >> 2] = 0;
   8819     $tobool20 = ($type_0 | 0) == 0;
   8820     $10 = HEAP32[$data >> 2] | 0;
   8821     if ($tobool20) {
   8822       label = 12;
   8823       break;
   8824     } else {
   8825       label = 8;
   8826       break;
   8827     }
   8828    case 8:
   8829     $_htab = $10 + 8 | 0;
   8830     $11 = HEAP32[$_htab >> 2] | 0;
   8831     $ntab = $10 + 12 | 0;
   8832     $12 = HEAP32[$ntab >> 2] | 0;
   8833     $add_ptr = $11 + ($12 << 2) | 0;
   8834     $cmp2430 = ($12 | 0) > 0;
   8835     if ($cmp2430) {
   8836       $s_031 = $11;
   8837       $list_addr_132 = $list_addr_0;
   8838       label = 9;
   8839       break;
   8840     } else {
   8841       $retval_0 = 0;
   8842       label = 19;
   8843       break;
   8844     }
   8845    case 9:
   8846     $13 = HEAP32[$s_031 >> 2] | 0;
   8847     $tobool25 = ($13 | 0) == 0;
   8848     if ($tobool25) {
   8849       $list_addr_2 = $list_addr_132;
   8850       label = 11;
   8851       break;
   8852     } else {
   8853       label = 10;
   8854       break;
   8855     }
   8856    case 10:
   8857     HEAP32[$s_031 >> 2] = $list_addr_132;
   8858     $right = $13 | 0;
   8859     $14 = HEAP32[$right >> 2] | 0;
   8860     HEAP32[$right >> 2] = 0;
   8861     $list_addr_2 = $14;
   8862     label = 11;
   8863     break;
   8864    case 11:
   8865     $incdec_ptr = $s_031 + 4 | 0;
   8866     $cmp24 = $incdec_ptr >>> 0 < $add_ptr >>> 0;
   8867     if ($cmp24) {
   8868       $s_031 = $incdec_ptr;
   8869       $list_addr_132 = $list_addr_2;
   8870       label = 9;
   8871       break;
   8872     } else {
   8873       $retval_0 = 0;
   8874       label = 19;
   8875       break;
   8876     }
   8877    case 12:
   8878     $size31 = $10 + 16 | 0;
   8879     HEAP32[$size31 >> 2] = 0;
   8880     $tobool3227 = ($list_addr_0 | 0) == 0;
   8881     if ($tobool3227) {
   8882       $retval_0 = 0;
   8883       label = 19;
   8884       break;
   8885     } else {
   8886       $list_addr_328 = $list_addr_0;
   8887       label = 13;
   8888       break;
   8889     }
   8890    case 13:
   8891     $right33 = $list_addr_328 | 0;
   8892     $15 = HEAP32[$right33 >> 2] | 0;
   8893     $16 = $list_addr_328;
   8894     $call = FUNCTION_TABLE_iiii[$1 & 1023]($dt, $16, 32) | 0;
   8895     $tobool32 = ($15 | 0) == 0;
   8896     if ($tobool32) {
   8897       $retval_0 = 0;
   8898       label = 19;
   8899       break;
   8900     } else {
   8901       $list_addr_328 = $15;
   8902       label = 13;
   8903       break;
   8904     }
   8905    case 14:
   8906     $and38 = $9 & 12;
   8907     $tobool39 = ($and38 | 0) == 0;
   8908     $here45 = $8 + 4 | 0;
   8909     if ($tobool39) {
   8910       label = 16;
   8911       break;
   8912     } else {
   8913       label = 15;
   8914       break;
   8915     }
   8916    case 15:
   8917     HEAP32[$here45 >> 2] = $list_addr_0;
   8918     label = 17;
   8919     break;
   8920    case 16:
   8921     HEAP32[$here45 >> 2] = 0;
   8922     $17 = HEAP32[$data >> 2] | 0;
   8923     $18 = $17 + 8 | 0;
   8924     $list_addr_0_c = $list_addr_0 | 0;
   8925     HEAP32[$18 >> 2] = $list_addr_0_c;
   8926     label = 17;
   8927     break;
   8928    case 17:
   8929     $tobool49 = ($type_0 | 0) == 0;
   8930     if ($tobool49) {
   8931       label = 18;
   8932       break;
   8933     } else {
   8934       $retval_0 = 0;
   8935       label = 19;
   8936       break;
   8937     }
   8938    case 18:
   8939     $19 = HEAP32[$data >> 2] | 0;
   8940     $size52 = $19 + 16 | 0;
   8941     HEAP32[$size52 >> 2] = -1;
   8942     $retval_0 = 0;
   8943     label = 19;
   8944     break;
   8945    case 19:
   8946     return $retval_0 | 0;
   8947   }
   8948   return 0;
   8949 }
   8950 function _dtview($dt, $view) {
   8951   $dt = $dt | 0;
   8952   $view = $view | 0;
   8953   var $data = 0, $0 = 0, $type = 0, $1 = 0, $and = 0, $tobool = 0, $call = 0, $tobool1 = 0, $data2 = 0, $2 = 0, $type3 = 0, $3 = 0, $and4 = 0, $tobool5 = 0, $call7 = 0, $meth = 0, $4 = 0, $meth11 = 0, $5 = 0, $cmp = 0, $tobool1423 = 0, $d_024 = 0, $cmp15 = 0, $view18 = 0, $6 = 0, $tobool14 = 0, $view19 = 0, $7 = 0, $tobool20 = 0, $nview = 0, $8 = 0, $sub = 0, $walk = 0, $meth26 = 0, $9 = 0, $searchf = 0, $10 = 0, $searchf27 = 0, $searchf30 = 0, $nview31 = 0, $11 = 0, $add = 0, $retval_0 = 0, label = 0;
   8954   label = 2;
   8955   while (1) switch (label | 0) {
   8956    case 2:
   8957     $data = $dt + 8 | 0;
   8958     $0 = HEAP32[$data >> 2] | 0;
   8959     $type = $0 | 0;
   8960     $1 = HEAP32[$type >> 2] | 0;
   8961     $and = $1 & 4096;
   8962     $tobool = ($and | 0) == 0;
   8963     if ($tobool) {
   8964       label = 4;
   8965       break;
   8966     } else {
   8967       label = 3;
   8968       break;
   8969     }
   8970    case 3:
   8971     $call = _dtrestore($dt, 0) | 0;
   8972     label = 4;
   8973     break;
   8974    case 4:
   8975     $tobool1 = ($view | 0) != 0;
   8976     if ($tobool1) {
   8977       label = 5;
   8978       break;
   8979     } else {
   8980       label = 11;
   8981       break;
   8982     }
   8983    case 5:
   8984     $data2 = $view + 8 | 0;
   8985     $2 = HEAP32[$data2 >> 2] | 0;
   8986     $type3 = $2 | 0;
   8987     $3 = HEAP32[$type3 >> 2] | 0;
   8988     $and4 = $3 & 4096;
   8989     $tobool5 = ($and4 | 0) == 0;
   8990     if ($tobool5) {
   8991       label = 7;
   8992       break;
   8993     } else {
   8994       label = 6;
   8995       break;
   8996     }
   8997    case 6:
   8998     $call7 = _dtrestore($view, 0) | 0;
   8999     label = 7;
   9000     break;
   9001    case 7:
   9002     $meth = $view + 16 | 0;
   9003     $4 = HEAP32[$meth >> 2] | 0;
   9004     $meth11 = $dt + 16 | 0;
   9005     $5 = HEAP32[$meth11 >> 2] | 0;
   9006     $cmp = ($4 | 0) == ($5 | 0);
   9007     if ($cmp) {
   9008       label = 8;
   9009       break;
   9010     } else {
   9011       $retval_0 = 0;
   9012       label = 16;
   9013       break;
   9014     }
   9015    case 8:
   9016     $tobool1423 = ($view | 0) == 0;
   9017     if ($tobool1423) {
   9018       label = 11;
   9019       break;
   9020     } else {
   9021       $d_024 = $view;
   9022       label = 9;
   9023       break;
   9024     }
   9025    case 9:
   9026     $cmp15 = ($d_024 | 0) == ($dt | 0);
   9027     if ($cmp15) {
   9028       $retval_0 = 0;
   9029       label = 16;
   9030       break;
   9031     } else {
   9032       label = 10;
   9033       break;
   9034     }
   9035    case 10:
   9036     $view18 = $d_024 + 28 | 0;
   9037     $6 = HEAP32[$view18 >> 2] | 0;
   9038     $tobool14 = ($6 | 0) == 0;
   9039     if ($tobool14) {
   9040       label = 11;
   9041       break;
   9042     } else {
   9043       $d_024 = $6;
   9044       label = 9;
   9045       break;
   9046     }
   9047    case 11:
   9048     $view19 = $dt + 28 | 0;
   9049     $7 = HEAP32[$view19 >> 2] | 0;
   9050     $tobool20 = ($7 | 0) == 0;
   9051     if ($tobool20) {
   9052       label = 13;
   9053       break;
   9054     } else {
   9055       label = 12;
   9056       break;
   9057     }
   9058    case 12:
   9059     $nview = $7 + 24 | 0;
   9060     $8 = HEAP32[$nview >> 2] | 0;
   9061     $sub = $8 - 1 | 0;
   9062     HEAP32[$nview >> 2] = $sub;
   9063     label = 13;
   9064     break;
   9065    case 13:
   9066     $walk = $dt + 32 | 0;
   9067     HEAP32[$walk >> 2] = 0;
   9068     HEAP32[$view19 >> 2] = 0;
   9069     if ($tobool1) {
   9070       label = 15;
   9071       break;
   9072     } else {
   9073       label = 14;
   9074       break;
   9075     }
   9076    case 14:
   9077     $meth26 = $dt + 16 | 0;
   9078     $9 = HEAP32[$meth26 >> 2] | 0;
   9079     $searchf = $9 | 0;
   9080     $10 = HEAP32[$searchf >> 2] | 0;
   9081     $searchf27 = $dt | 0;
   9082     HEAP32[$searchf27 >> 2] = $10;
   9083     $retval_0 = $7;
   9084     label = 16;
   9085     break;
   9086    case 15:
   9087     HEAP32[$view19 >> 2] = $view;
   9088     $searchf30 = $dt | 0;
   9089     HEAP32[$searchf30 >> 2] = 650;
   9090     $nview31 = $view + 24 | 0;
   9091     $11 = HEAP32[$nview31 >> 2] | 0;
   9092     $add = $11 + 1 | 0;
   9093     HEAP32[$nview31 >> 2] = $add;
   9094     $retval_0 = $view;
   9095     label = 16;
   9096     break;
   9097    case 16:
   9098     return $retval_0 | 0;
   9099   }
   9100   return 0;
   9101 }
   9102 function _dtvsearch($dt, $obj, $type) {
   9103   $dt = $dt | 0;
   9104   $obj = $obj | 0;
   9105   $type = $type | 0;
   9106   var $and = 0, $tobool = 0, $meth = 0, $0 = 0, $searchf = 0, $1 = 0, $call = 0, $and1 = 0, $tobool2 = 0, $and3 = 0, $tobool4 = 0, $meth5 = 0, $2 = 0, $type6 = 0, $3 = 0, $and7 = 0, $tobool8 = 0, $tobool10100 = 0, $d_0101 = 0, $meth11 = 0, $4 = 0, $searchf12 = 0, $5 = 0, $call13 = 0, $tobool14 = 0, $view = 0, $6 = 0, $tobool10 = 0, $d_0_lcssa = 0, $o_1 = 0, $walk = 0, $meth18 = 0, $7 = 0, $type19 = 0, $8 = 0, $and20 = 0, $tobool21 = 0, $and23 = 0, $tobool24 = 0, $tobool2893 = 0, $and57 = 0, $tobool58 = 0, $and62 = 0, $tobool63 = 0, $d_197 = 0, $p_096 = 0, $nk_095 = 0, $n_094 = 0, $meth30 = 0, $9 = 0, $searchf31 = 0, $10 = 0, $call32 = 0, $tobool33 = 0, $disc = 0, $11 = 0, $key = 0, $12 = 0, $size = 0, $13 = 0, $comparf = 0, $14 = 0, $cmp39 = 0, $add_ptr = 0, $15 = 0, $16 = 0, $cond = 0, $tobool41 = 0, $tobool43 = 0, $17 = 0, $call46 = 0, $cmp48 = 0, $call50 = 0, $call52 = 0, $cond56 = 0, $cmp60 = 0, $or_cond = 0, $cmp65 = 0, $or_cond80 = 0, $or_cond112 = 0, $n_1 = 0, $nk_1 = 0, $p_1 = 0, $view70 = 0, $18 = 0, $tobool28 = 0, $p_0_lcssa = 0, $n_0_lcssa = 0, $walk72 = 0, $and74 = 0, $tobool75 = 0, $walk78 = 0, $19 = 0, $tobool79 = 0, $disc82 = 0, $20 = 0, $link83 = 0, $21 = 0, $cmp84 = 0, $data = 0, $22 = 0, $here = 0, $23 = 0, $obj87 = 0, $24 = 0, $25 = 0, $26 = 0, $idx_neg = 0, $add_ptr95 = 0, $cond97 = 0, $cmp98 = 0, $tobool10191 = 0, $d_292 = 0, $meth103 = 0, $27 = 0, $searchf104 = 0, $28 = 0, $call105 = 0, $tobool106 = 0, $view110 = 0, $29 = 0, $tobool101 = 0, $obj_addr_0 = 0, $30 = 0, $meth118 = 0, $31 = 0, $searchf119 = 0, $32 = 0, $call120 = 0, $and144 = 0, $tobool145 = 0, $d_3_ph = 0, $obj_addr_1_ph = 0, $tobool12288 = 0, $cmp12482 = 0, $meth136 = 0, $obj_addr_189 = 0, $p_283 = 0, $meth127 = 0, $33 = 0, $searchf128 = 0, $34 = 0, $call129 = 0, $tobool130 = 0, $view134 = 0, $35 = 0, $cmp124 = 0, $36 = 0, $searchf137 = 0, $37 = 0, $call138 = 0, $tobool122 = 0, $view139 = 0, $38 = 0, $tobool141 = 0, $meth147 = 0, $39 = 0, $searchf148 = 0, $40 = 0, $call149 = 0, $call153 = 0, $retval_0 = 0, label = 0;
   9107   label = 2;
   9108   while (1) switch (label | 0) {
   9109    case 2:
   9110     $and = $type & 99;
   9111     $tobool = ($and | 0) == 0;
   9112     if ($tobool) {
   9113       label = 4;
   9114       break;
   9115     } else {
   9116       label = 3;
   9117       break;
   9118     }
   9119    case 3:
   9120     $meth = $dt + 16 | 0;
   9121     $0 = HEAP32[$meth >> 2] | 0;
   9122     $searchf = $0 | 0;
   9123     $1 = HEAP32[$searchf >> 2] | 0;
   9124     $call = FUNCTION_TABLE_iiii[$1 & 1023]($dt, $obj, $type) | 0;
   9125     $retval_0 = $call;
   9126     label = 50;
   9127     break;
   9128    case 4:
   9129     $and1 = $type & 516;
   9130     $tobool2 = ($and1 | 0) == 0;
   9131     if ($tobool2) {
   9132       label = 5;
   9133       break;
   9134     } else {
   9135       label = 7;
   9136       break;
   9137     }
   9138    case 5:
   9139     $and3 = $type & 384;
   9140     $tobool4 = ($and3 | 0) == 0;
   9141     if ($tobool4) {
   9142       label = 11;
   9143       break;
   9144     } else {
   9145       label = 6;
   9146       break;
   9147     }
   9148    case 6:
   9149     $meth5 = $dt + 16 | 0;
   9150     $2 = HEAP32[$meth5 >> 2] | 0;
   9151     $type6 = $2 + 4 | 0;
   9152     $3 = HEAP32[$type6 >> 2] | 0;
   9153     $and7 = $3 & 12;
   9154     $tobool8 = ($and7 | 0) == 0;
   9155     if ($tobool8) {
   9156       label = 7;
   9157       break;
   9158     } else {
   9159       label = 11;
   9160       break;
   9161     }
   9162    case 7:
   9163     $tobool10100 = ($dt | 0) == 0;
   9164     if ($tobool10100) {
   9165       $o_1 = 0;
   9166       $d_0_lcssa = 0;
   9167       label = 10;
   9168       break;
   9169     } else {
   9170       $d_0101 = $dt;
   9171       label = 8;
   9172       break;
   9173     }
   9174    case 8:
   9175     $meth11 = $d_0101 + 16 | 0;
   9176     $4 = HEAP32[$meth11 >> 2] | 0;
   9177     $searchf12 = $4 | 0;
   9178     $5 = HEAP32[$searchf12 >> 2] | 0;
   9179     $call13 = FUNCTION_TABLE_iiii[$5 & 1023]($d_0101, $obj, $type) | 0;
   9180     $tobool14 = ($call13 | 0) == 0;
   9181     if ($tobool14) {
   9182       label = 9;
   9183       break;
   9184     } else {
   9185       $o_1 = $call13;
   9186       $d_0_lcssa = $d_0101;
   9187       label = 10;
   9188       break;
   9189     }
   9190    case 9:
   9191     $view = $d_0101 + 28 | 0;
   9192     $6 = HEAP32[$view >> 2] | 0;
   9193     $tobool10 = ($6 | 0) == 0;
   9194     if ($tobool10) {
   9195       $o_1 = 0;
   9196       $d_0_lcssa = 0;
   9197       label = 10;
   9198       break;
   9199     } else {
   9200       $d_0101 = $6;
   9201       label = 8;
   9202       break;
   9203     }
   9204    case 10:
   9205     $walk = $dt + 32 | 0;
   9206     HEAP32[$walk >> 2] = $d_0_lcssa;
   9207     $retval_0 = $o_1;
   9208     label = 50;
   9209     break;
   9210    case 11:
   9211     $meth18 = $dt + 16 | 0;
   9212     $7 = HEAP32[$meth18 >> 2] | 0;
   9213     $type19 = $7 + 4 | 0;
   9214     $8 = HEAP32[$type19 >> 2] | 0;
   9215     $and20 = $8 & 12;
   9216     $tobool21 = ($and20 | 0) == 0;
   9217     if ($tobool21) {
   9218       label = 28;
   9219       break;
   9220     } else {
   9221       label = 12;
   9222       break;
   9223     }
   9224    case 12:
   9225     $and23 = $type & 408;
   9226     $tobool24 = ($and23 | 0) == 0;
   9227     if ($tobool24) {
   9228       $retval_0 = 0;
   9229       label = 50;
   9230       break;
   9231     } else {
   9232       label = 13;
   9233       break;
   9234     }
   9235    case 13:
   9236     $tobool2893 = ($dt | 0) == 0;
   9237     if ($tobool2893) {
   9238       $n_0_lcssa = 0;
   9239       $p_0_lcssa = 0;
   9240       label = 27;
   9241       break;
   9242     } else {
   9243       label = 14;
   9244       break;
   9245     }
   9246    case 14:
   9247     $and57 = $type & 136;
   9248     $tobool58 = ($and57 | 0) != 0;
   9249     $and62 = $type & 272;
   9250     $tobool63 = ($and62 | 0) != 0;
   9251     $n_094 = 0;
   9252     $nk_095 = 0;
   9253     $p_096 = 0;
   9254     $d_197 = $dt;
   9255     label = 15;
   9256     break;
   9257    case 15:
   9258     $meth30 = $d_197 + 16 | 0;
   9259     $9 = HEAP32[$meth30 >> 2] | 0;
   9260     $searchf31 = $9 | 0;
   9261     $10 = HEAP32[$searchf31 >> 2] | 0;
   9262     $call32 = FUNCTION_TABLE_iiii[$10 & 1023]($d_197, $obj, $type) | 0;
   9263     $tobool33 = ($call32 | 0) == 0;
   9264     if ($tobool33) {
   9265       $p_1 = $p_096;
   9266       $nk_1 = $nk_095;
   9267       $n_1 = $n_094;
   9268       label = 26;
   9269       break;
   9270     } else {
   9271       label = 16;
   9272       break;
   9273     }
   9274    case 16:
   9275     $disc = $d_197 + 4 | 0;
   9276     $11 = HEAP32[$disc >> 2] | 0;
   9277     $key = $11 | 0;
   9278     $12 = HEAP32[$key >> 2] | 0;
   9279     $size = $11 + 4 | 0;
   9280     $13 = HEAP32[$size >> 2] | 0;
   9281     $comparf = $11 + 20 | 0;
   9282     $14 = HEAP32[$comparf >> 2] | 0;
   9283     $cmp39 = ($13 | 0) < 0;
   9284     $add_ptr = $call32 + $12 | 0;
   9285     if ($cmp39) {
   9286       label = 17;
   9287       break;
   9288     } else {
   9289       $cond = $add_ptr;
   9290       label = 18;
   9291       break;
   9292     }
   9293    case 17:
   9294     $15 = $add_ptr;
   9295     $16 = HEAP32[$15 >> 2] | 0;
   9296     $cond = $16;
   9297     label = 18;
   9298     break;
   9299    case 18:
   9300     $tobool41 = ($n_094 | 0) == 0;
   9301     if ($tobool41) {
   9302       label = 25;
   9303       break;
   9304     } else {
   9305       label = 19;
   9306       break;
   9307     }
   9308    case 19:
   9309     $tobool43 = ($14 | 0) == 0;
   9310     if ($tobool43) {
   9311       label = 21;
   9312       break;
   9313     } else {
   9314       label = 20;
   9315       break;
   9316     }
   9317    case 20:
   9318     $17 = HEAP32[$disc >> 2] | 0;
   9319     $call46 = FUNCTION_TABLE_iiiii[$14 & 1023]($d_197, $cond, $nk_095, $17) | 0;
   9320     $cond56 = $call46;
   9321     label = 24;
   9322     break;
   9323    case 21:
   9324     $cmp48 = ($13 | 0) < 1;
   9325     if ($cmp48) {
   9326       label = 22;
   9327       break;
   9328     } else {
   9329       label = 23;
   9330       break;
   9331     }
   9332    case 22:
   9333     $call50 = _strcmp($cond | 0, $nk_095 | 0) | 0;
   9334     $cond56 = $call50;
   9335     label = 24;
   9336     break;
   9337    case 23:
   9338     $call52 = _memcmp($cond | 0, $nk_095 | 0, $13 | 0) | 0;
   9339     $cond56 = $call52;
   9340     label = 24;
   9341     break;
   9342    case 24:
   9343     $cmp60 = ($cond56 | 0) < 0;
   9344     $or_cond = $tobool58 & $cmp60;
   9345     $cmp65 = ($cond56 | 0) > 0;
   9346     $or_cond80 = $tobool63 & $cmp65;
   9347     $or_cond112 = $or_cond | $or_cond80;
   9348     if ($or_cond112) {
   9349       label = 25;
   9350       break;
   9351     } else {
   9352       $p_1 = $p_096;
   9353       $nk_1 = $nk_095;
   9354       $n_1 = $n_094;
   9355       label = 26;
   9356       break;
   9357     }
   9358    case 25:
   9359     $p_1 = $d_197;
   9360     $nk_1 = $cond;
   9361     $n_1 = $call32;
   9362     label = 26;
   9363     break;
   9364    case 26:
   9365     $view70 = $d_197 + 28 | 0;
   9366     $18 = HEAP32[$view70 >> 2] | 0;
   9367     $tobool28 = ($18 | 0) == 0;
   9368     if ($tobool28) {
   9369       $n_0_lcssa = $n_1;
   9370       $p_0_lcssa = $p_1;
   9371       label = 27;
   9372       break;
   9373     } else {
   9374       $n_094 = $n_1;
   9375       $nk_095 = $nk_1;
   9376       $p_096 = $p_1;
   9377       $d_197 = $18;
   9378       label = 15;
   9379       break;
   9380     }
   9381    case 27:
   9382     $walk72 = $dt + 32 | 0;
   9383     HEAP32[$walk72 >> 2] = $p_0_lcssa;
   9384     $retval_0 = $n_0_lcssa;
   9385     label = 50;
   9386     break;
   9387    case 28:
   9388     $and74 = $type & 24;
   9389     $tobool75 = ($and74 | 0) == 0;
   9390     if ($tobool75) {
   9391       $retval_0 = 0;
   9392       label = 50;
   9393       break;
   9394     } else {
   9395       label = 29;
   9396       break;
   9397     }
   9398    case 29:
   9399     $walk78 = $dt + 32 | 0;
   9400     $19 = HEAP32[$walk78 >> 2] | 0;
   9401     $tobool79 = ($19 | 0) == 0;
   9402     if ($tobool79) {
   9403       label = 34;
   9404       break;
   9405     } else {
   9406       label = 30;
   9407       break;
   9408     }
   9409    case 30:
   9410     $disc82 = $19 + 4 | 0;
   9411     $20 = HEAP32[$disc82 >> 2] | 0;
   9412     $link83 = $20 + 8 | 0;
   9413     $21 = HEAP32[$link83 >> 2] | 0;
   9414     $cmp84 = ($21 | 0) < 0;
   9415     $data = $19 + 8 | 0;
   9416     $22 = HEAP32[$data >> 2] | 0;
   9417     $here = $22 + 4 | 0;
   9418     $23 = HEAP32[$here >> 2] | 0;
   9419     if ($cmp84) {
   9420       label = 31;
   9421       break;
   9422     } else {
   9423       label = 32;
   9424       break;
   9425     }
   9426    case 31:
   9427     $obj87 = $23 + 8 | 0;
   9428     $24 = $obj87;
   9429     $25 = HEAP32[$24 >> 2] | 0;
   9430     $cond97 = $25;
   9431     label = 33;
   9432     break;
   9433    case 32:
   9434     $26 = $23;
   9435     $idx_neg = -$21 | 0;
   9436     $add_ptr95 = $26 + $idx_neg | 0;
   9437     $cond97 = $add_ptr95;
   9438     label = 33;
   9439     break;
   9440    case 33:
   9441     $cmp98 = ($cond97 | 0) == ($obj | 0);
   9442     if ($cmp98) {
   9443       $obj_addr_0 = $obj;
   9444       label = 39;
   9445       break;
   9446     } else {
   9447       label = 34;
   9448       break;
   9449     }
   9450    case 34:
   9451     $tobool10191 = ($dt | 0) == 0;
   9452     if ($tobool10191) {
   9453       label = 38;
   9454       break;
   9455     } else {
   9456       $d_292 = $dt;
   9457       label = 35;
   9458       break;
   9459     }
   9460    case 35:
   9461     $meth103 = $d_292 + 16 | 0;
   9462     $27 = HEAP32[$meth103 >> 2] | 0;
   9463     $searchf104 = $27 | 0;
   9464     $28 = HEAP32[$searchf104 >> 2] | 0;
   9465     $call105 = FUNCTION_TABLE_iiii[$28 & 1023]($d_292, $obj, 4) | 0;
   9466     $tobool106 = ($call105 | 0) == 0;
   9467     if ($tobool106) {
   9468       label = 37;
   9469       break;
   9470     } else {
   9471       label = 36;
   9472       break;
   9473     }
   9474    case 36:
   9475     HEAP32[$walk78 >> 2] = $d_292;
   9476     $obj_addr_0 = $call105;
   9477     label = 39;
   9478     break;
   9479    case 37:
   9480     $view110 = $d_292 + 28 | 0;
   9481     $29 = HEAP32[$view110 >> 2] | 0;
   9482     $tobool101 = ($29 | 0) == 0;
   9483     if ($tobool101) {
   9484       label = 38;
   9485       break;
   9486     } else {
   9487       $d_292 = $29;
   9488       label = 35;
   9489       break;
   9490     }
   9491    case 38:
   9492     HEAP32[$walk78 >> 2] = 0;
   9493     $retval_0 = 0;
   9494     label = 50;
   9495     break;
   9496    case 39:
   9497     $30 = HEAP32[$walk78 >> 2] | 0;
   9498     $meth118 = $30 + 16 | 0;
   9499     $31 = HEAP32[$meth118 >> 2] | 0;
   9500     $searchf119 = $31 | 0;
   9501     $32 = HEAP32[$searchf119 >> 2] | 0;
   9502     $call120 = FUNCTION_TABLE_iiii[$32 & 1023]($30, $obj_addr_0, $type) | 0;
   9503     $and144 = $type & 8;
   9504     $tobool145 = ($and144 | 0) == 0;
   9505     $obj_addr_1_ph = $call120;
   9506     $d_3_ph = $30;
   9507     label = 40;
   9508     break;
   9509    case 40:
   9510     $tobool12288 = ($obj_addr_1_ph | 0) == 0;
   9511     if ($tobool12288) {
   9512       label = 46;
   9513       break;
   9514     } else {
   9515       label = 41;
   9516       break;
   9517     }
   9518    case 41:
   9519     $cmp12482 = ($d_3_ph | 0) == ($dt | 0);
   9520     $meth136 = $d_3_ph + 16 | 0;
   9521     $obj_addr_189 = $obj_addr_1_ph;
   9522     label = 42;
   9523     break;
   9524    case 42:
   9525     if ($cmp12482) {
   9526       $retval_0 = $obj_addr_189;
   9527       label = 50;
   9528       break;
   9529     } else {
   9530       $p_283 = $dt;
   9531       label = 43;
   9532       break;
   9533     }
   9534    case 43:
   9535     $meth127 = $p_283 + 16 | 0;
   9536     $33 = HEAP32[$meth127 >> 2] | 0;
   9537     $searchf128 = $33 | 0;
   9538     $34 = HEAP32[$searchf128 >> 2] | 0;
   9539     $call129 = FUNCTION_TABLE_iiii[$34 & 1023]($p_283, $obj_addr_189, 4) | 0;
   9540     $tobool130 = ($call129 | 0) == 0;
   9541     if ($tobool130) {
   9542       label = 44;
   9543       break;
   9544     } else {
   9545       label = 45;
   9546       break;
   9547     }
   9548    case 44:
   9549     $view134 = $p_283 + 28 | 0;
   9550     $35 = HEAP32[$view134 >> 2] | 0;
   9551     $cmp124 = ($35 | 0) == ($d_3_ph | 0);
   9552     if ($cmp124) {
   9553       $retval_0 = $obj_addr_189;
   9554       label = 50;
   9555       break;
   9556     } else {
   9557       $p_283 = $35;
   9558       label = 43;
   9559       break;
   9560     }
   9561    case 45:
   9562     $36 = HEAP32[$meth136 >> 2] | 0;
   9563     $searchf137 = $36 | 0;
   9564     $37 = HEAP32[$searchf137 >> 2] | 0;
   9565     $call138 = FUNCTION_TABLE_iiii[$37 & 1023]($d_3_ph, $obj_addr_189, $type) | 0;
   9566     $tobool122 = ($call138 | 0) == 0;
   9567     if ($tobool122) {
   9568       label = 46;
   9569       break;
   9570     } else {
   9571       $obj_addr_189 = $call138;
   9572       label = 42;
   9573       break;
   9574     }
   9575    case 46:
   9576     $view139 = $d_3_ph + 28 | 0;
   9577     $38 = HEAP32[$view139 >> 2] | 0;
   9578     HEAP32[$walk78 >> 2] = $38;
   9579     $tobool141 = ($38 | 0) == 0;
   9580     if ($tobool141) {
   9581       $retval_0 = 0;
   9582       label = 50;
   9583       break;
   9584     } else {
   9585       label = 47;
   9586       break;
   9587     }
   9588    case 47:
   9589     $meth147 = $38 + 16 | 0;
   9590     $39 = HEAP32[$meth147 >> 2] | 0;
   9591     $searchf148 = $39 | 0;
   9592     $40 = HEAP32[$searchf148 >> 2] | 0;
   9593     if ($tobool145) {
   9594       label = 49;
   9595       break;
   9596     } else {
   9597       label = 48;
   9598       break;
   9599     }
   9600    case 48:
   9601     $call149 = FUNCTION_TABLE_iiii[$40 & 1023]($38, 0, 128) | 0;
   9602     $obj_addr_1_ph = $call149;
   9603     $d_3_ph = $38;
   9604     label = 40;
   9605     break;
   9606    case 49:
   9607     $call153 = FUNCTION_TABLE_iiii[$40 & 1023]($38, 0, 256) | 0;
   9608     $obj_addr_1_ph = $call153;
   9609     $d_3_ph = $38;
   9610     label = 40;
   9611     break;
   9612    case 50:
   9613     return $retval_0 | 0;
   9614   }
   9615   return 0;
   9616 }
   9617 function _dtwalk($dt, $userf, $data) {
   9618   $dt = $dt | 0;
   9619   $userf = $userf | 0;
   9620   $data = $data | 0;
   9621   var $searchf = 0, $0 = 0, $call = 0, $walk1 = 0, $callee_knr_cast = 0, $obj_0 = 0, $tobool = 0, $1 = 0, $tobool2 = 0, $dt_ = 0, $2 = 0, $call4 = 0, $call5 = 0, $cmp = 0, $retval_0 = 0, label = 0;
   9622   label = 2;
   9623   while (1) switch (label | 0) {
   9624    case 2:
   9625     $searchf = $dt | 0;
   9626     $0 = HEAP32[$searchf >> 2] | 0;
   9627     $call = FUNCTION_TABLE_iiii[$0 & 1023]($dt, 0, 128) | 0;
   9628     $walk1 = $dt + 32 | 0;
   9629     $callee_knr_cast = $userf;
   9630     $obj_0 = $call;
   9631     label = 3;
   9632     break;
   9633    case 3:
   9634     $tobool = ($obj_0 | 0) == 0;
   9635     if ($tobool) {
   9636       $retval_0 = 0;
   9637       label = 5;
   9638       break;
   9639     } else {
   9640       label = 4;
   9641       break;
   9642     }
   9643    case 4:
   9644     $1 = HEAP32[$walk1 >> 2] | 0;
   9645     $tobool2 = ($1 | 0) == 0;
   9646     $dt_ = $tobool2 ? $dt : $1;
   9647     $2 = HEAP32[$searchf >> 2] | 0;
   9648     $call4 = FUNCTION_TABLE_iiii[$2 & 1023]($dt, $obj_0, 8) | 0;
   9649     $call5 = FUNCTION_TABLE_iiii[$callee_knr_cast & 1023]($dt_, $obj_0, $data) | 0;
   9650     $cmp = ($call5 | 0) < 0;
   9651     if ($cmp) {
   9652       $retval_0 = $call5;
   9653       label = 5;
   9654       break;
   9655     } else {
   9656       $obj_0 = $call4;
   9657       label = 3;
   9658       break;
   9659     }
   9660    case 5:
   9661     return $retval_0 | 0;
   9662   }
   9663   return 0;
   9664 }
   9665 function _arrow_flags($e, $sflag, $eflag) {
   9666   $e = $e | 0;
   9667   $sflag = $sflag | 0;
   9668   $eflag = $eflag | 0;
   9669   var $s0 = 0, $e0 = 0, $tail = 0, $0 = 0, $graph = 0, $1 = 0, $2 = 0, $3 = 0, $4 = 0, $and = 0, $5 = 0, $tobool1 = 0, $6 = 0, $index = 0, $7 = 0, $call = 0, $8 = 0, $tobool2 = 0, $9 = 0, $call10 = 0, $tobool11 = 0, $arrowdir_028_lcssa = 0, $sflag13 = 0, $10 = 0, $eflag14 = 0, $11 = 0, $12 = 0, $tobool16 = 0, $13 = 0, $cmp18 = 0, $14 = 0, $index21 = 0, $15 = 0, $call22 = 0, $16 = 0, $tobool25 = 0, $17 = 0, $tobool28 = 0, $18 = 0, $cmp30 = 0, $19 = 0, $index33 = 0, $20 = 0, $call34 = 0, $21 = 0, $tobool37 = 0, $conc_opp_flag = 0, $22 = 0, $tobool40 = 0, $head = 0, $23 = 0, $graph42 = 0, $24 = 0, $25 = 0, $26 = 0, $27 = 0, $28 = 0, $call45 = 0, $29 = 0, $30 = 0, $31 = 0, $or = 0, $32 = 0, $33 = 0, $or46 = 0, $call10_1 = 0, $tobool11_1 = 0, $call10_2 = 0, $tobool11_2 = 0, $cmp_3 = 0, $call10_3 = 0, $tobool11_3 = 0, label = 0, __stackBase__ = 0;
   9670   __stackBase__ = STACKTOP;
   9671   STACKTOP = STACKTOP + 16 | 0;
   9672   label = 2;
   9673   while (1) switch (label | 0) {
   9674    case 2:
   9675     $s0 = __stackBase__ | 0;
   9676     $e0 = __stackBase__ + 8 | 0;
   9677     HEAP32[$sflag >> 2] = 0;
   9678     $tail = $e + 16 | 0;
   9679     $0 = HEAP32[$tail >> 2] | 0;
   9680     $graph = $0 + 20 | 0;
   9681     $1 = HEAP32[$graph >> 2] | 0;
   9682     $2 = $1;
   9683     $3 = HEAP32[$2 >> 2] | 0;
   9684     $4 = $3 >>> 4;
   9685     $and = $4 & 1;
   9686     HEAP32[$eflag >> 2] = $and;
   9687     $5 = HEAP32[41946] | 0;
   9688     $tobool1 = ($5 | 0) == 0;
   9689     if ($tobool1) {
   9690       label = 7;
   9691       break;
   9692     } else {
   9693       label = 3;
   9694       break;
   9695     }
   9696    case 3:
   9697     $6 = $e | 0;
   9698     $index = $5 + 8 | 0;
   9699     $7 = HEAP32[$index >> 2] | 0;
   9700     $call = _agxget($6, $7) | 0;
   9701     $8 = HEAP8[$call] | 0;
   9702     $tobool2 = $8 << 24 >> 24 == 0;
   9703     if ($tobool2) {
   9704       label = 7;
   9705       break;
   9706     } else {
   9707       label = 4;
   9708       break;
   9709     }
   9710    case 4:
   9711     $9 = HEAP8[$call] | 0;
   9712     if (($9 << 24 >> 24 | 0) == 102) {
   9713       label = 5;
   9714       break;
   9715     } else if (($9 << 24 >> 24 | 0) == 98) {
   9716       label = 18;
   9717       break;
   9718     } else if (($9 << 24 >> 24 | 0) == 110) {
   9719       label = 21;
   9720       break;
   9721     } else {
   9722       label = 7;
   9723       break;
   9724     }
   9725    case 5:
   9726     $call10 = _strcmp($call | 0, 139944) | 0;
   9727     $tobool11 = ($call10 | 0) == 0;
   9728     if ($tobool11) {
   9729       $arrowdir_028_lcssa = 168464;
   9730       label = 6;
   9731       break;
   9732     } else {
   9733       label = 7;
   9734       break;
   9735     }
   9736    case 6:
   9737     $sflag13 = $arrowdir_028_lcssa + 4 | 0;
   9738     $10 = HEAP32[$sflag13 >> 2] | 0;
   9739     HEAP32[$sflag >> 2] = $10;
   9740     $eflag14 = $arrowdir_028_lcssa + 8 | 0;
   9741     $11 = HEAP32[$eflag14 >> 2] | 0;
   9742     HEAP32[$eflag >> 2] = $11;
   9743     label = 7;
   9744     break;
   9745    case 7:
   9746     $12 = HEAP32[41964] | 0;
   9747     $tobool16 = ($12 | 0) == 0;
   9748     if ($tobool16) {
   9749       label = 11;
   9750       break;
   9751     } else {
   9752       label = 8;
   9753       break;
   9754     }
   9755    case 8:
   9756     $13 = HEAP32[$eflag >> 2] | 0;
   9757     $cmp18 = ($13 | 0) == 1;
   9758     if ($cmp18) {
   9759       label = 9;
   9760       break;
   9761     } else {
   9762       label = 11;
   9763       break;
   9764     }
   9765    case 9:
   9766     $14 = $e | 0;
   9767     $index21 = $12 + 8 | 0;
   9768     $15 = HEAP32[$index21 >> 2] | 0;
   9769     $call22 = _agxget($14, $15) | 0;
   9770     $16 = HEAP8[$call22] | 0;
   9771     $tobool25 = $16 << 24 >> 24 == 0;
   9772     if ($tobool25) {
   9773       label = 11;
   9774       break;
   9775     } else {
   9776       label = 10;
   9777       break;
   9778     }
   9779    case 10:
   9780     _arrow_match_name($call22, $eflag);
   9781     label = 11;
   9782     break;
   9783    case 11:
   9784     $17 = HEAP32[41960] | 0;
   9785     $tobool28 = ($17 | 0) == 0;
   9786     if ($tobool28) {
   9787       label = 15;
   9788       break;
   9789     } else {
   9790       label = 12;
   9791       break;
   9792     }
   9793    case 12:
   9794     $18 = HEAP32[$sflag >> 2] | 0;
   9795     $cmp30 = ($18 | 0) == 1;
   9796     if ($cmp30) {
   9797       label = 13;
   9798       break;
   9799     } else {
   9800       label = 15;
   9801       break;
   9802     }
   9803    case 13:
   9804     $19 = $e | 0;
   9805     $index33 = $17 + 8 | 0;
   9806     $20 = HEAP32[$index33 >> 2] | 0;
   9807     $call34 = _agxget($19, $20) | 0;
   9808     $21 = HEAP8[$call34] | 0;
   9809     $tobool37 = $21 << 24 >> 24 == 0;
   9810     if ($tobool37) {
   9811       label = 15;
   9812       break;
   9813     } else {
   9814       label = 14;
   9815       break;
   9816     }
   9817    case 14:
   9818     _arrow_match_name($call34, $sflag);
   9819     label = 15;
   9820     break;
   9821    case 15:
   9822     $conc_opp_flag = $e + 169 | 0;
   9823     $22 = HEAP8[$conc_opp_flag] | 0;
   9824     $tobool40 = $22 << 24 >> 24 == 0;
   9825     if ($tobool40) {
   9826       label = 17;
   9827       break;
   9828     } else {
   9829       label = 16;
   9830       break;
   9831     }
   9832    case 16:
   9833     $head = $e + 12 | 0;
   9834     $23 = HEAP32[$head >> 2] | 0;
   9835     $graph42 = $23 + 20 | 0;
   9836     $24 = HEAP32[$graph42 >> 2] | 0;
   9837     $25 = HEAP32[$tail >> 2] | 0;
   9838     $26 = $24;
   9839     $27 = $23;
   9840     $28 = $25;
   9841     $call45 = _agfindedge($26, $27, $28) | 0;
   9842     $29 = $call45;
   9843     _arrow_flags($29, $s0, $e0);
   9844     $30 = HEAP32[$eflag >> 2] | 0;
   9845     $31 = HEAP32[$s0 >> 2] | 0;
   9846     $or = $31 | $30;
   9847     HEAP32[$eflag >> 2] = $or;
   9848     $32 = HEAP32[$sflag >> 2] | 0;
   9849     $33 = HEAP32[$e0 >> 2] | 0;
   9850     $or46 = $33 | $32;
   9851     HEAP32[$sflag >> 2] = $or46;
   9852     label = 17;
   9853     break;
   9854    case 17:
   9855     STACKTOP = __stackBase__;
   9856     return;
   9857    case 18:
   9858     $call10_1 = _strcmp($call | 0, 137248) | 0;
   9859     $tobool11_1 = ($call10_1 | 0) == 0;
   9860     if ($tobool11_1) {
   9861       $arrowdir_028_lcssa = 168476;
   9862       label = 6;
   9863       break;
   9864     } else {
   9865       label = 19;
   9866       break;
   9867     }
   9868    case 19:
   9869     $call10_2 = _strcmp($call | 0, 134776) | 0;
   9870     $tobool11_2 = ($call10_2 | 0) == 0;
   9871     if ($tobool11_2) {
   9872       $arrowdir_028_lcssa = 168488;
   9873       label = 6;
   9874       break;
   9875     } else {
   9876       label = 20;
   9877       break;
   9878     }
   9879    case 20:
   9880     $cmp_3 = $9 << 24 >> 24 == 110;
   9881     if ($cmp_3) {
   9882       label = 21;
   9883       break;
   9884     } else {
   9885       label = 7;
   9886       break;
   9887     }
   9888    case 21:
   9889     $call10_3 = _strcmp($call | 0, 105072) | 0;
   9890     $tobool11_3 = ($call10_3 | 0) == 0;
   9891     if ($tobool11_3) {
   9892       $arrowdir_028_lcssa = 168500;
   9893       label = 6;
   9894       break;
   9895     } else {
   9896       label = 7;
   9897       break;
   9898     }
   9899   }
   9900 }
   9901 function _arrow_match_name($name, $flag) {
   9902   $name = $name | 0;
   9903   $flag = $flag | 0;
   9904   var $f = 0, $0 = 0, $cmp5 = 0, $i_07 = 0, $rest_06 = 0, $call = 0, $1 = 0, $mul = 0, $shl = 0, $2 = 0, $or = 0, $inc = 0, $3 = 0, $cmp = 0, $cmp2 = 0, $or_cond = 0, label = 0, __stackBase__ = 0;
   9905   __stackBase__ = STACKTOP;
   9906   STACKTOP = STACKTOP + 8 | 0;
   9907   label = 2;
   9908   while (1) switch (label | 0) {
   9909    case 2:
   9910     $f = __stackBase__ | 0;
   9911     HEAP32[$flag >> 2] = 0;
   9912     $0 = HEAP8[$name] | 0;
   9913     $cmp5 = $0 << 24 >> 24 == 0;
   9914     if ($cmp5) {
   9915       label = 4;
   9916       break;
   9917     } else {
   9918       $rest_06 = $name;
   9919       $i_07 = 0;
   9920       label = 3;
   9921       break;
   9922     }
   9923    case 3:
   9924     HEAP32[$f >> 2] = 0;
   9925     $call = _arrow_match_shape($rest_06, $f) | 0;
   9926     $1 = HEAP32[$f >> 2] | 0;
   9927     $mul = $i_07 << 3;
   9928     $shl = $1 << $mul;
   9929     $2 = HEAP32[$flag >> 2] | 0;
   9930     $or = $2 | $shl;
   9931     HEAP32[$flag >> 2] = $or;
   9932     $inc = $i_07 + 1 | 0;
   9933     $3 = HEAP8[$call] | 0;
   9934     $cmp = $3 << 24 >> 24 != 0;
   9935     $cmp2 = ($inc | 0) < 4;
   9936     $or_cond = $cmp & $cmp2;
   9937     if ($or_cond) {
   9938       $rest_06 = $call;
   9939       $i_07 = $inc;
   9940       label = 3;
   9941       break;
   9942     } else {
   9943       label = 4;
   9944       break;
   9945     }
   9946    case 4:
   9947     STACKTOP = __stackBase__;
   9948     return;
   9949   }
   9950 }
   9951 function _arrow_length($e, $flag) {
   9952   $e = $e | 0;
   9953   $flag = $flag | 0;
   9954   var $and = 0, $arrowtype_0 = 0, $gen = 0, $0 = 0, $tobool = 0, $type = 0, $1 = 0, $cmp3 = 0, $incdec_ptr = 0, $lenfact4 = 0, $2 = 0.0, $add = 0.0, $lenfact_1 = 0.0, $shr_110 = 0, $and_1 = 0, $arrowtype_0_1 = 0, $gen_1 = 0, $3 = 0, $tobool_1 = 0, $type_1 = 0, $4 = 0, $cmp3_1 = 0, $incdec_ptr_1 = 0, $lenfact4_1 = 0, $5 = 0.0, $add_1 = 0.0, $lenfact_1_1 = 0.0, $shr_211 = 0, $and_2 = 0, $arrowtype_0_2 = 0, $gen_2 = 0, $6 = 0, $tobool_2 = 0, $type_2 = 0, $7 = 0, $cmp3_2 = 0, $incdec_ptr_2 = 0, $lenfact4_2 = 0, $8 = 0.0, $add_2 = 0.0, $lenfact_1_2 = 0.0, $shr_312 = 0, $and_3 = 0, $arrowtype_0_3 = 0, $gen_3 = 0, $9 = 0, $tobool_3 = 0, $type_3 = 0, $10 = 0, $cmp3_3 = 0, $incdec_ptr_3 = 0, $lenfact4_3 = 0, $11 = 0.0, $add_3 = 0.0, $lenfact_1_3 = 0.0, $mul7 = 0.0, $12 = 0, $13 = 0, $call = 0.0, $mul8 = 0.0, label = 0;
   9955   label = 2;
   9956   while (1) switch (label | 0) {
   9957    case 2:
   9958     $and = $flag & 7;
   9959     $arrowtype_0 = 168136;
   9960     label = 3;
   9961     break;
   9962    case 3:
   9963     $gen = $arrowtype_0 + 16 | 0;
   9964     $0 = HEAP32[$gen >> 2] | 0;
   9965     $tobool = ($0 | 0) == 0;
   9966     if ($tobool) {
   9967       $lenfact_1 = 0.0;
   9968       label = 6;
   9969       break;
   9970     } else {
   9971       label = 4;
   9972       break;
   9973     }
   9974    case 4:
   9975     $type = $arrowtype_0 | 0;
   9976     $1 = HEAP32[$type >> 2] | 0;
   9977     $cmp3 = ($and | 0) == ($1 | 0);
   9978     $incdec_ptr = $arrowtype_0 + 24 | 0;
   9979     if ($cmp3) {
   9980       label = 5;
   9981       break;
   9982     } else {
   9983       $arrowtype_0 = $incdec_ptr;
   9984       label = 3;
   9985       break;
   9986     }
   9987    case 5:
   9988     $lenfact4 = $arrowtype_0 + 8 | 0;
   9989     $2 = +HEAPF64[$lenfact4 >> 3];
   9990     $add = $2 + 0.0;
   9991     $lenfact_1 = $add;
   9992     label = 6;
   9993     break;
   9994    case 6:
   9995     $shr_110 = $flag >>> 8;
   9996     $and_1 = $shr_110 & 7;
   9997     $arrowtype_0_1 = 168136;
   9998     label = 7;
   9999     break;
   10000    case 7:
   10001     $gen_1 = $arrowtype_0_1 + 16 | 0;
   10002     $3 = HEAP32[$gen_1 >> 2] | 0;
   10003     $tobool_1 = ($3 | 0) == 0;
   10004     if ($tobool_1) {
   10005       $lenfact_1_1 = $lenfact_1;
   10006       label = 10;
   10007       break;
   10008     } else {
   10009       label = 8;
   10010       break;
   10011     }
   10012    case 8:
   10013     $type_1 = $arrowtype_0_1 | 0;
   10014     $4 = HEAP32[$type_1 >> 2] | 0;
   10015     $cmp3_1 = ($and_1 | 0) == ($4 | 0);
   10016     $incdec_ptr_1 = $arrowtype_0_1 + 24 | 0;
   10017     if ($cmp3_1) {
   10018       label = 9;
   10019       break;
   10020     } else {
   10021       $arrowtype_0_1 = $incdec_ptr_1;
   10022       label = 7;
   10023       break;
   10024     }
   10025    case 9:
   10026     $lenfact4_1 = $arrowtype_0_1 + 8 | 0;
   10027     $5 = +HEAPF64[$lenfact4_1 >> 3];
   10028     $add_1 = $lenfact_1 + $5;
   10029     $lenfact_1_1 = $add_1;
   10030     label = 10;
   10031     break;
   10032    case 10:
   10033     $shr_211 = $flag >>> 16;
   10034     $and_2 = $shr_211 & 7;
   10035     $arrowtype_0_2 = 168136;
   10036     label = 11;
   10037     break;
   10038    case 11:
   10039     $gen_2 = $arrowtype_0_2 + 16 | 0;
   10040     $6 = HEAP32[$gen_2 >> 2] | 0;
   10041     $tobool_2 = ($6 | 0) == 0;
   10042     if ($tobool_2) {
   10043       $lenfact_1_2 = $lenfact_1_1;
   10044       label = 14;
   10045       break;
   10046     } else {
   10047       label = 12;
   10048       break;
   10049     }
   10050    case 12:
   10051     $type_2 = $arrowtype_0_2 | 0;
   10052     $7 = HEAP32[$type_2 >> 2] | 0;
   10053     $cmp3_2 = ($and_2 | 0) == ($7 | 0);
   10054     $incdec_ptr_2 = $arrowtype_0_2 + 24 | 0;
   10055     if ($cmp3_2) {
   10056       label = 13;
   10057       break;
   10058     } else {
   10059       $arrowtype_0_2 = $incdec_ptr_2;
   10060       label = 11;
   10061       break;
   10062     }
   10063    case 13:
   10064     $lenfact4_2 = $arrowtype_0_2 + 8 | 0;
   10065     $8 = +HEAPF64[$lenfact4_2 >> 3];
   10066     $add_2 = $lenfact_1_1 + $8;
   10067     $lenfact_1_2 = $add_2;
   10068     label = 14;
   10069     break;
   10070    case 14:
   10071     $shr_312 = $flag >>> 24;
   10072     $and_3 = $shr_312 & 7;
   10073     $arrowtype_0_3 = 168136;
   10074     label = 15;
   10075     break;
   10076    case 15:
   10077     $gen_3 = $arrowtype_0_3 + 16 | 0;
   10078     $9 = HEAP32[$gen_3 >> 2] | 0;
   10079     $tobool_3 = ($9 | 0) == 0;
   10080     if ($tobool_3) {
   10081       $lenfact_1_3 = $lenfact_1_2;
   10082       label = 18;
   10083       break;
   10084     } else {
   10085       label = 16;
   10086       break;
   10087     }
   10088    case 16:
   10089     $type_3 = $arrowtype_0_3 | 0;
   10090     $10 = HEAP32[$type_3 >> 2] | 0;
   10091     $cmp3_3 = ($and_3 | 0) == ($10 | 0);
   10092     $incdec_ptr_3 = $arrowtype_0_3 + 24 | 0;
   10093     if ($cmp3_3) {
   10094       label = 17;
   10095       break;
   10096     } else {
   10097       $arrowtype_0_3 = $incdec_ptr_3;
   10098       label = 15;
   10099       break;
   10100     }
   10101    case 17:
   10102     $lenfact4_3 = $arrowtype_0_3 + 8 | 0;
   10103     $11 = +HEAPF64[$lenfact4_3 >> 3];
   10104     $add_3 = $lenfact_1_2 + $11;
   10105     $lenfact_1_3 = $add_3;
   10106     label = 18;
   10107     break;
   10108    case 18:
   10109     $mul7 = $lenfact_1_3 * 10.0;
   10110     $12 = $e | 0;
   10111     $13 = HEAP32[41962] | 0;
   10112     $call = +_late_double($12, $13, 1.0, 0.0);
   10113     $mul8 = $mul7 * $call;
   10114     return +$mul8;
   10115   }
   10116   return 0.0;
   10117 }
   10118 function _arrowEndClip($e, $ps, $startp, $endp, $spl, $eflag) {
   10119   $e = $e | 0;
   10120   $ps = $ps | 0;
   10121   $startp = $startp | 0;
   10122   $endp = $endp | 0;
   10123   $spl = $spl | 0;
   10124   $eflag = $eflag | 0;
   10125   var $inside_context = 0, $sp = 0, $elen2 = 0, $call = 0.0, $mul = 0.0, $eflag1 = 0, $ep = 0, $add = 0, $arrayidx = 0, $0 = 0, $1 = 0, $cmp = 0, $x = 0, $2 = 0.0, $x5 = 0, $3 = 0.0, $sub = 0.0, $mul12 = 0.0, $y = 0, $4 = 0.0, $y16 = 0, $5 = 0.0, $sub17 = 0.0, $mul24 = 0.0, $add25 = 0.0, $6 = 0.0, $cmp26 = 0, $sub27 = 0, $endp_addr_0 = 0, $arrayidx28 = 0, $arrayidx29 = 0, $7 = 0, $8 = 0, $arrayidx30 = 0, $add31 = 0, $arrayidx32 = 0, $9 = 0, $10 = 0, $arrayidx33 = 0, $add34 = 0, $arrayidx35 = 0, $11 = 0, $12 = 0, $arrayidx36 = 0, $13 = 0, $p = 0, $r = 0, $add48 = 0, $arrayidx49 = 0, $14 = 0, label = 0, __stackBase__ = 0;
   10126   __stackBase__ = STACKTOP;
   10127   STACKTOP = STACKTOP + 80 | 0;
   10128   label = 2;
   10129   while (1) switch (label | 0) {
   10130    case 2:
   10131     $inside_context = __stackBase__ | 0;
   10132     $sp = __stackBase__ + 8 | 0;
   10133     $elen2 = __stackBase__ + 72 | 0;
   10134     $call = +_arrow_length($e, $eflag);
   10135     $mul = $call * $call;
   10136     HEAPF64[$elen2 >> 3] = $mul;
   10137     $eflag1 = $spl + 12 | 0;
   10138     HEAP32[$eflag1 >> 2] = $eflag;
   10139     $ep = $spl + 32 | 0;
   10140     $add = $endp + 3 | 0;
   10141     $arrayidx = $ps + ($add << 4) | 0;
   10142     $0 = $ep;
   10143     $1 = $arrayidx;
   10144     HEAP32[$0 >> 2] = HEAP32[$1 >> 2] | 0;
   10145     HEAP32[$0 + 4 >> 2] = HEAP32[$1 + 4 >> 2] | 0;
   10146     HEAP32[$0 + 8 >> 2] = HEAP32[$1 + 8 >> 2] | 0;
   10147     HEAP32[$0 + 12 >> 2] = HEAP32[$1 + 12 >> 2] | 0;
   10148     $cmp = ($endp | 0) > ($startp | 0);
   10149     if ($cmp) {
   10150       label = 3;
   10151       break;
   10152     } else {
   10153       $endp_addr_0 = $endp;
   10154       label = 5;
   10155       break;
   10156     }
   10157    case 3:
   10158     $x = $ps + ($endp << 4) | 0;
   10159     $2 = +HEAPF64[$x >> 3];
   10160     $x5 = $arrayidx | 0;
   10161     $3 = +HEAPF64[$x5 >> 3];
   10162     $sub = $2 - $3;
   10163     $mul12 = $sub * $sub;
   10164     $y = $ps + ($endp << 4) + 8 | 0;
   10165     $4 = +HEAPF64[$y >> 3];
   10166     $y16 = $ps + ($add << 4) + 8 | 0;
   10167     $5 = +HEAPF64[$y16 >> 3];
   10168     $sub17 = $4 - $5;
   10169     $mul24 = $sub17 * $sub17;
   10170     $add25 = $mul12 + $mul24;
   10171     $6 = +HEAPF64[$elen2 >> 3];
   10172     $cmp26 = $add25 < $6;
   10173     if ($cmp26) {
   10174       label = 4;
   10175       break;
   10176     } else {
   10177       $endp_addr_0 = $endp;
   10178       label = 5;
   10179       break;
   10180     }
   10181    case 4:
   10182     $sub27 = $endp - 3 | 0;
   10183     $endp_addr_0 = $sub27;
   10184     label = 5;
   10185     break;
   10186    case 5:
   10187     $arrayidx28 = $sp + 48 | 0;
   10188     $arrayidx29 = $ps + ($endp_addr_0 << 4) | 0;
   10189     $7 = $arrayidx28;
   10190     $8 = $arrayidx29;
   10191     HEAP32[$7 >> 2] = HEAP32[$8 >> 2] | 0;
   10192     HEAP32[$7 + 4 >> 2] = HEAP32[$8 + 4 >> 2] | 0;
   10193     HEAP32[$7 + 8 >> 2] = HEAP32[$8 + 8 >> 2] | 0;
   10194     HEAP32[$7 + 12 >> 2] = HEAP32[$8 + 12 >> 2] | 0;
   10195     $arrayidx30 = $sp + 32 | 0;
   10196     $add31 = $endp_addr_0 + 1 | 0;
   10197     $arrayidx32 = $ps + ($add31 << 4) | 0;
   10198     $9 = $arrayidx30;
   10199     $10 = $arrayidx32;
   10200     HEAP32[$9 >> 2] = HEAP32[$10 >> 2] | 0;
   10201     HEAP32[$9 + 4 >> 2] = HEAP32[$10 + 4 >> 2] | 0;
   10202     HEAP32[$9 + 8 >> 2] = HEAP32[$10 + 8 >> 2] | 0;
   10203     HEAP32[$9 + 12 >> 2] = HEAP32[$10 + 12 >> 2] | 0;
   10204     $arrayidx33 = $sp + 16 | 0;
   10205     $add34 = $endp_addr_0 + 2 | 0;
   10206     $arrayidx35 = $ps + ($add34 << 4) | 0;
   10207     $11 = $arrayidx33;
   10208     $12 = $arrayidx35;
   10209     HEAP32[$11 >> 2] = HEAP32[$12 >> 2] | 0;
   10210     HEAP32[$11 + 4 >> 2] = HEAP32[$12 + 4 >> 2] | 0;
   10211     HEAP32[$11 + 8 >> 2] = HEAP32[$12 + 8 >> 2] | 0;
   10212     HEAP32[$11 + 12 >> 2] = HEAP32[$12 + 12 >> 2] | 0;
   10213     $arrayidx36 = $sp | 0;
   10214     $13 = $sp;
   10215     HEAP32[$13 >> 2] = HEAP32[$0 >> 2] | 0;
   10216     HEAP32[$13 + 4 >> 2] = HEAP32[$0 + 4 >> 2] | 0;
   10217     HEAP32[$13 + 8 >> 2] = HEAP32[$0 + 8 >> 2] | 0;
   10218     HEAP32[$13 + 12 >> 2] = HEAP32[$0 + 12 >> 2] | 0;
   10219     $p = $inside_context | 0;
   10220     HEAP32[$p >> 2] = $arrayidx36;
   10221     $r = $inside_context + 4 | 0;
   10222     HEAP32[$r >> 2] = $elen2;
   10223     _bezier_clip($inside_context, 600, $arrayidx36, 1);
   10224     HEAP32[$8 >> 2] = HEAP32[$7 >> 2] | 0;
   10225     HEAP32[$8 + 4 >> 2] = HEAP32[$7 + 4 >> 2] | 0;
   10226     HEAP32[$8 + 8 >> 2] = HEAP32[$7 + 8 >> 2] | 0;
   10227     HEAP32[$8 + 12 >> 2] = HEAP32[$7 + 12 >> 2] | 0;
   10228     HEAP32[$10 >> 2] = HEAP32[$9 >> 2] | 0;
   10229     HEAP32[$10 + 4 >> 2] = HEAP32[$9 + 4 >> 2] | 0;
   10230     HEAP32[$10 + 8 >> 2] = HEAP32[$9 + 8 >> 2] | 0;
   10231     HEAP32[$10 + 12 >> 2] = HEAP32[$9 + 12 >> 2] | 0;
   10232     HEAP32[$12 >> 2] = HEAP32[$11 >> 2] | 0;
   10233     HEAP32[$12 + 4 >> 2] = HEAP32[$11 + 4 >> 2] | 0;
   10234     HEAP32[$12 + 8 >> 2] = HEAP32[$11 + 8 >> 2] | 0;
   10235     HEAP32[$12 + 12 >> 2] = HEAP32[$11 + 12 >> 2] | 0;
   10236     $add48 = $endp_addr_0 + 3 | 0;
   10237     $arrayidx49 = $ps + ($add48 << 4) | 0;
   10238     $14 = $arrayidx49;
   10239     HEAP32[$14 >> 2] = HEAP32[$13 >> 2] | 0;
   10240     HEAP32[$14 + 4 >> 2] = HEAP32[$13 + 4 >> 2] | 0;
   10241     HEAP32[$14 + 8 >> 2] = HEAP32[$13 + 8 >> 2] | 0;
   10242     HEAP32[$14 + 12 >> 2] = HEAP32[$13 + 12 >> 2] | 0;
   10243     STACKTOP = __stackBase__;
   10244     return $endp_addr_0 | 0;
   10245   }
   10246   return 0;
   10247 }
   10248 function _arrowStartClip($e, $ps, $startp, $endp, $spl, $sflag) {
   10249   $e = $e | 0;
   10250   $ps = $ps | 0;
   10251   $startp = $startp | 0;
   10252   $endp = $endp | 0;
   10253   $spl = $spl | 0;
   10254   $sflag = $sflag | 0;
   10255   var $inside_context = 0, $sp = 0, $slen2 = 0, $call = 0.0, $mul = 0.0, $sflag1 = 0, $sp2 = 0, $arrayidx = 0, $0 = 0, $1 = 0, $cmp = 0, $x = 0, $2 = 0.0, $add = 0, $x5 = 0, $3 = 0.0, $sub = 0.0, $mul12 = 0.0, $y = 0, $4 = 0.0, $y16 = 0, $5 = 0.0, $sub17 = 0.0, $mul24 = 0.0, $add25 = 0.0, $6 = 0.0, $cmp26 = 0, $startp_addr_0 = 0, $arrayidx28 = 0, $add29 = 0, $arrayidx30 = 0, $7 = 0, $8 = 0, $arrayidx31 = 0, $add32 = 0, $arrayidx33 = 0, $9 = 0, $10 = 0, $arrayidx34 = 0, $add35 = 0, $arrayidx36 = 0, $11 = 0, $12 = 0, $arrayidx37 = 0, $13 = 0, $p = 0, $r = 0, $arrayidx41 = 0, $14 = 0, label = 0, __stackBase__ = 0;
   10256   __stackBase__ = STACKTOP;
   10257   STACKTOP = STACKTOP + 80 | 0;
   10258   label = 2;
   10259   while (1) switch (label | 0) {
   10260    case 2:
   10261     $inside_context = __stackBase__ | 0;
   10262     $sp = __stackBase__ + 8 | 0;
   10263     $slen2 = __stackBase__ + 72 | 0;
   10264     $call = +_arrow_length($e, $sflag);
   10265     $mul = $call * $call;
   10266     HEAPF64[$slen2 >> 3] = $mul;
   10267     $sflag1 = $spl + 8 | 0;
   10268     HEAP32[$sflag1 >> 2] = $sflag;
   10269     $sp2 = $spl + 16 | 0;
   10270     $arrayidx = $ps + ($startp << 4) | 0;
   10271     $0 = $sp2;
   10272     $1 = $arrayidx;
   10273     HEAP32[$0 >> 2] = HEAP32[$1 >> 2] | 0;
   10274     HEAP32[$0 + 4 >> 2] = HEAP32[$1 + 4 >> 2] | 0;
   10275     HEAP32[$0 + 8 >> 2] = HEAP32[$1 + 8 >> 2] | 0;
   10276     HEAP32[$0 + 12 >> 2] = HEAP32[$1 + 12 >> 2] | 0;
   10277     $cmp = ($endp | 0) > ($startp | 0);
   10278     if ($cmp) {
   10279       label = 3;
   10280       break;
   10281     } else {
   10282       $startp_addr_0 = $startp;
   10283       label = 5;
   10284       break;
   10285     }
   10286    case 3:
   10287     $x = $arrayidx | 0;
   10288     $2 = +HEAPF64[$x >> 3];
   10289     $add = $startp + 3 | 0;
   10290     $x5 = $ps + ($add << 4) | 0;
   10291     $3 = +HEAPF64[$x5 >> 3];
   10292     $sub = $2 - $3;
   10293     $mul12 = $sub * $sub;
   10294     $y = $ps + ($startp << 4) + 8 | 0;
   10295     $4 = +HEAPF64[$y >> 3];
   10296     $y16 = $ps + ($add << 4) + 8 | 0;
   10297     $5 = +HEAPF64[$y16 >> 3];
   10298     $sub17 = $4 - $5;
   10299     $mul24 = $sub17 * $sub17;
   10300     $add25 = $mul12 + $mul24;
   10301     $6 = +HEAPF64[$slen2 >> 3];
   10302     $cmp26 = $add25 < $6;
   10303     if ($cmp26) {
   10304       label = 4;
   10305       break;
   10306     } else {
   10307       $startp_addr_0 = $startp;
   10308       label = 5;
   10309       break;
   10310     }
   10311    case 4:
   10312     $startp_addr_0 = $add;
   10313     label = 5;
   10314     break;
   10315    case 5:
   10316     $arrayidx28 = $sp | 0;
   10317     $add29 = $startp_addr_0 + 3 | 0;
   10318     $arrayidx30 = $ps + ($add29 << 4) | 0;
   10319     $7 = $sp;
   10320     $8 = $arrayidx30;
   10321     HEAP32[$7 >> 2] = HEAP32[$8 >> 2] | 0;
   10322     HEAP32[$7 + 4 >> 2] = HEAP32[$8 + 4 >> 2] | 0;
   10323     HEAP32[$7 + 8 >> 2] = HEAP32[$8 + 8 >> 2] | 0;
   10324     HEAP32[$7 + 12 >> 2] = HEAP32[$8 + 12 >> 2] | 0;
   10325     $arrayidx31 = $sp + 16 | 0;
   10326     $add32 = $startp_addr_0 + 2 | 0;
   10327     $arrayidx33 = $ps + ($add32 << 4) | 0;
   10328     $9 = $arrayidx31;
   10329     $10 = $arrayidx33;
   10330     HEAP32[$9 >> 2] = HEAP32[$10 >> 2] | 0;
   10331     HEAP32[$9 + 4 >> 2] = HEAP32[$10 + 4 >> 2] | 0;
   10332     HEAP32[$9 + 8 >> 2] = HEAP32[$10 + 8 >> 2] | 0;
   10333     HEAP32[$9 + 12 >> 2] = HEAP32[$10 + 12 >> 2] | 0;
   10334     $arrayidx34 = $sp + 32 | 0;
   10335     $add35 = $startp_addr_0 + 1 | 0;
   10336     $arrayidx36 = $ps + ($add35 << 4) | 0;
   10337     $11 = $arrayidx34;
   10338     $12 = $arrayidx36;
   10339     HEAP32[$11 >> 2] = HEAP32[$12 >> 2] | 0;
   10340     HEAP32[$11 + 4 >> 2] = HEAP32[$12 + 4 >> 2] | 0;
   10341     HEAP32[$11 + 8 >> 2] = HEAP32[$12 + 8 >> 2] | 0;
   10342     HEAP32[$11 + 12 >> 2] = HEAP32[$12 + 12 >> 2] | 0;
   10343     $arrayidx37 = $sp + 48 | 0;
   10344     $13 = $arrayidx37;
   10345     HEAP32[$13 >> 2] = HEAP32[$0 >> 2] | 0;
   10346     HEAP32[$13 + 4 >> 2] = HEAP32[$0 + 4 >> 2] | 0;
   10347     HEAP32[$13 + 8 >> 2] = HEAP32[$0 + 8 >> 2] | 0;
   10348     HEAP32[$13 + 12 >> 2] = HEAP32[$0 + 12 >> 2] | 0;
   10349     $p = $inside_context | 0;
   10350     HEAP32[$p >> 2] = $arrayidx37;
   10351     $r = $inside_context + 4 | 0;
   10352     HEAP32[$r >> 2] = $slen2;
   10353     _bezier_clip($inside_context, 600, $arrayidx28, 0);
   10354     $arrayidx41 = $ps + ($startp_addr_0 << 4) | 0;
   10355     $14 = $arrayidx41;
   10356     HEAP32[$14 >> 2] = HEAP32[$13 >> 2] | 0;
   10357     HEAP32[$14 + 4 >> 2] = HEAP32[$13 + 4 >> 2] | 0;
   10358     HEAP32[$14 + 8 >> 2] = HEAP32[$13 + 8 >> 2] | 0;
   10359     HEAP32[$14 + 12 >> 2] = HEAP32[$13 + 12 >> 2] | 0;
   10360     HEAP32[$12 >> 2] = HEAP32[$11 >> 2] | 0;
   10361     HEAP32[$12 + 4 >> 2] = HEAP32[$11 + 4 >> 2] | 0;
   10362     HEAP32[$12 + 8 >> 2] = HEAP32[$11 + 8 >> 2] | 0;
   10363     HEAP32[$12 + 12 >> 2] = HEAP32[$11 + 12 >> 2] | 0;
   10364     HEAP32[$10 >> 2] = HEAP32[$9 >> 2] | 0;
   10365     HEAP32[$10 + 4 >> 2] = HEAP32[$9 + 4 >> 2] | 0;
   10366     HEAP32[$10 + 8 >> 2] = HEAP32[$9 + 8 >> 2] | 0;
   10367     HEAP32[$10 + 12 >> 2] = HEAP32[$9 + 12 >> 2] | 0;
   10368     HEAP32[$8 >> 2] = HEAP32[$7 >> 2] | 0;
   10369     HEAP32[$8 + 4 >> 2] = HEAP32[$7 + 4 >> 2] | 0;
   10370     HEAP32[$8 + 8 >> 2] = HEAP32[$7 + 8 >> 2] | 0;
   10371     HEAP32[$8 + 12 >> 2] = HEAP32[$7 + 12 >> 2] | 0;
   10372     STACKTOP = __stackBase__;
   10373     return $startp_addr_0 | 0;
   10374   }
   10375   return 0;
   10376 }
   10377 function _arrowOrthoClip($e, $ps, $startp, $endp, $spl, $sflag, $eflag) {
   10378   $e = $e | 0;
   10379   $ps = $ps | 0;
   10380   $startp = $startp | 0;
   10381   $endp = $endp | 0;
   10382   $spl = $spl | 0;
   10383   $sflag = $sflag | 0;
   10384   $eflag = $eflag | 0;
   10385   var $tobool = 0, $tobool1 = 0, $tobool1_not = 0, $or_cond_not = 0, $cmp = 0, $or_cond174 = 0, $arrayidx = 0, $p_sroa_0_0__idx93 = 0, $p_sroa_0_0_copyload94 = 0.0, $p_sroa_1_8__idx121 = 0, $p_sroa_1_8_copyload122 = 0.0, $add = 0, $arrayidx3 = 0, $q_sroa_0_0__idx45 = 0, $q_sroa_0_0_copyload46 = 0.0, $q_sroa_1_8__idx69 = 0, $q_sroa_1_8_copyload70 = 0.0, $call = 0.0, $call4 = 0.0, $sub = 0.0, $mul = 0.0, $sub10 = 0.0, $mul14 = 0.0, $add15 = 0.0, $call16 = 0.0, $add17 = 0.0, $cmp18 = 0, $div = 0.0, $tlen_0 = 0.0, $hlen_0 = 0.0, $cmp22 = 0, $cmp29 = 0, $sub32 = 0.0, $add35 = 0.0, $add38 = 0.0, $sub41 = 0.0, $cmp50 = 0, $sub53 = 0.0, $add56 = 0.0, $add60 = 0.0, $sub63 = 0.0, $s_sroa_1_0 = 0.0, $s_sroa_0_0 = 0.0, $t_sroa_1_0 = 0.0, $t_sroa_0_0 = 0.0, $add68 = 0, $arrayidx69 = 0, $s_sroa_0_0__idx = 0, $s_sroa_1_8__idx21 = 0, $0 = 0, $1 = 0, $add70 = 0, $arrayidx71 = 0, $2 = 0, $3 = 0, $eflag74 = 0, $p_sroa_0_0__idx85 = 0, $p_sroa_1_8__idx112 = 0, $sflag75 = 0, $call80 = 0.0, $p_sroa_0_0__idx83 = 0, $p_sroa_0_0_copyload84 = 0.0, $p_sroa_1_8__idx110 = 0, $p_sroa_1_8_copyload111 = 0.0, $add82 = 0, $arrayidx83 = 0, $q_sroa_0_0__idx37 = 0, $q_sroa_0_0_copyload38 = 0.0, $q_sroa_1_8__idx60 = 0, $q_sroa_1_8_copyload61 = 0.0, $sub86 = 0.0, $mul90 = 0.0, $sub93 = 0.0, $mul97 = 0.0, $add98 = 0.0, $call99 = 0.0, $mul100 = 0.0, $cmp101 = 0, $hlen_1 = 0.0, $cmp106 = 0, $cmp112 = 0, $sub115 = 0.0, $add119 = 0.0, $cmp127 = 0, $sub130 = 0.0, $add134 = 0.0, $r_sroa_1_0 = 0.0, $r_sroa_0_0 = 0.0, $add138 = 0, $p_sroa_0_0__idx77 = 0, $p_sroa_1_8__idx103 = 0, $add140 = 0, $arrayidx141 = 0, $4 = 0, $5 = 0, $eflag144 = 0, $q_sroa_0_0__idx31 = 0, $q_sroa_1_8__idx53 = 0, $hlen_2 = 0.0, $call149 = 0.0, $arrayidx150 = 0, $p_sroa_0_0__idx75 = 0, $p_sroa_0_0_copyload76 = 0.0, $p_sroa_1_8__idx101 = 0, $p_sroa_1_8_copyload102 = 0.0, $add151 = 0, $q_sroa_0_0__idx29 = 0, $q_sroa_0_0_copyload30 = 0.0, $q_sroa_1_8__idx51 = 0, $q_sroa_1_8_copyload52 = 0.0, $sub155 = 0.0, $mul159 = 0.0, $sub162 = 0.0, $mul166 = 0.0, $add167 = 0.0, $call168 = 0.0, $mul169 = 0.0, $cmp170 = 0, $tlen_1 = 0.0, $cmp175 = 0, $cmp181 = 0, $add184 = 0.0, $sub188 = 0.0, $cmp196 = 0, $add199 = 0.0, $sub203 = 0.0, $r_sroa_1_1 = 0.0, $r_sroa_0_1 = 0.0, $add208 = 0, $arrayidx209 = 0, $r_sroa_0_0__idx = 0, $r_sroa_1_8__idx24 = 0, $6 = 0, $7 = 0, $add210 = 0, $q_sroa_0_0__idx = 0, $q_sroa_1_8__idx47 = 0, $sflag212 = 0, $p_sroa_0_0__idx = 0, $p_sroa_1_8__idx95 = 0, label = 0;
   10386   label = 2;
   10387   while (1) switch (label | 0) {
   10388    case 2:
   10389     $tobool = ($sflag | 0) != 0;
   10390     $tobool1 = ($eflag | 0) == 0;
   10391     $tobool1_not = $tobool1 ^ 1;
   10392     $or_cond_not = $tobool & $tobool1_not;
   10393     $cmp = ($endp | 0) == ($startp | 0);
   10394     $or_cond174 = $or_cond_not & $cmp;
   10395     if ($or_cond174) {
   10396       label = 3;
   10397       break;
   10398     } else {
   10399       label = 13;
   10400       break;
   10401     }
   10402    case 3:
   10403     $arrayidx = $ps + ($endp << 4) | 0;
   10404     $p_sroa_0_0__idx93 = $arrayidx | 0;
   10405     $p_sroa_0_0_copyload94 = +HEAPF64[$p_sroa_0_0__idx93 >> 3];
   10406     $p_sroa_1_8__idx121 = $ps + ($endp << 4) + 8 | 0;
   10407     $p_sroa_1_8_copyload122 = +HEAPF64[$p_sroa_1_8__idx121 >> 3];
   10408     $add = $endp + 3 | 0;
   10409     $arrayidx3 = $ps + ($add << 4) | 0;
   10410     $q_sroa_0_0__idx45 = $arrayidx3 | 0;
   10411     $q_sroa_0_0_copyload46 = +HEAPF64[$q_sroa_0_0__idx45 >> 3];
   10412     $q_sroa_1_8__idx69 = $ps + ($add << 4) + 8 | 0;
   10413     $q_sroa_1_8_copyload70 = +HEAPF64[$q_sroa_1_8__idx69 >> 3];
   10414     $call = +_arrow_length($e, $sflag);
   10415     $call4 = +_arrow_length($e, $eflag);
   10416     $sub = $p_sroa_0_0_copyload94 - $q_sroa_0_0_copyload46;
   10417     $mul = $sub * $sub;
   10418     $sub10 = $p_sroa_1_8_copyload122 - $q_sroa_1_8_copyload70;
   10419     $mul14 = $sub10 * $sub10;
   10420     $add15 = $mul + $mul14;
   10421     $call16 = +Math_sqrt(+$add15);
   10422     $add17 = $call + $call4;
   10423     $cmp18 = $add17 < $call16;
   10424     if ($cmp18) {
   10425       $hlen_0 = $call4;
   10426       $tlen_0 = $call;
   10427       label = 5;
   10428       break;
   10429     } else {
   10430       label = 4;
   10431       break;
   10432     }
   10433    case 4:
   10434     $div = $call16 / 3.0;
   10435     $hlen_0 = $div;
   10436     $tlen_0 = $div;
   10437     label = 5;
   10438     break;
   10439    case 5:
   10440     $cmp22 = $p_sroa_1_8_copyload122 == $q_sroa_1_8_copyload70;
   10441     if ($cmp22) {
   10442       label = 6;
   10443       break;
   10444     } else {
   10445       label = 9;
   10446       break;
   10447     }
   10448    case 6:
   10449     $cmp29 = $p_sroa_0_0_copyload94 < $q_sroa_0_0_copyload46;
   10450     if ($cmp29) {
   10451       label = 7;
   10452       break;
   10453     } else {
   10454       label = 8;
   10455       break;
   10456     }
   10457    case 7:
   10458     $sub32 = $q_sroa_0_0_copyload46 - $hlen_0;
   10459     $add35 = $p_sroa_0_0_copyload94 + $tlen_0;
   10460     $t_sroa_0_0 = $sub32;
   10461     $t_sroa_1_0 = $p_sroa_1_8_copyload122;
   10462     $s_sroa_0_0 = $add35;
   10463     $s_sroa_1_0 = $p_sroa_1_8_copyload122;
   10464     label = 12;
   10465     break;
   10466    case 8:
   10467     $add38 = $q_sroa_0_0_copyload46 + $hlen_0;
   10468     $sub41 = $p_sroa_0_0_copyload94 - $tlen_0;
   10469     $t_sroa_0_0 = $add38;
   10470     $t_sroa_1_0 = $p_sroa_1_8_copyload122;
   10471     $s_sroa_0_0 = $sub41;
   10472     $s_sroa_1_0 = $p_sroa_1_8_copyload122;
   10473     label = 12;
   10474     break;
   10475    case 9:
   10476     $cmp50 = $p_sroa_1_8_copyload122 < $q_sroa_1_8_copyload70;
   10477     if ($cmp50) {
   10478       label = 10;
   10479       break;
   10480     } else {
   10481       label = 11;
   10482       break;
   10483     }
   10484    case 10:
   10485     $sub53 = $q_sroa_1_8_copyload70 - $hlen_0;
   10486     $add56 = $p_sroa_1_8_copyload122 + $tlen_0;
   10487     $t_sroa_0_0 = $p_sroa_0_0_copyload94;
   10488     $t_sroa_1_0 = $sub53;
   10489     $s_sroa_0_0 = $p_sroa_0_0_copyload94;
   10490     $s_sroa_1_0 = $add56;
   10491     label = 12;
   10492     break;
   10493    case 11:
   10494     $add60 = $q_sroa_1_8_copyload70 + $hlen_0;
   10495     $sub63 = $p_sroa_1_8_copyload122 - $tlen_0;
   10496     $t_sroa_0_0 = $p_sroa_0_0_copyload94;
   10497     $t_sroa_1_0 = $add60;
   10498     $s_sroa_0_0 = $p_sroa_0_0_copyload94;
   10499     $s_sroa_1_0 = $sub63;
   10500     label = 12;
   10501     break;
   10502    case 12:
   10503     $add68 = $endp + 1 | 0;
   10504     $arrayidx69 = $ps + ($add68 << 4) | 0;
   10505     $s_sroa_0_0__idx = $arrayidx69 | 0;
   10506     HEAPF64[$s_sroa_0_0__idx >> 3] = $s_sroa_0_0;
   10507     $s_sroa_1_8__idx21 = $ps + ($add68 << 4) + 8 | 0;
   10508     HEAPF64[$s_sroa_1_8__idx21 >> 3] = $s_sroa_1_0;
   10509     $0 = $arrayidx;
   10510     $1 = $arrayidx69;
   10511     HEAP32[$0 >> 2] = HEAP32[$1 >> 2] | 0;
   10512     HEAP32[$0 + 4 >> 2] = HEAP32[$1 + 4 >> 2] | 0;
   10513     HEAP32[$0 + 8 >> 2] = HEAP32[$1 + 8 >> 2] | 0;
   10514     HEAP32[$0 + 12 >> 2] = HEAP32[$1 + 12 >> 2] | 0;
   10515     $add70 = $endp + 2 | 0;
   10516     $arrayidx71 = $ps + ($add70 << 4) | 0;
   10517     HEAPF64[$q_sroa_0_0__idx45 >> 3] = $t_sroa_0_0;
   10518     HEAPF64[$q_sroa_1_8__idx69 >> 3] = $t_sroa_1_0;
   10519     $2 = $arrayidx71;
   10520     $3 = $arrayidx3;
   10521     HEAP32[$2 >> 2] = HEAP32[$3 >> 2] | 0;
   10522     HEAP32[$2 + 4 >> 2] = HEAP32[$3 + 4 >> 2] | 0;
   10523     HEAP32[$2 + 8 >> 2] = HEAP32[$3 + 8 >> 2] | 0;
   10524     HEAP32[$2 + 12 >> 2] = HEAP32[$3 + 12 >> 2] | 0;
   10525     $eflag74 = $spl + 12 | 0;
   10526     HEAP32[$eflag74 >> 2] = $eflag;
   10527     $p_sroa_0_0__idx85 = $spl + 32 | 0;
   10528     $p_sroa_1_8__idx112 = $spl + 40 | 0;
   10529     $sflag75 = $spl + 8 | 0;
   10530     HEAP32[$sflag75 >> 2] = $sflag;
   10531     HEAPF64[$p_sroa_0_0__idx85 >> 3] = $q_sroa_0_0_copyload46;
   10532     HEAPF64[$p_sroa_1_8__idx112 >> 3] = $q_sroa_1_8_copyload70;
   10533     label = 31;
   10534     break;
   10535    case 13:
   10536     if ($tobool1) {
   10537       $hlen_2 = 0.0;
   10538       label = 22;
   10539       break;
   10540     } else {
   10541       label = 14;
   10542       break;
   10543     }
   10544    case 14:
   10545     $call80 = +_arrow_length($e, $eflag);
   10546     $p_sroa_0_0__idx83 = $ps + ($endp << 4) | 0;
   10547     $p_sroa_0_0_copyload84 = +HEAPF64[$p_sroa_0_0__idx83 >> 3];
   10548     $p_sroa_1_8__idx110 = $ps + ($endp << 4) + 8 | 0;
   10549     $p_sroa_1_8_copyload111 = +HEAPF64[$p_sroa_1_8__idx110 >> 3];
   10550     $add82 = $endp + 3 | 0;
   10551     $arrayidx83 = $ps + ($add82 << 4) | 0;
   10552     $q_sroa_0_0__idx37 = $arrayidx83 | 0;
   10553     $q_sroa_0_0_copyload38 = +HEAPF64[$q_sroa_0_0__idx37 >> 3];
   10554     $q_sroa_1_8__idx60 = $ps + ($add82 << 4) + 8 | 0;
   10555     $q_sroa_1_8_copyload61 = +HEAPF64[$q_sroa_1_8__idx60 >> 3];
   10556     $sub86 = $p_sroa_0_0_copyload84 - $q_sroa_0_0_copyload38;
   10557     $mul90 = $sub86 * $sub86;
   10558     $sub93 = $p_sroa_1_8_copyload111 - $q_sroa_1_8_copyload61;
   10559     $mul97 = $sub93 * $sub93;
   10560     $add98 = $mul90 + $mul97;
   10561     $call99 = +Math_sqrt(+$add98);
   10562     $mul100 = $call99 * .9;
   10563     $cmp101 = $call80 < $mul100;
   10564     $hlen_1 = $cmp101 ? $call80 : $mul100;
   10565     $cmp106 = $p_sroa_1_8_copyload111 == $q_sroa_1_8_copyload61;
   10566     if ($cmp106) {
   10567       label = 15;
   10568       break;
   10569     } else {
   10570       label = 18;
   10571       break;
   10572     }
   10573    case 15:
   10574     $cmp112 = $p_sroa_0_0_copyload84 < $q_sroa_0_0_copyload38;
   10575     if ($cmp112) {
   10576       label = 16;
   10577       break;
   10578     } else {
   10579       label = 17;
   10580       break;
   10581     }
   10582    case 16:
   10583     $sub115 = $q_sroa_0_0_copyload38 - $hlen_1;
   10584     $r_sroa_0_0 = $sub115;
   10585     $r_sroa_1_0 = $p_sroa_1_8_copyload111;
   10586     label = 21;
   10587     break;
   10588    case 17:
   10589     $add119 = $q_sroa_0_0_copyload38 + $hlen_1;
   10590     $r_sroa_0_0 = $add119;
   10591     $r_sroa_1_0 = $p_sroa_1_8_copyload111;
   10592     label = 21;
   10593     break;
   10594    case 18:
   10595     $cmp127 = $p_sroa_1_8_copyload111 < $q_sroa_1_8_copyload61;
   10596     if ($cmp127) {
   10597       label = 19;
   10598       break;
   10599     } else {
   10600       label = 20;
   10601       break;
   10602     }
   10603    case 19:
   10604     $sub130 = $q_sroa_1_8_copyload61 - $hlen_1;
   10605     $r_sroa_0_0 = $p_sroa_0_0_copyload84;
   10606     $r_sroa_1_0 = $sub130;
   10607     label = 21;
   10608     break;
   10609    case 20:
   10610     $add134 = $q_sroa_1_8_copyload61 + $hlen_1;
   10611     $r_sroa_0_0 = $p_sroa_0_0_copyload84;
   10612     $r_sroa_1_0 = $add134;
   10613     label = 21;
   10614     break;
   10615    case 21:
   10616     $add138 = $endp + 1 | 0;
   10617     $p_sroa_0_0__idx77 = $ps + ($add138 << 4) | 0;
   10618     HEAPF64[$p_sroa_0_0__idx77 >> 3] = $p_sroa_0_0_copyload84;
   10619     $p_sroa_1_8__idx103 = $ps + ($add138 << 4) + 8 | 0;
   10620     HEAPF64[$p_sroa_1_8__idx103 >> 3] = $p_sroa_1_8_copyload111;
   10621     $add140 = $endp + 2 | 0;
   10622     $arrayidx141 = $ps + ($add140 << 4) | 0;
   10623     HEAPF64[$q_sroa_0_0__idx37 >> 3] = $r_sroa_0_0;
   10624     HEAPF64[$q_sroa_1_8__idx60 >> 3] = $r_sroa_1_0;
   10625     $4 = $arrayidx141;
   10626     $5 = $arrayidx83;
   10627     HEAP32[$4 >> 2] = HEAP32[$5 >> 2] | 0;
   10628     HEAP32[$4 + 4 >> 2] = HEAP32[$5 + 4 >> 2] | 0;
   10629     HEAP32[$4 + 8 >> 2] = HEAP32[$5 + 8 >> 2] | 0;
   10630     HEAP32[$4 + 12 >> 2] = HEAP32[$5 + 12 >> 2] | 0;
   10631     $eflag144 = $spl + 12 | 0;
   10632     HEAP32[$eflag144 >> 2] = $eflag;
   10633     $q_sroa_0_0__idx31 = $spl + 32 | 0;
   10634     HEAPF64[$q_sroa_0_0__idx31 >> 3] = $q_sroa_0_0_copyload38;
   10635     $q_sroa_1_8__idx53 = $spl + 40 | 0;
   10636     HEAPF64[$q_sroa_1_8__idx53 >> 3] = $q_sroa_1_8_copyload61;
   10637     $hlen_2 = $hlen_1;
   10638     label = 22;
   10639     break;
   10640    case 22:
   10641     if ($tobool) {
   10642       label = 23;
   10643       break;
   10644     } else {
   10645       label = 31;
   10646       break;
   10647     }
   10648    case 23:
   10649     $call149 = +_arrow_length($e, $sflag);
   10650     $arrayidx150 = $ps + ($startp << 4) | 0;
   10651     $p_sroa_0_0__idx75 = $arrayidx150 | 0;
   10652     $p_sroa_0_0_copyload76 = +HEAPF64[$p_sroa_0_0__idx75 >> 3];
   10653     $p_sroa_1_8__idx101 = $ps + ($startp << 4) + 8 | 0;
   10654     $p_sroa_1_8_copyload102 = +HEAPF64[$p_sroa_1_8__idx101 >> 3];
   10655     $add151 = $startp + 3 | 0;
   10656     $q_sroa_0_0__idx29 = $ps + ($add151 << 4) | 0;
   10657     $q_sroa_0_0_copyload30 = +HEAPF64[$q_sroa_0_0__idx29 >> 3];
   10658     $q_sroa_1_8__idx51 = $ps + ($add151 << 4) + 8 | 0;
   10659     $q_sroa_1_8_copyload52 = +HEAPF64[$q_sroa_1_8__idx51 >> 3];
   10660     $sub155 = $p_sroa_0_0_copyload76 - $q_sroa_0_0_copyload30;
   10661     $mul159 = $sub155 * $sub155;
   10662     $sub162 = $p_sroa_1_8_copyload102 - $q_sroa_1_8_copyload52;
   10663     $mul166 = $sub162 * $sub162;
   10664     $add167 = $mul159 + $mul166;
   10665     $call168 = +Math_sqrt(+$add167);
   10666     $mul169 = $call168 * .9;
   10667     $cmp170 = $call149 < $mul169;
   10668     $tlen_1 = $cmp170 ? $call149 : $mul169;
   10669     $cmp175 = $p_sroa_1_8_copyload102 == $q_sroa_1_8_copyload52;
   10670     if ($cmp175) {
   10671       label = 24;
   10672       break;
   10673     } else {
   10674       label = 27;
   10675       break;
   10676     }
   10677    case 24:
   10678     $cmp181 = $p_sroa_0_0_copyload76 < $q_sroa_0_0_copyload30;
   10679     if ($cmp181) {
   10680       label = 25;
   10681       break;
   10682     } else {
   10683       label = 26;
   10684       break;
   10685     }
   10686    case 25:
   10687     $add184 = $p_sroa_0_0_copyload76 + $tlen_1;
   10688     $r_sroa_0_1 = $add184;
   10689     $r_sroa_1_1 = $p_sroa_1_8_copyload102;
   10690     label = 30;
   10691     break;
   10692    case 26:
   10693     $sub188 = $p_sroa_0_0_copyload76 - $hlen_2;
   10694     $r_sroa_0_1 = $sub188;
   10695     $r_sroa_1_1 = $p_sroa_1_8_copyload102;
   10696     label = 30;
   10697     break;
   10698    case 27:
   10699     $cmp196 = $p_sroa_1_8_copyload102 < $q_sroa_1_8_copyload52;
   10700     if ($cmp196) {
   10701       label = 28;
   10702       break;
   10703     } else {
   10704       label = 29;
   10705       break;
   10706     }
   10707    case 28:
   10708     $add199 = $hlen_2 + $p_sroa_1_8_copyload102;
   10709     $r_sroa_0_1 = $p_sroa_0_0_copyload76;
   10710     $r_sroa_1_1 = $add199;
   10711     label = 30;
   10712     break;
   10713    case 29:
   10714     $sub203 = $p_sroa_1_8_copyload102 - $hlen_2;
   10715     $r_sroa_0_1 = $p_sroa_0_0_copyload76;
   10716     $r_sroa_1_1 = $sub203;
   10717     label = 30;
   10718     break;
   10719    case 30:
   10720     $add208 = $startp + 1 | 0;
   10721     $arrayidx209 = $ps + ($add208 << 4) | 0;
   10722     $r_sroa_0_0__idx = $arrayidx209 | 0;
   10723     HEAPF64[$r_sroa_0_0__idx >> 3] = $r_sroa_0_1;
   10724     $r_sroa_1_8__idx24 = $ps + ($add208 << 4) + 8 | 0;
   10725     HEAPF64[$r_sroa_1_8__idx24 >> 3] = $r_sroa_1_1;
   10726     $6 = $arrayidx150;
   10727     $7 = $arrayidx209;
   10728     HEAP32[$6 >> 2] = HEAP32[$7 >> 2] | 0;
   10729     HEAP32[$6 + 4 >> 2] = HEAP32[$7 + 4 >> 2] | 0;
   10730     HEAP32[$6 + 8 >> 2] = HEAP32[$7 + 8 >> 2] | 0;
   10731     HEAP32[$6 + 12 >> 2] = HEAP32[$7 + 12 >> 2] | 0;
   10732     $add210 = $startp + 2 | 0;
   10733     $q_sroa_0_0__idx = $ps + ($add210 << 4) | 0;
   10734     HEAPF64[$q_sroa_0_0__idx >> 3] = $q_sroa_0_0_copyload30;
   10735     $q_sroa_1_8__idx47 = $ps + ($add210 << 4) + 8 | 0;
   10736     HEAPF64[$q_sroa_1_8__idx47 >> 3] = $q_sroa_1_8_copyload52;
   10737     $sflag212 = $spl + 8 | 0;
   10738     HEAP32[$sflag212 >> 2] = $sflag;
   10739     $p_sroa_0_0__idx = $spl + 16 | 0;
   10740     HEAPF64[$p_sroa_0_0__idx >> 3] = $p_sroa_0_0_copyload76;
   10741     $p_sroa_1_8__idx95 = $spl + 24 | 0;
   10742     HEAPF64[$p_sroa_1_8__idx95 >> 3] = $p_sroa_1_8_copyload102;
   10743     label = 31;
   10744     break;
   10745    case 31:
   10746     return;
   10747   }
   10748 }
   10749 function _arrow_bb($agg_result, $p, $u, $arrowsize, $flag) {
   10750   $agg_result = $agg_result | 0;
   10751   $p = $p | 0;
   10752   $u = $u | 0;
   10753   $arrowsize = +$arrowsize;
   10754   $flag = $flag | 0;
   10755   var $x = 0, $x1 = 0, $y = 0, $y2 = 0, $sub3 = 0.0, $4 = 0.0, $div = 0.0, $5 = 0.0, $mul22 = 0.0, $8 = 0.0, $div24 = 0.0, $div26 = 0.0, $9 = 0.0, $sub28 = 0.0, $10 = 0.0, $sub30 = 0.0, $add32 = 0.0, $add34 = 0.0, $add36 = 0.0, $add38 = 0.0, $add40 = 0.0, $add42 = 0.0, $cond44 = 0.0, $add32_cond44 = 0.0, $cond80 = 0.0, $add34_cond80 = 0.0, $cond117 = 0.0, $add32_cond117 = 0.0, $cond153 = 0.0, $add34_cond153 = 0.0, tempParam = 0, __stackBase__ = 0;
   10756   __stackBase__ = STACKTOP;
   10757   tempParam = $p;
   10758   $p = STACKTOP;
   10759   STACKTOP = STACKTOP + 16 | 0;
   10760   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   10761   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   10762   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   10763   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   10764   tempParam = $u;
   10765   $u = STACKTOP;
   10766   STACKTOP = STACKTOP + 16 | 0;
   10767   HEAP32[$u >> 2] = HEAP32[tempParam >> 2] | 0;
   10768   HEAP32[$u + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   10769   HEAP32[$u + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   10770   HEAP32[$u + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   10771   $x = $p | 0;
   10772   $x1 = $u | 0;
   10773   HEAPF64[$x1 >> 3] = +HEAPF64[$x1 >> 3] - +HEAPF64[$x >> 3];
   10774   $y = $p + 8 | 0;
   10775   $y2 = $u + 8 | 0;
   10776   $sub3 = +HEAPF64[$y2 >> 3] - +HEAPF64[$y >> 3];
   10777   HEAPF64[$y2 >> 3] = $sub3;
   10778   $4 = +HEAPF64[$x1 >> 3];
   10779   $div = $arrowsize * 10.0 / (+Math_sqrt(+($4 * $4 + $sub3 * $sub3)) + 1.0e-4);
   10780   HEAPF64[$x1 >> 3] = $4 + ($4 >= 0.0 ? 1.0e-4 : -1.0e-4);
   10781   $5 = +HEAPF64[$y2 >> 3];
   10782   HEAPF64[$y2 >> 3] = $5 + ($5 >= 0.0 ? 1.0e-4 : -1.0e-4);
   10783   HEAPF64[$x1 >> 3] = $div * +HEAPF64[$x1 >> 3];
   10784   $mul22 = $div * +HEAPF64[$y2 >> 3];
   10785   HEAPF64[$y2 >> 3] = $mul22;
   10786   $8 = +HEAPF64[$x1 >> 3];
   10787   $div24 = $8 * .5;
   10788   $div26 = $mul22 * .5;
   10789   $9 = +HEAPF64[$x >> 3];
   10790   $sub28 = $9 - $div26;
   10791   $10 = +HEAPF64[$y >> 3];
   10792   $sub30 = $10 - $div24;
   10793   $add32 = $9 + $div26;
   10794   $add34 = $div24 + $10;
   10795   $add36 = $8 + $sub28;
   10796   $add38 = $mul22 + $sub30;
   10797   $add40 = $8 + $add32;
   10798   $add42 = $mul22 + $add34;
   10799   $cond44 = $add36 > $add40 ? $add36 : $add40;
   10800   $add32_cond44 = $add32 > $cond44 ? $add32 : $cond44;
   10801   $cond80 = $add38 > $add42 ? $add38 : $add42;
   10802   $add34_cond80 = $add34 > $cond80 ? $add34 : $cond80;
   10803   $cond117 = $add36 < $add40 ? $add36 : $add40;
   10804   $add32_cond117 = $add32 < $cond117 ? $add32 : $cond117;
   10805   $cond153 = $add38 < $add42 ? $add38 : $add42;
   10806   $add34_cond153 = $add34 < $cond153 ? $add34 : $cond153;
   10807   HEAPF64[$agg_result >> 3] = $sub28 < $add32_cond117 ? $sub28 : $add32_cond117;
   10808   HEAPF64[$agg_result + 8 >> 3] = $sub30 < $add34_cond153 ? $sub30 : $add34_cond153;
   10809   HEAPF64[$agg_result + 16 >> 3] = $sub28 > $add32_cond44 ? $sub28 : $add32_cond44;
   10810   HEAPF64[$agg_result + 24 >> 3] = $sub30 > $add34_cond80 ? $sub30 : $add34_cond80;
   10811   STACKTOP = __stackBase__;
   10812   return;
   10813 }
   10814 function _arrow_gen($job, $emit_state, $p, $u, $arrowsize, $penwidth, $flag) {
   10815   $job = $job | 0;
   10816   $emit_state = $emit_state | 0;
   10817   $p = $p | 0;
   10818   $u = $u | 0;
   10819   $arrowsize = +$arrowsize;
   10820   $penwidth = +$penwidth;
   10821   $flag = $flag | 0;
   10822   var $tmp = 0, $obj1 = 0, $0 = 0, $emit_state2 = 0, $1 = 0, $gvc = 0, $2 = 0, $defaultlinestyle = 0, $3 = 0, $x = 0, $4 = 0.0, $x4 = 0, $5 = 0.0, $sub = 0.0, $y = 0, $6 = 0.0, $y5 = 0, $7 = 0.0, $sub6 = 0.0, $8 = 0.0, $mul = 0.0, $mul11 = 0.0, $add = 0.0, $call = 0.0, $add12 = 0.0, $div = 0.0, $cmp = 0, $cond = 0.0, $add15 = 0.0, $9 = 0.0, $cmp17 = 0, $cond18 = 0.0, $add20 = 0.0, $10 = 0.0, $mul22 = 0.0, $11 = 0.0, $mul24 = 0.0, $12 = 0, $13 = 0, $i_010 = 0, $mul26 = 0, $shr = 0, $and = 0, $cmp27 = 0, $inc = 0, $cmp25 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   10823   __stackBase__ = STACKTOP;
   10824   STACKTOP = STACKTOP + 16 | 0;
   10825   tempParam = $p;
   10826   $p = STACKTOP;
   10827   STACKTOP = STACKTOP + 16 | 0;
   10828   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   10829   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   10830   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   10831   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   10832   tempParam = $u;
   10833   $u = STACKTOP;
   10834   STACKTOP = STACKTOP + 16 | 0;
   10835   HEAP32[$u >> 2] = HEAP32[tempParam >> 2] | 0;
   10836   HEAP32[$u + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   10837   HEAP32[$u + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   10838   HEAP32[$u + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   10839   label = 2;
   10840   while (1) switch (label | 0) {
   10841    case 2:
   10842     $tmp = __stackBase__ | 0;
   10843     $obj1 = $job + 16 | 0;
   10844     $0 = HEAP32[$obj1 >> 2] | 0;
   10845     $emit_state2 = $0 + 12 | 0;
   10846     $1 = HEAP32[$emit_state2 >> 2] | 0;
   10847     HEAP32[$emit_state2 >> 2] = $emit_state;
   10848     $gvc = $job | 0;
   10849     $2 = HEAP32[$gvc >> 2] | 0;
   10850     $defaultlinestyle = $2 + 304 | 0;
   10851     $3 = HEAP32[$defaultlinestyle >> 2] | 0;
   10852     _gvrender_set_style($job, $3);
   10853     $x = $p | 0;
   10854     $4 = +HEAPF64[$x >> 3];
   10855     $x4 = $u | 0;
   10856     $5 = +HEAPF64[$x4 >> 3];
   10857     $sub = $5 - $4;
   10858     HEAPF64[$x4 >> 3] = $sub;
   10859     $y = $p + 8 | 0;
   10860     $6 = +HEAPF64[$y >> 3];
   10861     $y5 = $u + 8 | 0;
   10862     $7 = +HEAPF64[$y5 >> 3];
   10863     $sub6 = $7 - $6;
   10864     HEAPF64[$y5 >> 3] = $sub6;
   10865     $8 = +HEAPF64[$x4 >> 3];
   10866     $mul = $8 * $8;
   10867     $mul11 = $sub6 * $sub6;
   10868     $add = $mul + $mul11;
   10869     $call = +Math_sqrt(+$add);
   10870     $add12 = $call + 1.0e-4;
   10871     $div = 10.0 / $add12;
   10872     $cmp = $8 >= 0.0;
   10873     $cond = $cmp ? 1.0e-4 : -1.0e-4;
   10874     $add15 = $8 + $cond;
   10875     HEAPF64[$x4 >> 3] = $add15;
   10876     $9 = +HEAPF64[$y5 >> 3];
   10877     $cmp17 = $9 >= 0.0;
   10878     $cond18 = $cmp17 ? 1.0e-4 : -1.0e-4;
   10879     $add20 = $9 + $cond18;
   10880     HEAPF64[$y5 >> 3] = $add20;
   10881     $10 = +HEAPF64[$x4 >> 3];
   10882     $mul22 = $div * $10;
   10883     HEAPF64[$x4 >> 3] = $mul22;
   10884     $11 = +HEAPF64[$y5 >> 3];
   10885     $mul24 = $div * $11;
   10886     HEAPF64[$y5 >> 3] = $mul24;
   10887     $12 = $p;
   10888     $13 = $tmp;
   10889     $i_010 = 0;
   10890     label = 3;
   10891     break;
   10892    case 3:
   10893     $mul26 = $i_010 << 3;
   10894     $shr = $flag >> $mul26;
   10895     $and = $shr & 255;
   10896     $cmp27 = ($and | 0) == 0;
   10897     if ($cmp27) {
   10898       label = 5;
   10899       break;
   10900     } else {
   10901       label = 4;
   10902       break;
   10903     }
   10904    case 4:
   10905     _arrow_gen_type($tmp, $job, $p, $u, $arrowsize, $penwidth, $and);
   10906     HEAP32[$12 >> 2] = HEAP32[$13 >> 2] | 0;
   10907     HEAP32[$12 + 4 >> 2] = HEAP32[$13 + 4 >> 2] | 0;
   10908     HEAP32[$12 + 8 >> 2] = HEAP32[$13 + 8 >> 2] | 0;
   10909     HEAP32[$12 + 12 >> 2] = HEAP32[$13 + 12 >> 2] | 0;
   10910     $inc = $i_010 + 1 | 0;
   10911     $cmp25 = ($inc | 0) < 4;
   10912     if ($cmp25) {
   10913       $i_010 = $inc;
   10914       label = 3;
   10915       break;
   10916     } else {
   10917       label = 5;
   10918       break;
   10919     }
   10920    case 5:
   10921     HEAP32[$emit_state2 >> 2] = $1;
   10922     STACKTOP = __stackBase__;
   10923     return;
   10924   }
   10925 }
   10926 function _arrow_gen_type($agg_result, $job, $p, $u, $arrowsize, $penwidth, $flag) {
   10927   $agg_result = $agg_result | 0;
   10928   $job = $job | 0;
   10929   $p = $p | 0;
   10930   $u = $u | 0;
   10931   $arrowsize = +$arrowsize;
   10932   $penwidth = +$penwidth;
   10933   $flag = $flag | 0;
   10934   var $and = 0, $arrowtype_0 = 0, $type = 0, $0 = 0, $tobool = 0, $cmp = 0, $incdec_ptr = 0, $lenfact = 0, $1 = 0.0, $mul = 0.0, $x = 0, $2 = 0.0, $mul2 = 0.0, $3 = 0.0, $mul4 = 0.0, $y = 0, $4 = 0.0, $mul5 = 0.0, $gen = 0, $5 = 0, $x6 = 0, $6 = 0.0, $7 = 0.0, $add = 0.0, $y9 = 0, $8 = 0.0, $9 = 0.0, $add11 = 0.0, $10 = 0, $11 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   10935   __stackBase__ = STACKTOP;
   10936   tempParam = $p;
   10937   $p = STACKTOP;
   10938   STACKTOP = STACKTOP + 16 | 0;
   10939   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   10940   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   10941   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   10942   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   10943   tempParam = $u;
   10944   $u = STACKTOP;
   10945   STACKTOP = STACKTOP + 16 | 0;
   10946   HEAP32[$u >> 2] = HEAP32[tempParam >> 2] | 0;
   10947   HEAP32[$u + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   10948   HEAP32[$u + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   10949   HEAP32[$u + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   10950   label = 2;
   10951   while (1) switch (label | 0) {
   10952    case 2:
   10953     $and = $flag & 7;
   10954     $arrowtype_0 = 168136;
   10955     label = 3;
   10956     break;
   10957    case 3:
   10958     $type = $arrowtype_0 | 0;
   10959     $0 = HEAP32[$type >> 2] | 0;
   10960     $tobool = ($0 | 0) == 0;
   10961     if ($tobool) {
   10962       label = 6;
   10963       break;
   10964     } else {
   10965       label = 4;
   10966       break;
   10967     }
   10968    case 4:
   10969     $cmp = ($and | 0) == ($0 | 0);
   10970     $incdec_ptr = $arrowtype_0 + 24 | 0;
   10971     if ($cmp) {
   10972       label = 5;
   10973       break;
   10974     } else {
   10975       $arrowtype_0 = $incdec_ptr;
   10976       label = 3;
   10977       break;
   10978     }
   10979    case 5:
   10980     $lenfact = $arrowtype_0 + 8 | 0;
   10981     $1 = +HEAPF64[$lenfact >> 3];
   10982     $mul = $1 * $arrowsize;
   10983     $x = $u | 0;
   10984     $2 = +HEAPF64[$x >> 3];
   10985     $mul2 = $2 * $mul;
   10986     HEAPF64[$x >> 3] = $mul2;
   10987     $3 = +HEAPF64[$lenfact >> 3];
   10988     $mul4 = $3 * $arrowsize;
   10989     $y = $u + 8 | 0;
   10990     $4 = +HEAPF64[$y >> 3];
   10991     $mul5 = $4 * $mul4;
   10992     HEAPF64[$y >> 3] = $mul5;
   10993     $gen = $arrowtype_0 + 16 | 0;
   10994     $5 = HEAP32[$gen >> 2] | 0;
   10995     FUNCTION_TABLE_viiiffi[$5 & 1023]($job, $p, $u, $arrowsize, $penwidth, $flag);
   10996     $x6 = $p | 0;
   10997     $6 = +HEAPF64[$x6 >> 3];
   10998     $7 = +HEAPF64[$x >> 3];
   10999     $add = $6 + $7;
   11000     HEAPF64[$x6 >> 3] = $add;
   11001     $y9 = $p + 8 | 0;
   11002     $8 = +HEAPF64[$y9 >> 3];
   11003     $9 = +HEAPF64[$y >> 3];
   11004     $add11 = $8 + $9;
   11005     HEAPF64[$y9 >> 3] = $add11;
   11006     label = 6;
   11007     break;
   11008    case 6:
   11009     $10 = $agg_result;
   11010     $11 = $p;
   11011     HEAP32[$10 >> 2] = HEAP32[$11 >> 2] | 0;
   11012     HEAP32[$10 + 4 >> 2] = HEAP32[$11 + 4 >> 2] | 0;
   11013     HEAP32[$10 + 8 >> 2] = HEAP32[$11 + 8 >> 2] | 0;
   11014     HEAP32[$10 + 12 >> 2] = HEAP32[$11 + 12 >> 2] | 0;
   11015     STACKTOP = __stackBase__;
   11016     return;
   11017   }
   11018 }
   11019 function _arrow_type_normal($job, $p, $u, $arrowsize, $penwidth, $flag) {
   11020   $job = $job | 0;
   11021   $p = $p | 0;
   11022   $u = $u | 0;
   11023   $arrowsize = +$arrowsize;
   11024   $penwidth = +$penwidth;
   11025   $flag = $flag | 0;
   11026   var $a = 0, $cmp = 0, $div = 0.0, $mul = 0.0, $arrowwidth_0 = 0.0, $y = 0, $0 = 0.0, $sub = 0.0, $mul1 = 0.0, $x2 = 0, $1 = 0.0, $mul3 = 0.0, $x5 = 0, $2 = 0.0, $add = 0.0, $y8 = 0, $3 = 0.0, $add10 = 0.0, $and = 0, $tobool = 0, $arrayidx = 0, $arrayidx13 = 0, $4 = 0, $5 = 0, $6 = 0, $7 = 0.0, $sub16 = 0.0, $x18 = 0, $8 = 0.0, $sub21 = 0.0, $y23 = 0, $q_sroa_0_0__idx10 = 0, $q_sroa_1_8__idx14 = 0, $9 = 0.0, $add27 = 0.0, $x29 = 0, $10 = 0.0, $add32 = 0.0, $y34 = 0, $q_sroa_0_0__idx = 0, $q_sroa_1_8__idx13 = 0, $11 = 0, $sub39 = 0.0, $x41 = 0, $sub44 = 0.0, $y46 = 0, $arrayidx47 = 0, $12 = 0, $13 = 0, $add50 = 0.0, $x52 = 0, $add55 = 0.0, $y57 = 0, $and59 = 0, $tobool60 = 0, $and62 = 0, $and62_lobit = 0, $14 = 0, $conv = 0, $and65 = 0, $tobool66 = 0, $arrayidx68 = 0, $and69 = 0, $and69_lobit = 0, $15 = 0, $conv73 = 0, $arrayidx75 = 0, $and76 = 0, $and76_lobit = 0, $16 = 0, $conv80 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   11027   __stackBase__ = STACKTOP;
   11028   STACKTOP = STACKTOP + 80 | 0;
   11029   tempParam = $p;
   11030   $p = STACKTOP;
   11031   STACKTOP = STACKTOP + 16 | 0;
   11032   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   11033   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   11034   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   11035   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   11036   tempParam = $u;
   11037   $u = STACKTOP;
   11038   STACKTOP = STACKTOP + 16 | 0;
   11039   HEAP32[$u >> 2] = HEAP32[tempParam >> 2] | 0;
   11040   HEAP32[$u + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   11041   HEAP32[$u + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   11042   HEAP32[$u + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   11043   label = 2;
   11044   while (1) switch (label | 0) {
   11045    case 2:
   11046     $a = __stackBase__ | 0;
   11047     $cmp = $penwidth > 4.0;
   11048     if ($cmp) {
   11049       label = 3;
   11050       break;
   11051     } else {
   11052       $arrowwidth_0 = .35;
   11053       label = 4;
   11054       break;
   11055     }
   11056    case 3:
   11057     $div = $penwidth * .25;
   11058     $mul = $div * .35;
   11059     $arrowwidth_0 = $mul;
   11060     label = 4;
   11061     break;
   11062    case 4:
   11063     $y = $u + 8 | 0;
   11064     $0 = +HEAPF64[$y >> 3];
   11065     $sub = -0.0 - $0;
   11066     $mul1 = $arrowwidth_0 * $sub;
   11067     $x2 = $u | 0;
   11068     $1 = +HEAPF64[$x2 >> 3];
   11069     $mul3 = $arrowwidth_0 * $1;
   11070     $x5 = $p | 0;
   11071     $2 = +HEAPF64[$x5 >> 3];
   11072     $add = $1 + $2;
   11073     $y8 = $p + 8 | 0;
   11074     $3 = +HEAPF64[$y8 >> 3];
   11075     $add10 = $0 + $3;
   11076     $and = $flag & 16;
   11077     $tobool = ($and | 0) == 0;
   11078     $arrayidx = $a | 0;
   11079     $arrayidx13 = $a + 64 | 0;
   11080     $4 = $arrayidx13;
   11081     if ($tobool) {
   11082       label = 6;
   11083       break;
   11084     } else {
   11085       label = 5;
   11086       break;
   11087     }
   11088    case 5:
   11089     $5 = $p;
   11090     HEAP32[$4 >> 2] = HEAP32[$5 >> 2] | 0;
   11091     HEAP32[$4 + 4 >> 2] = HEAP32[$5 + 4 >> 2] | 0;
   11092     HEAP32[$4 + 8 >> 2] = HEAP32[$5 + 8 >> 2] | 0;
   11093     HEAP32[$4 + 12 >> 2] = HEAP32[$5 + 12 >> 2] | 0;
   11094     $6 = $a;
   11095     HEAP32[$6 >> 2] = HEAP32[$5 >> 2] | 0;
   11096     HEAP32[$6 + 4 >> 2] = HEAP32[$5 + 4 >> 2] | 0;
   11097     HEAP32[$6 + 8 >> 2] = HEAP32[$5 + 8 >> 2] | 0;
   11098     HEAP32[$6 + 12 >> 2] = HEAP32[$5 + 12 >> 2] | 0;
   11099     $7 = +HEAPF64[$x5 >> 3];
   11100     $sub16 = $7 - $mul1;
   11101     $x18 = $a + 16 | 0;
   11102     HEAPF64[$x18 >> 3] = $sub16;
   11103     $8 = +HEAPF64[$y8 >> 3];
   11104     $sub21 = $8 - $mul3;
   11105     $y23 = $a + 24 | 0;
   11106     HEAPF64[$y23 >> 3] = $sub21;
   11107     $q_sroa_0_0__idx10 = $a + 32 | 0;
   11108     HEAPF64[$q_sroa_0_0__idx10 >> 3] = $add;
   11109     $q_sroa_1_8__idx14 = $a + 40 | 0;
   11110     HEAPF64[$q_sroa_1_8__idx14 >> 3] = $add10;
   11111     $9 = +HEAPF64[$x5 >> 3];
   11112     $add27 = $mul1 + $9;
   11113     $x29 = $a + 48 | 0;
   11114     HEAPF64[$x29 >> 3] = $add27;
   11115     $10 = +HEAPF64[$y8 >> 3];
   11116     $add32 = $mul3 + $10;
   11117     $y34 = $a + 56 | 0;
   11118     HEAPF64[$y34 >> 3] = $add32;
   11119     label = 7;
   11120     break;
   11121    case 6:
   11122     $q_sroa_0_0__idx = $a + 64 | 0;
   11123     HEAPF64[$q_sroa_0_0__idx >> 3] = $add;
   11124     $q_sroa_1_8__idx13 = $a + 72 | 0;
   11125     HEAPF64[$q_sroa_1_8__idx13 >> 3] = $add10;
   11126     $11 = $a;
   11127     HEAP32[$11 >> 2] = HEAP32[$4 >> 2] | 0;
   11128     HEAP32[$11 + 4 >> 2] = HEAP32[$4 + 4 >> 2] | 0;
   11129     HEAP32[$11 + 8 >> 2] = HEAP32[$4 + 8 >> 2] | 0;
   11130     HEAP32[$11 + 12 >> 2] = HEAP32[$4 + 12 >> 2] | 0;
   11131     $sub39 = $add - $mul1;
   11132     $x41 = $a + 16 | 0;
   11133     HEAPF64[$x41 >> 3] = $sub39;
   11134     $sub44 = $add10 - $mul3;
   11135     $y46 = $a + 24 | 0;
   11136     HEAPF64[$y46 >> 3] = $sub44;
   11137     $arrayidx47 = $a + 32 | 0;
   11138     $12 = $arrayidx47;
   11139     $13 = $p;
   11140     HEAP32[$12 >> 2] = HEAP32[$13 >> 2] | 0;
   11141     HEAP32[$12 + 4 >> 2] = HEAP32[$13 + 4 >> 2] | 0;
   11142     HEAP32[$12 + 8 >> 2] = HEAP32[$13 + 8 >> 2] | 0;
   11143     HEAP32[$12 + 12 >> 2] = HEAP32[$13 + 12 >> 2] | 0;
   11144     $add50 = $mul1 + $add;
   11145     $x52 = $a + 48 | 0;
   11146     HEAPF64[$x52 >> 3] = $add50;
   11147     $add55 = $mul3 + $add10;
   11148     $y57 = $a + 56 | 0;
   11149     HEAPF64[$y57 >> 3] = $add55;
   11150     label = 7;
   11151     break;
   11152    case 7:
   11153     $and59 = $flag & 32;
   11154     $tobool60 = ($and59 | 0) == 0;
   11155     if ($tobool60) {
   11156       label = 9;
   11157       break;
   11158     } else {
   11159       label = 8;
   11160       break;
   11161     }
   11162    case 8:
   11163     $and62 = $flag >>> 3;
   11164     $and62_lobit = $and62 & 1;
   11165     $14 = $and62_lobit ^ 1;
   11166     $conv = $14 & 255;
   11167     _gvrender_polygon($job, $arrayidx, 3, $conv);
   11168     label = 12;
   11169     break;
   11170    case 9:
   11171     $and65 = $flag & 64;
   11172     $tobool66 = ($and65 | 0) == 0;
   11173     if ($tobool66) {
   11174       label = 11;
   11175       break;
   11176     } else {
   11177       label = 10;
   11178       break;
   11179     }
   11180    case 10:
   11181     $arrayidx68 = $a + 32 | 0;
   11182     $and69 = $flag >>> 3;
   11183     $and69_lobit = $and69 & 1;
   11184     $15 = $and69_lobit ^ 1;
   11185     $conv73 = $15 & 255;
   11186     _gvrender_polygon($job, $arrayidx68, 3, $conv73);
   11187     label = 12;
   11188     break;
   11189    case 11:
   11190     $arrayidx75 = $a + 16 | 0;
   11191     $and76 = $flag >>> 3;
   11192     $and76_lobit = $and76 & 1;
   11193     $16 = $and76_lobit ^ 1;
   11194     $conv80 = $16 & 255;
   11195     _gvrender_polygon($job, $arrayidx75, 3, $conv80);
   11196     label = 12;
   11197     break;
   11198    case 12:
   11199     STACKTOP = __stackBase__;
   11200     return;
   11201   }
   11202 }
   11203 function _arrow_type_crow($job, $p, $u, $arrowsize, $penwidth, $flag) {
   11204   $job = $job | 0;
   11205   $p = $p | 0;
   11206   $u = $u | 0;
   11207   $arrowsize = +$arrowsize;
   11208   $penwidth = +$penwidth;
   11209   $flag = $flag | 0;
   11210   var $a = 0, $mul = 0.0, $cmp = 0, $and = 0, $tobool = 0, $div = 0.0, $mul2 = 0.0, $arrowwidth_0 = 0.0, $cmp3 = 0, $and5 = 0, $tobool6 = 0, $sub = 0.0, $mul8 = 0.0, $div9 = 0.0, $shaftwidth_0 = 0.0, $y = 0, $0 = 0.0, $sub11 = 0.0, $mul12 = 0.0, $x13 = 0, $1 = 0.0, $mul14 = 0.0, $mul18 = 0.0, $mul21 = 0.0, $x23 = 0, $2 = 0.0, $add = 0.0, $y26 = 0, $3 = 0.0, $add28 = 0.0, $mul32 = 0.0, $add33 = 0.0, $mul37 = 0.0, $add38 = 0.0, $and40 = 0, $tobool41 = 0, $arrayidx = 0, $arrayidx43 = 0, $4 = 0, $5 = 0, $6 = 0, $sub46 = 0.0, $x48 = 0, $sub51 = 0.0, $y53 = 0, $sub56 = 0.0, $x58 = 0, $sub61 = 0.0, $y63 = 0, $sub66 = 0.0, $x68 = 0, $sub71 = 0.0, $y73 = 0, $q_sroa_0_0__idx21 = 0, $q_sroa_1_8__idx27 = 0, $add77 = 0.0, $x79 = 0, $add82 = 0.0, $y84 = 0, $add87 = 0.0, $x89 = 0, $add92 = 0.0, $y94 = 0, $add97 = 0.0, $x99 = 0, $add102 = 0.0, $y104 = 0, $q_sroa_0_0__idx = 0, $q_sroa_1_8__idx25 = 0, $7 = 0, $8 = 0.0, $sub109 = 0.0, $x111 = 0, $9 = 0.0, $sub114 = 0.0, $y116 = 0, $sub119 = 0.0, $x121 = 0, $sub124 = 0.0, $y126 = 0, $10 = 0.0, $x129 = 0, $11 = 0.0, $y132 = 0, $arrayidx133 = 0, $12 = 0, $13 = 0, $14 = 0.0, $x136 = 0, $15 = 0.0, $y139 = 0, $add142 = 0.0, $x144 = 0, $add147 = 0.0, $y149 = 0, $16 = 0.0, $add152 = 0.0, $x154 = 0, $17 = 0.0, $add157 = 0.0, $y159 = 0, $and161 = 0, $tobool162 = 0, $and165 = 0, $tobool166 = 0, $arrayidx168 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   11211   __stackBase__ = STACKTOP;
   11212   STACKTOP = STACKTOP + 144 | 0;
   11213   tempParam = $p;
   11214   $p = STACKTOP;
   11215   STACKTOP = STACKTOP + 16 | 0;
   11216   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   11217   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   11218   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   11219   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   11220   tempParam = $u;
   11221   $u = STACKTOP;
   11222   STACKTOP = STACKTOP + 16 | 0;
   11223   HEAP32[$u >> 2] = HEAP32[tempParam >> 2] | 0;
   11224   HEAP32[$u + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   11225   HEAP32[$u + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   11226   HEAP32[$u + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   11227   label = 2;
   11228   while (1) switch (label | 0) {
   11229    case 2:
   11230     $a = __stackBase__ | 0;
   11231     $mul = $arrowsize * 4.0;
   11232     $cmp = $mul < $penwidth;
   11233     if ($cmp) {
   11234       label = 3;
   11235       break;
   11236     } else {
   11237       $arrowwidth_0 = .45;
   11238       label = 5;
   11239       break;
   11240     }
   11241    case 3:
   11242     $and = $flag & 16;
   11243     $tobool = ($and | 0) == 0;
   11244     if ($tobool) {
   11245       $arrowwidth_0 = .45;
   11246       label = 5;
   11247       break;
   11248     } else {
   11249       label = 4;
   11250       break;
   11251     }
   11252    case 4:
   11253     $div = $penwidth / $mul;
   11254     $mul2 = $div * .45;
   11255     $arrowwidth_0 = $mul2;
   11256     label = 5;
   11257     break;
   11258    case 5:
   11259     $cmp3 = $penwidth > 1.0;
   11260     if ($cmp3) {
   11261       label = 6;
   11262       break;
   11263     } else {
   11264       $shaftwidth_0 = 0.0;
   11265       label = 8;
   11266       break;
   11267     }
   11268    case 6:
   11269     $and5 = $flag & 16;
   11270     $tobool6 = ($and5 | 0) == 0;
   11271     if ($tobool6) {
   11272       $shaftwidth_0 = 0.0;
   11273       label = 8;
   11274       break;
   11275     } else {
   11276       label = 7;
   11277       break;
   11278     }
   11279    case 7:
   11280     $sub = $penwidth + -1.0;
   11281     $mul8 = $sub * .05;
   11282     $div9 = $mul8 / $arrowsize;
   11283     $shaftwidth_0 = $div9;
   11284     label = 8;
   11285     break;
   11286    case 8:
   11287     $y = $u + 8 | 0;
   11288     $0 = +HEAPF64[$y >> 3];
   11289     $sub11 = -0.0 - $0;
   11290     $mul12 = $arrowwidth_0 * $sub11;
   11291     $x13 = $u | 0;
   11292     $1 = +HEAPF64[$x13 >> 3];
   11293     $mul14 = $arrowwidth_0 * $1;
   11294     $mul18 = $shaftwidth_0 * $sub11;
   11295     $mul21 = $shaftwidth_0 * $1;
   11296     $x23 = $p | 0;
   11297     $2 = +HEAPF64[$x23 >> 3];
   11298     $add = $1 + $2;
   11299     $y26 = $p + 8 | 0;
   11300     $3 = +HEAPF64[$y26 >> 3];
   11301     $add28 = $0 + $3;
   11302     $mul32 = $1 * .5;
   11303     $add33 = $2 + $mul32;
   11304     $mul37 = $0 * .5;
   11305     $add38 = $mul37 + $3;
   11306     $and40 = $flag & 16;
   11307     $tobool41 = ($and40 | 0) == 0;
   11308     $arrayidx = $a | 0;
   11309     $arrayidx43 = $a + 128 | 0;
   11310     $4 = $arrayidx43;
   11311     if ($tobool41) {
   11312       label = 10;
   11313       break;
   11314     } else {
   11315       label = 9;
   11316       break;
   11317     }
   11318    case 9:
   11319     $5 = $p;
   11320     HEAP32[$4 >> 2] = HEAP32[$5 >> 2] | 0;
   11321     HEAP32[$4 + 4 >> 2] = HEAP32[$5 + 4 >> 2] | 0;
   11322     HEAP32[$4 + 8 >> 2] = HEAP32[$5 + 8 >> 2] | 0;
   11323     HEAP32[$4 + 12 >> 2] = HEAP32[$5 + 12 >> 2] | 0;
   11324     $6 = $a;
   11325     HEAP32[$6 >> 2] = HEAP32[$5 >> 2] | 0;
   11326     HEAP32[$6 + 4 >> 2] = HEAP32[$5 + 4 >> 2] | 0;
   11327     HEAP32[$6 + 8 >> 2] = HEAP32[$5 + 8 >> 2] | 0;
   11328     HEAP32[$6 + 12 >> 2] = HEAP32[$5 + 12 >> 2] | 0;
   11329     $sub46 = $add - $mul12;
   11330     $x48 = $a + 16 | 0;
   11331     HEAPF64[$x48 >> 3] = $sub46;
   11332     $sub51 = $add28 - $mul14;
   11333     $y53 = $a + 24 | 0;
   11334     HEAPF64[$y53 >> 3] = $sub51;
   11335     $sub56 = $add33 - $mul18;
   11336     $x58 = $a + 32 | 0;
   11337     HEAPF64[$x58 >> 3] = $sub56;
   11338     $sub61 = $add38 - $mul21;
   11339     $y63 = $a + 40 | 0;
   11340     HEAPF64[$y63 >> 3] = $sub61;
   11341     $sub66 = $add - $mul18;
   11342     $x68 = $a + 48 | 0;
   11343     HEAPF64[$x68 >> 3] = $sub66;
   11344     $sub71 = $add28 - $mul21;
   11345     $y73 = $a + 56 | 0;
   11346     HEAPF64[$y73 >> 3] = $sub71;
   11347     $q_sroa_0_0__idx21 = $a + 64 | 0;
   11348     HEAPF64[$q_sroa_0_0__idx21 >> 3] = $add;
   11349     $q_sroa_1_8__idx27 = $a + 72 | 0;
   11350     HEAPF64[$q_sroa_1_8__idx27 >> 3] = $add28;
   11351     $add77 = $mul18 + $add;
   11352     $x79 = $a + 80 | 0;
   11353     HEAPF64[$x79 >> 3] = $add77;
   11354     $add82 = $mul21 + $add28;
   11355     $y84 = $a + 88 | 0;
   11356     HEAPF64[$y84 >> 3] = $add82;
   11357     $add87 = $mul18 + $add33;
   11358     $x89 = $a + 96 | 0;
   11359     HEAPF64[$x89 >> 3] = $add87;
   11360     $add92 = $mul21 + $add38;
   11361     $y94 = $a + 104 | 0;
   11362     HEAPF64[$y94 >> 3] = $add92;
   11363     $add97 = $mul12 + $add;
   11364     $x99 = $a + 112 | 0;
   11365     HEAPF64[$x99 >> 3] = $add97;
   11366     $add102 = $mul14 + $add28;
   11367     $y104 = $a + 120 | 0;
   11368     HEAPF64[$y104 >> 3] = $add102;
   11369     label = 11;
   11370     break;
   11371    case 10:
   11372     $q_sroa_0_0__idx = $a + 128 | 0;
   11373     HEAPF64[$q_sroa_0_0__idx >> 3] = $add;
   11374     $q_sroa_1_8__idx25 = $a + 136 | 0;
   11375     HEAPF64[$q_sroa_1_8__idx25 >> 3] = $add28;
   11376     $7 = $a;
   11377     HEAP32[$7 >> 2] = HEAP32[$4 >> 2] | 0;
   11378     HEAP32[$7 + 4 >> 2] = HEAP32[$4 + 4 >> 2] | 0;
   11379     HEAP32[$7 + 8 >> 2] = HEAP32[$4 + 8 >> 2] | 0;
   11380     HEAP32[$7 + 12 >> 2] = HEAP32[$4 + 12 >> 2] | 0;
   11381     $8 = +HEAPF64[$x23 >> 3];
   11382     $sub109 = $8 - $mul12;
   11383     $x111 = $a + 16 | 0;
   11384     HEAPF64[$x111 >> 3] = $sub109;
   11385     $9 = +HEAPF64[$y26 >> 3];
   11386     $sub114 = $9 - $mul14;
   11387     $y116 = $a + 24 | 0;
   11388     HEAPF64[$y116 >> 3] = $sub114;
   11389     $sub119 = $add33 - $mul18;
   11390     $x121 = $a + 32 | 0;
   11391     HEAPF64[$x121 >> 3] = $sub119;
   11392     $sub124 = $add38 - $mul21;
   11393     $y126 = $a + 40 | 0;
   11394     HEAPF64[$y126 >> 3] = $sub124;
   11395     $10 = +HEAPF64[$x23 >> 3];
   11396     $x129 = $a + 48 | 0;
   11397     HEAPF64[$x129 >> 3] = $10;
   11398     $11 = +HEAPF64[$y26 >> 3];
   11399     $y132 = $a + 56 | 0;
   11400     HEAPF64[$y132 >> 3] = $11;
   11401     $arrayidx133 = $a + 64 | 0;
   11402     $12 = $arrayidx133;
   11403     $13 = $p;
   11404     HEAP32[$12 >> 2] = HEAP32[$13 >> 2] | 0;
   11405     HEAP32[$12 + 4 >> 2] = HEAP32[$13 + 4 >> 2] | 0;
   11406     HEAP32[$12 + 8 >> 2] = HEAP32[$13 + 8 >> 2] | 0;
   11407     HEAP32[$12 + 12 >> 2] = HEAP32[$13 + 12 >> 2] | 0;
   11408     $14 = +HEAPF64[$x23 >> 3];
   11409     $x136 = $a + 80 | 0;
   11410     HEAPF64[$x136 >> 3] = $14;
   11411     $15 = +HEAPF64[$y26 >> 3];
   11412     $y139 = $a + 88 | 0;
   11413     HEAPF64[$y139 >> 3] = $15;
   11414     $add142 = $mul18 + $add33;
   11415     $x144 = $a + 96 | 0;
   11416     HEAPF64[$x144 >> 3] = $add142;
   11417     $add147 = $mul21 + $add38;
   11418     $y149 = $a + 104 | 0;
   11419     HEAPF64[$y149 >> 3] = $add147;
   11420     $16 = +HEAPF64[$x23 >> 3];
   11421     $add152 = $mul12 + $16;
   11422     $x154 = $a + 112 | 0;
   11423     HEAPF64[$x154 >> 3] = $add152;
   11424     $17 = +HEAPF64[$y26 >> 3];
   11425     $add157 = $mul14 + $17;
   11426     $y159 = $a + 120 | 0;
   11427     HEAPF64[$y159 >> 3] = $add157;
   11428     label = 11;
   11429     break;
   11430    case 11:
   11431     $and161 = $flag & 32;
   11432     $tobool162 = ($and161 | 0) == 0;
   11433     if ($tobool162) {
   11434       label = 13;
   11435       break;
   11436     } else {
   11437       label = 12;
   11438       break;
   11439     }
   11440    case 12:
   11441     _gvrender_polygon($job, $arrayidx, 6, 1);
   11442     label = 16;
   11443     break;
   11444    case 13:
   11445     $and165 = $flag & 64;
   11446     $tobool166 = ($and165 | 0) == 0;
   11447     if ($tobool166) {
   11448       label = 15;
   11449       break;
   11450     } else {
   11451       label = 14;
   11452       break;
   11453     }
   11454    case 14:
   11455     $arrayidx168 = $a + 48 | 0;
   11456     _gvrender_polygon($job, $arrayidx168, 6, 1);
   11457     label = 16;
   11458     break;
   11459    case 15:
   11460     _gvrender_polygon($job, $arrayidx, 9, 1);
   11461     label = 16;
   11462     break;
   11463    case 16:
   11464     STACKTOP = __stackBase__;
   11465     return;
   11466   }
   11467 }
   11468 function _arrow_type_tee($job, $p, $u, $arrowsize, $penwidth, $flag) {
   11469   $job = $job | 0;
   11470   $p = $p | 0;
   11471   $u = $u | 0;
   11472   $arrowsize = +$arrowsize;
   11473   $penwidth = +$penwidth;
   11474   $flag = $flag | 0;
   11475   var $a = 0, $y = 0, $0 = 0.0, $x1 = 0, $1 = 0.0, $x3 = 0, $2 = 0.0, $add = 0.0, $y6 = 0, $3 = 0.0, $add8 = 0.0, $mul = 0.0, $add12 = 0.0, $mul16 = 0.0, $add17 = 0.0, $mul21 = 0.0, $add22 = 0.0, $mul26 = 0.0, $add27 = 0.0, $add31 = 0.0, $arrayidx = 0, $x32 = 0, $add35 = 0.0, $y37 = 0, $sub40 = 0.0, $x42 = 0, $sub45 = 0.0, $y47 = 0, $sub50 = 0.0, $x52 = 0, $sub55 = 0.0, $y57 = 0, $add60 = 0.0, $x62 = 0, $add65 = 0.0, $y67 = 0, $and = 0, $tobool = 0, $and70 = 0, $tobool71 = 0, $4 = 0, $5 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   11476   __stackBase__ = STACKTOP;
   11477   STACKTOP = STACKTOP + 64 | 0;
   11478   tempParam = $p;
   11479   $p = STACKTOP;
   11480   STACKTOP = STACKTOP + 16 | 0;
   11481   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   11482   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   11483   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   11484   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   11485   tempParam = $u;
   11486   $u = STACKTOP;
   11487   STACKTOP = STACKTOP + 16 | 0;
   11488   HEAP32[$u >> 2] = HEAP32[tempParam >> 2] | 0;
   11489   HEAP32[$u + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   11490   HEAP32[$u + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   11491   HEAP32[$u + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   11492   label = 2;
   11493   while (1) switch (label | 0) {
   11494    case 2:
   11495     $a = __stackBase__ | 0;
   11496     $y = $u + 8 | 0;
   11497     $0 = +HEAPF64[$y >> 3];
   11498     $x1 = $u | 0;
   11499     $1 = +HEAPF64[$x1 >> 3];
   11500     $x3 = $p | 0;
   11501     $2 = +HEAPF64[$x3 >> 3];
   11502     $add = $1 + $2;
   11503     $y6 = $p + 8 | 0;
   11504     $3 = +HEAPF64[$y6 >> 3];
   11505     $add8 = $0 + $3;
   11506     $mul = $1 * .2;
   11507     $add12 = $2 + $mul;
   11508     $mul16 = $0 * .2;
   11509     $add17 = $mul16 + $3;
   11510     $mul21 = $1 * .6;
   11511     $add22 = $2 + $mul21;
   11512     $mul26 = $0 * .6;
   11513     $add27 = $mul26 + $3;
   11514     $add31 = $add12 - $0;
   11515     $arrayidx = $a | 0;
   11516     $x32 = $a | 0;
   11517     HEAPF64[$x32 >> 3] = $add31;
   11518     $add35 = $1 + $add17;
   11519     $y37 = $a + 8 | 0;
   11520     HEAPF64[$y37 >> 3] = $add35;
   11521     $sub40 = $0 + $add12;
   11522     $x42 = $a + 16 | 0;
   11523     HEAPF64[$x42 >> 3] = $sub40;
   11524     $sub45 = $add17 - $1;
   11525     $y47 = $a + 24 | 0;
   11526     HEAPF64[$y47 >> 3] = $sub45;
   11527     $sub50 = $0 + $add22;
   11528     $x52 = $a + 32 | 0;
   11529     HEAPF64[$x52 >> 3] = $sub50;
   11530     $sub55 = $add27 - $1;
   11531     $y57 = $a + 40 | 0;
   11532     HEAPF64[$y57 >> 3] = $sub55;
   11533     $add60 = $add22 - $0;
   11534     $x62 = $a + 48 | 0;
   11535     HEAPF64[$x62 >> 3] = $add60;
   11536     $add65 = $1 + $add27;
   11537     $y67 = $a + 56 | 0;
   11538     HEAPF64[$y67 >> 3] = $add65;
   11539     $and = $flag & 32;
   11540     $tobool = ($and | 0) == 0;
   11541     if ($tobool) {
   11542       label = 4;
   11543       break;
   11544     } else {
   11545       label = 3;
   11546       break;
   11547     }
   11548    case 3:
   11549     HEAPF64[$x32 >> 3] = $add12;
   11550     HEAPF64[$y37 >> 3] = $add17;
   11551     HEAPF64[$x62 >> 3] = $add22;
   11552     HEAPF64[$y67 >> 3] = $add27;
   11553     label = 6;
   11554     break;
   11555    case 4:
   11556     $and70 = $flag & 64;
   11557     $tobool71 = ($and70 | 0) == 0;
   11558     if ($tobool71) {
   11559       label = 6;
   11560       break;
   11561     } else {
   11562       label = 5;
   11563       break;
   11564     }
   11565    case 5:
   11566     HEAPF64[$x42 >> 3] = $add12;
   11567     HEAPF64[$y47 >> 3] = $add17;
   11568     HEAPF64[$x52 >> 3] = $add22;
   11569     HEAPF64[$y57 >> 3] = $add27;
   11570     label = 6;
   11571     break;
   11572    case 6:
   11573     _gvrender_polygon($job, $arrayidx, 4, 1);
   11574     $4 = $a;
   11575     $5 = $p;
   11576     HEAP32[$4 >> 2] = HEAP32[$5 >> 2] | 0;
   11577     HEAP32[$4 + 4 >> 2] = HEAP32[$5 + 4 >> 2] | 0;
   11578     HEAP32[$4 + 8 >> 2] = HEAP32[$5 + 8 >> 2] | 0;
   11579     HEAP32[$4 + 12 >> 2] = HEAP32[$5 + 12 >> 2] | 0;
   11580     HEAPF64[$x42 >> 3] = $add;
   11581     HEAPF64[$y47 >> 3] = $add8;
   11582     _gvrender_polyline($job, $arrayidx, 2);
   11583     STACKTOP = __stackBase__;
   11584     return;
   11585   }
   11586 }
   11587 function _arrow_type_box($job, $p, $u, $arrowsize, $penwidth, $flag) {
   11588   $job = $job | 0;
   11589   $p = $p | 0;
   11590   $u = $u | 0;
   11591   $arrowsize = +$arrowsize;
   11592   $penwidth = +$penwidth;
   11593   $flag = $flag | 0;
   11594   var $a = 0, $y = 0, $0 = 0.0, $mul = 0.0, $x1 = 0, $1 = 0.0, $mul2 = 0.0, $x4 = 0, $2 = 0.0, $mul6 = 0.0, $add = 0.0, $y8 = 0, $3 = 0.0, $mul10 = 0.0, $add11 = 0.0, $add15 = 0.0, $add19 = 0.0, $add23 = 0.0, $arrayidx = 0, $x24 = 0, $4 = 0.0, $add27 = 0.0, $y29 = 0, $5 = 0.0, $sub32 = 0.0, $arrayidx33 = 0, $x34 = 0, $6 = 0.0, $sub37 = 0.0, $y39 = 0, $sub42 = 0.0, $x44 = 0, $sub47 = 0.0, $y49 = 0, $add52 = 0.0, $x54 = 0, $add57 = 0.0, $y59 = 0, $and = 0, $tobool = 0, $7 = 0, $8 = 0, $and62 = 0, $tobool63 = 0, $9 = 0, $10 = 0, $and68 = 0, $and68_lobit = 0, $11 = 0, $conv = 0, $q_sroa_0_0__idx = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   11595   __stackBase__ = STACKTOP;
   11596   STACKTOP = STACKTOP + 64 | 0;
   11597   tempParam = $p;
   11598   $p = STACKTOP;
   11599   STACKTOP = STACKTOP + 16 | 0;
   11600   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   11601   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   11602   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   11603   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   11604   tempParam = $u;
   11605   $u = STACKTOP;
   11606   STACKTOP = STACKTOP + 16 | 0;
   11607   HEAP32[$u >> 2] = HEAP32[tempParam >> 2] | 0;
   11608   HEAP32[$u + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   11609   HEAP32[$u + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   11610   HEAP32[$u + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   11611   label = 2;
   11612   while (1) switch (label | 0) {
   11613    case 2:
   11614     $a = __stackBase__ | 0;
   11615     $y = $u + 8 | 0;
   11616     $0 = +HEAPF64[$y >> 3];
   11617     $mul = $0 * -.4;
   11618     $x1 = $u | 0;
   11619     $1 = +HEAPF64[$x1 >> 3];
   11620     $mul2 = $1 * .4;
   11621     $x4 = $p | 0;
   11622     $2 = +HEAPF64[$x4 >> 3];
   11623     $mul6 = $1 * .8;
   11624     $add = $2 + $mul6;
   11625     $y8 = $p + 8 | 0;
   11626     $3 = +HEAPF64[$y8 >> 3];
   11627     $mul10 = $0 * .8;
   11628     $add11 = $mul10 + $3;
   11629     $add15 = $1 + $2;
   11630     $add19 = $0 + $3;
   11631     $add23 = $mul + $2;
   11632     $arrayidx = $a | 0;
   11633     $x24 = $a | 0;
   11634     HEAPF64[$x24 >> 3] = $add23;
   11635     $4 = +HEAPF64[$y8 >> 3];
   11636     $add27 = $mul2 + $4;
   11637     $y29 = $a + 8 | 0;
   11638     HEAPF64[$y29 >> 3] = $add27;
   11639     $5 = +HEAPF64[$x4 >> 3];
   11640     $sub32 = $5 - $mul;
   11641     $arrayidx33 = $a + 16 | 0;
   11642     $x34 = $arrayidx33 | 0;
   11643     HEAPF64[$x34 >> 3] = $sub32;
   11644     $6 = +HEAPF64[$y8 >> 3];
   11645     $sub37 = $6 - $mul2;
   11646     $y39 = $a + 24 | 0;
   11647     HEAPF64[$y39 >> 3] = $sub37;
   11648     $sub42 = $add - $mul;
   11649     $x44 = $a + 32 | 0;
   11650     HEAPF64[$x44 >> 3] = $sub42;
   11651     $sub47 = $add11 - $mul2;
   11652     $y49 = $a + 40 | 0;
   11653     HEAPF64[$y49 >> 3] = $sub47;
   11654     $add52 = $mul + $add;
   11655     $x54 = $a + 48 | 0;
   11656     HEAPF64[$x54 >> 3] = $add52;
   11657     $add57 = $mul2 + $add11;
   11658     $y59 = $a + 56 | 0;
   11659     HEAPF64[$y59 >> 3] = $add57;
   11660     $and = $flag & 32;
   11661     $tobool = ($and | 0) == 0;
   11662     if ($tobool) {
   11663       label = 4;
   11664       break;
   11665     } else {
   11666       label = 3;
   11667       break;
   11668     }
   11669    case 3:
   11670     $7 = $a;
   11671     $8 = $p;
   11672     HEAP32[$7 >> 2] = HEAP32[$8 >> 2] | 0;
   11673     HEAP32[$7 + 4 >> 2] = HEAP32[$8 + 4 >> 2] | 0;
   11674     HEAP32[$7 + 8 >> 2] = HEAP32[$8 + 8 >> 2] | 0;
   11675     HEAP32[$7 + 12 >> 2] = HEAP32[$8 + 12 >> 2] | 0;
   11676     HEAPF64[$x54 >> 3] = $add;
   11677     HEAPF64[$y59 >> 3] = $add11;
   11678     label = 6;
   11679     break;
   11680    case 4:
   11681     $and62 = $flag & 64;
   11682     $tobool63 = ($and62 | 0) == 0;
   11683     if ($tobool63) {
   11684       label = 6;
   11685       break;
   11686     } else {
   11687       label = 5;
   11688       break;
   11689     }
   11690    case 5:
   11691     $9 = $arrayidx33;
   11692     $10 = $p;
   11693     HEAP32[$9 >> 2] = HEAP32[$10 >> 2] | 0;
   11694     HEAP32[$9 + 4 >> 2] = HEAP32[$10 + 4 >> 2] | 0;
   11695     HEAP32[$9 + 8 >> 2] = HEAP32[$10 + 8 >> 2] | 0;
   11696     HEAP32[$9 + 12 >> 2] = HEAP32[$10 + 12 >> 2] | 0;
   11697     HEAPF64[$x44 >> 3] = $add;
   11698     HEAPF64[$y49 >> 3] = $add11;
   11699     label = 6;
   11700     break;
   11701    case 6:
   11702     $and68 = $flag >>> 3;
   11703     $and68_lobit = $and68 & 1;
   11704     $11 = $and68_lobit ^ 1;
   11705     $conv = $11 & 255;
   11706     _gvrender_polygon($job, $arrayidx, 4, $conv);
   11707     HEAPF64[$x24 >> 3] = $add;
   11708     HEAPF64[$y29 >> 3] = $add11;
   11709     $q_sroa_0_0__idx = $a + 16 | 0;
   11710     HEAPF64[$q_sroa_0_0__idx >> 3] = $add15;
   11711     HEAPF64[$y39 >> 3] = $add19;
   11712     _gvrender_polyline($job, $arrayidx, 2);
   11713     STACKTOP = __stackBase__;
   11714     return;
   11715   }
   11716 }
   11717 function _arrow_type_diamond($job, $p, $u, $arrowsize, $penwidth, $flag) {
   11718   $job = $job | 0;
   11719   $p = $p | 0;
   11720   $u = $u | 0;
   11721   $arrowsize = +$arrowsize;
   11722   $penwidth = +$penwidth;
   11723   $flag = $flag | 0;
   11724   var $a = 0, $y = 0, $0 = 0.0, $sub = 0.0, $div = 0.0, $x1 = 0, $1 = 0.0, $div2 = 0.0, $x4 = 0, $2 = 0.0, $div6 = 0.0, $add = 0.0, $y8 = 0, $3 = 0.0, $div10 = 0.0, $add11 = 0.0, $add15 = 0.0, $add19 = 0.0, $arrayidx = 0, $arrayidx21 = 0, $q_sroa_0_0__idx = 0, $q_sroa_1_8__idx5 = 0, $4 = 0, $5 = 0, $add24 = 0.0, $x26 = 0, $add29 = 0.0, $y31 = 0, $arrayidx32 = 0, $6 = 0, $7 = 0, $sub35 = 0.0, $x37 = 0, $sub40 = 0.0, $y42 = 0, $and = 0, $tobool = 0, $and44 = 0, $and44_lobit = 0, $8 = 0, $conv = 0, $and46 = 0, $tobool47 = 0, $and49 = 0, $and49_lobit = 0, $9 = 0, $conv53 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   11725   __stackBase__ = STACKTOP;
   11726   STACKTOP = STACKTOP + 80 | 0;
   11727   tempParam = $p;
   11728   $p = STACKTOP;
   11729   STACKTOP = STACKTOP + 16 | 0;
   11730   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   11731   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   11732   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   11733   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   11734   tempParam = $u;
   11735   $u = STACKTOP;
   11736   STACKTOP = STACKTOP + 16 | 0;
   11737   HEAP32[$u >> 2] = HEAP32[tempParam >> 2] | 0;
   11738   HEAP32[$u + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   11739   HEAP32[$u + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   11740   HEAP32[$u + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   11741   label = 2;
   11742   while (1) switch (label | 0) {
   11743    case 2:
   11744     $a = __stackBase__ | 0;
   11745     $y = $u + 8 | 0;
   11746     $0 = +HEAPF64[$y >> 3];
   11747     $sub = -0.0 - $0;
   11748     $div = $sub / 3.0;
   11749     $x1 = $u | 0;
   11750     $1 = +HEAPF64[$x1 >> 3];
   11751     $div2 = $1 / 3.0;
   11752     $x4 = $p | 0;
   11753     $2 = +HEAPF64[$x4 >> 3];
   11754     $div6 = $1 * .5;
   11755     $add = $div6 + $2;
   11756     $y8 = $p + 8 | 0;
   11757     $3 = +HEAPF64[$y8 >> 3];
   11758     $div10 = $0 * .5;
   11759     $add11 = $div10 + $3;
   11760     $add15 = $1 + $2;
   11761     $add19 = $0 + $3;
   11762     $arrayidx = $a | 0;
   11763     $arrayidx21 = $a + 64 | 0;
   11764     $q_sroa_0_0__idx = $a + 64 | 0;
   11765     HEAPF64[$q_sroa_0_0__idx >> 3] = $add15;
   11766     $q_sroa_1_8__idx5 = $a + 72 | 0;
   11767     HEAPF64[$q_sroa_1_8__idx5 >> 3] = $add19;
   11768     $4 = $a;
   11769     $5 = $arrayidx21;
   11770     HEAP32[$4 >> 2] = HEAP32[$5 >> 2] | 0;
   11771     HEAP32[$4 + 4 >> 2] = HEAP32[$5 + 4 >> 2] | 0;
   11772     HEAP32[$4 + 8 >> 2] = HEAP32[$5 + 8 >> 2] | 0;
   11773     HEAP32[$4 + 12 >> 2] = HEAP32[$5 + 12 >> 2] | 0;
   11774     $add24 = $div + $add;
   11775     $x26 = $a + 16 | 0;
   11776     HEAPF64[$x26 >> 3] = $add24;
   11777     $add29 = $div2 + $add11;
   11778     $y31 = $a + 24 | 0;
   11779     HEAPF64[$y31 >> 3] = $add29;
   11780     $arrayidx32 = $a + 32 | 0;
   11781     $6 = $arrayidx32;
   11782     $7 = $p;
   11783     HEAP32[$6 >> 2] = HEAP32[$7 >> 2] | 0;
   11784     HEAP32[$6 + 4 >> 2] = HEAP32[$7 + 4 >> 2] | 0;
   11785     HEAP32[$6 + 8 >> 2] = HEAP32[$7 + 8 >> 2] | 0;
   11786     HEAP32[$6 + 12 >> 2] = HEAP32[$7 + 12 >> 2] | 0;
   11787     $sub35 = $add - $div;
   11788     $x37 = $a + 48 | 0;
   11789     HEAPF64[$x37 >> 3] = $sub35;
   11790     $sub40 = $add11 - $div2;
   11791     $y42 = $a + 56 | 0;
   11792     HEAPF64[$y42 >> 3] = $sub40;
   11793     $and = $flag & 32;
   11794     $tobool = ($and | 0) == 0;
   11795     if ($tobool) {
   11796       label = 4;
   11797       break;
   11798     } else {
   11799       label = 3;
   11800       break;
   11801     }
   11802    case 3:
   11803     $and44 = $flag >>> 3;
   11804     $and44_lobit = $and44 & 1;
   11805     $8 = $and44_lobit ^ 1;
   11806     $conv = $8 & 255;
   11807     _gvrender_polygon($job, $arrayidx32, 3, $conv);
   11808     label = 7;
   11809     break;
   11810    case 4:
   11811     $and46 = $flag & 64;
   11812     $tobool47 = ($and46 | 0) == 0;
   11813     $and49 = $flag >>> 3;
   11814     $and49_lobit = $and49 & 1;
   11815     $9 = $and49_lobit ^ 1;
   11816     $conv53 = $9 & 255;
   11817     if ($tobool47) {
   11818       label = 6;
   11819       break;
   11820     } else {
   11821       label = 5;
   11822       break;
   11823     }
   11824    case 5:
   11825     _gvrender_polygon($job, $arrayidx, 3, $conv53);
   11826     label = 7;
   11827     break;
   11828    case 6:
   11829     _gvrender_polygon($job, $arrayidx, 4, $conv53);
   11830     label = 7;
   11831     break;
   11832    case 7:
   11833     STACKTOP = __stackBase__;
   11834     return;
   11835   }
   11836 }
   11837 function _arrow_type_dot($job, $p, $u, $arrowsize, $penwidth, $flag) {
   11838   $job = $job | 0;
   11839   $p = $p | 0;
   11840   $u = $u | 0;
   11841   $arrowsize = +$arrowsize;
   11842   $penwidth = +$penwidth;
   11843   $flag = $flag | 0;
   11844   var $AF = 0, $x = 0, $0 = 0.0, $y = 0, $1 = 0.0, $div = 0.0, $x4 = 0, $y9 = 0, tempParam = 0, __stackBase__ = 0;
   11845   __stackBase__ = STACKTOP;
   11846   STACKTOP = STACKTOP + 32 | 0;
   11847   tempParam = $p;
   11848   $p = STACKTOP;
   11849   STACKTOP = STACKTOP + 16 | 0;
   11850   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   11851   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   11852   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   11853   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   11854   tempParam = $u;
   11855   $u = STACKTOP;
   11856   STACKTOP = STACKTOP + 16 | 0;
   11857   HEAP32[$u >> 2] = HEAP32[tempParam >> 2] | 0;
   11858   HEAP32[$u + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   11859   HEAP32[$u + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   11860   HEAP32[$u + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   11861   $AF = __stackBase__ | 0;
   11862   $x = $u | 0;
   11863   $0 = +HEAPF64[$x >> 3];
   11864   $y = $u + 8 | 0;
   11865   $1 = +HEAPF64[$y >> 3];
   11866   $div = +Math_sqrt(+($0 * $0 + $1 * $1)) * .5;
   11867   $x4 = $p | 0;
   11868   HEAPF64[$AF >> 3] = $0 * .5 + +HEAPF64[$x4 >> 3] - $div;
   11869   $y9 = $p + 8 | 0;
   11870   HEAPF64[$AF + 8 >> 3] = +HEAPF64[$y9 >> 3] + +HEAPF64[$y >> 3] * .5 - $div;
   11871   HEAPF64[$AF + 16 >> 3] = $div + (+HEAPF64[$x4 >> 3] + +HEAPF64[$x >> 3] * .5);
   11872   HEAPF64[$AF + 24 >> 3] = $div + (+HEAPF64[$y9 >> 3] + +HEAPF64[$y >> 3] * .5);
   11873   _gvrender_ellipse($job, $AF | 0, 0, ($flag >>> 3 & 1 ^ 1) & 255);
   11874   STACKTOP = __stackBase__;
   11875   return;
   11876 }
   11877 function _arrow_match_shape($name, $flag) {
   11878   $name = $name | 0;
   11879   $flag = $flag | 0;
   11880   var $f = 0, $call = 0, $cmp = 0, $rest_0 = 0, $call1 = 0, $cmp2 = 0, $call3 = 0, $rest_1 = 0, $0 = 0, $tobool = 0, $and = 0, $tobool4 = 0, $or_cond = 0, $or = 0, $1 = 0, $2 = 0, $or7 = 0, label = 0, __stackBase__ = 0;
   11881   __stackBase__ = STACKTOP;
   11882   STACKTOP = STACKTOP + 8 | 0;
   11883   label = 2;
   11884   while (1) switch (label | 0) {
   11885    case 2:
   11886     $f = __stackBase__ | 0;
   11887     HEAP32[$f >> 2] = 0;
   11888     $call = _arrow_match_name_frag($name, 168304, $f) | 0;
   11889     $cmp = ($call | 0) == ($name | 0);
   11890     if ($cmp) {
   11891       $rest_0 = $call;
   11892       label = 3;
   11893       break;
   11894     } else {
   11895       $rest_1 = $call;
   11896       label = 5;
   11897       break;
   11898     }
   11899    case 3:
   11900     $call1 = _arrow_match_name_frag($rest_0, 168416, $f) | 0;
   11901     $cmp2 = ($rest_0 | 0) == ($call1 | 0);
   11902     if ($cmp2) {
   11903       label = 4;
   11904       break;
   11905     } else {
   11906       $rest_0 = $call1;
   11907       label = 3;
   11908       break;
   11909     }
   11910    case 4:
   11911     $call3 = _arrow_match_name_frag($call1, 168320, $f) | 0;
   11912     $rest_1 = $call3;
   11913     label = 5;
   11914     break;
   11915    case 5:
   11916     $0 = HEAP32[$f >> 2] | 0;
   11917     $tobool = ($0 | 0) != 0;
   11918     $and = $0 & 7;
   11919     $tobool4 = ($and | 0) == 0;
   11920     $or_cond = $tobool & $tobool4;
   11921     if ($or_cond) {
   11922       label = 6;
   11923       break;
   11924     } else {
   11925       label = 7;
   11926       break;
   11927     }
   11928    case 6:
   11929     $or = $0 | 1;
   11930     HEAP32[$f >> 2] = $or;
   11931     label = 7;
   11932     break;
   11933    case 7:
   11934     $1 = HEAP32[$f >> 2] | 0;
   11935     $2 = HEAP32[$flag >> 2] | 0;
   11936     $or7 = $2 | $1;
   11937     HEAP32[$flag >> 2] = $or7;
   11938     STACKTOP = __stackBase__;
   11939     return $rest_1 | 0;
   11940   }
   11941   return 0;
   11942 }
   11943 function _arrow_match_name_frag($name, $arrownames, $flag) {
   11944   $name = $name | 0;
   11945   $arrownames = $arrownames | 0;
   11946   $flag = $flag | 0;
   11947   var $arrowname_0 = 0, $name1 = 0, $0 = 0, $tobool = 0, $call = 0, $call4 = 0, $cmp = 0, $incdec_ptr = 0, $type = 0, $1 = 0, $2 = 0, $or = 0, $add_ptr = 0, $rest_0 = 0, label = 0;
   11948   label = 2;
   11949   while (1) switch (label | 0) {
   11950    case 2:
   11951     $arrowname_0 = $arrownames;
   11952     label = 3;
   11953     break;
   11954    case 3:
   11955     $name1 = $arrowname_0 | 0;
   11956     $0 = HEAP32[$name1 >> 2] | 0;
   11957     $tobool = ($0 | 0) == 0;
   11958     if ($tobool) {
   11959       $rest_0 = $name;
   11960       label = 6;
   11961       break;
   11962     } else {
   11963       label = 4;
   11964       break;
   11965     }
   11966    case 4:
   11967     $call = _strlen($0 | 0) | 0;
   11968     $call4 = _strncmp($name | 0, $0 | 0, $call | 0) | 0;
   11969     $cmp = ($call4 | 0) == 0;
   11970     $incdec_ptr = $arrowname_0 + 8 | 0;
   11971     if ($cmp) {
   11972       label = 5;
   11973       break;
   11974     } else {
   11975       $arrowname_0 = $incdec_ptr;
   11976       label = 3;
   11977       break;
   11978     }
   11979    case 5:
   11980     $type = $arrowname_0 + 4 | 0;
   11981     $1 = HEAP32[$type >> 2] | 0;
   11982     $2 = HEAP32[$flag >> 2] | 0;
   11983     $or = $2 | $1;
   11984     HEAP32[$flag >> 2] = $or;
   11985     $add_ptr = $name + $call | 0;
   11986     $rest_0 = $add_ptr;
   11987     label = 6;
   11988     break;
   11989    case 6:
   11990     return $rest_0 | 0;
   11991   }
   11992   return 0;
   11993 }
   11994 function _init_xdot($g) {
   11995   $g = $g | 0;
   11996   var $stats = 0, $0 = 0, $call = 0, $tobool = 0, $1 = 0, $tobool1 = 0, $2 = 0, $tobool2 = 0, $call4 = 0, $tobool5 = 0, $name = 0, $3 = 0, $call7 = 0, $call8 = 0, $4 = 0, $tobool10 = 0, $call12 = 0.0, $call13 = 0, $5 = 0, $cnt = 0, $6 = 0, $call14 = 0, $7 = 0, $n_polygon = 0, $8 = 0, $n_polygon_pts = 0, $9 = 0, $call15 = 0, $10 = 0, $n_polyline = 0, $11 = 0, $n_polyline_pts = 0, $12 = 0, $call16 = 0, $13 = 0, $n_bezier = 0, $14 = 0, $n_bezier_pts = 0, $15 = 0, $call17 = 0, $16 = 0, $n_ellipse = 0, $17 = 0, $call18 = 0, $18 = 0, $n_text = 0, $19 = 0, $call19 = 0, $xd_0 = 0, $20 = 0, label = 0, __stackBase__ = 0;
   11997   __stackBase__ = STACKTOP;
   11998   STACKTOP = STACKTOP + 56 | 0;
   11999   label = 2;
   12000   while (1) switch (label | 0) {
   12001    case 2:
   12002     $stats = __stackBase__ | 0;
   12003     $0 = $g | 0;
   12004     $call = _agget($0, 132328) | 0;
   12005     $tobool = ($call | 0) == 0;
   12006     if ($tobool) {
   12007       $xd_0 = 0;
   12008       label = 10;
   12009       break;
   12010     } else {
   12011       label = 3;
   12012       break;
   12013     }
   12014    case 3:
   12015     $1 = HEAP8[$call] | 0;
   12016     $tobool1 = $1 << 24 >> 24 == 0;
   12017     if ($tobool1) {
   12018       $xd_0 = 0;
   12019       label = 10;
   12020       break;
   12021     } else {
   12022       label = 4;
   12023       break;
   12024     }
   12025    case 4:
   12026     $2 = HEAP8[164912] | 0;
   12027     $tobool2 = $2 << 24 >> 24 == 0;
   12028     if ($tobool2) {
   12029       label = 6;
   12030       break;
   12031     } else {
   12032       label = 5;
   12033       break;
   12034     }
   12035    case 5:
   12036     _start_timer();
   12037     label = 6;
   12038     break;
   12039    case 6:
   12040     $call4 = _parseXDotF($call | 0, 0, 96) | 0;
   12041     $tobool5 = ($call4 | 0) == 0;
   12042     if ($tobool5) {
   12043       label = 7;
   12044       break;
   12045     } else {
   12046       label = 8;
   12047       break;
   12048     }
   12049    case 7:
   12050     $name = $g + 12 | 0;
   12051     $3 = HEAP32[$name >> 2] | 0;
   12052     $call7 = _agerr(0, 155888, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $3, tempInt) | 0) | 0;
   12053     $call8 = _agerr(3, 128168, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $call, tempInt) | 0) | 0;
   12054     label = 8;
   12055     break;
   12056    case 8:
   12057     $4 = HEAP8[164912] | 0;
   12058     $tobool10 = $4 << 24 >> 24 == 0;
   12059     if ($tobool10) {
   12060       $xd_0 = $call4;
   12061       label = 10;
   12062       break;
   12063     } else {
   12064       label = 9;
   12065       break;
   12066     }
   12067    case 9:
   12068     $call12 = +_elapsed_sec();
   12069     $call13 = _statXDot($call4 | 0, $stats | 0) | 0;
   12070     $5 = HEAP32[_stderr >> 2] | 0;
   12071     $cnt = $stats | 0;
   12072     $6 = HEAP32[$cnt >> 2] | 0;
   12073     $call14 = _fprintf($5 | 0, 117616, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $6, HEAPF64[tempInt + 8 >> 3] = $call12, tempInt) | 0) | 0;
   12074     $7 = HEAP32[_stderr >> 2] | 0;
   12075     $n_polygon = $stats + 8 | 0;
   12076     $8 = HEAP32[$n_polygon >> 2] | 0;
   12077     $n_polygon_pts = $stats + 12 | 0;
   12078     $9 = HEAP32[$n_polygon_pts >> 2] | 0;
   12079     $call15 = _fprintf($7 | 0, 112264, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $8, HEAP32[tempInt + 8 >> 2] = $9, tempInt) | 0) | 0;
   12080     $10 = HEAP32[_stderr >> 2] | 0;
   12081     $n_polyline = $stats + 16 | 0;
   12082     $11 = HEAP32[$n_polyline >> 2] | 0;
   12083     $n_polyline_pts = $stats + 20 | 0;
   12084     $12 = HEAP32[$n_polyline_pts >> 2] | 0;
   12085     $call16 = _fprintf($10 | 0, 107904, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $11, HEAP32[tempInt + 8 >> 2] = $12, tempInt) | 0) | 0;
   12086     $13 = HEAP32[_stderr >> 2] | 0;
   12087     $n_bezier = $stats + 24 | 0;
   12088     $14 = HEAP32[$n_bezier >> 2] | 0;
   12089     $n_bezier_pts = $stats + 28 | 0;
   12090     $15 = HEAP32[$n_bezier_pts >> 2] | 0;
   12091     $call17 = _fprintf($13 | 0, 103872, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $14, HEAP32[tempInt + 8 >> 2] = $15, tempInt) | 0) | 0;
   12092     $16 = HEAP32[_stderr >> 2] | 0;
   12093     $n_ellipse = $stats + 4 | 0;
   12094     $17 = HEAP32[$n_ellipse >> 2] | 0;
   12095     $call18 = _fprintf($16 | 0, 99640, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $17, tempInt) | 0) | 0;
   12096     $18 = HEAP32[_stderr >> 2] | 0;
   12097     $n_text = $stats + 32 | 0;
   12098     $19 = HEAP32[$n_text >> 2] | 0;
   12099     $call19 = _fprintf($18 | 0, 95576, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $19, tempInt) | 0) | 0;
   12100     $xd_0 = $call4;
   12101     label = 10;
   12102     break;
   12103    case 10:
   12104     $20 = $xd_0;
   12105     STACKTOP = __stackBase__;
   12106     return $20 | 0;
   12107   }
   12108   return 0;
   12109 }
   12110 function _push_obj_state($job) {
   12111   $job = $job | 0;
   12112   var $call = 0, $0 = 0, $tobool = 0, $call1 = 0, $obj2 = 0, $1 = 0, $parent3 = 0, $tobool5 = 0, $pencolor = 0, $pencolor7 = 0, $2 = 0, $fillcolor = 0, $fillcolor8 = 0, $3 = 0, $pen = 0, $4 = 0, $pen9 = 0, $5 = 0, $fill = 0, $6 = 0, $fill10 = 0, $7 = 0, $penwidth = 0, $8 = 0.0, $penwidth11 = 0, $9 = 0, $pen12 = 0, $10 = 0, $fill13 = 0, $11 = 0, $penwidth14 = 0, $12 = 0, label = 0, __stackBase__ = 0;
   12113   __stackBase__ = STACKTOP;
   12114   label = 2;
   12115   while (1) switch (label | 0) {
   12116    case 2:
   12117     $call = _zmalloc(256) | 0;
   12118     $0 = $call;
   12119     $tobool = ($call | 0) == 0;
   12120     if ($tobool) {
   12121       label = 3;
   12122       break;
   12123     } else {
   12124       label = 4;
   12125       break;
   12126     }
   12127    case 3:
   12128     $call1 = _agerr(1, 92048, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0) | 0;
   12129     label = 4;
   12130     break;
   12131    case 4:
   12132     $obj2 = $job + 16 | 0;
   12133     $1 = HEAP32[$obj2 >> 2] | 0;
   12134     $parent3 = $call;
   12135     HEAP32[$parent3 >> 2] = $1;
   12136     HEAP32[$obj2 >> 2] = $0;
   12137     $tobool5 = ($1 | 0) == 0;
   12138     if ($tobool5) {
   12139       label = 6;
   12140       break;
   12141     } else {
   12142       label = 5;
   12143       break;
   12144     }
   12145    case 5:
   12146     $pencolor = $call + 16 | 0;
   12147     $pencolor7 = $1 + 16 | 0;
   12148     $2 = $pencolor7;
   12149     HEAP32[$pencolor >> 2] = HEAP32[$2 >> 2] | 0;
   12150     HEAP32[$pencolor + 4 >> 2] = HEAP32[$2 + 4 >> 2] | 0;
   12151     HEAP32[$pencolor + 8 >> 2] = HEAP32[$2 + 8 >> 2] | 0;
   12152     HEAP32[$pencolor + 12 >> 2] = HEAP32[$2 + 12 >> 2] | 0;
   12153     HEAP32[$pencolor + 16 >> 2] = HEAP32[$2 + 16 >> 2] | 0;
   12154     HEAP32[$pencolor + 20 >> 2] = HEAP32[$2 + 20 >> 2] | 0;
   12155     HEAP32[$pencolor + 24 >> 2] = HEAP32[$2 + 24 >> 2] | 0;
   12156     HEAP32[$pencolor + 28 >> 2] = HEAP32[$2 + 28 >> 2] | 0;
   12157     HEAP32[$pencolor + 32 >> 2] = HEAP32[$2 + 32 >> 2] | 0;
   12158     HEAP32[$pencolor + 36 >> 2] = HEAP32[$2 + 36 >> 2] | 0;
   12159     $fillcolor = $call + 56 | 0;
   12160     $fillcolor8 = $1 + 56 | 0;
   12161     $3 = $fillcolor8;
   12162     HEAP32[$fillcolor >> 2] = HEAP32[$3 >> 2] | 0;
   12163     HEAP32[$fillcolor + 4 >> 2] = HEAP32[$3 + 4 >> 2] | 0;
   12164     HEAP32[$fillcolor + 8 >> 2] = HEAP32[$3 + 8 >> 2] | 0;
   12165     HEAP32[$fillcolor + 12 >> 2] = HEAP32[$3 + 12 >> 2] | 0;
   12166     HEAP32[$fillcolor + 16 >> 2] = HEAP32[$3 + 16 >> 2] | 0;
   12167     HEAP32[$fillcolor + 20 >> 2] = HEAP32[$3 + 20 >> 2] | 0;
   12168     HEAP32[$fillcolor + 24 >> 2] = HEAP32[$3 + 24 >> 2] | 0;
   12169     HEAP32[$fillcolor + 28 >> 2] = HEAP32[$3 + 28 >> 2] | 0;
   12170     HEAP32[$fillcolor + 32 >> 2] = HEAP32[$3 + 32 >> 2] | 0;
   12171     HEAP32[$fillcolor + 36 >> 2] = HEAP32[$3 + 36 >> 2] | 0;
   12172     $pen = $1 + 96 | 0;
   12173     $4 = HEAP32[$pen >> 2] | 0;
   12174     $pen9 = $call + 96 | 0;
   12175     $5 = $pen9;
   12176     HEAP32[$5 >> 2] = $4;
   12177     $fill = $1 + 100 | 0;
   12178     $6 = HEAP32[$fill >> 2] | 0;
   12179     $fill10 = $call + 100 | 0;
   12180     $7 = $fill10;
   12181     HEAP32[$7 >> 2] = $6;
   12182     $penwidth = $1 + 104 | 0;
   12183     $8 = +HEAPF64[$penwidth >> 3];
   12184     $penwidth11 = $call + 104 | 0;
   12185     $9 = $penwidth11;
   12186     HEAPF64[$9 >> 3] = $8;
   12187     label = 7;
   12188     break;
   12189    case 6:
   12190     $pen12 = $call + 96 | 0;
   12191     $10 = $pen12;
   12192     HEAP32[$10 >> 2] = 3;
   12193     $fill13 = $call + 100 | 0;
   12194     $11 = $fill13;
   12195     HEAP32[$11 >> 2] = 0;
   12196     $penwidth14 = $call + 104 | 0;
   12197     $12 = $penwidth14;
   12198     HEAPF64[$12 >> 3] = 1.0;
   12199     label = 7;
   12200     break;
   12201    case 7:
   12202     STACKTOP = __stackBase__;
   12203     return $0 | 0;
   12204   }
   12205   return 0;
   12206 }
   12207 function _initMapData($job, $lbl, $url, $tooltip, $target, $id, $gobj) {
   12208   $job = $job | 0;
   12209   $lbl = $lbl | 0;
   12210   $url = $url | 0;
   12211   $tooltip = $tooltip | 0;
   12212   $target = $target | 0;
   12213   $id = $id | 0;
   12214   $gobj = $gobj | 0;
   12215   var $obj1 = 0, $0 = 0, $flags2 = 0, $1 = 0, $and = 0, $tobool = 0, $tobool3 = 0, $or_cond = 0, $label = 0, $and4 = 0, $tobool5 = 0, $call = 0, $id7 = 0, $tobool8 = 0, $2 = 0, $tobool10 = 0, $call12 = 0, $url13 = 0, $assigned_0 = 0, $and16 = 0, $tobool17 = 0, $tobool19 = 0, $3 = 0, $tobool23 = 0, $call25 = 0, $tooltip26 = 0, $4 = 0, $5 = 0, $6 = 0, $7 = 0, $label27 = 0, $8 = 0, $tobool28 = 0, $call31 = 0, $tooltip32 = 0, $assigned_1 = 0, $and36 = 0, $tobool37 = 0, $tobool39 = 0, $or_cond23 = 0, $9 = 0, $tobool43 = 0, $call45 = 0, $target46 = 0, $assigned_2 = 0, label = 0;
   12216   label = 2;
   12217   while (1) switch (label | 0) {
   12218    case 2:
   12219     $obj1 = $job + 16 | 0;
   12220     $0 = HEAP32[$obj1 >> 2] | 0;
   12221     $flags2 = $job + 152 | 0;
   12222     $1 = HEAP32[$flags2 >> 2] | 0;
   12223     $and = $1 & 32768;
   12224     $tobool = ($and | 0) == 0;
   12225     $tobool3 = ($lbl | 0) == 0;
   12226     $or_cond = $tobool | $tobool3;
   12227     if ($or_cond) {
   12228       label = 4;
   12229       break;
   12230     } else {
   12231       label = 3;
   12232       break;
   12233     }
   12234    case 3:
   12235     $label = $0 + 144 | 0;
   12236     HEAP32[$label >> 2] = $lbl;
   12237     label = 4;
   12238     break;
   12239    case 4:
   12240     $and4 = $1 & 65536;
   12241     $tobool5 = ($and4 | 0) == 0;
   12242     if ($tobool5) {
   12243       $assigned_0 = 0;
   12244       label = 8;
   12245       break;
   12246     } else {
   12247       label = 5;
   12248       break;
   12249     }
   12250    case 5:
   12251     $call = _strdup_and_subst_obj($id, $gobj) | 0;
   12252     $id7 = $0 + 164 | 0;
   12253     HEAP32[$id7 >> 2] = $call;
   12254     $tobool8 = ($url | 0) == 0;
   12255     if ($tobool8) {
   12256       $assigned_0 = 0;
   12257       label = 8;
   12258       break;
   12259     } else {
   12260       label = 6;
   12261       break;
   12262     }
   12263    case 6:
   12264     $2 = HEAP8[$url] | 0;
   12265     $tobool10 = $2 << 24 >> 24 == 0;
   12266     if ($tobool10) {
   12267       $assigned_0 = 0;
   12268       label = 8;
   12269       break;
   12270     } else {
   12271       label = 7;
   12272       break;
   12273     }
   12274    case 7:
   12275     $call12 = _strdup_and_subst_obj($url, $gobj) | 0;
   12276     $url13 = $0 + 160 | 0;
   12277     HEAP32[$url13 >> 2] = $call12;
   12278     $assigned_0 = 1;
   12279     label = 8;
   12280     break;
   12281    case 8:
   12282     $and16 = $1 & 4194304;
   12283     $tobool17 = ($and16 | 0) == 0;
   12284     if ($tobool17) {
   12285       $assigned_1 = $assigned_0;
   12286       label = 14;
   12287       break;
   12288     } else {
   12289       label = 9;
   12290       break;
   12291     }
   12292    case 9:
   12293     $tobool19 = ($tooltip | 0) == 0;
   12294     if ($tobool19) {
   12295       label = 12;
   12296       break;
   12297     } else {
   12298       label = 10;
   12299       break;
   12300     }
   12301    case 10:
   12302     $3 = HEAP8[$tooltip] | 0;
   12303     $tobool23 = $3 << 24 >> 24 == 0;
   12304     if ($tobool23) {
   12305       label = 12;
   12306       break;
   12307     } else {
   12308       label = 11;
   12309       break;
   12310     }
   12311    case 11:
   12312     $call25 = _strdup_and_subst_obj($tooltip, $gobj) | 0;
   12313     $tooltip26 = $0 + 180 | 0;
   12314     HEAP32[$tooltip26 >> 2] = $call25;
   12315     $4 = $0 + 212 | 0;
   12316     $5 = $4;
   12317     $6 = HEAP32[$5 >> 2] | 0;
   12318     $7 = $6 | 1;
   12319     HEAP32[$5 >> 2] = $7;
   12320     $assigned_1 = 1;
   12321     label = 14;
   12322     break;
   12323    case 12:
   12324     $label27 = $0 + 144 | 0;
   12325     $8 = HEAP32[$label27 >> 2] | 0;
   12326     $tobool28 = ($8 | 0) == 0;
   12327     if ($tobool28) {
   12328       $assigned_1 = $assigned_0;
   12329       label = 14;
   12330       break;
   12331     } else {
   12332       label = 13;
   12333       break;
   12334     }
   12335    case 13:
   12336     $call31 = _strdup($8 | 0) | 0;
   12337     $tooltip32 = $0 + 180 | 0;
   12338     HEAP32[$tooltip32 >> 2] = $call31;
   12339     $assigned_1 = 1;
   12340     label = 14;
   12341     break;
   12342    case 14:
   12343     $and36 = $1 & 8388608;
   12344     $tobool37 = ($and36 | 0) == 0;
   12345     $tobool39 = ($target | 0) == 0;
   12346     $or_cond23 = $tobool37 | $tobool39;
   12347     if ($or_cond23) {
   12348       $assigned_2 = $assigned_1;
   12349       label = 17;
   12350       break;
   12351     } else {
   12352       label = 15;
   12353       break;
   12354     }
   12355    case 15:
   12356     $9 = HEAP8[$target] | 0;
   12357     $tobool43 = $9 << 24 >> 24 == 0;
   12358     if ($tobool43) {
   12359       $assigned_2 = $assigned_1;
   12360       label = 17;
   12361       break;
   12362     } else {
   12363       label = 16;
   12364       break;
   12365     }
   12366    case 16:
   12367     $call45 = _strdup_and_subst_obj($target, $gobj) | 0;
   12368     $target46 = $0 + 196 | 0;
   12369     HEAP32[$target46 >> 2] = $call45;
   12370     $assigned_2 = 1;
   12371     label = 17;
   12372     break;
   12373    case 17:
   12374     return $assigned_2 | 0;
   12375   }
   12376   return 0;
   12377 }
   12378 function _getObjId($job, $obj, $xb) {
   12379   $job = $job | 0;
   12380   $obj = $obj | 0;
   12381   $xb = $xb | 0;
   12382   var $buf = 0, $gvc = 0, $0 = 0, $g = 0, $1 = 0, $drawing = 0, $2 = 0, $id1 = 0, $3 = 0, $call = 0, $tobool = 0, $4 = 0, $tobool2 = 0, $5 = 0, $6 = 0, $7 = 0, $bf_val_sext = 0, $meta_node = 0, $8 = 0, $9 = 0, $id3 = 0, $10 = 0, $id5 = 0, $11 = 0, $12 = 0, $id7 = 0, $13 = 0, $14 = 0, $idnum_0 = 0, $pfx_0 = 0, $tobool8 = 0, $call10 = 0, $ptr = 0, $15 = 0, $eptr = 0, $16 = 0, $cmp = 0, $call12 = 0, $17 = 0, $incdec_ptr = 0, $call15 = 0, $arraydecay = 0, $call16 = 0, $call18 = 0, $ptr19 = 0, $18 = 0, $eptr20 = 0, $19 = 0, $cmp21 = 0, $call24 = 0, $20 = 0, $buf30 = 0, $21 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   12383   __stackBase__ = STACKTOP;
   12384   STACKTOP = STACKTOP + 32 | 0;
   12385   label = 2;
   12386   while (1) switch (label | 0) {
   12387    case 2:
   12388     $buf = __stackBase__ | 0;
   12389     $gvc = $job | 0;
   12390     $0 = HEAP32[$gvc >> 2] | 0;
   12391     $g = $0 + 128 | 0;
   12392     $1 = HEAP32[$g >> 2] | 0;
   12393     $drawing = $1 + 48 | 0;
   12394     $2 = HEAP32[$drawing >> 2] | 0;
   12395     $id1 = $2 + 92 | 0;
   12396     $3 = HEAP32[$id1 >> 2] | 0;
   12397     $call = _agget($obj, 155120) | 0;
   12398     $tobool = ($call | 0) == 0;
   12399     if ($tobool) {
   12400       label = 4;
   12401       break;
   12402     } else {
   12403       label = 3;
   12404       break;
   12405     }
   12406    case 3:
   12407     $4 = HEAP8[$call] | 0;
   12408     $tobool2 = $4 << 24 >> 24 == 0;
   12409     if ($tobool2) {
   12410       label = 4;
   12411       break;
   12412     } else {
   12413       $retval_0 = $call;
   12414       label = 15;
   12415       break;
   12416     }
   12417    case 4:
   12418     $5 = $obj;
   12419     $6 = HEAP32[$5 >> 2] | 0;
   12420     $7 = $6 << 28;
   12421     $bf_val_sext = $7 >> 28;
   12422     if (($bf_val_sext | 0) == 3) {
   12423       label = 5;
   12424       break;
   12425     } else if (($bf_val_sext | 0) == 1) {
   12426       label = 6;
   12427       break;
   12428     } else if (($bf_val_sext | 0) == 2) {
   12429       label = 7;
   12430       break;
   12431     } else {
   12432       $pfx_0 = 0;
   12433       $idnum_0 = 0;
   12434       label = 8;
   12435       break;
   12436     }
   12437    case 5:
   12438     $meta_node = $obj + 36 | 0;
   12439     $8 = $meta_node;
   12440     $9 = HEAP32[$8 >> 2] | 0;
   12441     $id3 = $9 + 16 | 0;
   12442     $10 = HEAP32[$id3 >> 2] | 0;
   12443     $pfx_0 = 151640;
   12444     $idnum_0 = $10;
   12445     label = 8;
   12446     break;
   12447    case 6:
   12448     $id5 = $obj + 16 | 0;
   12449     $11 = $id5;
   12450     $12 = HEAP32[$11 >> 2] | 0;
   12451     $pfx_0 = 148304;
   12452     $idnum_0 = $12;
   12453     label = 8;
   12454     break;
   12455    case 7:
   12456     $id7 = $obj + 20 | 0;
   12457     $13 = $id7;
   12458     $14 = HEAP32[$13 >> 2] | 0;
   12459     $pfx_0 = 145504;
   12460     $idnum_0 = $14;
   12461     label = 8;
   12462     break;
   12463    case 8:
   12464     $tobool8 = ($3 | 0) == 0;
   12465     if ($tobool8) {
   12466       label = 12;
   12467       break;
   12468     } else {
   12469       label = 9;
   12470       break;
   12471     }
   12472    case 9:
   12473     $call10 = _agxbput($xb, $3) | 0;
   12474     $ptr = $xb + 4 | 0;
   12475     $15 = HEAP32[$ptr >> 2] | 0;
   12476     $eptr = $xb + 8 | 0;
   12477     $16 = HEAP32[$eptr >> 2] | 0;
   12478     $cmp = $15 >>> 0 < $16 >>> 0;
   12479     if ($cmp) {
   12480       label = 11;
   12481       break;
   12482     } else {
   12483       label = 10;
   12484       break;
   12485     }
   12486    case 10:
   12487     $call12 = _agxbmore($xb, 1) | 0;
   12488     label = 11;
   12489     break;
   12490    case 11:
   12491     $17 = HEAP32[$ptr >> 2] | 0;
   12492     $incdec_ptr = $17 + 1 | 0;
   12493     HEAP32[$ptr >> 2] = $incdec_ptr;
   12494     HEAP8[$17] = 95;
   12495     label = 12;
   12496     break;
   12497    case 12:
   12498     $call15 = _agxbput($xb, $pfx_0) | 0;
   12499     $arraydecay = $buf | 0;
   12500     $call16 = _sprintf($arraydecay | 0, 142424, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $idnum_0, tempInt) | 0) | 0;
   12501     $call18 = _agxbput($xb, $arraydecay) | 0;
   12502     $ptr19 = $xb + 4 | 0;
   12503     $18 = HEAP32[$ptr19 >> 2] | 0;
   12504     $eptr20 = $xb + 8 | 0;
   12505     $19 = HEAP32[$eptr20 >> 2] | 0;
   12506     $cmp21 = $18 >>> 0 < $19 >>> 0;
   12507     if ($cmp21) {
   12508       label = 14;
   12509       break;
   12510     } else {
   12511       label = 13;
   12512       break;
   12513     }
   12514    case 13:
   12515     $call24 = _agxbmore($xb, 1) | 0;
   12516     label = 14;
   12517     break;
   12518    case 14:
   12519     $20 = HEAP32[$ptr19 >> 2] | 0;
   12520     HEAP8[$20] = 0;
   12521     $buf30 = $xb | 0;
   12522     $21 = HEAP32[$buf30 >> 2] | 0;
   12523     HEAP32[$ptr19 >> 2] = $21;
   12524     $retval_0 = $21;
   12525     label = 15;
   12526     break;
   12527    case 15:
   12528     STACKTOP = __stackBase__;
   12529     return $retval_0 | 0;
   12530   }
   12531   return 0;
   12532 }
   12533 function _emit_map_rect($job, $b) {
   12534   $job = $job | 0;
   12535   $b = $b | 0;
   12536   var $obj1 = 0, $0 = 0, $flags2 = 0, $1 = 0, $and = 0, $tobool = 0, $and3 = 0, $tobool4 = 0, $url_map_shape = 0, $url_map_n = 0, $url_map_n7 = 0, $url_map_p = 0, $2 = 0, $3 = 0, $url_map_n8 = 0, $4 = 0, $mul = 0, $call = 0, $5 = 0, $6 = 0, $arrayidx10 = 0, $UR = 0, $7 = 0, $and11 = 0, $tobool12 = 0, $call14 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   12537   __stackBase__ = STACKTOP;
   12538   tempParam = $b;
   12539   $b = STACKTOP;
   12540   STACKTOP = STACKTOP + 32 | 0;
   12541   _memcpy($b, tempParam, 32);
   12542   label = 2;
   12543   while (1) switch (label | 0) {
   12544    case 2:
   12545     $obj1 = $job + 16 | 0;
   12546     $0 = HEAP32[$obj1 >> 2] | 0;
   12547     $flags2 = $job + 152 | 0;
   12548     $1 = HEAP32[$flags2 >> 2] | 0;
   12549     $and = $1 & 4259840;
   12550     $tobool = ($and | 0) == 0;
   12551     if ($tobool) {
   12552       label = 10;
   12553       break;
   12554     } else {
   12555       label = 3;
   12556       break;
   12557     }
   12558    case 3:
   12559     $and3 = $1 & 131072;
   12560     $tobool4 = ($and3 | 0) != 0;
   12561     $url_map_shape = $0 + 216 | 0;
   12562     if ($tobool4) {
   12563       label = 4;
   12564       break;
   12565     } else {
   12566       label = 5;
   12567       break;
   12568     }
   12569    case 4:
   12570     HEAP32[$url_map_shape >> 2] = 0;
   12571     $url_map_n = $0 + 220 | 0;
   12572     HEAP32[$url_map_n >> 2] = 2;
   12573     label = 6;
   12574     break;
   12575    case 5:
   12576     HEAP32[$url_map_shape >> 2] = 2;
   12577     $url_map_n7 = $0 + 220 | 0;
   12578     HEAP32[$url_map_n7 >> 2] = 4;
   12579     label = 6;
   12580     break;
   12581    case 6:
   12582     $url_map_p = $0 + 224 | 0;
   12583     $2 = HEAP32[$url_map_p >> 2] | 0;
   12584     $3 = $2;
   12585     _free($3);
   12586     $url_map_n8 = $0 + 220 | 0;
   12587     $4 = HEAP32[$url_map_n8 >> 2] | 0;
   12588     $mul = $4 << 4;
   12589     $call = _zmalloc($mul) | 0;
   12590     $5 = $call;
   12591     HEAP32[$url_map_p >> 2] = $5;
   12592     $6 = $b;
   12593     HEAP32[$call >> 2] = HEAP32[$6 >> 2] | 0;
   12594     HEAP32[$call + 4 >> 2] = HEAP32[$6 + 4 >> 2] | 0;
   12595     HEAP32[$call + 8 >> 2] = HEAP32[$6 + 8 >> 2] | 0;
   12596     HEAP32[$call + 12 >> 2] = HEAP32[$6 + 12 >> 2] | 0;
   12597     $arrayidx10 = $call + 16 | 0;
   12598     $UR = $b + 16 | 0;
   12599     $7 = $UR;
   12600     HEAP32[$arrayidx10 >> 2] = HEAP32[$7 >> 2] | 0;
   12601     HEAP32[$arrayidx10 + 4 >> 2] = HEAP32[$7 + 4 >> 2] | 0;
   12602     HEAP32[$arrayidx10 + 8 >> 2] = HEAP32[$7 + 8 >> 2] | 0;
   12603     HEAP32[$arrayidx10 + 12 >> 2] = HEAP32[$7 + 12 >> 2] | 0;
   12604     $and11 = $1 & 8192;
   12605     $tobool12 = ($and11 | 0) == 0;
   12606     if ($tobool12) {
   12607       label = 7;
   12608       break;
   12609     } else {
   12610       label = 8;
   12611       break;
   12612     }
   12613    case 7:
   12614     $call14 = _gvrender_ptf_A($job, $5, $5, 2) | 0;
   12615     label = 8;
   12616     break;
   12617    case 8:
   12618     if ($tobool4) {
   12619       label = 10;
   12620       break;
   12621     } else {
   12622       label = 9;
   12623       break;
   12624     }
   12625    case 9:
   12626     _rect2poly($5);
   12627     label = 10;
   12628     break;
   12629    case 10:
   12630     STACKTOP = __stackBase__;
   12631     return;
   12632   }
   12633 }
   12634 function _pop_obj_state($job) {
   12635   $job = $job | 0;
   12636   var $obj1 = 0, $0 = 0, $tobool = 0, $id = 0, $1 = 0, $url = 0, $2 = 0, $labelurl = 0, $3 = 0, $tailurl = 0, $4 = 0, $headurl = 0, $5 = 0, $tooltip = 0, $6 = 0, $labeltooltip = 0, $7 = 0, $tailtooltip = 0, $8 = 0, $headtooltip = 0, $9 = 0, $target = 0, $10 = 0, $labeltarget = 0, $11 = 0, $tailtarget = 0, $12 = 0, $headtarget = 0, $13 = 0, $url_map_p = 0, $14 = 0, $15 = 0, $url_bsplinemap_p = 0, $16 = 0, $17 = 0, $url_bsplinemap_n = 0, $18 = 0, $19 = 0, $parent = 0, $20 = 0, $21 = 0, label = 0;
   12637   label = 2;
   12638   while (1) switch (label | 0) {
   12639    case 2:
   12640     $obj1 = $job + 16 | 0;
   12641     $0 = HEAP32[$obj1 >> 2] | 0;
   12642     $tobool = ($0 | 0) == 0;
   12643     if ($tobool) {
   12644       label = 3;
   12645       break;
   12646     } else {
   12647       label = 4;
   12648       break;
   12649     }
   12650    case 3:
   12651     ___assert_func(162152, 110, 163952, 158632);
   12652    case 4:
   12653     $id = $0 + 164 | 0;
   12654     $1 = HEAP32[$id >> 2] | 0;
   12655     _free($1);
   12656     $url = $0 + 160 | 0;
   12657     $2 = HEAP32[$url >> 2] | 0;
   12658     _free($2);
   12659     $labelurl = $0 + 168 | 0;
   12660     $3 = HEAP32[$labelurl >> 2] | 0;
   12661     _free($3);
   12662     $tailurl = $0 + 172 | 0;
   12663     $4 = HEAP32[$tailurl >> 2] | 0;
   12664     _free($4);
   12665     $headurl = $0 + 176 | 0;
   12666     $5 = HEAP32[$headurl >> 2] | 0;
   12667     _free($5);
   12668     $tooltip = $0 + 180 | 0;
   12669     $6 = HEAP32[$tooltip >> 2] | 0;
   12670     _free($6);
   12671     $labeltooltip = $0 + 184 | 0;
   12672     $7 = HEAP32[$labeltooltip >> 2] | 0;
   12673     _free($7);
   12674     $tailtooltip = $0 + 188 | 0;
   12675     $8 = HEAP32[$tailtooltip >> 2] | 0;
   12676     _free($8);
   12677     $headtooltip = $0 + 192 | 0;
   12678     $9 = HEAP32[$headtooltip >> 2] | 0;
   12679     _free($9);
   12680     $target = $0 + 196 | 0;
   12681     $10 = HEAP32[$target >> 2] | 0;
   12682     _free($10);
   12683     $labeltarget = $0 + 200 | 0;
   12684     $11 = HEAP32[$labeltarget >> 2] | 0;
   12685     _free($11);
   12686     $tailtarget = $0 + 204 | 0;
   12687     $12 = HEAP32[$tailtarget >> 2] | 0;
   12688     _free($12);
   12689     $headtarget = $0 + 208 | 0;
   12690     $13 = HEAP32[$headtarget >> 2] | 0;
   12691     _free($13);
   12692     $url_map_p = $0 + 224 | 0;
   12693     $14 = HEAP32[$url_map_p >> 2] | 0;
   12694     $15 = $14;
   12695     _free($15);
   12696     $url_bsplinemap_p = $0 + 236 | 0;
   12697     $16 = HEAP32[$url_bsplinemap_p >> 2] | 0;
   12698     $17 = $16;
   12699     _free($17);
   12700     $url_bsplinemap_n = $0 + 232 | 0;
   12701     $18 = HEAP32[$url_bsplinemap_n >> 2] | 0;
   12702     $19 = $18;
   12703     _free($19);
   12704     $parent = $0 | 0;
   12705     $20 = HEAP32[$parent >> 2] | 0;
   12706     HEAP32[$obj1 >> 2] = $20;
   12707     $21 = $0;
   12708     _free($21);
   12709     return;
   12710   }
   12711 }
   12712 function _validlayer($job) {
   12713   $job = $job | 0;
   12714   return (HEAP32[$job + 160 >> 2] | 0) <= (HEAP32[$job + 156 >> 2] | 0) & 1 | 0;
   12715 }
   12716 function _firstpage($job) {
   12717   $job = $job | 0;
   12718   var $0 = 0, $1 = 0, $2$1 = 0;
   12719   $0 = $job + 172 | 0;
   12720   $1 = $job + 196 | 0;
   12721   $2$1 = HEAP32[$0 + 4 >> 2] | 0;
   12722   HEAP32[$1 >> 2] = HEAP32[$0 >> 2] | 0;
   12723   HEAP32[$1 + 4 >> 2] = $2$1;
   12724   return;
   12725 }
   12726 function _validpage($job) {
   12727   $job = $job | 0;
   12728   var $x = 0, $0 = 0, $cmp = 0, $x3 = 0, $1 = 0, $cmp4 = 0, $y = 0, $2 = 0, $cmp7 = 0, $y11 = 0, $3 = 0, $cmp12 = 0, $phitmp = 0, $4 = 0, label = 0;
   12729   label = 2;
   12730   while (1) switch (label | 0) {
   12731    case 2:
   12732     $x = $job + 196 | 0;
   12733     $0 = HEAP32[$x >> 2] | 0;
   12734     $cmp = ($0 | 0) > -1;
   12735     if ($cmp) {
   12736       label = 3;
   12737       break;
   12738     } else {
   12739       $4 = 0;
   12740       label = 6;
   12741       break;
   12742     }
   12743    case 3:
   12744     $x3 = $job + 164 | 0;
   12745     $1 = HEAP32[$x3 >> 2] | 0;
   12746     $cmp4 = ($0 | 0) < ($1 | 0);
   12747     if ($cmp4) {
   12748       label = 4;
   12749       break;
   12750     } else {
   12751       $4 = 0;
   12752       label = 6;
   12753       break;
   12754     }
   12755    case 4:
   12756     $y = $job + 200 | 0;
   12757     $2 = HEAP32[$y >> 2] | 0;
   12758     $cmp7 = ($2 | 0) > -1;
   12759     if ($cmp7) {
   12760       label = 5;
   12761       break;
   12762     } else {
   12763       $4 = 0;
   12764       label = 6;
   12765       break;
   12766     }
   12767    case 5:
   12768     $y11 = $job + 168 | 0;
   12769     $3 = HEAP32[$y11 >> 2] | 0;
   12770     $cmp12 = ($2 | 0) < ($3 | 0);
   12771     $phitmp = $cmp12 & 1;
   12772     $4 = $phitmp;
   12773     label = 6;
   12774     break;
   12775    case 6:
   12776     return $4 | 0;
   12777   }
   12778   return 0;
   12779 }
   12780 function _nextlayer($job) {
   12781   $job = $job | 0;
   12782   var $layerNum = 0;
   12783   $layerNum = $job + 160 | 0;
   12784   HEAP32[$layerNum >> 2] = (HEAP32[$layerNum >> 2] | 0) + 1 | 0;
   12785   return;
   12786 }
   12787 function _update_bb_bz($bb, $cp) {
   12788   $bb = $bb | 0;
   12789   $cp = $cp | 0;
   12790   var $left = 0, $right = 0, $tmp = 0, $x = 0, $0 = 0.0, $x1 = 0, $1 = 0.0, $cmp = 0, $x4 = 0, $2 = 0.0, $cmp5 = 0, $y = 0, $3 = 0.0, $y9 = 0, $4 = 0.0, $cmp10 = 0, $y15 = 0, $5 = 0.0, $cmp16 = 0, $x19 = 0, $6 = 0.0, $cmp22 = 0, $cmp28 = 0, $or_cond = 0, $y31 = 0, $7 = 0.0, $cmp34 = 0, $cmp40 = 0, $or_cond54 = 0, $x43 = 0, $8 = 0.0, $cmp46 = 0, $cmp52 = 0, $or_cond55 = 0, $y55 = 0, $9 = 0.0, $cmp58 = 0, $cmp64 = 0, $or_cond56 = 0, $x67 = 0, $10 = 0.0, $cmp70 = 0, $cmp76 = 0, $or_cond57 = 0, $y79 = 0, $11 = 0.0, $cmp82 = 0, $cmp88 = 0, $or_cond58 = 0, $call = 0, $tobool = 0, $y115 = 0, $y126 = 0, $x104 = 0, $x92 = 0, $12 = 0.0, $13 = 0.0, $cmp95 = 0, $14 = 0.0, $cmp105 = 0, $y113 = 0, $15 = 0.0, $16 = 0.0, $cmp116 = 0, $17 = 0.0, $cmp127 = 0, $x92_1 = 0, $18 = 0.0, $19 = 0.0, $cmp95_1 = 0, $arraydecay = 0, $arraydecay136 = 0, $20 = 0.0, $cmp105_1 = 0, $y113_1 = 0, $21 = 0.0, $22 = 0.0, $cmp116_1 = 0, $23 = 0.0, $cmp127_1 = 0, $x92_2 = 0, $24 = 0.0, $25 = 0.0, $cmp95_2 = 0, $26 = 0.0, $cmp105_2 = 0, $y113_2 = 0, $27 = 0.0, $28 = 0.0, $cmp116_2 = 0, $29 = 0.0, $cmp127_2 = 0, $x92_3 = 0, $30 = 0.0, $31 = 0.0, $cmp95_3 = 0, $32 = 0.0, $cmp105_3 = 0, $y113_3 = 0, $33 = 0.0, $34 = 0.0, $cmp116_3 = 0, $35 = 0.0, $cmp127_3 = 0, label = 0, __stackBase__ = 0;
   12791   __stackBase__ = STACKTOP;
   12792   STACKTOP = STACKTOP + 144 | 0;
   12793   label = 2;
   12794   while (1) switch (label | 0) {
   12795    case 2:
   12796     $left = __stackBase__ | 0;
   12797     $right = __stackBase__ + 64 | 0;
   12798     $tmp = __stackBase__ + 128 | 0;
   12799     $x = $cp | 0;
   12800     $0 = +HEAPF64[$x >> 3];
   12801     $x1 = $bb + 16 | 0;
   12802     $1 = +HEAPF64[$x1 >> 3];
   12803     $cmp = $0 > $1;
   12804     if ($cmp) {
   12805       label = 12;
   12806       break;
   12807     } else {
   12808       label = 3;
   12809       break;
   12810     }
   12811    case 3:
   12812     $x4 = $bb | 0;
   12813     $2 = +HEAPF64[$x4 >> 3];
   12814     $cmp5 = $0 < $2;
   12815     if ($cmp5) {
   12816       label = 12;
   12817       break;
   12818     } else {
   12819       label = 4;
   12820       break;
   12821     }
   12822    case 4:
   12823     $y = $cp + 8 | 0;
   12824     $3 = +HEAPF64[$y >> 3];
   12825     $y9 = $bb + 24 | 0;
   12826     $4 = +HEAPF64[$y9 >> 3];
   12827     $cmp10 = $3 > $4;
   12828     if ($cmp10) {
   12829       label = 12;
   12830       break;
   12831     } else {
   12832       label = 5;
   12833       break;
   12834     }
   12835    case 5:
   12836     $y15 = $bb + 8 | 0;
   12837     $5 = +HEAPF64[$y15 >> 3];
   12838     $cmp16 = $3 < $5;
   12839     if ($cmp16) {
   12840       label = 12;
   12841       break;
   12842     } else {
   12843       label = 6;
   12844       break;
   12845     }
   12846    case 6:
   12847     $x19 = $cp + 16 | 0;
   12848     $6 = +HEAPF64[$x19 >> 3];
   12849     $cmp22 = $6 > $1;
   12850     $cmp28 = $6 < $2;
   12851     $or_cond = $cmp22 | $cmp28;
   12852     if ($or_cond) {
   12853       label = 12;
   12854       break;
   12855     } else {
   12856       label = 7;
   12857       break;
   12858     }
   12859    case 7:
   12860     $y31 = $cp + 24 | 0;
   12861     $7 = +HEAPF64[$y31 >> 3];
   12862     $cmp34 = $7 > $4;
   12863     $cmp40 = $7 < $5;
   12864     $or_cond54 = $cmp34 | $cmp40;
   12865     if ($or_cond54) {
   12866       label = 12;
   12867       break;
   12868     } else {
   12869       label = 8;
   12870       break;
   12871     }
   12872    case 8:
   12873     $x43 = $cp + 32 | 0;
   12874     $8 = +HEAPF64[$x43 >> 3];
   12875     $cmp46 = $8 > $1;
   12876     $cmp52 = $8 < $2;
   12877     $or_cond55 = $cmp46 | $cmp52;
   12878     if ($or_cond55) {
   12879       label = 12;
   12880       break;
   12881     } else {
   12882       label = 9;
   12883       break;
   12884     }
   12885    case 9:
   12886     $y55 = $cp + 40 | 0;
   12887     $9 = +HEAPF64[$y55 >> 3];
   12888     $cmp58 = $9 > $4;
   12889     $cmp64 = $9 < $5;
   12890     $or_cond56 = $cmp58 | $cmp64;
   12891     if ($or_cond56) {
   12892       label = 12;
   12893       break;
   12894     } else {
   12895       label = 10;
   12896       break;
   12897     }
   12898    case 10:
   12899     $x67 = $cp + 48 | 0;
   12900     $10 = +HEAPF64[$x67 >> 3];
   12901     $cmp70 = $10 > $1;
   12902     $cmp76 = $10 < $2;
   12903     $or_cond57 = $cmp70 | $cmp76;
   12904     if ($or_cond57) {
   12905       label = 12;
   12906       break;
   12907     } else {
   12908       label = 11;
   12909       break;
   12910     }
   12911    case 11:
   12912     $y79 = $cp + 56 | 0;
   12913     $11 = +HEAPF64[$y79 >> 3];
   12914     $cmp82 = $11 > $4;
   12915     $cmp88 = $11 < $5;
   12916     $or_cond58 = $cmp82 | $cmp88;
   12917     if ($or_cond58) {
   12918       label = 12;
   12919       break;
   12920     } else {
   12921       label = 23;
   12922       break;
   12923     }
   12924    case 12:
   12925     $call = _check_control_points($cp) | 0;
   12926     $tobool = ($call | 0) == 0;
   12927     if ($tobool) {
   12928       label = 22;
   12929       break;
   12930     } else {
   12931       label = 13;
   12932       break;
   12933     }
   12934    case 13:
   12935     $y115 = $bb + 24 | 0;
   12936     $y126 = $bb + 8 | 0;
   12937     $x104 = $bb | 0;
   12938     $x92 = $cp | 0;
   12939     $12 = +HEAPF64[$x92 >> 3];
   12940     $13 = +HEAPF64[$x1 >> 3];
   12941     $cmp95 = $12 > $13;
   12942     if ($cmp95) {
   12943       label = 14;
   12944       break;
   12945     } else {
   12946       label = 15;
   12947       break;
   12948     }
   12949    case 14:
   12950     HEAPF64[$x1 >> 3] = $12;
   12951     label = 17;
   12952     break;
   12953    case 15:
   12954     $14 = +HEAPF64[$x104 >> 3];
   12955     $cmp105 = $12 < $14;
   12956     if ($cmp105) {
   12957       label = 16;
   12958       break;
   12959     } else {
   12960       label = 17;
   12961       break;
   12962     }
   12963    case 16:
   12964     HEAPF64[$x104 >> 3] = $12;
   12965     label = 17;
   12966     break;
   12967    case 17:
   12968     $y113 = $cp + 8 | 0;
   12969     $15 = +HEAPF64[$y113 >> 3];
   12970     $16 = +HEAPF64[$y115 >> 3];
   12971     $cmp116 = $15 > $16;
   12972     if ($cmp116) {
   12973       label = 18;
   12974       break;
   12975     } else {
   12976       label = 19;
   12977       break;
   12978     }
   12979    case 18:
   12980     HEAPF64[$y115 >> 3] = $15;
   12981     label = 21;
   12982     break;
   12983    case 19:
   12984     $17 = +HEAPF64[$y126 >> 3];
   12985     $cmp127 = $15 < $17;
   12986     if ($cmp127) {
   12987       label = 20;
   12988       break;
   12989     } else {
   12990       label = 21;
   12991       break;
   12992     }
   12993    case 20:
   12994     HEAPF64[$y126 >> 3] = $15;
   12995     label = 21;
   12996     break;
   12997    case 21:
   12998     $x92_1 = $cp + 16 | 0;
   12999     $18 = +HEAPF64[$x92_1 >> 3];
   13000     $19 = +HEAPF64[$x1 >> 3];
   13001     $cmp95_1 = $18 > $19;
   13002     if ($cmp95_1) {
   13003       label = 26;
   13004       break;
   13005     } else {
   13006       label = 24;
   13007       break;
   13008     }
   13009    case 22:
   13010     $arraydecay = $left | 0;
   13011     $arraydecay136 = $right | 0;
   13012     _Bezier($tmp, $cp, 3, .5, $arraydecay, $arraydecay136);
   13013     _update_bb_bz($bb, $arraydecay);
   13014     _update_bb_bz($bb, $arraydecay136);
   13015     label = 23;
   13016     break;
   13017    case 23:
   13018     STACKTOP = __stackBase__;
   13019     return;
   13020    case 24:
   13021     $20 = +HEAPF64[$x104 >> 3];
   13022     $cmp105_1 = $18 < $20;
   13023     if ($cmp105_1) {
   13024       label = 25;
   13025       break;
   13026     } else {
   13027       label = 27;
   13028       break;
   13029     }
   13030    case 25:
   13031     HEAPF64[$x104 >> 3] = $18;
   13032     label = 27;
   13033     break;
   13034    case 26:
   13035     HEAPF64[$x1 >> 3] = $18;
   13036     label = 27;
   13037     break;
   13038    case 27:
   13039     $y113_1 = $cp + 24 | 0;
   13040     $21 = +HEAPF64[$y113_1 >> 3];
   13041     $22 = +HEAPF64[$y115 >> 3];
   13042     $cmp116_1 = $21 > $22;
   13043     if ($cmp116_1) {
   13044       label = 30;
   13045       break;
   13046     } else {
   13047       label = 28;
   13048       break;
   13049     }
   13050    case 28:
   13051     $23 = +HEAPF64[$y126 >> 3];
   13052     $cmp127_1 = $21 < $23;
   13053     if ($cmp127_1) {
   13054       label = 29;
   13055       break;
   13056     } else {
   13057       label = 31;
   13058       break;
   13059     }
   13060    case 29:
   13061     HEAPF64[$y126 >> 3] = $21;
   13062     label = 31;
   13063     break;
   13064    case 30:
   13065     HEAPF64[$y115 >> 3] = $21;
   13066     label = 31;
   13067     break;
   13068    case 31:
   13069     $x92_2 = $cp + 32 | 0;
   13070     $24 = +HEAPF64[$x92_2 >> 3];
   13071     $25 = +HEAPF64[$x1 >> 3];
   13072     $cmp95_2 = $24 > $25;
   13073     if ($cmp95_2) {
   13074       label = 34;
   13075       break;
   13076     } else {
   13077       label = 32;
   13078       break;
   13079     }
   13080    case 32:
   13081     $26 = +HEAPF64[$x104 >> 3];
   13082     $cmp105_2 = $24 < $26;
   13083     if ($cmp105_2) {
   13084       label = 33;
   13085       break;
   13086     } else {
   13087       label = 35;
   13088       break;
   13089     }
   13090    case 33:
   13091     HEAPF64[$x104 >> 3] = $24;
   13092     label = 35;
   13093     break;
   13094    case 34:
   13095     HEAPF64[$x1 >> 3] = $24;
   13096     label = 35;
   13097     break;
   13098    case 35:
   13099     $y113_2 = $cp + 40 | 0;
   13100     $27 = +HEAPF64[$y113_2 >> 3];
   13101     $28 = +HEAPF64[$y115 >> 3];
   13102     $cmp116_2 = $27 > $28;
   13103     if ($cmp116_2) {
   13104       label = 38;
   13105       break;
   13106     } else {
   13107       label = 36;
   13108       break;
   13109     }
   13110    case 36:
   13111     $29 = +HEAPF64[$y126 >> 3];
   13112     $cmp127_2 = $27 < $29;
   13113     if ($cmp127_2) {
   13114       label = 37;
   13115       break;
   13116     } else {
   13117       label = 39;
   13118       break;
   13119     }
   13120    case 37:
   13121     HEAPF64[$y126 >> 3] = $27;
   13122     label = 39;
   13123     break;
   13124    case 38:
   13125     HEAPF64[$y115 >> 3] = $27;
   13126     label = 39;
   13127     break;
   13128    case 39:
   13129     $x92_3 = $cp + 48 | 0;
   13130     $30 = +HEAPF64[$x92_3 >> 3];
   13131     $31 = +HEAPF64[$x1 >> 3];
   13132     $cmp95_3 = $30 > $31;
   13133     if ($cmp95_3) {
   13134       label = 42;
   13135       break;
   13136     } else {
   13137       label = 40;
   13138       break;
   13139     }
   13140    case 40:
   13141     $32 = +HEAPF64[$x104 >> 3];
   13142     $cmp105_3 = $30 < $32;
   13143     if ($cmp105_3) {
   13144       label = 41;
   13145       break;
   13146     } else {
   13147       label = 43;
   13148       break;
   13149     }
   13150    case 41:
   13151     HEAPF64[$x104 >> 3] = $30;
   13152     label = 43;
   13153     break;
   13154    case 42:
   13155     HEAPF64[$x1 >> 3] = $30;
   13156     label = 43;
   13157     break;
   13158    case 43:
   13159     $y113_3 = $cp + 56 | 0;
   13160     $33 = +HEAPF64[$y113_3 >> 3];
   13161     $34 = +HEAPF64[$y115 >> 3];
   13162     $cmp116_3 = $33 > $34;
   13163     if ($cmp116_3) {
   13164       label = 46;
   13165       break;
   13166     } else {
   13167       label = 44;
   13168       break;
   13169     }
   13170    case 44:
   13171     $35 = +HEAPF64[$y126 >> 3];
   13172     $cmp127_3 = $33 < $35;
   13173     if ($cmp127_3) {
   13174       label = 45;
   13175       break;
   13176     } else {
   13177       label = 23;
   13178       break;
   13179     }
   13180    case 45:
   13181     HEAPF64[$y126 >> 3] = $33;
   13182     label = 23;
   13183     break;
   13184    case 46:
   13185     HEAPF64[$y115 >> 3] = $33;
   13186     label = 23;
   13187     break;
   13188   }
   13189 }
   13190 function _check_control_points($cp) {
   13191   $cp = $cp | 0;
   13192   var $arrayidx1 = 0, $call = 0.0;
   13193   $arrayidx1 = $cp + 48 | 0;
   13194   $call = +_ptToLine2($cp, $arrayidx1, $cp + 16 | 0);
   13195   return $call < 4.0 & +_ptToLine2($cp, $arrayidx1, $cp + 32 | 0) < 4.0 & 1 | 0;
   13196 }
   13197 function _emit_graph($job, $g) {
   13198   $job = $job | 0;
   13199   $g = $g | 0;
   13200   var $flags1 = 0, $0 = 0, $zoom = 0, $1 = 0.0, $x = 0, $2 = 0.0, $mul = 0.0, $div = 0.0, $x2 = 0, $3 = 0.0, $y = 0, $4 = 0.0, $mul5 = 0.0, $div6 = 0.0, $y8 = 0, $5 = 0.0, $div11 = 0.0, $x12 = 0, $6 = 0.0, $div15 = 0.0, $y17 = 0, $7 = 0, $and = 0, $8 = 0, $9 = 0, $10 = 0, $mul22 = 0.0, $rotation = 0, $11 = 0, $tobool23 = 0, $width = 0, $12 = 0, $conv = 0.0, $13 = 0.0, $div27 = 0.0, $y28 = 0, $height = 0, $14 = 0, $conv29 = 0.0, $15 = 0.0, $div32 = 0.0, $x34 = 0, $16 = 0.0, $div39 = 0.0, $x41 = 0, $height42 = 0, $17 = 0, $conv43 = 0.0, $18 = 0.0, $div46 = 0.0, $y48 = 0, $19 = 0, $call = 0, $call50 = 0, $and51 = 0, $tobool52 = 0, $20 = 0, $call55 = 0, $tobool5650 = 0, $n_0_in51 = 0, $state = 0, $21 = 0, $call57 = 0, $tobool56 = 0, $call5948 = 0, $tobool6049 = 0, $numLayers = 0, $22 = 0, $cmp = 0, $call6646 = 0, $tobool6747 = 0, $call66 = 0, $tobool67 = 0, $23 = 0, $cmp72 = 0, $call59 = 0, $tobool60 = 0, label = 0;
   13201   label = 2;
   13202   while (1) switch (label | 0) {
   13203    case 2:
   13204     $flags1 = $job + 152 | 0;
   13205     $0 = HEAP32[$flags1 >> 2] | 0;
   13206     $zoom = $job + 352 | 0;
   13207     $1 = +HEAPF64[$zoom >> 3];
   13208     $x = $job + 432 | 0;
   13209     $2 = +HEAPF64[$x >> 3];
   13210     $mul = $1 * $2;
   13211     $div = $mul / 72.0;
   13212     $x2 = $job + 488 | 0;
   13213     HEAPF64[$x2 >> 3] = $div;
   13214     $3 = +HEAPF64[$zoom >> 3];
   13215     $y = $job + 440 | 0;
   13216     $4 = +HEAPF64[$y >> 3];
   13217     $mul5 = $3 * $4;
   13218     $div6 = $mul5 / 72.0;
   13219     $y8 = $job + 496 | 0;
   13220     HEAPF64[$y8 >> 3] = $div6;
   13221     $5 = +HEAPF64[$x >> 3];
   13222     $div11 = $5 / 72.0;
   13223     $x12 = $job + 520 | 0;
   13224     HEAPF64[$x12 >> 3] = $div11;
   13225     $6 = +HEAPF64[$y >> 3];
   13226     $div15 = $6 / 72.0;
   13227     $y17 = $job + 528 | 0;
   13228     HEAPF64[$y17 >> 3] = $div15;
   13229     $7 = HEAP32[$flags1 >> 2] | 0;
   13230     $and = $7 & 4096;
   13231     $8 = HEAP32[41182] | 0;
   13232     $9 = $and | $8;
   13233     $10 = ($9 | 0) == 0;
   13234     if ($10) {
   13235       label = 4;
   13236       break;
   13237     } else {
   13238       label = 3;
   13239       break;
   13240     }
   13241    case 3:
   13242     $mul22 = $div15 * -1.0;
   13243     HEAPF64[$y17 >> 3] = $mul22;
   13244     label = 4;
   13245     break;
   13246    case 4:
   13247     $rotation = $job + 360 | 0;
   13248     $11 = HEAP32[$rotation >> 2] | 0;
   13249     $tobool23 = ($11 | 0) == 0;
   13250     $width = $job + 448 | 0;
   13251     $12 = HEAP32[$width >> 2] | 0;
   13252     $conv = +($12 >>> 0 >>> 0);
   13253     if ($tobool23) {
   13254       label = 6;
   13255       break;
   13256     } else {
   13257       label = 5;
   13258       break;
   13259     }
   13260    case 5:
   13261     $13 = +HEAPF64[$y8 >> 3];
   13262     $div27 = $conv / $13;
   13263     $y28 = $job + 376 | 0;
   13264     HEAPF64[$y28 >> 3] = $div27;
   13265     $height = $job + 452 | 0;
   13266     $14 = HEAP32[$height >> 2] | 0;
   13267     $conv29 = +($14 >>> 0 >>> 0);
   13268     $15 = +HEAPF64[$x2 >> 3];
   13269     $div32 = $conv29 / $15;
   13270     $x34 = $job + 368 | 0;
   13271     HEAPF64[$x34 >> 3] = $div32;
   13272     label = 7;
   13273     break;
   13274    case 6:
   13275     $16 = +HEAPF64[$x2 >> 3];
   13276     $div39 = $conv / $16;
   13277     $x41 = $job + 368 | 0;
   13278     HEAPF64[$x41 >> 3] = $div39;
   13279     $height42 = $job + 452 | 0;
   13280     $17 = HEAP32[$height42 >> 2] | 0;
   13281     $conv43 = +($17 >>> 0 >>> 0);
   13282     $18 = +HEAPF64[$y8 >> 3];
   13283     $div46 = $conv43 / $18;
   13284     $y48 = $job + 376 | 0;
   13285     HEAPF64[$y48 >> 3] = $div46;
   13286     label = 7;
   13287     break;
   13288    case 7:
   13289     $19 = $g | 0;
   13290     $call = _agfindattr($19, 138904) | 0;
   13291     $call50 = _late_string($19, $call, 136112) | 0;
   13292     _gvrender_comment($job, $call50);
   13293     _emit_begin_graph($job, $g);
   13294     $and51 = $0 & 2;
   13295     $tobool52 = ($and51 | 0) == 0;
   13296     if ($tobool52) {
   13297       label = 9;
   13298       break;
   13299     } else {
   13300       label = 8;
   13301       break;
   13302     }
   13303    case 8:
   13304     _emit_colors($job, $g);
   13305     label = 9;
   13306     break;
   13307    case 9:
   13308     $20 = $g;
   13309     $call55 = _agfstnode($20) | 0;
   13310     $tobool5650 = ($call55 | 0) == 0;
   13311     if ($tobool5650) {
   13312       label = 11;
   13313       break;
   13314     } else {
   13315       $n_0_in51 = $call55;
   13316       label = 10;
   13317       break;
   13318     }
   13319    case 10:
   13320     $state = $n_0_in51 + 132 | 0;
   13321     $21 = $state;
   13322     HEAP8[$21] = 0;
   13323     $call57 = _agnxtnode($20, $n_0_in51) | 0;
   13324     $tobool56 = ($call57 | 0) == 0;
   13325     if ($tobool56) {
   13326       label = 11;
   13327       break;
   13328     } else {
   13329       $n_0_in51 = $call57;
   13330       label = 10;
   13331       break;
   13332     }
   13333    case 11:
   13334     _firstlayer($job);
   13335     $call5948 = _validlayer($job) | 0;
   13336     $tobool6049 = $call5948 << 24 >> 24 == 0;
   13337     if ($tobool6049) {
   13338       label = 20;
   13339       break;
   13340     } else {
   13341       label = 12;
   13342       break;
   13343     }
   13344    case 12:
   13345     $numLayers = $job + 156 | 0;
   13346     label = 13;
   13347     break;
   13348    case 13:
   13349     $22 = HEAP32[$numLayers >> 2] | 0;
   13350     $cmp = ($22 | 0) > 1;
   13351     if ($cmp) {
   13352       label = 14;
   13353       break;
   13354     } else {
   13355       label = 15;
   13356       break;
   13357     }
   13358    case 14:
   13359     _gvrender_begin_layer($job);
   13360     label = 15;
   13361     break;
   13362    case 15:
   13363     _firstpage($job);
   13364     $call6646 = _validpage($job) | 0;
   13365     $tobool6747 = $call6646 << 24 >> 24 == 0;
   13366     if ($tobool6747) {
   13367       label = 17;
   13368       break;
   13369     } else {
   13370       label = 16;
   13371       break;
   13372     }
   13373    case 16:
   13374     _emit_page($job, $g);
   13375     _nextpage($job);
   13376     $call66 = _validpage($job) | 0;
   13377     $tobool67 = $call66 << 24 >> 24 == 0;
   13378     if ($tobool67) {
   13379       label = 17;
   13380       break;
   13381     } else {
   13382       label = 16;
   13383       break;
   13384     }
   13385    case 17:
   13386     $23 = HEAP32[$numLayers >> 2] | 0;
   13387     $cmp72 = ($23 | 0) > 1;
   13388     if ($cmp72) {
   13389       label = 18;
   13390       break;
   13391     } else {
   13392       label = 19;
   13393       break;
   13394     }
   13395    case 18:
   13396     _gvrender_end_layer($job);
   13397     label = 19;
   13398     break;
   13399    case 19:
   13400     _nextlayer($job);
   13401     $call59 = _validlayer($job) | 0;
   13402     $tobool60 = $call59 << 24 >> 24 == 0;
   13403     if ($tobool60) {
   13404       label = 20;
   13405       break;
   13406     } else {
   13407       label = 13;
   13408       break;
   13409     }
   13410    case 20:
   13411     _emit_end_graph($job);
   13412     return;
   13413   }
   13414 }
   13415 function _emit_begin_graph($job, $g) {
   13416   $job = $job | 0;
   13417   $g = $g | 0;
   13418   var $call = 0;
   13419   $call = _push_obj_state($job) | 0;
   13420   HEAP32[$call + 4 >> 2] = 0;
   13421   HEAP32[$call + 8 >> 2] = $g;
   13422   HEAP32[$call + 12 >> 2] = 0;
   13423   _initObjMapData($job, HEAP32[$g + 52 >> 2] | 0, $g | 0);
   13424   _gvrender_begin_graph($job, $g);
   13425   return;
   13426 }
   13427 function _emit_colors($job, $g) {
   13428   $job = $job | 0;
   13429   $g = $g | 0;
   13430   var $0 = 0, $call = 0, $cmp = 0, $1 = 0, $tobool = 0, $call1 = 0, $cmp2 = 0, $2 = 0, $tobool7 = 0, $3 = 0, $call10 = 0, $tobool1147 = 0, $n_0_in48 = 0, $4 = 0, $call12 = 0, $cmp13 = 0, $5 = 0, $tobool18 = 0, $call21 = 0, $cmp22 = 0, $6 = 0, $tobool27 = 0, $call30 = 0, $cmp31 = 0, $7 = 0, $tobool36 = 0, $call39 = 0, $tobool4145 = 0, $e_0_in46 = 0, $8 = 0, $call43 = 0, $cmp44 = 0, $9 = 0, $tobool49 = 0, $call51 = 0, $tobool52 = 0, $call54 = 0, $call55 = 0, $tobool5743 = 0, $str_044 = 0, $10 = 0, $tobool60 = 0, $call63 = 0, $tobool57 = 0, $call66 = 0, $cmp67 = 0, $11 = 0, $tobool72 = 0, $call76 = 0, $tobool41 = 0, $call79 = 0, $tobool11 = 0, label = 0;
   13431   label = 2;
   13432   while (1) switch (label | 0) {
   13433    case 2:
   13434     _gvrender_set_fillcolor($job, 117192);
   13435     $0 = $g | 0;
   13436     $call = _agget($0, 118488) | 0;
   13437     $cmp = ($call | 0) == 0;
   13438     if ($cmp) {
   13439       label = 5;
   13440       break;
   13441     } else {
   13442       label = 3;
   13443       break;
   13444     }
   13445    case 3:
   13446     $1 = HEAP8[$call] | 0;
   13447     $tobool = $1 << 24 >> 24 == 0;
   13448     if ($tobool) {
   13449       label = 5;
   13450       break;
   13451     } else {
   13452       label = 4;
   13453       break;
   13454     }
   13455    case 4:
   13456     _gvrender_set_fillcolor($job, $call);
   13457     label = 5;
   13458     break;
   13459    case 5:
   13460     $call1 = _agget($0, 159088) | 0;
   13461     $cmp2 = ($call1 | 0) == 0;
   13462     if ($cmp2) {
   13463       label = 8;
   13464       break;
   13465     } else {
   13466       label = 6;
   13467       break;
   13468     }
   13469    case 6:
   13470     $2 = HEAP8[$call1] | 0;
   13471     $tobool7 = $2 << 24 >> 24 == 0;
   13472     if ($tobool7) {
   13473       label = 8;
   13474       break;
   13475     } else {
   13476       label = 7;
   13477       break;
   13478     }
   13479    case 7:
   13480     _gvrender_set_pencolor($job, $call1);
   13481     label = 8;
   13482     break;
   13483    case 8:
   13484     _emit_cluster_colors($job, $g);
   13485     $3 = $g;
   13486     $call10 = _agfstnode($3) | 0;
   13487     $tobool1147 = ($call10 | 0) == 0;
   13488     if ($tobool1147) {
   13489       label = 33;
   13490       break;
   13491     } else {
   13492       $n_0_in48 = $call10;
   13493       label = 9;
   13494       break;
   13495     }
   13496    case 9:
   13497     $4 = $n_0_in48 | 0;
   13498     $call12 = _agget($4, 120080) | 0;
   13499     $cmp13 = ($call12 | 0) == 0;
   13500     if ($cmp13) {
   13501       label = 12;
   13502       break;
   13503     } else {
   13504       label = 10;
   13505       break;
   13506     }
   13507    case 10:
   13508     $5 = HEAP8[$call12] | 0;
   13509     $tobool18 = $5 << 24 >> 24 == 0;
   13510     if ($tobool18) {
   13511       label = 12;
   13512       break;
   13513     } else {
   13514       label = 11;
   13515       break;
   13516     }
   13517    case 11:
   13518     _gvrender_set_pencolor($job, $call12);
   13519     label = 12;
   13520     break;
   13521    case 12:
   13522     $call21 = _agget($4, 118936) | 0;
   13523     $cmp22 = ($call21 | 0) == 0;
   13524     if ($cmp22) {
   13525       label = 15;
   13526       break;
   13527     } else {
   13528       label = 13;
   13529       break;
   13530     }
   13531    case 13:
   13532     $6 = HEAP8[$call21] | 0;
   13533     $tobool27 = $6 << 24 >> 24 == 0;
   13534     if ($tobool27) {
   13535       label = 15;
   13536       break;
   13537     } else {
   13538       label = 14;
   13539       break;
   13540     }
   13541    case 14:
   13542     _gvrender_set_fillcolor($job, $call21);
   13543     label = 15;
   13544     break;
   13545    case 15:
   13546     $call30 = _agget($4, 159088) | 0;
   13547     $cmp31 = ($call30 | 0) == 0;
   13548     if ($cmp31) {
   13549       label = 18;
   13550       break;
   13551     } else {
   13552       label = 16;
   13553       break;
   13554     }
   13555    case 16:
   13556     $7 = HEAP8[$call30] | 0;
   13557     $tobool36 = $7 << 24 >> 24 == 0;
   13558     if ($tobool36) {
   13559       label = 18;
   13560       break;
   13561     } else {
   13562       label = 17;
   13563       break;
   13564     }
   13565    case 17:
   13566     _gvrender_set_pencolor($job, $call30);
   13567     label = 18;
   13568     break;
   13569    case 18:
   13570     $call39 = _agfstout($3, $n_0_in48) | 0;
   13571     $tobool4145 = ($call39 | 0) == 0;
   13572     if ($tobool4145) {
   13573       label = 32;
   13574       break;
   13575     } else {
   13576       $e_0_in46 = $call39;
   13577       label = 19;
   13578       break;
   13579     }
   13580    case 19:
   13581     $8 = $e_0_in46 | 0;
   13582     $call43 = _agget($8, 120080) | 0;
   13583     $cmp44 = ($call43 | 0) == 0;
   13584     if ($cmp44) {
   13585       label = 28;
   13586       break;
   13587     } else {
   13588       label = 20;
   13589       break;
   13590     }
   13591    case 20:
   13592     $9 = HEAP8[$call43] | 0;
   13593     $tobool49 = $9 << 24 >> 24 == 0;
   13594     if ($tobool49) {
   13595       label = 28;
   13596       break;
   13597     } else {
   13598       label = 21;
   13599       break;
   13600     }
   13601    case 21:
   13602     $call51 = _strchr($call43 | 0, 58) | 0;
   13603     $tobool52 = ($call51 | 0) == 0;
   13604     if ($tobool52) {
   13605       label = 27;
   13606       break;
   13607     } else {
   13608       label = 22;
   13609       break;
   13610     }
   13611    case 22:
   13612     $call54 = _strdup($call43 | 0) | 0;
   13613     $call55 = _strtok($call54 | 0, 98688) | 0;
   13614     $tobool5743 = ($call55 | 0) == 0;
   13615     if ($tobool5743) {
   13616       label = 26;
   13617       break;
   13618     } else {
   13619       $str_044 = $call55;
   13620       label = 23;
   13621       break;
   13622     }
   13623    case 23:
   13624     $10 = HEAP8[$str_044] | 0;
   13625     $tobool60 = $10 << 24 >> 24 == 0;
   13626     if ($tobool60) {
   13627       label = 25;
   13628       break;
   13629     } else {
   13630       label = 24;
   13631       break;
   13632     }
   13633    case 24:
   13634     _gvrender_set_pencolor($job, $str_044);
   13635     label = 25;
   13636     break;
   13637    case 25:
   13638     $call63 = _strtok(0, 98688) | 0;
   13639     $tobool57 = ($call63 | 0) == 0;
   13640     if ($tobool57) {
   13641       label = 26;
   13642       break;
   13643     } else {
   13644       $str_044 = $call63;
   13645       label = 23;
   13646       break;
   13647     }
   13648    case 26:
   13649     _free($call54);
   13650     label = 28;
   13651     break;
   13652    case 27:
   13653     _gvrender_set_pencolor($job, $call43);
   13654     label = 28;
   13655     break;
   13656    case 28:
   13657     $call66 = _agget($8, 159088) | 0;
   13658     $cmp67 = ($call66 | 0) == 0;
   13659     if ($cmp67) {
   13660       label = 31;
   13661       break;
   13662     } else {
   13663       label = 29;
   13664       break;
   13665     }
   13666    case 29:
   13667     $11 = HEAP8[$call66] | 0;
   13668     $tobool72 = $11 << 24 >> 24 == 0;
   13669     if ($tobool72) {
   13670       label = 31;
   13671       break;
   13672     } else {
   13673       label = 30;
   13674       break;
   13675     }
   13676    case 30:
   13677     _gvrender_set_pencolor($job, $call66);
   13678     label = 31;
   13679     break;
   13680    case 31:
   13681     $call76 = _agnxtout($3, $e_0_in46) | 0;
   13682     $tobool41 = ($call76 | 0) == 0;
   13683     if ($tobool41) {
   13684       label = 32;
   13685       break;
   13686     } else {
   13687       $e_0_in46 = $call76;
   13688       label = 19;
   13689       break;
   13690     }
   13691    case 32:
   13692     $call79 = _agnxtnode($3, $n_0_in48) | 0;
   13693     $tobool11 = ($call79 | 0) == 0;
   13694     if ($tobool11) {
   13695       label = 33;
   13696       break;
   13697     } else {
   13698       $n_0_in48 = $call79;
   13699       label = 9;
   13700       break;
   13701     }
   13702    case 33:
   13703     return;
   13704   }
   13705 }
   13706 function _firstlayer($job) {
   13707   $job = $job | 0;
   13708   var $gvc = 0, $0 = 0, $numLayers = 0, $1 = 0, $numLayers1 = 0, $cmp = 0, $flags = 0, $2 = 0, $and = 0, $tobool = 0, $output_langname = 0, $3 = 0, $call = 0, $layerNum = 0, label = 0, __stackBase__ = 0;
   13709   __stackBase__ = STACKTOP;
   13710   label = 2;
   13711   while (1) switch (label | 0) {
   13712    case 2:
   13713     $gvc = $job | 0;
   13714     $0 = HEAP32[$gvc >> 2] | 0;
   13715     $numLayers = $0 + 284 | 0;
   13716     $1 = HEAP32[$numLayers >> 2] | 0;
   13717     $numLayers1 = $job + 156 | 0;
   13718     HEAP32[$numLayers1 >> 2] = $1;
   13719     $cmp = ($1 | 0) > 1;
   13720     if ($cmp) {
   13721       label = 3;
   13722       break;
   13723     } else {
   13724       label = 5;
   13725       break;
   13726     }
   13727    case 3:
   13728     $flags = $job + 152 | 0;
   13729     $2 = HEAP32[$flags >> 2] | 0;
   13730     $and = $2 & 64;
   13731     $tobool = ($and | 0) == 0;
   13732     if ($tobool) {
   13733       label = 4;
   13734       break;
   13735     } else {
   13736       label = 5;
   13737       break;
   13738     }
   13739    case 4:
   13740     $output_langname = $job + 52 | 0;
   13741     $3 = HEAP32[$output_langname >> 2] | 0;
   13742     $call = _agerr(0, 159544, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $3, tempInt) | 0) | 0;
   13743     HEAP32[$numLayers1 >> 2] = 1;
   13744     label = 5;
   13745     break;
   13746    case 5:
   13747     $layerNum = $job + 160 | 0;
   13748     HEAP32[$layerNum >> 2] = 1;
   13749     STACKTOP = __stackBase__;
   13750     return;
   13751   }
   13752 }
   13753 function _emit_page($job, $g) {
   13754   $job = $job | 0;
   13755   $g = $g | 0;
   13756   var $obj1 = 0, $0 = 0, $flags2 = 0, $1 = 0, $2 = 0, $call = 0, $and = 0, $tobool = 0, $url = 0, $3 = 0, $tobool3 = 0, $4 = 0, $5 = 0, $6 = 0, $_mask53 = 0, $tobool4 = 0, $and5 = 0, $tobool6 = 0, $and8 = 0, $tobool9 = 0, $url_map_shape = 0, $7 = 0, $8 = 0, $9 = 0, $10 = 0, $11 = 0, $mul = 0, $call12 = 0, $12 = 0, $pageBox = 0, $13 = 0, $arrayidx13 = 0, $UR = 0, $14 = 0, $nump_1 = 0, $p_0 = 0, $and20 = 0, $tobool21 = 0, $call23 = 0, $url_map_p = 0, $url_map_n = 0, $and26 = 0, $tobool27 = 0, $label = 0, $15 = 0, $tobool29 = 0, $text = 0, $16 = 0, $label31 = 0, $and33 = 0, $tobool34 = 0, $url36 = 0, $17 = 0, $tobool37 = 0, $18 = 0, $19 = 0, $20 = 0, $_mask = 0, $tobool42 = 0, $clip = 0, $21 = 0, $tooltip = 0, $22 = 0, $target = 0, $23 = 0, $id = 0, $24 = 0, $numLayers = 0, $25 = 0, $cmp = 0, $label49 = 0, $26 = 0, $tobool50 = 0, $url58 = 0, $27 = 0, $tobool59 = 0, $28 = 0, $29 = 0, $30 = 0, $_mask52 = 0, $tobool64 = 0, label = 0;
   13757   label = 2;
   13758   while (1) switch (label | 0) {
   13759    case 2:
   13760     $obj1 = $job + 16 | 0;
   13761     $0 = HEAP32[$obj1 >> 2] | 0;
   13762     $flags2 = $job + 152 | 0;
   13763     $1 = HEAP32[$flags2 >> 2] | 0;
   13764     $2 = $g | 0;
   13765     $call = _agget($2, 133704) | 0;
   13766     _setColorScheme($call);
   13767     _setup_page($job);
   13768     _gvrender_begin_page($job);
   13769     _gvrender_set_pencolor($job, 117856);
   13770     _gvrender_set_fillcolor($job, 117192);
   13771     $and = $1 & 4259840;
   13772     $tobool = ($and | 0) == 0;
   13773     if ($tobool) {
   13774       label = 11;
   13775       break;
   13776     } else {
   13777       label = 3;
   13778       break;
   13779     }
   13780    case 3:
   13781     $url = $0 + 160 | 0;
   13782     $3 = HEAP32[$url >> 2] | 0;
   13783     $tobool3 = ($3 | 0) == 0;
   13784     if ($tobool3) {
   13785       label = 4;
   13786       break;
   13787     } else {
   13788       label = 5;
   13789       break;
   13790     }
   13791    case 4:
   13792     $4 = $0 + 212 | 0;
   13793     $5 = $4;
   13794     $6 = HEAP32[$5 >> 2] | 0;
   13795     $_mask53 = $6 & 1;
   13796     $tobool4 = ($_mask53 | 0) == 0;
   13797     if ($tobool4) {
   13798       label = 11;
   13799       break;
   13800     } else {
   13801       label = 5;
   13802       break;
   13803     }
   13804    case 5:
   13805     $and5 = $1 & 655360;
   13806     $tobool6 = ($and5 | 0) == 0;
   13807     if ($tobool6) {
   13808       $p_0 = 0;
   13809       $nump_1 = 0;
   13810       label = 8;
   13811       break;
   13812     } else {
   13813       label = 6;
   13814       break;
   13815     }
   13816    case 6:
   13817     $and8 = $1 & 131072;
   13818     $tobool9 = ($and8 | 0) == 0;
   13819     $url_map_shape = $0 + 216 | 0;
   13820     $7 = $and8 >>> 16;
   13821     $8 = $7 ^ 2;
   13822     $9 = $and8 >>> 16;
   13823     $10 = $9 ^ 2;
   13824     $11 = $10 + 2 | 0;
   13825     HEAP32[$url_map_shape >> 2] = $8;
   13826     $mul = $11 << 4;
   13827     $call12 = _zmalloc($mul) | 0;
   13828     $12 = $call12;
   13829     $pageBox = $job + 288 | 0;
   13830     $13 = $pageBox;
   13831     HEAP32[$call12 >> 2] = HEAP32[$13 >> 2] | 0;
   13832     HEAP32[$call12 + 4 >> 2] = HEAP32[$13 + 4 >> 2] | 0;
   13833     HEAP32[$call12 + 8 >> 2] = HEAP32[$13 + 8 >> 2] | 0;
   13834     HEAP32[$call12 + 12 >> 2] = HEAP32[$13 + 12 >> 2] | 0;
   13835     $arrayidx13 = $call12 + 16 | 0;
   13836     $UR = $job + 304 | 0;
   13837     $14 = $UR;
   13838     HEAP32[$arrayidx13 >> 2] = HEAP32[$14 >> 2] | 0;
   13839     HEAP32[$arrayidx13 + 4 >> 2] = HEAP32[$14 + 4 >> 2] | 0;
   13840     HEAP32[$arrayidx13 + 8 >> 2] = HEAP32[$14 + 8 >> 2] | 0;
   13841     HEAP32[$arrayidx13 + 12 >> 2] = HEAP32[$14 + 12 >> 2] | 0;
   13842     if ($tobool9) {
   13843       label = 7;
   13844       break;
   13845     } else {
   13846       $p_0 = $12;
   13847       $nump_1 = $11;
   13848       label = 8;
   13849       break;
   13850     }
   13851    case 7:
   13852     _rect2poly($12);
   13853     $p_0 = $12;
   13854     $nump_1 = $11;
   13855     label = 8;
   13856     break;
   13857    case 8:
   13858     $and20 = $1 & 8192;
   13859     $tobool21 = ($and20 | 0) == 0;
   13860     if ($tobool21) {
   13861       label = 9;
   13862       break;
   13863     } else {
   13864       label = 10;
   13865       break;
   13866     }
   13867    case 9:
   13868     $call23 = _gvrender_ptf_A($job, $p_0, $p_0, $nump_1) | 0;
   13869     label = 10;
   13870     break;
   13871    case 10:
   13872     $url_map_p = $0 + 224 | 0;
   13873     HEAP32[$url_map_p >> 2] = $p_0;
   13874     $url_map_n = $0 + 220 | 0;
   13875     HEAP32[$url_map_n >> 2] = $nump_1;
   13876     label = 11;
   13877     break;
   13878    case 11:
   13879     $and26 = $1 & 32768;
   13880     $tobool27 = ($and26 | 0) == 0;
   13881     if ($tobool27) {
   13882       label = 14;
   13883       break;
   13884     } else {
   13885       label = 12;
   13886       break;
   13887     }
   13888    case 12:
   13889     $label = $g + 52 | 0;
   13890     $15 = HEAP32[$label >> 2] | 0;
   13891     $tobool29 = ($15 | 0) == 0;
   13892     if ($tobool29) {
   13893       label = 14;
   13894       break;
   13895     } else {
   13896       label = 13;
   13897       break;
   13898     }
   13899    case 13:
   13900     $text = $15 | 0;
   13901     $16 = HEAP32[$text >> 2] | 0;
   13902     $label31 = $0 + 144 | 0;
   13903     HEAP32[$label31 >> 2] = $16;
   13904     label = 14;
   13905     break;
   13906    case 14:
   13907     $and33 = $1 & 4;
   13908     $tobool34 = ($and33 | 0) != 0;
   13909     if ($tobool34) {
   13910       label = 18;
   13911       break;
   13912     } else {
   13913       label = 15;
   13914       break;
   13915     }
   13916    case 15:
   13917     $url36 = $0 + 160 | 0;
   13918     $17 = HEAP32[$url36 >> 2] | 0;
   13919     $tobool37 = ($17 | 0) == 0;
   13920     if ($tobool37) {
   13921       label = 16;
   13922       break;
   13923     } else {
   13924       label = 17;
   13925       break;
   13926     }
   13927    case 16:
   13928     $18 = $0 + 212 | 0;
   13929     $19 = $18;
   13930     $20 = HEAP32[$19 >> 2] | 0;
   13931     $_mask = $20 & 1;
   13932     $tobool42 = ($_mask | 0) == 0;
   13933     if ($tobool42) {
   13934       label = 18;
   13935       break;
   13936     } else {
   13937       label = 17;
   13938       break;
   13939     }
   13940    case 17:
   13941     $clip = $job + 256 | 0;
   13942     _emit_map_rect($job, $clip);
   13943     $21 = HEAP32[$url36 >> 2] | 0;
   13944     $tooltip = $0 + 180 | 0;
   13945     $22 = HEAP32[$tooltip >> 2] | 0;
   13946     $target = $0 + 196 | 0;
   13947     $23 = HEAP32[$target >> 2] | 0;
   13948     $id = $0 + 164 | 0;
   13949     $24 = HEAP32[$id >> 2] | 0;
   13950     _gvrender_begin_anchor($job, $21, $22, $23, $24);
   13951     label = 18;
   13952     break;
   13953    case 18:
   13954     $numLayers = $job + 156 | 0;
   13955     $25 = HEAP32[$numLayers >> 2] | 0;
   13956     $cmp = ($25 | 0) == 1;
   13957     if ($cmp) {
   13958       label = 19;
   13959       break;
   13960     } else {
   13961       label = 20;
   13962       break;
   13963     }
   13964    case 19:
   13965     _emit_background($job, $g);
   13966     label = 20;
   13967     break;
   13968    case 20:
   13969     $label49 = $g + 52 | 0;
   13970     $26 = HEAP32[$label49 >> 2] | 0;
   13971     $tobool50 = ($26 | 0) == 0;
   13972     if ($tobool50) {
   13973       label = 22;
   13974       break;
   13975     } else {
   13976       label = 21;
   13977       break;
   13978     }
   13979    case 21:
   13980     _emit_label($job, 4, $26);
   13981     label = 22;
   13982     break;
   13983    case 22:
   13984     if ($tobool34) {
   13985       label = 26;
   13986       break;
   13987     } else {
   13988       label = 23;
   13989       break;
   13990     }
   13991    case 23:
   13992     $url58 = $0 + 160 | 0;
   13993     $27 = HEAP32[$url58 >> 2] | 0;
   13994     $tobool59 = ($27 | 0) == 0;
   13995     if ($tobool59) {
   13996       label = 24;
   13997       break;
   13998     } else {
   13999       label = 25;
   14000       break;
   14001     }
   14002    case 24:
   14003     $28 = $0 + 212 | 0;
   14004     $29 = $28;
   14005     $30 = HEAP32[$29 >> 2] | 0;
   14006     $_mask52 = $30 & 1;
   14007     $tobool64 = ($_mask52 | 0) == 0;
   14008     if ($tobool64) {
   14009       label = 26;
   14010       break;
   14011     } else {
   14012       label = 25;
   14013       break;
   14014     }
   14015    case 25:
   14016     _gvrender_end_anchor($job);
   14017     label = 26;
   14018     break;
   14019    case 26:
   14020     _emit_view($job, $g, $1);
   14021     _gvrender_end_page($job);
   14022     return;
   14023   }
   14024 }
   14025 function _nextpage($job) {
   14026   $job = $job | 0;
   14027   var $tmp = 0, $tmpcast = 0, $tmp13 = 0, $tmpcast11 = 0, $pagesArrayElem = 0, $pagesArrayMinor = 0, $0 = 0, $ld$0$0 = 0, $1$0 = 0, $ld$1$1 = 0, $1$1 = 0, $st$2$0 = 0, $st$3$1 = 0, $call = 0, $cmp = 0, $pagesArrayMajor = 0, $y = 0, $2 = 0, $tobool = 0, $x = 0, $3 = 0, $x5 = 0, $y7 = 0, $4 = 0, $y9 = 0, $ld$4$0 = 0, $5$0 = 0, $ld$5$1 = 0, $5$1 = 0, $st$6$0 = 0, $st$7$1 = 0, label = 0, __stackBase__ = 0;
   14028   __stackBase__ = STACKTOP;
   14029   STACKTOP = STACKTOP + 8 | 0;
   14030   label = 2;
   14031   while (1) switch (label | 0) {
   14032    case 2:
   14033     $tmp = __stackBase__ | 0;
   14034     $tmpcast = $tmp;
   14035     $tmp13 = STACKTOP;
   14036     STACKTOP = STACKTOP + 8 | 0;
   14037     $tmpcast11 = $tmp13;
   14038     $pagesArrayElem = $job + 196 | 0;
   14039     $pagesArrayMinor = $job + 188 | 0;
   14040     _add_point($tmpcast, $pagesArrayElem, $pagesArrayMinor);
   14041     $0 = $pagesArrayElem;
   14042     $ld$0$0 = $tmp | 0;
   14043     $1$0 = HEAP32[$ld$0$0 >> 2] | 0;
   14044     $ld$1$1 = $tmp + 4 | 0;
   14045     $1$1 = HEAP32[$ld$1$1 >> 2] | 0;
   14046     $st$2$0 = $0 | 0;
   14047     HEAP32[$st$2$0 >> 2] = $1$0;
   14048     $st$3$1 = $0 + 4 | 0;
   14049     HEAP32[$st$3$1 >> 2] = $1$1;
   14050     $call = _validpage($job) | 0;
   14051     $cmp = $call << 24 >> 24 == 0;
   14052     if ($cmp) {
   14053       label = 3;
   14054       break;
   14055     } else {
   14056       label = 7;
   14057       break;
   14058     }
   14059    case 3:
   14060     $pagesArrayMajor = $job + 180 | 0;
   14061     $y = $job + 184 | 0;
   14062     $2 = HEAP32[$y >> 2] | 0;
   14063     $tobool = ($2 | 0) == 0;
   14064     if ($tobool) {
   14065       label = 5;
   14066       break;
   14067     } else {
   14068       label = 4;
   14069       break;
   14070     }
   14071    case 4:
   14072     $x = $job + 172 | 0;
   14073     $3 = HEAP32[$x >> 2] | 0;
   14074     $x5 = $pagesArrayElem | 0;
   14075     HEAP32[$x5 >> 2] = $3;
   14076     label = 6;
   14077     break;
   14078    case 5:
   14079     $y7 = $job + 176 | 0;
   14080     $4 = HEAP32[$y7 >> 2] | 0;
   14081     $y9 = $job + 200 | 0;
   14082     HEAP32[$y9 >> 2] = $4;
   14083     label = 6;
   14084     break;
   14085    case 6:
   14086     _add_point($tmpcast11, $pagesArrayElem, $pagesArrayMajor);
   14087     $ld$4$0 = $tmp13 | 0;
   14088     $5$0 = HEAP32[$ld$4$0 >> 2] | 0;
   14089     $ld$5$1 = $tmp13 + 4 | 0;
   14090     $5$1 = HEAP32[$ld$5$1 >> 2] | 0;
   14091     $st$6$0 = $0 | 0;
   14092     HEAP32[$st$6$0 >> 2] = $5$0;
   14093     $st$7$1 = $0 + 4 | 0;
   14094     HEAP32[$st$7$1 >> 2] = $5$1;
   14095     label = 7;
   14096     break;
   14097    case 7:
   14098     STACKTOP = __stackBase__;
   14099     return;
   14100   }
   14101 }
   14102 function _emit_end_graph($job) {
   14103   $job = $job | 0;
   14104   _gvrender_end_graph($job);
   14105   _pop_obj_state($job);
   14106   return;
   14107 }
   14108 function _emit_once($str) {
   14109   $str = $str | 0;
   14110   var $0 = 0, $cmp = 0, $1 = 0, $2 = 0, $call = 0, $3 = 0, $searchf = 0, $4 = 0, $call1 = 0, $tobool = 0, $5 = 0, $searchf3 = 0, $6 = 0, $call4 = 0, $call5 = 0, $retval_0 = 0, label = 0;
   14111   label = 2;
   14112   while (1) switch (label | 0) {
   14113    case 2:
   14114     $0 = HEAP32[1014] | 0;
   14115     $cmp = ($0 | 0) == 0;
   14116     if ($cmp) {
   14117       label = 3;
   14118       break;
   14119     } else {
   14120       label = 4;
   14121       break;
   14122     }
   14123    case 3:
   14124     $1 = HEAP32[41978] | 0;
   14125     $2 = $1;
   14126     $call = _dtopen(4064, $2) | 0;
   14127     HEAP32[1014] = $call;
   14128     label = 4;
   14129     break;
   14130    case 4:
   14131     $3 = HEAP32[1014] | 0;
   14132     $searchf = $3 | 0;
   14133     $4 = HEAP32[$searchf >> 2] | 0;
   14134     $call1 = FUNCTION_TABLE_iiii[$4 & 1023]($3, $str, 4) | 0;
   14135     $tobool = ($call1 | 0) == 0;
   14136     if ($tobool) {
   14137       label = 5;
   14138       break;
   14139     } else {
   14140       $retval_0 = 0;
   14141       label = 6;
   14142       break;
   14143     }
   14144    case 5:
   14145     $5 = HEAP32[1014] | 0;
   14146     $searchf3 = $5 | 0;
   14147     $6 = HEAP32[$searchf3 >> 2] | 0;
   14148     $call4 = _agstrdup($str) | 0;
   14149     $call5 = FUNCTION_TABLE_iiii[$6 & 1023]($5, $call4, 1) | 0;
   14150     $retval_0 = 1;
   14151     label = 6;
   14152     break;
   14153    case 6:
   14154     return $retval_0 | 0;
   14155   }
   14156   return 0;
   14157 }
   14158 function _emit_once_reset() {
   14159   var $0 = 0, $tobool = 0, $call = 0, label = 0;
   14160   label = 2;
   14161   while (1) switch (label | 0) {
   14162    case 2:
   14163     $0 = HEAP32[1014] | 0;
   14164     $tobool = ($0 | 0) == 0;
   14165     if ($tobool) {
   14166       label = 4;
   14167       break;
   14168     } else {
   14169       label = 3;
   14170       break;
   14171     }
   14172    case 3:
   14173     $call = _dtclose($0) | 0;
   14174     HEAP32[1014] = 0;
   14175     label = 4;
   14176     break;
   14177    case 4:
   14178     return;
   14179   }
   14180 }
   14181 function _emit_clusters($job, $g, $flags) {
   14182   $job = $job | 0;
   14183   $g = $g | 0;
   14184   $flags = $flags | 0;
   14185   var $istyle = 0, $AF = 0, $n_cluster = 0, $0 = 0, $cmp103 = 0, $clust = 0, $and = 0, $tobool = 0, $obj6 = 0, $and186 = 0, $tobool187 = 0, $arrayidx125 = 0, $1 = 0, $arrayidx128 = 0, $2 = 0, $x = 0, $x133 = 0, $y = 0, $y136 = 0, $x138 = 0, $x140 = 0, $y142 = 0, $y144 = 0, $c_0104 = 0, $3 = 0, $arrayidx = 0, $4 = 0, $call = 0, $cmp2 = 0, $5 = 0, $url = 0, $6 = 0, $tobool7 = 0, $7 = 0, $8 = 0, $9 = 0, $_mask = 0, $tobool8 = 0, $10 = 0, $11 = 0, $call9 = 0, $_not = 0, $brmerge = 0, $bb = 0, $12 = 0, $tooltip = 0, $13 = 0, $target = 0, $14 = 0, $id = 0, $15 = 0, $call17 = 0, $tobool18 = 0, $16 = 0, $and20 = 0, $filled_0 = 0, $gui_state = 0, $17 = 0, $conv26 = 0, $and27 = 0, $tobool28 = 0, $18 = 0, $call30 = 0, $19 = 0, $call31 = 0, $and35 = 0, $tobool36 = 0, $20 = 0, $call38 = 0, $21 = 0, $call39 = 0, $and44 = 0, $tobool45 = 0, $22 = 0, $call47 = 0, $23 = 0, $call48 = 0, $and53 = 0, $tobool54 = 0, $24 = 0, $call56 = 0, $25 = 0, $call57 = 0, $call59 = 0, $cmp60 = 0, $26 = 0, $tobool65 = 0, $_call59 = 0, $pencolor_0 = 0, $call68 = 0, $cmp69 = 0, $27 = 0, $tobool74 = 0, $pencolor_0_call68 = 0, $pencolor_1 = 0, $call77 = 0, $cmp78 = 0, $28 = 0, $tobool83 = 0, $pencolor_0_call77 = 0, $fillcolor_1 = 0, $tobool86 = 0, $call88 = 0, $cmp89 = 0, $29 = 0, $tobool94 = 0, $fillcolor_1_call88 = 0, $filled_0_ = 0, $pencolor_2 = 0, $fillcolor_2 = 0, $filled_1 = 0, $tobool101 = 0, $_pencolor_2 = 0, $tobool104 = 0, $fillcolor_3 = 0, $30 = 0, $tobool107 = 0, $index = 0, $31 = 0, $call109 = 0, $tobool110 = 0, $32 = 0, $tobool114 = 0, $call116 = 0.0, $33 = 0, $and118 = 0, $tobool119 = 0, $34 = 0, $call121 = 0, $35 = 0, $36 = 0, $bb127 = 0, $37 = 0, $UR = 0, $38 = 0, $39 = 0.0, $40 = 0.0, $41 = 0.0, $42 = 0.0, $43 = 0, $and145 = 0, $44 = 0, $call148 = 0, $tobool149 = 0, $bb152 = 0, $conv153 = 0, $tobool155 = 0, $cmp159 = 0, $bb164 = 0, $conv165 = 0, $label = 0, $45 = 0, $tobool170 = 0, $bb179 = 0, $46 = 0, $tooltip181 = 0, $47 = 0, $target182 = 0, $48 = 0, $id183 = 0, $49 = 0, $50 = 0, $call189 = 0, $tobool191101 = 0, $n_0_in102 = 0, $n_0 = 0, $call193 = 0, $tobool19599 = 0, $e_0_in100 = 0, $e_0 = 0, $call197 = 0, $tobool195 = 0, $call199 = 0, $tobool191 = 0, $inc = 0, $51 = 0, $cmp = 0, label = 0, __stackBase__ = 0;
   14186   __stackBase__ = STACKTOP;
   14187   STACKTOP = STACKTOP + 72 | 0;
   14188   label = 2;
   14189   while (1) switch (label | 0) {
   14190    case 2:
   14191     $istyle = __stackBase__ | 0;
   14192     $AF = __stackBase__ + 8 | 0;
   14193     $n_cluster = $g + 212 | 0;
   14194     $0 = HEAP32[$n_cluster >> 2] | 0;
   14195     $cmp103 = ($0 | 0) < 1;
   14196     if ($cmp103) {
   14197       label = 57;
   14198       break;
   14199     } else {
   14200       label = 3;
   14201       break;
   14202     }
   14203    case 3:
   14204     $clust = $g + 216 | 0;
   14205     $and = $flags & 4;
   14206     $tobool = ($and | 0) != 0;
   14207     $obj6 = $job + 16 | 0;
   14208     $and186 = $flags & 8;
   14209     $tobool187 = ($and186 | 0) == 0;
   14210     $arrayidx125 = $AF | 0;
   14211     $1 = $AF;
   14212     $arrayidx128 = $AF + 32 | 0;
   14213     $2 = $arrayidx128;
   14214     $x = $arrayidx128 | 0;
   14215     $x133 = $AF + 16 | 0;
   14216     $y = $AF + 8 | 0;
   14217     $y136 = $AF + 24 | 0;
   14218     $x138 = $AF | 0;
   14219     $x140 = $AF + 48 | 0;
   14220     $y142 = $AF + 40 | 0;
   14221     $y144 = $AF + 56 | 0;
   14222     $c_0104 = 1;
   14223     label = 4;
   14224     break;
   14225    case 4:
   14226     $3 = HEAP32[$clust >> 2] | 0;
   14227     $arrayidx = $3 + ($c_0104 << 2) | 0;
   14228     $4 = HEAP32[$arrayidx >> 2] | 0;
   14229     $call = _clust_in_layer($job, $4) | 0;
   14230     $cmp2 = $call << 24 >> 24 == 0;
   14231     if ($cmp2) {
   14232       label = 56;
   14233       break;
   14234     } else {
   14235       label = 5;
   14236       break;
   14237     }
   14238    case 5:
   14239     if ($tobool) {
   14240       label = 6;
   14241       break;
   14242     } else {
   14243       label = 7;
   14244       break;
   14245     }
   14246    case 6:
   14247     _emit_clusters($job, $4, $flags);
   14248     label = 7;
   14249     break;
   14250    case 7:
   14251     _emit_begin_cluster($job, $4);
   14252     $5 = HEAP32[$obj6 >> 2] | 0;
   14253     $url = $5 + 160 | 0;
   14254     $6 = HEAP32[$url >> 2] | 0;
   14255     $tobool7 = ($6 | 0) == 0;
   14256     if ($tobool7) {
   14257       label = 8;
   14258       break;
   14259     } else {
   14260       $10 = 1;
   14261       label = 9;
   14262       break;
   14263     }
   14264    case 8:
   14265     $7 = $5 + 212 | 0;
   14266     $8 = $7;
   14267     $9 = HEAP32[$8 >> 2] | 0;
   14268     $_mask = $9 & 1;
   14269     $tobool8 = ($_mask | 0) != 0;
   14270     $10 = $tobool8;
   14271     label = 9;
   14272     break;
   14273    case 9:
   14274     $11 = $4 | 0;
   14275     $call9 = _agget($11, 133704) | 0;
   14276     _setColorScheme($call9);
   14277     $_not = $10 ^ 1;
   14278     $brmerge = $tobool | $_not;
   14279     if ($brmerge) {
   14280       label = 11;
   14281       break;
   14282     } else {
   14283       label = 10;
   14284       break;
   14285     }
   14286    case 10:
   14287     $bb = $4 + 56 | 0;
   14288     _emit_map_rect($job, $bb);
   14289     $12 = HEAP32[$url >> 2] | 0;
   14290     $tooltip = $5 + 180 | 0;
   14291     $13 = HEAP32[$tooltip >> 2] | 0;
   14292     $target = $5 + 196 | 0;
   14293     $14 = HEAP32[$target >> 2] | 0;
   14294     $id = $5 + 164 | 0;
   14295     $15 = HEAP32[$id >> 2] | 0;
   14296     _gvrender_begin_anchor($job, $12, $13, $14, $15);
   14297     label = 11;
   14298     break;
   14299    case 11:
   14300     HEAP32[$istyle >> 2] = 0;
   14301     $call17 = _checkClusterStyle($4, $istyle) | 0;
   14302     $tobool18 = ($call17 | 0) == 0;
   14303     if ($tobool18) {
   14304       $filled_0 = 0;
   14305       label = 13;
   14306       break;
   14307     } else {
   14308       label = 12;
   14309       break;
   14310     }
   14311    case 12:
   14312     _gvrender_set_style($job, $call17);
   14313     $16 = HEAP32[$istyle >> 2] | 0;
   14314     $and20 = $16 & 1;
   14315     $filled_0 = $and20;
   14316     label = 13;
   14317     break;
   14318    case 13:
   14319     $gui_state = $4 + 152 | 0;
   14320     $17 = HEAP8[$gui_state] | 0;
   14321     $conv26 = $17 & 255;
   14322     $and27 = $conv26 & 1;
   14323     $tobool28 = ($and27 | 0) == 0;
   14324     if ($tobool28) {
   14325       label = 15;
   14326       break;
   14327     } else {
   14328       label = 14;
   14329       break;
   14330     }
   14331    case 14:
   14332     $18 = HEAP32[41872] | 0;
   14333     $call30 = _late_nnstring($11, $18, 131512) | 0;
   14334     $19 = HEAP32[41874] | 0;
   14335     $call31 = _late_nnstring($11, $19, 130536) | 0;
   14336     $filled_1 = 1;
   14337     $fillcolor_2 = $call31;
   14338     $pencolor_2 = $call30;
   14339     label = 30;
   14340     break;
   14341    case 15:
   14342     $and35 = $conv26 & 2;
   14343     $tobool36 = ($and35 | 0) == 0;
   14344     if ($tobool36) {
   14345       label = 17;
   14346       break;
   14347     } else {
   14348       label = 16;
   14349       break;
   14350     }
   14351    case 16:
   14352     $20 = HEAP32[41872] | 0;
   14353     $call38 = _late_nnstring($11, $20, 128656) | 0;
   14354     $21 = HEAP32[41874] | 0;
   14355     $call39 = _late_nnstring($11, $21, 126080) | 0;
   14356     $filled_1 = 1;
   14357     $fillcolor_2 = $call39;
   14358     $pencolor_2 = $call38;
   14359     label = 30;
   14360     break;
   14361    case 17:
   14362     $and44 = $conv26 & 8;
   14363     $tobool45 = ($and44 | 0) == 0;
   14364     if ($tobool45) {
   14365       label = 19;
   14366       break;
   14367     } else {
   14368       label = 18;
   14369       break;
   14370     }
   14371    case 18:
   14372     $22 = HEAP32[41868] | 0;
   14373     $call47 = _late_nnstring($11, $22, 122664) | 0;
   14374     $23 = HEAP32[41870] | 0;
   14375     $call48 = _late_nnstring($11, $23, 121984) | 0;
   14376     $filled_1 = 1;
   14377     $fillcolor_2 = $call48;
   14378     $pencolor_2 = $call47;
   14379     label = 30;
   14380     break;
   14381    case 19:
   14382     $and53 = $conv26 & 4;
   14383     $tobool54 = ($and53 | 0) == 0;
   14384     if ($tobool54) {
   14385       label = 21;
   14386       break;
   14387     } else {
   14388       label = 20;
   14389       break;
   14390     }
   14391    case 20:
   14392     $24 = HEAP32[41858] | 0;
   14393     $call56 = _late_nnstring($11, $24, 121456) | 0;
   14394     $25 = HEAP32[41860] | 0;
   14395     $call57 = _late_nnstring($11, $25, 120728) | 0;
   14396     $filled_1 = 1;
   14397     $fillcolor_2 = $call57;
   14398     $pencolor_2 = $call56;
   14399     label = 30;
   14400     break;
   14401    case 21:
   14402     $call59 = _agget($11, 120080) | 0;
   14403     $cmp60 = ($call59 | 0) == 0;
   14404     if ($cmp60) {
   14405       $pencolor_0 = 0;
   14406       label = 23;
   14407       break;
   14408     } else {
   14409       label = 22;
   14410       break;
   14411     }
   14412    case 22:
   14413     $26 = HEAP8[$call59] | 0;
   14414     $tobool65 = $26 << 24 >> 24 == 0;
   14415     $_call59 = $tobool65 ? 0 : $call59;
   14416     $pencolor_0 = $_call59;
   14417     label = 23;
   14418     break;
   14419    case 23:
   14420     $call68 = _agget($11, 119480) | 0;
   14421     $cmp69 = ($call68 | 0) == 0;
   14422     if ($cmp69) {
   14423       $pencolor_1 = $pencolor_0;
   14424       label = 25;
   14425       break;
   14426     } else {
   14427       label = 24;
   14428       break;
   14429     }
   14430    case 24:
   14431     $27 = HEAP8[$call68] | 0;
   14432     $tobool74 = $27 << 24 >> 24 == 0;
   14433     $pencolor_0_call68 = $tobool74 ? $pencolor_0 : $call68;
   14434     $pencolor_1 = $pencolor_0_call68;
   14435     label = 25;
   14436     break;
   14437    case 25:
   14438     $call77 = _agget($11, 118936) | 0;
   14439     $cmp78 = ($call77 | 0) == 0;
   14440     if ($cmp78) {
   14441       $fillcolor_1 = $pencolor_0;
   14442       label = 27;
   14443       break;
   14444     } else {
   14445       label = 26;
   14446       break;
   14447     }
   14448    case 26:
   14449     $28 = HEAP8[$call77] | 0;
   14450     $tobool83 = $28 << 24 >> 24 == 0;
   14451     $pencolor_0_call77 = $tobool83 ? $pencolor_0 : $call77;
   14452     $fillcolor_1 = $pencolor_0_call77;
   14453     label = 27;
   14454     break;
   14455    case 27:
   14456     $tobool86 = ($filled_0 | 0) == 0;
   14457     if ($tobool86) {
   14458       label = 28;
   14459       break;
   14460     } else {
   14461       $filled_1 = $filled_0;
   14462       $fillcolor_2 = $fillcolor_1;
   14463       $pencolor_2 = $pencolor_1;
   14464       label = 30;
   14465       break;
   14466     }
   14467    case 28:
   14468     $call88 = _agget($11, 118488) | 0;
   14469     $cmp89 = ($call88 | 0) == 0;
   14470     if ($cmp89) {
   14471       $filled_1 = 0;
   14472       $fillcolor_2 = $fillcolor_1;
   14473       $pencolor_2 = $pencolor_1;
   14474       label = 30;
   14475       break;
   14476     } else {
   14477       label = 29;
   14478       break;
   14479     }
   14480    case 29:
   14481     $29 = HEAP8[$call88] | 0;
   14482     $tobool94 = $29 << 24 >> 24 == 0;
   14483     $fillcolor_1_call88 = $tobool94 ? $fillcolor_1 : $call88;
   14484     $filled_0_ = $tobool94 ? $filled_0 : 1;
   14485     $filled_1 = $filled_0_;
   14486     $fillcolor_2 = $fillcolor_1_call88;
   14487     $pencolor_2 = $pencolor_1;
   14488     label = 30;
   14489     break;
   14490    case 30:
   14491     $tobool101 = ($pencolor_2 | 0) == 0;
   14492     $_pencolor_2 = $tobool101 ? 117856 : $pencolor_2;
   14493     $tobool104 = ($fillcolor_2 | 0) == 0;
   14494     $fillcolor_3 = $tobool104 ? 117192 : $fillcolor_2;
   14495     $30 = HEAP32[41864] | 0;
   14496     $tobool107 = ($30 | 0) == 0;
   14497     if ($tobool107) {
   14498       label = 34;
   14499       break;
   14500     } else {
   14501       label = 31;
   14502       break;
   14503     }
   14504    case 31:
   14505     $index = $30 + 8 | 0;
   14506     $31 = HEAP32[$index >> 2] | 0;
   14507     $call109 = _agxget($11, $31) | 0;
   14508     $tobool110 = ($call109 | 0) == 0;
   14509     if ($tobool110) {
   14510       label = 34;
   14511       break;
   14512     } else {
   14513       label = 32;
   14514       break;
   14515     }
   14516    case 32:
   14517     $32 = HEAP8[$call109] | 0;
   14518     $tobool114 = $32 << 24 >> 24 == 0;
   14519     if ($tobool114) {
   14520       label = 34;
   14521       break;
   14522     } else {
   14523       label = 33;
   14524       break;
   14525     }
   14526    case 33:
   14527     $call116 = +_late_double($11, $30, 1.0, 0.0);
   14528     _gvrender_set_penwidth($job, $call116);
   14529     label = 34;
   14530     break;
   14531    case 34:
   14532     $33 = HEAP32[$istyle >> 2] | 0;
   14533     $and118 = $33 & 2;
   14534     $tobool119 = ($and118 | 0) == 0;
   14535     if ($tobool119) {
   14536       label = 37;
   14537       break;
   14538     } else {
   14539       label = 35;
   14540       break;
   14541     }
   14542    case 35:
   14543     $34 = HEAP32[41862] | 0;
   14544     $call121 = _late_int($11, $34, 1, 0) | 0;
   14545     $35 = $call121 | $filled_1;
   14546     $36 = ($35 | 0) == 0;
   14547     if ($36) {
   14548       label = 43;
   14549       break;
   14550     } else {
   14551       label = 36;
   14552       break;
   14553     }
   14554    case 36:
   14555     $bb127 = $4 + 56 | 0;
   14556     $37 = $bb127;
   14557     HEAP32[$1 >> 2] = HEAP32[$37 >> 2] | 0;
   14558     HEAP32[$1 + 4 >> 2] = HEAP32[$37 + 4 >> 2] | 0;
   14559     HEAP32[$1 + 8 >> 2] = HEAP32[$37 + 8 >> 2] | 0;
   14560     HEAP32[$1 + 12 >> 2] = HEAP32[$37 + 12 >> 2] | 0;
   14561     $UR = $4 + 72 | 0;
   14562     $38 = $UR;
   14563     HEAP32[$2 >> 2] = HEAP32[$38 >> 2] | 0;
   14564     HEAP32[$2 + 4 >> 2] = HEAP32[$38 + 4 >> 2] | 0;
   14565     HEAP32[$2 + 8 >> 2] = HEAP32[$38 + 8 >> 2] | 0;
   14566     HEAP32[$2 + 12 >> 2] = HEAP32[$38 + 12 >> 2] | 0;
   14567     $39 = +HEAPF64[$x >> 3];
   14568     HEAPF64[$x133 >> 3] = $39;
   14569     $40 = +HEAPF64[$y >> 3];
   14570     HEAPF64[$y136 >> 3] = $40;
   14571     $41 = +HEAPF64[$x138 >> 3];
   14572     HEAPF64[$x140 >> 3] = $41;
   14573     $42 = +HEAPF64[$y142 >> 3];
   14574     HEAPF64[$y144 >> 3] = $42;
   14575     $43 = HEAP32[$istyle >> 2] | 0;
   14576     $and145 = $43 & 1;
   14577     _round_corners($job, $fillcolor_3, $_pencolor_2, $arrayidx125, 4, $43, $and145);
   14578     label = 43;
   14579     break;
   14580    case 37:
   14581     _gvrender_set_pencolor($job, $_pencolor_2);
   14582     _gvrender_set_fillcolor($job, $fillcolor_3);
   14583     $44 = HEAP32[41862] | 0;
   14584     $call148 = _late_int($11, $44, 1, 0) | 0;
   14585     $tobool149 = ($call148 | 0) == 0;
   14586     if ($tobool149) {
   14587       label = 39;
   14588       break;
   14589     } else {
   14590       label = 38;
   14591       break;
   14592     }
   14593    case 38:
   14594     $bb152 = $4 + 56 | 0;
   14595     $conv153 = $filled_1 & 255;
   14596     _gvrender_box($job, $bb152, $conv153);
   14597     label = 43;
   14598     break;
   14599    case 39:
   14600     $tobool155 = ($filled_1 | 0) == 0;
   14601     if ($tobool155) {
   14602       label = 43;
   14603       break;
   14604     } else {
   14605       label = 40;
   14606       break;
   14607     }
   14608    case 40:
   14609     $cmp159 = ($fillcolor_3 | 0) == ($_pencolor_2 | 0);
   14610     if ($cmp159) {
   14611       label = 42;
   14612       break;
   14613     } else {
   14614       label = 41;
   14615       break;
   14616     }
   14617    case 41:
   14618     _gvrender_set_pencolor($job, $fillcolor_3);
   14619     label = 42;
   14620     break;
   14621    case 42:
   14622     $bb164 = $4 + 56 | 0;
   14623     $conv165 = $filled_1 & 255;
   14624     _gvrender_box($job, $bb164, $conv165);
   14625     label = 43;
   14626     break;
   14627    case 43:
   14628     $label = $4 + 52 | 0;
   14629     $45 = HEAP32[$label >> 2] | 0;
   14630     $tobool170 = ($45 | 0) == 0;
   14631     if ($tobool170) {
   14632       label = 45;
   14633       break;
   14634     } else {
   14635       label = 44;
   14636       break;
   14637     }
   14638    case 44:
   14639     _emit_label($job, 5, $45);
   14640     label = 45;
   14641     break;
   14642    case 45:
   14643     if ($10) {
   14644       label = 46;
   14645       break;
   14646     } else {
   14647       label = 49;
   14648       break;
   14649     }
   14650    case 46:
   14651     if ($tobool) {
   14652       label = 47;
   14653       break;
   14654     } else {
   14655       label = 48;
   14656       break;
   14657     }
   14658    case 47:
   14659     $bb179 = $4 + 56 | 0;
   14660     _emit_map_rect($job, $bb179);
   14661     $46 = HEAP32[$url >> 2] | 0;
   14662     $tooltip181 = $5 + 180 | 0;
   14663     $47 = HEAP32[$tooltip181 >> 2] | 0;
   14664     $target182 = $5 + 196 | 0;
   14665     $48 = HEAP32[$target182 >> 2] | 0;
   14666     $id183 = $5 + 164 | 0;
   14667     $49 = HEAP32[$id183 >> 2] | 0;
   14668     _gvrender_begin_anchor($job, $46, $47, $48, $49);
   14669     label = 48;
   14670     break;
   14671    case 48:
   14672     _gvrender_end_anchor($job);
   14673     label = 49;
   14674     break;
   14675    case 49:
   14676     if ($tobool187) {
   14677       label = 54;
   14678       break;
   14679     } else {
   14680       label = 50;
   14681       break;
   14682     }
   14683    case 50:
   14684     $50 = $4;
   14685     $call189 = _agfstnode($50) | 0;
   14686     $tobool191101 = ($call189 | 0) == 0;
   14687     if ($tobool191101) {
   14688       label = 54;
   14689       break;
   14690     } else {
   14691       $n_0_in102 = $call189;
   14692       label = 51;
   14693       break;
   14694     }
   14695    case 51:
   14696     $n_0 = $n_0_in102;
   14697     _emit_node($job, $n_0);
   14698     $call193 = _agfstout($50, $n_0_in102) | 0;
   14699     $tobool19599 = ($call193 | 0) == 0;
   14700     if ($tobool19599) {
   14701       label = 53;
   14702       break;
   14703     } else {
   14704       $e_0_in100 = $call193;
   14705       label = 52;
   14706       break;
   14707     }
   14708    case 52:
   14709     $e_0 = $e_0_in100;
   14710     _emit_edge($job, $e_0);
   14711     $call197 = _agnxtout($50, $e_0_in100) | 0;
   14712     $tobool195 = ($call197 | 0) == 0;
   14713     if ($tobool195) {
   14714       label = 53;
   14715       break;
   14716     } else {
   14717       $e_0_in100 = $call197;
   14718       label = 52;
   14719       break;
   14720     }
   14721    case 53:
   14722     $call199 = _agnxtnode($50, $n_0_in102) | 0;
   14723     $tobool191 = ($call199 | 0) == 0;
   14724     if ($tobool191) {
   14725       label = 54;
   14726       break;
   14727     } else {
   14728       $n_0_in102 = $call199;
   14729       label = 51;
   14730       break;
   14731     }
   14732    case 54:
   14733     _emit_end_cluster($job, $g);
   14734     if ($tobool) {
   14735       label = 56;
   14736       break;
   14737     } else {
   14738       label = 55;
   14739       break;
   14740     }
   14741    case 55:
   14742     _emit_clusters($job, $4, $flags);
   14743     label = 56;
   14744     break;
   14745    case 56:
   14746     $inc = $c_0104 + 1 | 0;
   14747     $51 = HEAP32[$n_cluster >> 2] | 0;
   14748     $cmp = ($inc | 0) > ($51 | 0);
   14749     if ($cmp) {
   14750       label = 57;
   14751       break;
   14752     } else {
   14753       $c_0104 = $inc;
   14754       label = 4;
   14755       break;
   14756     }
   14757    case 57:
   14758     STACKTOP = __stackBase__;
   14759     return;
   14760   }
   14761 }
   14762 function _clust_in_layer($job, $sg) {
   14763   $job = $job | 0;
   14764   $sg = $sg | 0;
   14765   var $numLayers = 0, $0 = 0, $cmp = 0, $1 = 0, $call = 0, $call1 = 0, $call2 = 0, $tobool = 0, $2 = 0, $tobool5 = 0, $3 = 0, $call8 = 0, $tobool910 = 0, $n_0_in11 = 0, $n_0 = 0, $call10 = 0, $tobool11 = 0, $call14 = 0, $tobool9 = 0, $retval_0 = 0, label = 0;
   14766   label = 2;
   14767   while (1) switch (label | 0) {
   14768    case 2:
   14769     $numLayers = $job + 156 | 0;
   14770     $0 = HEAP32[$numLayers >> 2] | 0;
   14771     $cmp = ($0 | 0) < 2;
   14772     if ($cmp) {
   14773       $retval_0 = 1;
   14774       label = 8;
   14775       break;
   14776     } else {
   14777       label = 3;
   14778       break;
   14779     }
   14780    case 3:
   14781     $1 = $sg | 0;
   14782     $call = _agfindattr($1, 160880) | 0;
   14783     $call1 = _late_string($1, $call, 136112) | 0;
   14784     $call2 = _selectedlayer($job, $call1) | 0;
   14785     $tobool = $call2 << 24 >> 24 == 0;
   14786     if ($tobool) {
   14787       label = 4;
   14788       break;
   14789     } else {
   14790       $retval_0 = 1;
   14791       label = 8;
   14792       break;
   14793     }
   14794    case 4:
   14795     $2 = HEAP8[$call1] | 0;
   14796     $tobool5 = $2 << 24 >> 24 == 0;
   14797     if ($tobool5) {
   14798       label = 5;
   14799       break;
   14800     } else {
   14801       $retval_0 = 0;
   14802       label = 8;
   14803       break;
   14804     }
   14805    case 5:
   14806     $3 = $sg;
   14807     $call8 = _agfstnode($3) | 0;
   14808     $tobool910 = ($call8 | 0) == 0;
   14809     if ($tobool910) {
   14810       $retval_0 = 0;
   14811       label = 8;
   14812       break;
   14813     } else {
   14814       $n_0_in11 = $call8;
   14815       label = 6;
   14816       break;
   14817     }
   14818    case 6:
   14819     $n_0 = $n_0_in11;
   14820     $call10 = _node_in_layer($job, $sg, $n_0) | 0;
   14821     $tobool11 = $call10 << 24 >> 24 == 0;
   14822     if ($tobool11) {
   14823       label = 7;
   14824       break;
   14825     } else {
   14826       $retval_0 = 1;
   14827       label = 8;
   14828       break;
   14829     }
   14830    case 7:
   14831     $call14 = _agnxtnode($3, $n_0_in11) | 0;
   14832     $tobool9 = ($call14 | 0) == 0;
   14833     if ($tobool9) {
   14834       $retval_0 = 0;
   14835       label = 8;
   14836       break;
   14837     } else {
   14838       $n_0_in11 = $call14;
   14839       label = 6;
   14840       break;
   14841     }
   14842    case 8:
   14843     return $retval_0 | 0;
   14844   }
   14845   return 0;
   14846 }
   14847 function _emit_begin_cluster($job, $sg) {
   14848   $job = $job | 0;
   14849   $sg = $sg | 0;
   14850   var $call = 0;
   14851   $call = _push_obj_state($job) | 0;
   14852   HEAP32[$call + 4 >> 2] = 1;
   14853   HEAP32[$call + 8 >> 2] = $sg;
   14854   HEAP32[$call + 12 >> 2] = 1;
   14855   _initObjMapData($job, HEAP32[$sg + 52 >> 2] | 0, $sg | 0);
   14856   _gvrender_begin_cluster($job, 0);
   14857   return;
   14858 }
   14859 function _checkClusterStyle($sg, $flagp) {
   14860   $sg = $sg | 0;
   14861   $flagp = $flagp | 0;
   14862   var $0 = 0, $call = 0, $cmp = 0, $1 = 0, $tobool = 0, $call1 = 0, $or11 = 0, $istyle_0_ph = 0, $pp_0_ph = 0, $pp_0 = 0, $2 = 0, $tobool2 = 0, $call3 = 0, $cmp4 = 0, $or = 0, $incdec_ptr = 0, $call7 = 0, $cmp8 = 0, $incdec_ptr15 = 0, $qp_0 = 0, $incdec_ptr12 = 0, $3 = 0, $4 = 0, $tobool13 = 0, $istyle_1 = 0, $pstyle_0 = 0, label = 0;
   14863   label = 2;
   14864   while (1) switch (label | 0) {
   14865    case 2:
   14866     $0 = $sg | 0;
   14867     $call = _agget($0, 161504) | 0;
   14868     $cmp = ($call | 0) == 0;
   14869     if ($cmp) {
   14870       $pstyle_0 = 0;
   14871       $istyle_1 = 0;
   14872       label = 12;
   14873       break;
   14874     } else {
   14875       label = 3;
   14876       break;
   14877     }
   14878    case 3:
   14879     $1 = HEAP8[$call] | 0;
   14880     $tobool = $1 << 24 >> 24 == 0;
   14881     if ($tobool) {
   14882       $pstyle_0 = 0;
   14883       $istyle_1 = 0;
   14884       label = 12;
   14885       break;
   14886     } else {
   14887       label = 4;
   14888       break;
   14889     }
   14890    case 4:
   14891     $call1 = _parse_style($call) | 0;
   14892     $pp_0_ph = 7280;
   14893     $istyle_0_ph = 0;
   14894     label = 6;
   14895     break;
   14896    case 5:
   14897     $or11 = $istyle_0_ph | 2;
   14898     $pp_0_ph = $pp_0;
   14899     $istyle_0_ph = $or11;
   14900     label = 6;
   14901     break;
   14902    case 6:
   14903     $pp_0 = $pp_0_ph;
   14904     label = 7;
   14905     break;
   14906    case 7:
   14907     $2 = HEAP32[$pp_0 >> 2] | 0;
   14908     $tobool2 = ($2 | 0) == 0;
   14909     if ($tobool2) {
   14910       $pstyle_0 = 7280;
   14911       $istyle_1 = $istyle_0_ph;
   14912       label = 12;
   14913       break;
   14914     } else {
   14915       label = 8;
   14916       break;
   14917     }
   14918    case 8:
   14919     $call3 = _strcmp($2 | 0, 161792) | 0;
   14920     $cmp4 = ($call3 | 0) == 0;
   14921     if ($cmp4) {
   14922       label = 9;
   14923       break;
   14924     } else {
   14925       label = 10;
   14926       break;
   14927     }
   14928    case 9:
   14929     $or = $istyle_0_ph | 1;
   14930     $incdec_ptr = $pp_0 + 4 | 0;
   14931     $pp_0_ph = $incdec_ptr;
   14932     $istyle_0_ph = $or;
   14933     label = 6;
   14934     break;
   14935    case 10:
   14936     $call7 = _strcmp($2 | 0, 161224) | 0;
   14937     $cmp8 = ($call7 | 0) == 0;
   14938     $incdec_ptr15 = $pp_0 + 4 | 0;
   14939     if ($cmp8) {
   14940       $qp_0 = $pp_0;
   14941       label = 11;
   14942       break;
   14943     } else {
   14944       $pp_0 = $incdec_ptr15;
   14945       label = 7;
   14946       break;
   14947     }
   14948    case 11:
   14949     $incdec_ptr12 = $qp_0 + 4 | 0;
   14950     $3 = HEAP32[$incdec_ptr12 >> 2] | 0;
   14951     HEAP32[$qp_0 >> 2] = $3;
   14952     $4 = HEAP32[$incdec_ptr12 >> 2] | 0;
   14953     $tobool13 = ($4 | 0) == 0;
   14954     if ($tobool13) {
   14955       label = 5;
   14956       break;
   14957     } else {
   14958       $qp_0 = $incdec_ptr12;
   14959       label = 11;
   14960       break;
   14961     }
   14962    case 12:
   14963     HEAP32[$flagp >> 2] = $istyle_1;
   14964     return $pstyle_0 | 0;
   14965   }
   14966   return 0;
   14967 }
   14968 function _emit_node($job, $n) {
   14969   $job = $job | 0;
   14970   $n = $n | 0;
   14971   var $gvc1 = 0, $0 = 0, $shape = 0, $1 = 0, $tobool = 0, $graph = 0, $2 = 0, $call = 0, $tobool2 = 0, $clip = 0, $call4 = 0, $tobool6 = 0, $state = 0, $3 = 0, $conv9 = 0, $viewNum = 0, $4 = 0, $cmp = 0, $conv13 = 0, $name = 0, $5 = 0, $6 = 0, $7 = 0, $call16 = 0, $8 = 0, $tobool17 = 0, $9 = 0, $call19 = 0, $10 = 0, $tobool21 = 0, $call23 = 0, $11 = 0, $tobool2426 = 0, $12 = 0, $incdec_ptr27 = 0, $13 = 0, $cmp27 = 0, $incdec_ptr = 0, $14 = 0, $tobool24 = 0, $call30 = 0, $tobool31 = 0, $15 = 0, $fns = 0, $16 = 0, $codefn = 0, $17 = 0, $xlabel = 0, $18 = 0, $tobool38 = 0, label = 0;
   14972   label = 2;
   14973   while (1) switch (label | 0) {
   14974    case 2:
   14975     $gvc1 = $job | 0;
   14976     $0 = HEAP32[$gvc1 >> 2] | 0;
   14977     $shape = $n + 24 | 0;
   14978     $1 = HEAP32[$shape >> 2] | 0;
   14979     $tobool = ($1 | 0) == 0;
   14980     if ($tobool) {
   14981       label = 16;
   14982       break;
   14983     } else {
   14984       label = 3;
   14985       break;
   14986     }
   14987    case 3:
   14988     $graph = $n + 20 | 0;
   14989     $2 = HEAP32[$graph >> 2] | 0;
   14990     $call = _node_in_layer($job, $2, $n) | 0;
   14991     $tobool2 = $call << 24 >> 24 == 0;
   14992     if ($tobool2) {
   14993       label = 16;
   14994       break;
   14995     } else {
   14996       label = 4;
   14997       break;
   14998     }
   14999    case 4:
   15000     $clip = $job + 256 | 0;
   15001     $call4 = _node_in_box($n, $clip) | 0;
   15002     $tobool6 = $call4 << 24 >> 24 == 0;
   15003     if ($tobool6) {
   15004       label = 16;
   15005       break;
   15006     } else {
   15007       label = 5;
   15008       break;
   15009     }
   15010    case 5:
   15011     $state = $n + 132 | 0;
   15012     $3 = HEAP8[$state] | 0;
   15013     $conv9 = $3 << 24 >> 24;
   15014     $viewNum = $0 + 28 | 0;
   15015     $4 = HEAP32[$viewNum >> 2] | 0;
   15016     $cmp = ($conv9 | 0) == ($4 | 0);
   15017     if ($cmp) {
   15018       label = 16;
   15019       break;
   15020     } else {
   15021       label = 6;
   15022       break;
   15023     }
   15024    case 6:
   15025     $conv13 = $4 & 255;
   15026     HEAP8[$state] = $conv13;
   15027     $name = $n + 12 | 0;
   15028     $5 = HEAP32[$name >> 2] | 0;
   15029     _gvrender_comment($job, $5);
   15030     $6 = $n | 0;
   15031     $7 = HEAP32[41614] | 0;
   15032     $call16 = _late_string($6, $7, 136112) | 0;
   15033     $8 = HEAP8[$call16] | 0;
   15034     $tobool17 = $8 << 24 >> 24 == 0;
   15035     if ($tobool17) {
   15036       label = 8;
   15037       break;
   15038     } else {
   15039       label = 7;
   15040       break;
   15041     }
   15042    case 7:
   15043     _gvrender_comment($job, $call16);
   15044     label = 8;
   15045     break;
   15046    case 8:
   15047     $9 = HEAP32[41560] | 0;
   15048     $call19 = _late_string($6, $9, 136112) | 0;
   15049     $10 = HEAP8[$call19] | 0;
   15050     $tobool21 = $10 << 24 >> 24 == 0;
   15051     if ($tobool21) {
   15052       label = 13;
   15053       break;
   15054     } else {
   15055       label = 9;
   15056       break;
   15057     }
   15058    case 9:
   15059     $call23 = _parse_style($call19) | 0;
   15060     $11 = HEAP32[1820] | 0;
   15061     $tobool2426 = ($11 | 0) == 0;
   15062     if ($tobool2426) {
   15063       label = 13;
   15064       break;
   15065     } else {
   15066       $incdec_ptr27 = 7284;
   15067       $12 = $11;
   15068       label = 10;
   15069       break;
   15070     }
   15071    case 10:
   15072     $13 = HEAP8[$12] | 0;
   15073     $cmp27 = $13 << 24 >> 24 == 105;
   15074     if ($cmp27) {
   15075       label = 12;
   15076       break;
   15077     } else {
   15078       label = 11;
   15079       break;
   15080     }
   15081    case 11:
   15082     $incdec_ptr = $incdec_ptr27 + 4 | 0;
   15083     $14 = HEAP32[$incdec_ptr27 >> 2] | 0;
   15084     $tobool24 = ($14 | 0) == 0;
   15085     if ($tobool24) {
   15086       label = 13;
   15087       break;
   15088     } else {
   15089       $incdec_ptr27 = $incdec_ptr;
   15090       $12 = $14;
   15091       label = 10;
   15092       break;
   15093     }
   15094    case 12:
   15095     $call30 = _strcmp($12 | 0, 101752) | 0;
   15096     $tobool31 = ($call30 | 0) == 0;
   15097     if ($tobool31) {
   15098       label = 16;
   15099       break;
   15100     } else {
   15101       label = 11;
   15102       break;
   15103     }
   15104    case 13:
   15105     _emit_begin_node($job, $n);
   15106     $15 = HEAP32[$shape >> 2] | 0;
   15107     $fns = $15 + 4 | 0;
   15108     $16 = HEAP32[$fns >> 2] | 0;
   15109     $codefn = $16 + 20 | 0;
   15110     $17 = HEAP32[$codefn >> 2] | 0;
   15111     FUNCTION_TABLE_vii[$17 & 1023]($job, $n);
   15112     $xlabel = $n + 124 | 0;
   15113     $18 = HEAP32[$xlabel >> 2] | 0;
   15114     $tobool38 = ($18 | 0) == 0;
   15115     if ($tobool38) {
   15116       label = 15;
   15117       break;
   15118     } else {
   15119       label = 14;
   15120       break;
   15121     }
   15122    case 14:
   15123     _emit_label($job, 10, $18);
   15124     label = 15;
   15125     break;
   15126    case 15:
   15127     _emit_end_node($job);
   15128     label = 16;
   15129     break;
   15130    case 16:
   15131     return;
   15132   }
   15133 }
   15134 function _emit_edge($job, $e) {
   15135   $job = $job | 0;
   15136   $e = $e | 0;
   15137   var $clip = 0, $call = 0, $tobool = 0, $head = 0, $call1 = 0, $tobool3 = 0, $tail = 0, $0 = 0, $name = 0, $1 = 0, $call4 = 0, $2 = 0, $name6 = 0, $3 = 0, $call7 = 0, $add8 = 0, $add9 = 0, $call10 = 0, $4 = 0, $name12 = 0, $5 = 0, $call13 = 0, $6 = 0, $graph15 = 0, $7 = 0, $8 = 0, $9 = 0, $and = 0, $tobool16 = 0, $strlen = 0, $endptr = 0, $10 = 0, $name21 = 0, $11 = 0, $call22 = 0, $12 = 0, $13 = 0, $call23 = 0, $14 = 0, $tobool24 = 0, $15 = 0, $call27 = 0, $16 = 0, $tobool29 = 0, $call31 = 0, $17 = 0, $tobool3231 = 0, $18 = 0, $incdec_ptr32 = 0, $19 = 0, $cmp = 0, $incdec_ptr = 0, $20 = 0, $tobool32 = 0, $call37 = 0, $tobool38 = 0, $styles_0 = 0, label = 0;
   15138   label = 2;
   15139   while (1) switch (label | 0) {
   15140    case 2:
   15141     $clip = $job + 256 | 0;
   15142     $call = _edge_in_box($e, $clip) | 0;
   15143     $tobool = $call << 24 >> 24 == 0;
   15144     if ($tobool) {
   15145       label = 15;
   15146       break;
   15147     } else {
   15148       label = 3;
   15149       break;
   15150     }
   15151    case 3:
   15152     $head = $e + 12 | 0;
   15153     $call1 = _edge_in_layer($job, $e) | 0;
   15154     $tobool3 = $call1 << 24 >> 24 == 0;
   15155     if ($tobool3) {
   15156       label = 15;
   15157       break;
   15158     } else {
   15159       label = 4;
   15160       break;
   15161     }
   15162    case 4:
   15163     $tail = $e + 16 | 0;
   15164     $0 = HEAP32[$tail >> 2] | 0;
   15165     $name = $0 + 12 | 0;
   15166     $1 = HEAP32[$name >> 2] | 0;
   15167     $call4 = _strlen($1 | 0) | 0;
   15168     $2 = HEAP32[$head >> 2] | 0;
   15169     $name6 = $2 + 12 | 0;
   15170     $3 = HEAP32[$name6 >> 2] | 0;
   15171     $call7 = _strlen($3 | 0) | 0;
   15172     $add8 = $call4 + 3 | 0;
   15173     $add9 = $add8 + $call7 | 0;
   15174     $call10 = _malloc($add9) | 0;
   15175     $4 = HEAP32[$tail >> 2] | 0;
   15176     $name12 = $4 + 12 | 0;
   15177     $5 = HEAP32[$name12 >> 2] | 0;
   15178     $call13 = _strcpy($call10 | 0, $5 | 0) | 0;
   15179     $6 = HEAP32[$head >> 2] | 0;
   15180     $graph15 = $6 + 20 | 0;
   15181     $7 = HEAP32[$graph15 >> 2] | 0;
   15182     $8 = $7;
   15183     $9 = HEAP32[$8 >> 2] | 0;
   15184     $and = $9 & 16;
   15185     $tobool16 = ($and | 0) == 0;
   15186     $strlen = _strlen($call10 | 0) | 0;
   15187     $endptr = $call10 + $strlen | 0;
   15188     if ($tobool16) {
   15189       label = 6;
   15190       break;
   15191     } else {
   15192       label = 5;
   15193       break;
   15194     }
   15195    case 5:
   15196     HEAP8[$endptr] = HEAP8[102616] | 0;
   15197     HEAP8[$endptr + 1 | 0] = HEAP8[102617 | 0] | 0;
   15198     HEAP8[$endptr + 2 | 0] = HEAP8[102618 | 0] | 0;
   15199     label = 7;
   15200     break;
   15201    case 6:
   15202     HEAP8[$endptr] = HEAP8[102232] | 0;
   15203     HEAP8[$endptr + 1 | 0] = HEAP8[102233 | 0] | 0;
   15204     HEAP8[$endptr + 2 | 0] = HEAP8[102234 | 0] | 0;
   15205     label = 7;
   15206     break;
   15207    case 7:
   15208     $10 = HEAP32[$head >> 2] | 0;
   15209     $name21 = $10 + 12 | 0;
   15210     $11 = HEAP32[$name21 >> 2] | 0;
   15211     $call22 = _strcat($call10 | 0, $11 | 0) | 0;
   15212     _gvrender_comment($job, $call10);
   15213     _free($call10);
   15214     $12 = $e | 0;
   15215     $13 = HEAP32[41956] | 0;
   15216     $call23 = _late_string($12, $13, 136112) | 0;
   15217     $14 = HEAP8[$call23] | 0;
   15218     $tobool24 = $14 << 24 >> 24 == 0;
   15219     if ($tobool24) {
   15220       label = 9;
   15221       break;
   15222     } else {
   15223       label = 8;
   15224       break;
   15225     }
   15226    case 8:
   15227     _gvrender_comment($job, $call23);
   15228     label = 9;
   15229     break;
   15230    case 9:
   15231     $15 = HEAP32[41904] | 0;
   15232     $call27 = _late_string($12, $15, 136112) | 0;
   15233     $16 = HEAP8[$call27] | 0;
   15234     $tobool29 = $16 << 24 >> 24 == 0;
   15235     if ($tobool29) {
   15236       $styles_0 = 0;
   15237       label = 14;
   15238       break;
   15239     } else {
   15240       label = 10;
   15241       break;
   15242     }
   15243    case 10:
   15244     $call31 = _parse_style($call27) | 0;
   15245     $17 = HEAP32[1820] | 0;
   15246     $tobool3231 = ($17 | 0) == 0;
   15247     if ($tobool3231) {
   15248       $styles_0 = 7280;
   15249       label = 14;
   15250       break;
   15251     } else {
   15252       $incdec_ptr32 = 7284;
   15253       $18 = $17;
   15254       label = 11;
   15255       break;
   15256     }
   15257    case 11:
   15258     $19 = HEAP8[$18] | 0;
   15259     $cmp = $19 << 24 >> 24 == 105;
   15260     if ($cmp) {
   15261       label = 13;
   15262       break;
   15263     } else {
   15264       label = 12;
   15265       break;
   15266     }
   15267    case 12:
   15268     $incdec_ptr = $incdec_ptr32 + 4 | 0;
   15269     $20 = HEAP32[$incdec_ptr32 >> 2] | 0;
   15270     $tobool32 = ($20 | 0) == 0;
   15271     if ($tobool32) {
   15272       $styles_0 = 7280;
   15273       label = 14;
   15274       break;
   15275     } else {
   15276       $incdec_ptr32 = $incdec_ptr;
   15277       $18 = $20;
   15278       label = 11;
   15279       break;
   15280     }
   15281    case 13:
   15282     $call37 = _strcmp($18 | 0, 101752) | 0;
   15283     $tobool38 = ($call37 | 0) == 0;
   15284     if ($tobool38) {
   15285       label = 15;
   15286       break;
   15287     } else {
   15288       label = 12;
   15289       break;
   15290     }
   15291    case 14:
   15292     _emit_begin_edge($job, $e, $styles_0);
   15293     _emit_edge_graphics($job, $e, $styles_0);
   15294     _emit_end_edge($job);
   15295     label = 15;
   15296     break;
   15297    case 15:
   15298     return;
   15299   }
   15300 }
   15301 function _emit_end_cluster($job, $g) {
   15302   $job = $job | 0;
   15303   $g = $g | 0;
   15304   _gvrender_end_cluster($job, 0);
   15305   _pop_obj_state($job);
   15306   return;
   15307 }
   15308 function _parse_style($s) {
   15309   $s = $s | 0;
   15310   var $buf = 0, $p = 0, $xb = 0, $_b = 0, $arraydecay = 0, $ptr = 0, $eptr = 0, $buf34 = 0, $fun_0_ph = 0, $in_parens_0_ph = 0, $in_parens_0 = 0, $call = 0, $tobool1 = 0, $call3 = 0, $cmp6 = 0, $call9 = 0, $cmp12 = 0, $cmp15 = 0, $call18 = 0, $arrayidx = 0, $0 = 0, $1 = 0, $cmp20 = 0, $call22 = 0, $2 = 0, $incdec_ptr = 0, $3 = 0, $inc = 0, $arrayidx23 = 0, $fun_1 = 0, $4 = 0, $5 = 0, $cmp25 = 0, $call28 = 0, $6 = 0, $7 = 0, $call36 = 0, $8 = 0, $9 = 0, $cmp37 = 0, $call40 = 0, $10 = 0, $incdec_ptr44 = 0, $tobool45 = 0, $call47 = 0, $arrayidx49 = 0, $11 = 0, $12 = 0, $cmp50 = 0, $call53 = 0, $13 = 0, $14 = 0, label = 0, __stackBase__ = 0;
   15311   __stackBase__ = STACKTOP;
   15312   STACKTOP = STACKTOP + 152 | 0;
   15313   label = 2;
   15314   while (1) switch (label | 0) {
   15315    case 2:
   15316     $buf = __stackBase__ | 0;
   15317     $p = __stackBase__ + 128 | 0;
   15318     $xb = __stackBase__ + 136 | 0;
   15319     $_b = HEAP8[7536] | 0;
   15320     if ($_b) {
   15321       label = 4;
   15322       break;
   15323     } else {
   15324       label = 3;
   15325       break;
   15326     }
   15327    case 3:
   15328     _agxbinit(4840, 128, 9008);
   15329     HEAP8[7536] = 1;
   15330     label = 4;
   15331     break;
   15332    case 4:
   15333     $arraydecay = $buf | 0;
   15334     _agxbinit($xb, 128, $arraydecay);
   15335     HEAP32[$p >> 2] = $s;
   15336     $ptr = $xb + 4 | 0;
   15337     $eptr = $xb + 8 | 0;
   15338     $buf34 = $xb | 0;
   15339     $in_parens_0_ph = 0;
   15340     $fun_0_ph = 0;
   15341     label = 5;
   15342     break;
   15343    case 5:
   15344     $in_parens_0 = $in_parens_0_ph;
   15345     label = 6;
   15346     break;
   15347    case 6:
   15348     $call = _style_token($p, $xb) | 0;
   15349     if (($call | 0) == 40) {
   15350       label = 7;
   15351       break;
   15352     } else if (($call | 0) == 41) {
   15353       label = 9;
   15354       break;
   15355     } else if (($call | 0) == 0) {
   15356       label = 22;
   15357       break;
   15358     } else {
   15359       label = 11;
   15360       break;
   15361     }
   15362    case 7:
   15363     $tobool1 = $in_parens_0 << 24 >> 24 == 0;
   15364     if ($tobool1) {
   15365       $in_parens_0 = 1;
   15366       label = 6;
   15367       break;
   15368     } else {
   15369       label = 8;
   15370       break;
   15371     }
   15372    case 8:
   15373     $call3 = _agerr(1, 116624, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $s, tempInt) | 0) | 0;
   15374     HEAP32[1820] = 0;
   15375     _agxbfree($xb);
   15376     label = 27;
   15377     break;
   15378    case 9:
   15379     $cmp6 = $in_parens_0 << 24 >> 24 == 0;
   15380     if ($cmp6) {
   15381       label = 10;
   15382       break;
   15383     } else {
   15384       $in_parens_0 = 0;
   15385       label = 6;
   15386       break;
   15387     }
   15388    case 10:
   15389     $call9 = _agerr(1, 116144, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $s, tempInt) | 0) | 0;
   15390     HEAP32[1820] = 0;
   15391     _agxbfree($xb);
   15392     label = 27;
   15393     break;
   15394    case 11:
   15395     $cmp12 = $in_parens_0 << 24 >> 24 == 0;
   15396     if ($cmp12) {
   15397       label = 12;
   15398       break;
   15399     } else {
   15400       $fun_1 = $fun_0_ph;
   15401       label = 17;
   15402       break;
   15403     }
   15404    case 12:
   15405     $cmp15 = ($fun_0_ph | 0) == 63;
   15406     if ($cmp15) {
   15407       label = 13;
   15408       break;
   15409     } else {
   15410       label = 14;
   15411       break;
   15412     }
   15413    case 13:
   15414     $call18 = _agerr(0, 115648, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $s, tempInt) | 0) | 0;
   15415     $arrayidx = 7280 + ($fun_0_ph << 2) | 0;
   15416     HEAP32[$arrayidx >> 2] = 0;
   15417     _agxbfree($xb);
   15418     label = 27;
   15419     break;
   15420    case 14:
   15421     $0 = HEAP32[1211] | 0;
   15422     $1 = HEAP32[1212] | 0;
   15423     $cmp20 = $0 >>> 0 < $1 >>> 0;
   15424     if ($cmp20) {
   15425       label = 16;
   15426       break;
   15427     } else {
   15428       label = 15;
   15429       break;
   15430     }
   15431    case 15:
   15432     $call22 = _agxbmore(4840, 1) | 0;
   15433     label = 16;
   15434     break;
   15435    case 16:
   15436     $2 = HEAP32[1211] | 0;
   15437     $incdec_ptr = $2 + 1 | 0;
   15438     HEAP32[1211] = $incdec_ptr;
   15439     HEAP8[$2] = 0;
   15440     $3 = HEAP32[1211] | 0;
   15441     $inc = $fun_0_ph + 1 | 0;
   15442     $arrayidx23 = 7280 + ($fun_0_ph << 2) | 0;
   15443     HEAP32[$arrayidx23 >> 2] = $3;
   15444     $fun_1 = $inc;
   15445     label = 17;
   15446     break;
   15447    case 17:
   15448     $4 = HEAP32[$ptr >> 2] | 0;
   15449     $5 = HEAP32[$eptr >> 2] | 0;
   15450     $cmp25 = $4 >>> 0 < $5 >>> 0;
   15451     if ($cmp25) {
   15452       label = 19;
   15453       break;
   15454     } else {
   15455       label = 18;
   15456       break;
   15457     }
   15458    case 18:
   15459     $call28 = _agxbmore($xb, 1) | 0;
   15460     label = 19;
   15461     break;
   15462    case 19:
   15463     $6 = HEAP32[$ptr >> 2] | 0;
   15464     HEAP8[$6] = 0;
   15465     $7 = HEAP32[$buf34 >> 2] | 0;
   15466     HEAP32[$ptr >> 2] = $7;
   15467     $call36 = _agxbput(4840, $7) | 0;
   15468     $8 = HEAP32[1211] | 0;
   15469     $9 = HEAP32[1212] | 0;
   15470     $cmp37 = $8 >>> 0 < $9 >>> 0;
   15471     if ($cmp37) {
   15472       label = 21;
   15473       break;
   15474     } else {
   15475       label = 20;
   15476       break;
   15477     }
   15478    case 20:
   15479     $call40 = _agxbmore(4840, 1) | 0;
   15480     label = 21;
   15481     break;
   15482    case 21:
   15483     $10 = HEAP32[1211] | 0;
   15484     $incdec_ptr44 = $10 + 1 | 0;
   15485     HEAP32[1211] = $incdec_ptr44;
   15486     HEAP8[$10] = 0;
   15487     $in_parens_0_ph = $in_parens_0;
   15488     $fun_0_ph = $fun_1;
   15489     label = 5;
   15490     break;
   15491    case 22:
   15492     $tobool45 = $in_parens_0 << 24 >> 24 == 0;
   15493     if ($tobool45) {
   15494       label = 24;
   15495       break;
   15496     } else {
   15497       label = 23;
   15498       break;
   15499     }
   15500    case 23:
   15501     $call47 = _agerr(1, 115096, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $s, tempInt) | 0) | 0;
   15502     HEAP32[1820] = 0;
   15503     _agxbfree($xb);
   15504     label = 27;
   15505     break;
   15506    case 24:
   15507     $arrayidx49 = 7280 + ($fun_0_ph << 2) | 0;
   15508     HEAP32[$arrayidx49 >> 2] = 0;
   15509     _agxbfree($xb);
   15510     $11 = HEAP32[1211] | 0;
   15511     $12 = HEAP32[1212] | 0;
   15512     $cmp50 = $11 >>> 0 < $12 >>> 0;
   15513     if ($cmp50) {
   15514       label = 26;
   15515       break;
   15516     } else {
   15517       label = 25;
   15518       break;
   15519     }
   15520    case 25:
   15521     $call53 = _agxbmore(4840, 1) | 0;
   15522     label = 26;
   15523     break;
   15524    case 26:
   15525     $13 = HEAP32[1211] | 0;
   15526     HEAP8[$13] = 0;
   15527     $14 = HEAP32[1210] | 0;
   15528     HEAP32[1211] = $14;
   15529     label = 27;
   15530     break;
   15531    case 27:
   15532     STACKTOP = __stackBase__;
   15533     return 7280;
   15534   }
   15535   return 0;
   15536 }
   15537 function _style_token($s, $xb) {
   15538   $s = $s | 0;
   15539   $xb = $xb | 0;
   15540   var $0 = 0, $1 = 0, $tobool16 = 0, $2 = 0, $p_017 = 0, $conv = 0, $call = 0, $tobool2 = 0, $3 = 0, $cmp = 0, $incdec_ptr = 0, $4 = 0, $tobool = 0, $p_0_lcssa = 0, $5 = 0, $conv5 = 0, $6 = 0, $conv1012 = 0, $call1113 = 0, $lnot14 = 0, $ptr = 0, $eptr = 0, $incdec_ptr7 = 0, $7 = 0, $conv8 = 0, $8 = 0, $p_115 = 0, $9 = 0, $10 = 0, $cmp14 = 0, $call16 = 0, $11 = 0, $incdec_ptr18 = 0, $incdec_ptr20 = 0, $12 = 0, $conv10 = 0, $call11 = 0, $lnot = 0, $token_0 = 0, $p_2 = 0, label = 0;
   15541   label = 2;
   15542   while (1) switch (label | 0) {
   15543    case 2:
   15544     $0 = HEAP32[$s >> 2] | 0;
   15545     $1 = HEAP8[$0] | 0;
   15546     $tobool16 = $1 << 24 >> 24 == 0;
   15547     if ($tobool16) {
   15548       $p_0_lcssa = $0;
   15549       label = 6;
   15550       break;
   15551     } else {
   15552       $p_017 = $0;
   15553       $2 = $1;
   15554       label = 3;
   15555       break;
   15556     }
   15557    case 3:
   15558     $conv = $2 << 24 >> 24;
   15559     $call = _isspace($conv | 0) | 0;
   15560     $tobool2 = ($call | 0) == 0;
   15561     if ($tobool2) {
   15562       label = 4;
   15563       break;
   15564     } else {
   15565       label = 5;
   15566       break;
   15567     }
   15568    case 4:
   15569     $3 = HEAP8[$p_017] | 0;
   15570     $cmp = $3 << 24 >> 24 == 44;
   15571     if ($cmp) {
   15572       label = 5;
   15573       break;
   15574     } else {
   15575       $p_0_lcssa = $p_017;
   15576       label = 6;
   15577       break;
   15578     }
   15579    case 5:
   15580     $incdec_ptr = $p_017 + 1 | 0;
   15581     $4 = HEAP8[$incdec_ptr] | 0;
   15582     $tobool = $4 << 24 >> 24 == 0;
   15583     if ($tobool) {
   15584       $p_0_lcssa = $incdec_ptr;
   15585       label = 6;
   15586       break;
   15587     } else {
   15588       $p_017 = $incdec_ptr;
   15589       $2 = $4;
   15590       label = 3;
   15591       break;
   15592     }
   15593    case 6:
   15594     $5 = HEAP8[$p_0_lcssa] | 0;
   15595     $conv5 = $5 << 24 >> 24;
   15596     if (($conv5 | 0) == 40 | ($conv5 | 0) == 41) {
   15597       label = 9;
   15598       break;
   15599     } else if (($conv5 | 0) == 0) {
   15600       $p_2 = $p_0_lcssa;
   15601       $token_0 = 0;
   15602       label = 13;
   15603       break;
   15604     } else {
   15605       label = 7;
   15606       break;
   15607     }
   15608    case 7:
   15609     $6 = HEAP8[$p_0_lcssa] | 0;
   15610     $conv1012 = $6 << 24 >> 24;
   15611     $call1113 = _is_style_delim($conv1012) | 0;
   15612     $lnot14 = $call1113 << 24 >> 24 == 0;
   15613     if ($lnot14) {
   15614       label = 8;
   15615       break;
   15616     } else {
   15617       $p_2 = $p_0_lcssa;
   15618       $token_0 = 1;
   15619       label = 13;
   15620       break;
   15621     }
   15622    case 8:
   15623     $ptr = $xb + 4 | 0;
   15624     $eptr = $xb + 8 | 0;
   15625     $p_115 = $p_0_lcssa;
   15626     $8 = $6;
   15627     label = 10;
   15628     break;
   15629    case 9:
   15630     $incdec_ptr7 = $p_0_lcssa + 1 | 0;
   15631     $7 = HEAP8[$p_0_lcssa] | 0;
   15632     $conv8 = $7 << 24 >> 24;
   15633     $p_2 = $incdec_ptr7;
   15634     $token_0 = $conv8;
   15635     label = 13;
   15636     break;
   15637    case 10:
   15638     $9 = HEAP32[$ptr >> 2] | 0;
   15639     $10 = HEAP32[$eptr >> 2] | 0;
   15640     $cmp14 = $9 >>> 0 < $10 >>> 0;
   15641     if ($cmp14) {
   15642       label = 12;
   15643       break;
   15644     } else {
   15645       label = 11;
   15646       break;
   15647     }
   15648    case 11:
   15649     $call16 = _agxbmore($xb, 1) | 0;
   15650     label = 12;
   15651     break;
   15652    case 12:
   15653     $11 = HEAP32[$ptr >> 2] | 0;
   15654     $incdec_ptr18 = $11 + 1 | 0;
   15655     HEAP32[$ptr >> 2] = $incdec_ptr18;
   15656     HEAP8[$11] = $8;
   15657     $incdec_ptr20 = $p_115 + 1 | 0;
   15658     $12 = HEAP8[$incdec_ptr20] | 0;
   15659     $conv10 = $12 << 24 >> 24;
   15660     $call11 = _is_style_delim($conv10) | 0;
   15661     $lnot = $call11 << 24 >> 24 == 0;
   15662     if ($lnot) {
   15663       $p_115 = $incdec_ptr20;
   15664       $8 = $12;
   15665       label = 10;
   15666       break;
   15667     } else {
   15668       $p_2 = $incdec_ptr20;
   15669       $token_0 = 1;
   15670       label = 13;
   15671       break;
   15672     }
   15673    case 13:
   15674     HEAP32[$s >> 2] = $p_2;
   15675     return $token_0 | 0;
   15676   }
   15677   return 0;
   15678 }
   15679 function _gv_fixLocale($set) {
   15680   $set = $set | 0;
   15681   var $tobool = 0, $0 = 0, $inc = 0, $cmp = 0, $call = 0, $call2 = 0, $call3 = 0, $cmp4 = 0, $dec = 0, $cmp6 = 0, $1 = 0, $call8 = 0, $2 = 0, label = 0;
   15682   label = 2;
   15683   while (1) switch (label | 0) {
   15684    case 2:
   15685     $tobool = ($set | 0) == 0;
   15686     $0 = HEAP32[4042] | 0;
   15687     if ($tobool) {
   15688       label = 5;
   15689       break;
   15690     } else {
   15691       label = 3;
   15692       break;
   15693     }
   15694    case 3:
   15695     $inc = $0 + 1 | 0;
   15696     HEAP32[4042] = $inc;
   15697     $cmp = ($0 | 0) == 0;
   15698     if ($cmp) {
   15699       label = 4;
   15700       break;
   15701     } else {
   15702       label = 8;
   15703       break;
   15704     }
   15705    case 4:
   15706     $call = _setlocale(4, 0) | 0;
   15707     $call2 = _strdup($call | 0) | 0;
   15708     HEAP32[4040] = $call2;
   15709     $call3 = _setlocale(4, 114568) | 0;
   15710     label = 8;
   15711     break;
   15712    case 5:
   15713     $cmp4 = ($0 | 0) > 0;
   15714     if ($cmp4) {
   15715       label = 6;
   15716       break;
   15717     } else {
   15718       label = 8;
   15719       break;
   15720     }
   15721    case 6:
   15722     $dec = $0 - 1 | 0;
   15723     HEAP32[4042] = $dec;
   15724     $cmp6 = ($dec | 0) == 0;
   15725     if ($cmp6) {
   15726       label = 7;
   15727       break;
   15728     } else {
   15729       label = 8;
   15730       break;
   15731     }
   15732    case 7:
   15733     $1 = HEAP32[4040] | 0;
   15734     $call8 = _setlocale(4, $1 | 0) | 0;
   15735     $2 = HEAP32[4040] | 0;
   15736     _free($2);
   15737     label = 8;
   15738     break;
   15739    case 8:
   15740     return;
   15741   }
   15742 }
   15743 function _gvRenderJobs($gvc, $g) {
   15744   $gvc = $gvc | 0;
   15745   $g = $g | 0;
   15746   var $drawing = 0, $0 = 0, $tobool = 0, $call = 0, $keybindings = 0, $1 = 0, $numkeys = 0, $call1 = 0, $tobool257 = 0, $gvg = 0, $common = 0, $type = 0, $active_jobs = 0, $viewNum = 0, $job_058 = 0, $2 = 0, $tobool3 = 0, $input_filename = 0, $3 = 0, $input_filename6 = 0, $4 = 0, $graph_index = 0, $5 = 0, $graph_index8 = 0, $input_filename9 = 0, $graph_index10 = 0, $common12 = 0, $6 = 0, $layout_type = 0, $7 = 0, $tobool15 = 0, $call17 = 0, $output_langname = 0, $8 = 0, $call19 = 0, $output_lang = 0, $9 = 0, $call23 = 0, $flags = 0, $10 = 0, $or = 0, $flags27 = 0, $11 = 0, $or28 = 0, $call29 = 0, $flags30 = 0, $12 = 0, $or31 = 0, $13 = 0, $tobool32 = 0, $flags34 = 0, $14 = 0, $and = 0, $tobool35 = 0, $15 = 0, $output_langname37 = 0, $16 = 0, $call38 = 0, $tobool39 = 0, $_pr = 0, $tobool46 = 0, $next_active = 0, $17 = 0, $output_file = 0, $18 = 0, $output_file48 = 0, $call50 = 0, $tobool51 = 0, $next_active56 = 0, $callbacks = 0, $flags57 = 0, $19 = 0, $and58 = 0, $tobool59 = 0, $20 = 0, $21 = 0, $show_boxes = 0, $call63 = 0, $tobool2 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   15747   __stackBase__ = STACKTOP;
   15748   label = 2;
   15749   while (1) switch (label | 0) {
   15750    case 2:
   15751     $drawing = $g + 48 | 0;
   15752     $0 = HEAP32[$drawing >> 2] | 0;
   15753     $tobool = ($0 | 0) == 0;
   15754     if ($tobool) {
   15755       label = 3;
   15756       break;
   15757     } else {
   15758       label = 4;
   15759       break;
   15760     }
   15761    case 3:
   15762     $call = _agerr(1, 113984, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0) | 0;
   15763     $retval_0 = -1;
   15764     label = 30;
   15765     break;
   15766    case 4:
   15767     _init_bb($g);
   15768     _init_gvc($gvc, $g);
   15769     _init_layering($gvc, $g);
   15770     $keybindings = $gvc + 104 | 0;
   15771     HEAP32[$keybindings >> 2] = 15480;
   15772     $1 = HEAP32[3868] | 0;
   15773     $numkeys = $gvc + 108 | 0;
   15774     HEAP32[$numkeys >> 2] = $1;
   15775     _gv_fixLocale(1);
   15776     $call1 = _gvjobs_first($gvc) | 0;
   15777     $tobool257 = ($call1 | 0) == 0;
   15778     if ($tobool257) {
   15779       label = 29;
   15780       break;
   15781     } else {
   15782       label = 5;
   15783       break;
   15784     }
   15785    case 5:
   15786     $gvg = $gvc + 56 | 0;
   15787     $common = $gvc | 0;
   15788     $type = $gvc + 156 | 0;
   15789     $active_jobs = $gvc + 164 | 0;
   15790     $viewNum = $gvc + 28 | 0;
   15791     $job_058 = $call1;
   15792     label = 6;
   15793     break;
   15794    case 6:
   15795     $2 = HEAP32[$gvg >> 2] | 0;
   15796     $tobool3 = ($2 | 0) == 0;
   15797     if ($tobool3) {
   15798       label = 8;
   15799       break;
   15800     } else {
   15801       label = 7;
   15802       break;
   15803     }
   15804    case 7:
   15805     $input_filename = $2 + 8 | 0;
   15806     $3 = HEAP32[$input_filename >> 2] | 0;
   15807     $input_filename6 = $job_058 + 20 | 0;
   15808     HEAP32[$input_filename6 >> 2] = $3;
   15809     $4 = HEAP32[$gvg >> 2] | 0;
   15810     $graph_index = $4 + 12 | 0;
   15811     $5 = HEAP32[$graph_index >> 2] | 0;
   15812     $graph_index8 = $job_058 + 24 | 0;
   15813     HEAP32[$graph_index8 >> 2] = $5;
   15814     label = 9;
   15815     break;
   15816    case 8:
   15817     $input_filename9 = $job_058 + 20 | 0;
   15818     HEAP32[$input_filename9 >> 2] = 0;
   15819     $graph_index10 = $job_058 + 24 | 0;
   15820     HEAP32[$graph_index10 >> 2] = 0;
   15821     label = 9;
   15822     break;
   15823    case 9:
   15824     $common12 = $job_058 + 12 | 0;
   15825     HEAP32[$common12 >> 2] = $common;
   15826     $6 = HEAP32[$type >> 2] | 0;
   15827     $layout_type = $job_058 + 28 | 0;
   15828     HEAP32[$layout_type >> 2] = $6;
   15829     $7 = HEAP32[$drawing >> 2] | 0;
   15830     $tobool15 = ($7 | 0) == 0;
   15831     if ($tobool15) {
   15832       label = 10;
   15833       break;
   15834     } else {
   15835       label = 11;
   15836       break;
   15837     }
   15838    case 10:
   15839     $call17 = _agerr(1, 113528, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0) | 0;
   15840     _gv_fixLocale(0);
   15841     $retval_0 = -1;
   15842     label = 30;
   15843     break;
   15844    case 11:
   15845     $output_langname = $job_058 + 52 | 0;
   15846     $8 = HEAP32[$output_langname >> 2] | 0;
   15847     $call19 = _gvrender_select($job_058, $8) | 0;
   15848     $output_lang = $job_058 + 56 | 0;
   15849     HEAP32[$output_lang >> 2] = $call19;
   15850     if (($call19 | 0) == 999) {
   15851       label = 12;
   15852       break;
   15853     } else if (($call19 | 0) == 21) {
   15854       label = 13;
   15855       break;
   15856     } else if (($call19 | 0) == 24) {
   15857       label = 14;
   15858       break;
   15859     } else {
   15860       label = 15;
   15861       break;
   15862     }
   15863    case 12:
   15864     $9 = HEAP32[$output_langname >> 2] | 0;
   15865     $call23 = _agerr(1, 113096, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $9, tempInt) | 0) | 0;
   15866     _gv_fixLocale(0);
   15867     $retval_0 = -1;
   15868     label = 30;
   15869     break;
   15870    case 13:
   15871     $flags = $job_058 + 152 | 0;
   15872     $10 = HEAP32[$flags >> 2] | 0;
   15873     $or = $10 | 1;
   15874     HEAP32[$flags >> 2] = $or;
   15875     label = 16;
   15876     break;
   15877    case 14:
   15878     $flags27 = $job_058 + 152 | 0;
   15879     $11 = HEAP32[$flags27 >> 2] | 0;
   15880     $or28 = $11 | 520;
   15881     HEAP32[$flags27 >> 2] = $or28;
   15882     label = 16;
   15883     break;
   15884    case 15:
   15885     $call29 = _chkOrder($g) | 0;
   15886     $flags30 = $job_058 + 152 | 0;
   15887     $12 = HEAP32[$flags30 >> 2] | 0;
   15888     $or31 = $12 | $call29;
   15889     HEAP32[$flags30 >> 2] = $or31;
   15890     label = 16;
   15891     break;
   15892    case 16:
   15893     $13 = HEAP32[$active_jobs >> 2] | 0;
   15894     $tobool32 = ($13 | 0) == 0;
   15895     if ($tobool32) {
   15896       label = 20;
   15897       break;
   15898     } else {
   15899       label = 17;
   15900       break;
   15901     }
   15902    case 17:
   15903     $flags34 = $13 + 152 | 0;
   15904     $14 = HEAP32[$flags34 >> 2] | 0;
   15905     $and = $14 & 32;
   15906     $tobool35 = ($and | 0) == 0;
   15907     if ($tobool35) {
   15908       label = 19;
   15909       break;
   15910     } else {
   15911       label = 18;
   15912       break;
   15913     }
   15914    case 18:
   15915     $15 = HEAP32[$output_langname >> 2] | 0;
   15916     $output_langname37 = $13 + 52 | 0;
   15917     $16 = HEAP32[$output_langname37 >> 2] | 0;
   15918     $call38 = _strcmp($15 | 0, $16 | 0) | 0;
   15919     $tobool39 = ($call38 | 0) == 0;
   15920     if ($tobool39) {
   15921       label = 21;
   15922       break;
   15923     } else {
   15924       label = 19;
   15925       break;
   15926     }
   15927    case 19:
   15928     _gvrender_end_job($13);
   15929     HEAP32[$active_jobs >> 2] = 0;
   15930     HEAP32[$viewNum >> 2] = 0;
   15931     HEAP32[4044] = 0;
   15932     label = 23;
   15933     break;
   15934    case 20:
   15935     HEAP32[4044] = 0;
   15936     label = 23;
   15937     break;
   15938    case 21:
   15939     $_pr = HEAP32[4044] | 0;
   15940     $tobool46 = ($_pr | 0) == 0;
   15941     if ($tobool46) {
   15942       label = 23;
   15943       break;
   15944     } else {
   15945       label = 22;
   15946       break;
   15947     }
   15948    case 22:
   15949     $next_active = $_pr + 8 | 0;
   15950     HEAP32[$next_active >> 2] = $job_058;
   15951     $17 = HEAP32[4044] | 0;
   15952     $output_file = $17 + 36 | 0;
   15953     $18 = HEAP32[$output_file >> 2] | 0;
   15954     $output_file48 = $job_058 + 36 | 0;
   15955     HEAP32[$output_file48 >> 2] = $18;
   15956     label = 25;
   15957     break;
   15958    case 23:
   15959     $call50 = _gvrender_begin_job($job_058) | 0;
   15960     $tobool51 = ($call50 | 0) == 0;
   15961     if ($tobool51) {
   15962       label = 24;
   15963       break;
   15964     } else {
   15965       label = 28;
   15966       break;
   15967     }
   15968    case 24:
   15969     HEAP32[$active_jobs >> 2] = $job_058;
   15970     label = 25;
   15971     break;
   15972    case 25:
   15973     $next_active56 = $job_058 + 8 | 0;
   15974     HEAP32[$next_active56 >> 2] = 0;
   15975     $callbacks = $job_058 + 104 | 0;
   15976     HEAP32[$callbacks >> 2] = 16120;
   15977     _init_job_pad($job_058);
   15978     _init_job_margin($job_058);
   15979     _init_job_dpi($job_058, $g);
   15980     _init_job_viewport($job_058, $g);
   15981     _init_job_pagination($job_058, $g);
   15982     $flags57 = $job_058 + 152 | 0;
   15983     $19 = HEAP32[$flags57 >> 2] | 0;
   15984     $and58 = $19 & 128;
   15985     $tobool59 = ($and58 | 0) == 0;
   15986     if ($tobool59) {
   15987       label = 26;
   15988       break;
   15989     } else {
   15990       label = 27;
   15991       break;
   15992     }
   15993    case 26:
   15994     $20 = HEAP32[41362] | 0;
   15995     $21 = HEAP32[$common12 >> 2] | 0;
   15996     $show_boxes = $21 + 20 | 0;
   15997     HEAP32[$show_boxes >> 2] = $20;
   15998     _emit_graph($job_058, $g);
   15999     label = 27;
   16000     break;
   16001    case 27:
   16002     HEAP32[4044] = $job_058;
   16003     label = 28;
   16004     break;
   16005    case 28:
   16006     $call63 = _gvjobs_next($gvc) | 0;
   16007     $tobool2 = ($call63 | 0) == 0;
   16008     if ($tobool2) {
   16009       label = 29;
   16010       break;
   16011     } else {
   16012       $job_058 = $call63;
   16013       label = 6;
   16014       break;
   16015     }
   16016    case 29:
   16017     _gv_fixLocale(0);
   16018     $retval_0 = 0;
   16019     label = 30;
   16020     break;
   16021    case 30:
   16022     STACKTOP = __stackBase__;
   16023     return $retval_0 | 0;
   16024   }
   16025   return 0;
   16026 }
   16027 function _init_bb($g) {
   16028   $g = $g | 0;
   16029   var $0 = 0, $call = 0, $tobool5 = 0, $n_0_in6 = 0, $n_0 = 0, $call1 = 0, $tobool = 0, label = 0;
   16030   label = 2;
   16031   while (1) switch (label | 0) {
   16032    case 2:
   16033     $0 = $g;
   16034     $call = _agfstnode($0) | 0;
   16035     $tobool5 = ($call | 0) == 0;
   16036     if ($tobool5) {
   16037       label = 4;
   16038       break;
   16039     } else {
   16040       $n_0_in6 = $call;
   16041       label = 3;
   16042       break;
   16043     }
   16044    case 3:
   16045     $n_0 = $n_0_in6;
   16046     _init_bb_node($g, $n_0);
   16047     $call1 = _agnxtnode($0, $n_0_in6) | 0;
   16048     $tobool = ($call1 | 0) == 0;
   16049     if ($tobool) {
   16050       label = 4;
   16051       break;
   16052     } else {
   16053       $n_0_in6 = $call1;
   16054       label = 3;
   16055       break;
   16056     }
   16057    case 4:
   16058     return;
   16059   }
   16060 }
   16061 function _init_gvc($gvc, $g) {
   16062   $gvc = $gvc | 0;
   16063   $g = $g | 0;
   16064   var $xf = 0, $yf = 0, $g1 = 0, $graph_sets_margin = 0, $0 = 0, $call = 0, $tobool = 0, $call2 = 0, $cmp = 0, $1 = 0.0, $mul = 0.0, $y = 0, $x = 0, $cmp5 = 0, $2 = 0.0, $mul7 = 0.0, $graph_sets_pad = 0, $call13 = 0, $tobool14 = 0, $call16 = 0, $cmp17 = 0, $3 = 0.0, $mul19 = 0.0, $y20 = 0, $x22 = 0, $cmp23 = 0, $4 = 0.0, $mul25 = 0.0, $graph_sets_pageSize = 0, $pageSize = 0, $drawing = 0, $5 = 0, $page = 0, $6 = 0, $7 = 0, $8 = 0, $x35 = 0, $9 = 0.0, $cmp36 = 0, $y40 = 0, $10 = 0.0, $cmp41 = 0, $11 = 0, $landscape = 0, $12 = 0, $tobool47 = 0, $rotation = 0, $_ = 0, $pagedir = 0, $call51 = 0, $tobool52 = 0, $13 = 0, $tobool54 = 0, $bb = 0, $bb59 = 0, $14 = 0, $15 = 0, $root = 0, $16 = 0, $17 = 0, $call60 = 0, $18 = 0, $19 = 0, $call62 = 0, $proto = 0, $20 = 0, $n = 0, $21 = 0, $22 = 0, $23 = 0, $call63 = 0, $defaultfontname = 0, $24 = 0, $n65 = 0, $25 = 0, $26 = 0, $27 = 0, $call66 = 0.0, $defaultfontsize = 0, $defaultlinestyle = 0, $name = 0, $28 = 0, $graphname = 0, label = 0, __stackBase__ = 0;
   16065   __stackBase__ = STACKTOP;
   16066   STACKTOP = STACKTOP + 16 | 0;
   16067   label = 2;
   16068   while (1) switch (label | 0) {
   16069    case 2:
   16070     $xf = __stackBase__ | 0;
   16071     $yf = __stackBase__ + 8 | 0;
   16072     $g1 = $gvc + 128 | 0;
   16073     HEAP32[$g1 >> 2] = $g;
   16074     $graph_sets_margin = $gvc + 269 | 0;
   16075     HEAP8[$graph_sets_margin] = 0;
   16076     $0 = $g | 0;
   16077     $call = _agget($0, 107616) | 0;
   16078     $tobool = ($call | 0) == 0;
   16079     if ($tobool) {
   16080       label = 7;
   16081       break;
   16082     } else {
   16083       label = 3;
   16084       break;
   16085     }
   16086    case 3:
   16087     $call2 = _sscanf($call | 0, 107216, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $xf, HEAP32[tempInt + 8 >> 2] = $yf, tempInt) | 0) | 0;
   16088     $cmp = ($call2 | 0) > 0;
   16089     if ($cmp) {
   16090       label = 4;
   16091       break;
   16092     } else {
   16093       label = 7;
   16094       break;
   16095     }
   16096    case 4:
   16097     $1 = +HEAPF64[$xf >> 3];
   16098     $mul = $1 * 72.0;
   16099     $y = $gvc + 184 | 0;
   16100     HEAPF64[$y >> 3] = $mul;
   16101     $x = $gvc + 176 | 0;
   16102     HEAPF64[$x >> 3] = $mul;
   16103     $cmp5 = ($call2 | 0) > 1;
   16104     if ($cmp5) {
   16105       label = 5;
   16106       break;
   16107     } else {
   16108       label = 6;
   16109       break;
   16110     }
   16111    case 5:
   16112     $2 = +HEAPF64[$yf >> 3];
   16113     $mul7 = $2 * 72.0;
   16114     HEAPF64[$y >> 3] = $mul7;
   16115     label = 6;
   16116     break;
   16117    case 6:
   16118     HEAP8[$graph_sets_margin] = 1;
   16119     label = 7;
   16120     break;
   16121    case 7:
   16122     $graph_sets_pad = $gvc + 268 | 0;
   16123     HEAP8[$graph_sets_pad] = 0;
   16124     $call13 = _agget($0, 106880) | 0;
   16125     $tobool14 = ($call13 | 0) == 0;
   16126     if ($tobool14) {
   16127       label = 12;
   16128       break;
   16129     } else {
   16130       label = 8;
   16131       break;
   16132     }
   16133    case 8:
   16134     $call16 = _sscanf($call13 | 0, 107216, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $xf, HEAP32[tempInt + 8 >> 2] = $yf, tempInt) | 0) | 0;
   16135     $cmp17 = ($call16 | 0) > 0;
   16136     if ($cmp17) {
   16137       label = 9;
   16138       break;
   16139     } else {
   16140       label = 12;
   16141       break;
   16142     }
   16143    case 9:
   16144     $3 = +HEAPF64[$xf >> 3];
   16145     $mul19 = $3 * 72.0;
   16146     $y20 = $gvc + 200 | 0;
   16147     HEAPF64[$y20 >> 3] = $mul19;
   16148     $x22 = $gvc + 192 | 0;
   16149     HEAPF64[$x22 >> 3] = $mul19;
   16150     $cmp23 = ($call16 | 0) > 1;
   16151     if ($cmp23) {
   16152       label = 10;
   16153       break;
   16154     } else {
   16155       label = 11;
   16156       break;
   16157     }
   16158    case 10:
   16159     $4 = +HEAPF64[$yf >> 3];
   16160     $mul25 = $4 * 72.0;
   16161     HEAPF64[$y20 >> 3] = $mul25;
   16162     label = 11;
   16163     break;
   16164    case 11:
   16165     HEAP8[$graph_sets_pad] = 1;
   16166     label = 12;
   16167     break;
   16168    case 12:
   16169     $graph_sets_pageSize = $gvc + 270 | 0;
   16170     HEAP8[$graph_sets_pageSize] = 0;
   16171     $pageSize = $gvc + 208 | 0;
   16172     $drawing = $g + 48 | 0;
   16173     $5 = HEAP32[$drawing >> 2] | 0;
   16174     $page = $5 + 48 | 0;
   16175     $6 = $pageSize;
   16176     $7 = $page;
   16177     HEAP32[$6 >> 2] = HEAP32[$7 >> 2] | 0;
   16178     HEAP32[$6 + 4 >> 2] = HEAP32[$7 + 4 >> 2] | 0;
   16179     HEAP32[$6 + 8 >> 2] = HEAP32[$7 + 8 >> 2] | 0;
   16180     HEAP32[$6 + 12 >> 2] = HEAP32[$7 + 12 >> 2] | 0;
   16181     $8 = HEAP32[$drawing >> 2] | 0;
   16182     $x35 = $8 + 48 | 0;
   16183     $9 = +HEAPF64[$x35 >> 3];
   16184     $cmp36 = $9 > .001;
   16185     if ($cmp36) {
   16186       label = 13;
   16187       break;
   16188     } else {
   16189       label = 15;
   16190       break;
   16191     }
   16192    case 13:
   16193     $y40 = $8 + 56 | 0;
   16194     $10 = +HEAPF64[$y40 >> 3];
   16195     $cmp41 = $10 > .001;
   16196     if ($cmp41) {
   16197       label = 14;
   16198       break;
   16199     } else {
   16200       label = 15;
   16201       break;
   16202     }
   16203    case 14:
   16204     HEAP8[$graph_sets_pageSize] = 1;
   16205     label = 15;
   16206     break;
   16207    case 15:
   16208     $11 = HEAP32[$drawing >> 2] | 0;
   16209     $landscape = $11 + 81 | 0;
   16210     $12 = HEAP8[$landscape] | 0;
   16211     $tobool47 = $12 << 24 >> 24 == 0;
   16212     $rotation = $gvc + 264 | 0;
   16213     $_ = $tobool47 ? 0 : 90;
   16214     HEAP32[$rotation >> 2] = $_;
   16215     $pagedir = $gvc + 168 | 0;
   16216     HEAP32[$pagedir >> 2] = 106496;
   16217     $call51 = _agget($0, 106088) | 0;
   16218     $tobool52 = ($call51 | 0) == 0;
   16219     if ($tobool52) {
   16220       label = 18;
   16221       break;
   16222     } else {
   16223       label = 16;
   16224       break;
   16225     }
   16226    case 16:
   16227     $13 = HEAP8[$call51] | 0;
   16228     $tobool54 = $13 << 24 >> 24 == 0;
   16229     if ($tobool54) {
   16230       label = 18;
   16231       break;
   16232     } else {
   16233       label = 17;
   16234       break;
   16235     }
   16236    case 17:
   16237     HEAP32[$pagedir >> 2] = $call51;
   16238     label = 18;
   16239     break;
   16240    case 18:
   16241     $bb = $gvc + 232 | 0;
   16242     $bb59 = $g + 56 | 0;
   16243     $14 = $bb;
   16244     $15 = $bb59;
   16245     HEAP32[$14 >> 2] = HEAP32[$15 >> 2] | 0;
   16246     HEAP32[$14 + 4 >> 2] = HEAP32[$15 + 4 >> 2] | 0;
   16247     HEAP32[$14 + 8 >> 2] = HEAP32[$15 + 8 >> 2] | 0;
   16248     HEAP32[$14 + 12 >> 2] = HEAP32[$15 + 12 >> 2] | 0;
   16249     HEAP32[$14 + 16 >> 2] = HEAP32[$15 + 16 >> 2] | 0;
   16250     HEAP32[$14 + 20 >> 2] = HEAP32[$15 + 20 >> 2] | 0;
   16251     HEAP32[$14 + 24 >> 2] = HEAP32[$15 + 24 >> 2] | 0;
   16252     HEAP32[$14 + 28 >> 2] = HEAP32[$15 + 28 >> 2] | 0;
   16253     $root = $g + 32 | 0;
   16254     $16 = HEAP32[$root >> 2] | 0;
   16255     $17 = $16 | 0;
   16256     $call60 = _agfindattr($17, 105760) | 0;
   16257     HEAP32[41862] = $call60;
   16258     $18 = HEAP32[$root >> 2] | 0;
   16259     $19 = $18 | 0;
   16260     $call62 = _agfindattr($19, 105376) | 0;
   16261     HEAP32[41864] = $call62;
   16262     $proto = $g + 40 | 0;
   16263     $20 = HEAP32[$proto >> 2] | 0;
   16264     $n = $20 | 0;
   16265     $21 = HEAP32[$n >> 2] | 0;
   16266     $22 = $21 | 0;
   16267     $23 = HEAP32[41598] | 0;
   16268     $call63 = _late_nnstring($22, $23, 105056) | 0;
   16269     $defaultfontname = $gvc + 288 | 0;
   16270     HEAP32[$defaultfontname >> 2] = $call63;
   16271     $24 = HEAP32[$proto >> 2] | 0;
   16272     $n65 = $24 | 0;
   16273     $25 = HEAP32[$n65 >> 2] | 0;
   16274     $26 = $25 | 0;
   16275     $27 = HEAP32[41596] | 0;
   16276     $call66 = +_late_double($26, $27, 14.0, 1.0);
   16277     $defaultfontsize = $gvc + 296 | 0;
   16278     HEAPF64[$defaultfontsize >> 3] = $call66;
   16279     $defaultlinestyle = $gvc + 304 | 0;
   16280     HEAP32[$defaultlinestyle >> 2] = 21184;
   16281     $name = $g + 12 | 0;
   16282     $28 = HEAP32[$name >> 2] | 0;
   16283     $graphname = $gvc + 160 | 0;
   16284     HEAP32[$graphname >> 2] = $28;
   16285     STACKTOP = __stackBase__;
   16286     return;
   16287   }
   16288 }
   16289 function _init_layering($gvc, $g) {
   16290   $gvc = $gvc | 0;
   16291   $g = $g | 0;
   16292   var $layers = 0, $0 = 0, $tobool = 0, $layerIDs = 0, $1 = 0, $tobool3 = 0, $2 = 0, $3 = 0, $call = 0, $cmp = 0, $call9 = 0, $numLayers = 0, $numLayers11 = 0, label = 0;
   16293   label = 2;
   16294   while (1) switch (label | 0) {
   16295    case 2:
   16296     $layers = $gvc + 276 | 0;
   16297     $0 = HEAP32[$layers >> 2] | 0;
   16298     $tobool = ($0 | 0) == 0;
   16299     if ($tobool) {
   16300       label = 4;
   16301       break;
   16302     } else {
   16303       label = 3;
   16304       break;
   16305     }
   16306    case 3:
   16307     _free($0);
   16308     HEAP32[$layers >> 2] = 0;
   16309     label = 4;
   16310     break;
   16311    case 4:
   16312     $layerIDs = $gvc + 280 | 0;
   16313     $1 = HEAP32[$layerIDs >> 2] | 0;
   16314     $tobool3 = ($1 | 0) == 0;
   16315     if ($tobool3) {
   16316       label = 6;
   16317       break;
   16318     } else {
   16319       label = 5;
   16320       break;
   16321     }
   16322    case 5:
   16323     $2 = $1;
   16324     _free($2);
   16325     HEAP32[$layerIDs >> 2] = 0;
   16326     label = 6;
   16327     break;
   16328    case 6:
   16329     $3 = $g | 0;
   16330     $call = _agget($3, 109136) | 0;
   16331     $cmp = ($call | 0) == 0;
   16332     if ($cmp) {
   16333       label = 8;
   16334       break;
   16335     } else {
   16336       label = 7;
   16337       break;
   16338     }
   16339    case 7:
   16340     $call9 = _parse_layers($gvc, $g, $call) | 0;
   16341     $numLayers = $gvc + 284 | 0;
   16342     HEAP32[$numLayers >> 2] = $call9;
   16343     label = 9;
   16344     break;
   16345    case 8:
   16346     HEAP32[$layerIDs >> 2] = 0;
   16347     $numLayers11 = $gvc + 284 | 0;
   16348     HEAP32[$numLayers11 >> 2] = 1;
   16349     label = 9;
   16350     break;
   16351    case 9:
   16352     return;
   16353   }
   16354 }
   16355 function _chkOrder($g) {
   16356   $g = $g | 0;
   16357   var $0 = 0, $call = 0, $tobool = 0, $1 = 0, $add_ptr = 0, $call2 = 0, $tobool3 = 0, $add_ptr9 = 0, $call10 = 0, $tobool11 = 0, $retval_0 = 0, label = 0;
   16358   label = 2;
   16359   while (1) switch (label | 0) {
   16360    case 2:
   16361     $0 = $g | 0;
   16362     $call = _agget($0, 110256) | 0;
   16363     $tobool = ($call | 0) == 0;
   16364     if ($tobool) {
   16365       label = 6;
   16366       break;
   16367     } else {
   16368       label = 3;
   16369       break;
   16370     }
   16371    case 3:
   16372     $1 = HEAP8[$call] | 0;
   16373     if (($1 << 24 >> 24 | 0) == 110) {
   16374       label = 4;
   16375       break;
   16376     } else if (($1 << 24 >> 24 | 0) == 101) {
   16377       label = 5;
   16378       break;
   16379     } else {
   16380       label = 6;
   16381       break;
   16382     }
   16383    case 4:
   16384     $add_ptr = $call + 1 | 0;
   16385     $call2 = _strcmp($add_ptr | 0, 109848) | 0;
   16386     $tobool3 = ($call2 | 0) == 0;
   16387     if ($tobool3) {
   16388       $retval_0 = 1;
   16389       label = 7;
   16390       break;
   16391     } else {
   16392       label = 6;
   16393       break;
   16394     }
   16395    case 5:
   16396     $add_ptr9 = $call + 1 | 0;
   16397     $call10 = _strcmp($add_ptr9 | 0, 109496) | 0;
   16398     $tobool11 = ($call10 | 0) == 0;
   16399     if ($tobool11) {
   16400       $retval_0 = 16;
   16401       label = 7;
   16402       break;
   16403     } else {
   16404       label = 6;
   16405       break;
   16406     }
   16407    case 6:
   16408     $retval_0 = 0;
   16409     label = 7;
   16410     break;
   16411    case 7:
   16412     return $retval_0 | 0;
   16413   }
   16414   return 0;
   16415 }
   16416 function _init_job_pad($job) {
   16417   $job = $job | 0;
   16418   var $gvc1 = 0, $0 = 0, $graph_sets_pad = 0, $1 = 0, $tobool = 0, $pad = 0, $pad2 = 0, $2 = 0, $3 = 0, $output_lang = 0, $4 = 0, $cond = 0, $features = 0, $5 = 0, $default_pad = 0, $6 = 0.0, $y = 0, $x = 0, $y6 = 0, $x8 = 0, label = 0;
   16419   label = 2;
   16420   while (1) switch (label | 0) {
   16421    case 2:
   16422     $gvc1 = $job | 0;
   16423     $0 = HEAP32[$gvc1 >> 2] | 0;
   16424     $graph_sets_pad = $0 + 268 | 0;
   16425     $1 = HEAP8[$graph_sets_pad] | 0;
   16426     $tobool = $1 << 24 >> 24 == 0;
   16427     if ($tobool) {
   16428       label = 4;
   16429       break;
   16430     } else {
   16431       label = 3;
   16432       break;
   16433     }
   16434    case 3:
   16435     $pad = $job + 240 | 0;
   16436     $pad2 = $0 + 192 | 0;
   16437     $2 = $pad;
   16438     $3 = $pad2;
   16439     HEAP32[$2 >> 2] = HEAP32[$3 >> 2] | 0;
   16440     HEAP32[$2 + 4 >> 2] = HEAP32[$3 + 4 >> 2] | 0;
   16441     HEAP32[$2 + 8 >> 2] = HEAP32[$3 + 8 >> 2] | 0;
   16442     HEAP32[$2 + 12 >> 2] = HEAP32[$3 + 12 >> 2] | 0;
   16443     label = 7;
   16444     break;
   16445    case 4:
   16446     $output_lang = $job + 56 | 0;
   16447     $4 = HEAP32[$output_lang >> 2] | 0;
   16448     $cond = ($4 | 0) == 300;
   16449     if ($cond) {
   16450       label = 5;
   16451       break;
   16452     } else {
   16453       label = 6;
   16454       break;
   16455     }
   16456    case 5:
   16457     $features = $job + 68 | 0;
   16458     $5 = HEAP32[$features >> 2] | 0;
   16459     $default_pad = $5 + 8 | 0;
   16460     $6 = +HEAPF64[$default_pad >> 3];
   16461     $y = $job + 248 | 0;
   16462     HEAPF64[$y >> 3] = $6;
   16463     $x = $job + 240 | 0;
   16464     HEAPF64[$x >> 3] = $6;
   16465     label = 7;
   16466     break;
   16467    case 6:
   16468     $y6 = $job + 248 | 0;
   16469     HEAPF64[$y6 >> 3] = 4.0;
   16470     $x8 = $job + 240 | 0;
   16471     HEAPF64[$x8 >> 3] = 4.0;
   16472     label = 7;
   16473     break;
   16474    case 7:
   16475     return;
   16476   }
   16477 }
   16478 function _init_job_margin($job) {
   16479   $job = $job | 0;
   16480   var $gvc1 = 0, $0 = 0, $graph_sets_margin = 0, $1 = 0, $tobool = 0, $margin = 0, $margin2 = 0, $2 = 0, $3 = 0, $output_lang = 0, $4 = 0, $margin3 = 0, $features = 0, $5 = 0, $default_margin = 0, $6 = 0, $7 = 0, $y = 0, $x = 0, $x10 = 0, $8 = 0, label = 0;
   16481   label = 2;
   16482   while (1) switch (label | 0) {
   16483    case 2:
   16484     $gvc1 = $job | 0;
   16485     $0 = HEAP32[$gvc1 >> 2] | 0;
   16486     $graph_sets_margin = $0 + 269 | 0;
   16487     $1 = HEAP8[$graph_sets_margin] | 0;
   16488     $tobool = $1 << 24 >> 24 == 0;
   16489     if ($tobool) {
   16490       label = 4;
   16491       break;
   16492     } else {
   16493       label = 3;
   16494       break;
   16495     }
   16496    case 3:
   16497     $margin = $job + 416 | 0;
   16498     $margin2 = $0 + 176 | 0;
   16499     $2 = $margin;
   16500     $3 = $margin2;
   16501     HEAP32[$2 >> 2] = HEAP32[$3 >> 2] | 0;
   16502     HEAP32[$2 + 4 >> 2] = HEAP32[$3 + 4 >> 2] | 0;
   16503     HEAP32[$2 + 8 >> 2] = HEAP32[$3 + 8 >> 2] | 0;
   16504     HEAP32[$2 + 12 >> 2] = HEAP32[$3 + 12 >> 2] | 0;
   16505     label = 8;
   16506     break;
   16507    case 4:
   16508     $output_lang = $job + 56 | 0;
   16509     $4 = HEAP32[$output_lang >> 2] | 0;
   16510     if (($4 | 0) == 300) {
   16511       label = 5;
   16512       break;
   16513     } else if (($4 | 0) == 2 | ($4 | 0) == 3 | ($4 | 0) == 4 | ($4 | 0) == 22 | ($4 | 0) == 21 | ($4 | 0) == 30) {
   16514       label = 6;
   16515       break;
   16516     } else {
   16517       label = 7;
   16518       break;
   16519     }
   16520    case 5:
   16521     $margin3 = $job + 416 | 0;
   16522     $features = $job + 84 | 0;
   16523     $5 = HEAP32[$features >> 2] | 0;
   16524     $default_margin = $5 + 8 | 0;
   16525     $6 = $margin3;
   16526     $7 = $default_margin;
   16527     HEAP32[$6 >> 2] = HEAP32[$7 >> 2] | 0;
   16528     HEAP32[$6 + 4 >> 2] = HEAP32[$7 + 4 >> 2] | 0;
   16529     HEAP32[$6 + 8 >> 2] = HEAP32[$7 + 8 >> 2] | 0;
   16530     HEAP32[$6 + 12 >> 2] = HEAP32[$7 + 12 >> 2] | 0;
   16531     label = 8;
   16532     break;
   16533    case 6:
   16534     $y = $job + 424 | 0;
   16535     HEAPF64[$y >> 3] = 36.0;
   16536     $x = $job + 416 | 0;
   16537     HEAPF64[$x >> 3] = 36.0;
   16538     label = 8;
   16539     break;
   16540    case 7:
   16541     $x10 = $job + 416 | 0;
   16542     $8 = $x10;
   16543     _memset($8 | 0, 0, 16);
   16544     label = 8;
   16545     break;
   16546    case 8:
   16547     return;
   16548   }
   16549 }
   16550 function _init_job_dpi($job, $g) {
   16551   $job = $job | 0;
   16552   $g = $g | 0;
   16553   var $gvc = 0, $0 = 0, $active_jobs = 0, $1 = 0, $drawing = 0, $2 = 0, $dpi = 0, $3 = 0.0, $cmp = 0, $y = 0, $x = 0, $tobool = 0, $device_sets_dpi = 0, $4 = 0, $tobool6 = 0, $dpi8 = 0, $device_dpi = 0, $5 = 0, $6 = 0, $output_lang = 0, $7 = 0, $cond = 0, $dpi10 = 0, $features = 0, $8 = 0, $default_dpi = 0, $9 = 0, $10 = 0, $y12 = 0, $x14 = 0, label = 0;
   16554   label = 2;
   16555   while (1) switch (label | 0) {
   16556    case 2:
   16557     $gvc = $job | 0;
   16558     $0 = HEAP32[$gvc >> 2] | 0;
   16559     $active_jobs = $0 + 164 | 0;
   16560     $1 = HEAP32[$active_jobs >> 2] | 0;
   16561     $drawing = $g + 48 | 0;
   16562     $2 = HEAP32[$drawing >> 2] | 0;
   16563     $dpi = $2 + 24 | 0;
   16564     $3 = +HEAPF64[$dpi >> 3];
   16565     $cmp = $3 != 0.0;
   16566     if ($cmp) {
   16567       label = 3;
   16568       break;
   16569     } else {
   16570       label = 4;
   16571       break;
   16572     }
   16573    case 3:
   16574     $y = $job + 440 | 0;
   16575     HEAPF64[$y >> 3] = $3;
   16576     $x = $job + 432 | 0;
   16577     HEAPF64[$x >> 3] = $3;
   16578     label = 10;
   16579     break;
   16580    case 4:
   16581     $tobool = ($1 | 0) == 0;
   16582     if ($tobool) {
   16583       label = 7;
   16584       break;
   16585     } else {
   16586       label = 5;
   16587       break;
   16588     }
   16589    case 5:
   16590     $device_sets_dpi = $1 + 128 | 0;
   16591     $4 = HEAP8[$device_sets_dpi] | 0;
   16592     $tobool6 = $4 << 24 >> 24 == 0;
   16593     if ($tobool6) {
   16594       label = 7;
   16595       break;
   16596     } else {
   16597       label = 6;
   16598       break;
   16599     }
   16600    case 6:
   16601     $dpi8 = $job + 432 | 0;
   16602     $device_dpi = $1 + 112 | 0;
   16603     $5 = $dpi8;
   16604     $6 = $device_dpi;
   16605     HEAP32[$5 >> 2] = HEAP32[$6 >> 2] | 0;
   16606     HEAP32[$5 + 4 >> 2] = HEAP32[$6 + 4 >> 2] | 0;
   16607     HEAP32[$5 + 8 >> 2] = HEAP32[$6 + 8 >> 2] | 0;
   16608     HEAP32[$5 + 12 >> 2] = HEAP32[$6 + 12 >> 2] | 0;
   16609     label = 10;
   16610     break;
   16611    case 7:
   16612     $output_lang = $job + 56 | 0;
   16613     $7 = HEAP32[$output_lang >> 2] | 0;
   16614     $cond = ($7 | 0) == 300;
   16615     $dpi10 = $job + 432 | 0;
   16616     if ($cond) {
   16617       label = 8;
   16618       break;
   16619     } else {
   16620       label = 9;
   16621       break;
   16622     }
   16623    case 8:
   16624     $features = $job + 84 | 0;
   16625     $8 = HEAP32[$features >> 2] | 0;
   16626     $default_dpi = $8 + 40 | 0;
   16627     $9 = $dpi10;
   16628     $10 = $default_dpi;
   16629     HEAP32[$9 >> 2] = HEAP32[$10 >> 2] | 0;
   16630     HEAP32[$9 + 4 >> 2] = HEAP32[$10 + 4 >> 2] | 0;
   16631     HEAP32[$9 + 8 >> 2] = HEAP32[$10 + 8 >> 2] | 0;
   16632     HEAP32[$9 + 12 >> 2] = HEAP32[$10 + 12 >> 2] | 0;
   16633     label = 10;
   16634     break;
   16635    case 9:
   16636     $y12 = $job + 440 | 0;
   16637     HEAPF64[$y12 >> 3] = 96.0;
   16638     $x14 = $dpi10 | 0;
   16639     HEAPF64[$x14 >> 3] = 96.0;
   16640     label = 10;
   16641     break;
   16642    case 10:
   16643     return;
   16644   }
   16645 }
   16646 function _init_job_viewport($job, $g) {
   16647   $job = $job | 0;
   16648   $g = $g | 0;
   16649   var $X = 0, $Y = 0, $Z = 0, $x = 0, $y = 0, $gvc1 = 0, $0 = 0, $UR_sroa_0_0__idx = 0, $UR_sroa_0_0_copyload = 0.0, $UR_sroa_1_8__idx33 = 0, $UR_sroa_1_8_copyload = 0.0, $LL_sroa_0_0__idx = 0, $LL_sroa_0_0_copyload = 0.0, $LL_sroa_1_8__idx36 = 0, $LL_sroa_1_8_copyload = 0.0, $x6 = 0, $1 = 0.0, $sub = 0.0, $x9 = 0, $y12 = 0, $2 = 0.0, $sub13 = 0.0, $y16 = 0, $3 = 0.0, $add = 0.0, $x22 = 0, $4 = 0.0, $add26 = 0.0, $y29 = 0, $5 = 0.0, $6 = 0.0, $sub36 = 0.0, $7 = 0.0, $sub44 = 0.0, $drawing = 0, $8 = 0, $x47 = 0, $9 = 0.0, $cmp = 0, $y51 = 0, $10 = 0.0, $cmp52 = 0, $cmp58 = 0, $cmp61 = 0, $or_cond = 0, $filled = 0, $11 = 0, $tobool = 0, $cmp68 = 0, $or_cond63 = 0, $cmp73 = 0, $or_cond64 = 0, $div = 0.0, $div80 = 0.0, $cmp81 = 0, $div_div80 = 0.0, $add92 = 0.0, $div93 = 0.0, $add96 = 0.0, $div97 = 0.0, $12 = 0, $rotation = 0, $13 = 0, $rotation99 = 0, $14 = 0.0, $mul = 0.0, $mul102 = 0.0, $15 = 0, $call = 0, $tobool103 = 0, $call105 = 0, $add106 = 0, $call107 = 0, $call108 = 0, $add109 = 0, $call110 = 0, $call111 = 0, $cmp112 = 0, $root = 0, $16 = 0, $17 = 0, $call115 = 0, $tobool116 = 0, $u118 = 0, $coord = 0, $x119 = 0, $18 = 0.0, $y122 = 0, $19 = 0, $20 = 0.0, $call124 = 0, $cmp125 = 0, $root128 = 0, $21 = 0, $22 = 0, $call129 = 0, $tobool130 = 0, $u132 = 0, $coord133 = 0, $x134 = 0, $23 = 0.0, $y137 = 0, $24 = 0, $25 = 0.0, $call140 = 0, $26 = 0.0, $x144 = 0, $27 = 0.0, $y146 = 0, $28 = 0.0, $zoom = 0, $29 = 0.0, $x147 = 0, $30 = 0.0, $y149 = 0, label = 0, __stackBase__ = 0;
   16650   __stackBase__ = STACKTOP;
   16651   STACKTOP = STACKTOP + 40 | 0;
   16652   label = 2;
   16653   while (1) switch (label | 0) {
   16654    case 2:
   16655     $X = __stackBase__ | 0;
   16656     $Y = __stackBase__ + 8 | 0;
   16657     $Z = __stackBase__ + 16 | 0;
   16658     $x = __stackBase__ + 24 | 0;
   16659     $y = __stackBase__ + 32 | 0;
   16660     $gvc1 = $job | 0;
   16661     $0 = HEAP32[$gvc1 >> 2] | 0;
   16662     $UR_sroa_0_0__idx = $0 + 248 | 0;
   16663     $UR_sroa_0_0_copyload = +HEAPF64[$UR_sroa_0_0__idx >> 3];
   16664     $UR_sroa_1_8__idx33 = $0 + 256 | 0;
   16665     $UR_sroa_1_8_copyload = +HEAPF64[$UR_sroa_1_8__idx33 >> 3];
   16666     $LL_sroa_0_0__idx = $0 + 232 | 0;
   16667     $LL_sroa_0_0_copyload = +HEAPF64[$LL_sroa_0_0__idx >> 3];
   16668     $LL_sroa_1_8__idx36 = $0 + 240 | 0;
   16669     $LL_sroa_1_8_copyload = +HEAPF64[$LL_sroa_1_8__idx36 >> 3];
   16670     $x6 = $job + 240 | 0;
   16671     $1 = +HEAPF64[$x6 >> 3];
   16672     $sub = $LL_sroa_0_0_copyload - $1;
   16673     $x9 = $job + 208 | 0;
   16674     HEAPF64[$x9 >> 3] = $sub;
   16675     $y12 = $job + 248 | 0;
   16676     $2 = +HEAPF64[$y12 >> 3];
   16677     $sub13 = $LL_sroa_1_8_copyload - $2;
   16678     $y16 = $job + 216 | 0;
   16679     HEAPF64[$y16 >> 3] = $sub13;
   16680     $3 = +HEAPF64[$x6 >> 3];
   16681     $add = $UR_sroa_0_0_copyload + $3;
   16682     $x22 = $job + 224 | 0;
   16683     HEAPF64[$x22 >> 3] = $add;
   16684     $4 = +HEAPF64[$y12 >> 3];
   16685     $add26 = $UR_sroa_1_8_copyload + $4;
   16686     $y29 = $job + 232 | 0;
   16687     HEAPF64[$y29 >> 3] = $add26;
   16688     $5 = +HEAPF64[$x22 >> 3];
   16689     $6 = +HEAPF64[$x9 >> 3];
   16690     $sub36 = $5 - $6;
   16691     $7 = +HEAPF64[$y16 >> 3];
   16692     $sub44 = $add26 - $7;
   16693     HEAPF64[$Z >> 3] = 1.0;
   16694     $drawing = $g + 48 | 0;
   16695     $8 = HEAP32[$drawing >> 2] | 0;
   16696     $x47 = $8 + 64 | 0;
   16697     $9 = +HEAPF64[$x47 >> 3];
   16698     $cmp = $9 > .001;
   16699     if ($cmp) {
   16700       label = 3;
   16701       break;
   16702     } else {
   16703       label = 7;
   16704       break;
   16705     }
   16706    case 3:
   16707     $y51 = $8 + 72 | 0;
   16708     $10 = +HEAPF64[$y51 >> 3];
   16709     $cmp52 = $10 > .001;
   16710     if ($cmp52) {
   16711       label = 4;
   16712       break;
   16713     } else {
   16714       label = 7;
   16715       break;
   16716     }
   16717    case 4:
   16718     $cmp58 = $9 < $sub36;
   16719     $cmp61 = $10 < $sub44;
   16720     $or_cond = $cmp58 | $cmp61;
   16721     if ($or_cond) {
   16722       label = 6;
   16723       break;
   16724     } else {
   16725       label = 5;
   16726       break;
   16727     }
   16728    case 5:
   16729     $filled = $8 + 80 | 0;
   16730     $11 = HEAP8[$filled] | 0;
   16731     $tobool = $11 << 24 >> 24 != 0;
   16732     $cmp68 = $9 > $sub36;
   16733     $or_cond63 = $tobool & $cmp68;
   16734     $cmp73 = $10 > $sub44;
   16735     $or_cond64 = $or_cond63 & $cmp73;
   16736     if ($or_cond64) {
   16737       label = 6;
   16738       break;
   16739     } else {
   16740       label = 7;
   16741       break;
   16742     }
   16743    case 6:
   16744     $div = $9 / $sub36;
   16745     $div80 = $10 / $sub44;
   16746     $cmp81 = $div < $div80;
   16747     $div_div80 = $cmp81 ? $div : $div80;
   16748     HEAPF64[$Z >> 3] = $div_div80;
   16749     label = 7;
   16750     break;
   16751    case 7:
   16752     $add92 = $UR_sroa_0_0_copyload + $LL_sroa_0_0_copyload;
   16753     $div93 = $add92 * .5;
   16754     HEAPF64[$x >> 3] = $div93;
   16755     $add96 = $UR_sroa_1_8_copyload + $LL_sroa_1_8_copyload;
   16756     $div97 = $add96 * .5;
   16757     HEAPF64[$y >> 3] = $div97;
   16758     $12 = HEAP32[$gvc1 >> 2] | 0;
   16759     $rotation = $12 + 264 | 0;
   16760     $13 = HEAP32[$rotation >> 2] | 0;
   16761     $rotation99 = $job + 360 | 0;
   16762     HEAP32[$rotation99 >> 2] = $13;
   16763     $14 = +HEAPF64[$Z >> 3];
   16764     $mul = $sub36 * $14;
   16765     HEAPF64[$X >> 3] = $mul;
   16766     $mul102 = $sub44 * $14;
   16767     HEAPF64[$Y >> 3] = $mul102;
   16768     $15 = $g | 0;
   16769     $call = _agget($15, 111960) | 0;
   16770     $tobool103 = ($call | 0) == 0;
   16771     if ($tobool103) {
   16772       label = 16;
   16773       break;
   16774     } else {
   16775       label = 8;
   16776       break;
   16777     }
   16778    case 8:
   16779     $call105 = _strlen($call | 0) | 0;
   16780     $add106 = $call105 + 1 | 0;
   16781     $call107 = _malloc($add106) | 0;
   16782     $call108 = _strlen($call | 0) | 0;
   16783     $add109 = $call108 + 1 | 0;
   16784     $call110 = _malloc($add109) | 0;
   16785     $call111 = _sscanf($call | 0, 111496, (tempInt = STACKTOP, STACKTOP = STACKTOP + 32 | 0, HEAP32[tempInt >> 2] = $X, HEAP32[tempInt + 8 >> 2] = $Y, HEAP32[tempInt + 16 >> 2] = $Z, HEAP32[tempInt + 24 >> 2] = $call107, tempInt) | 0) | 0;
   16786     $cmp112 = ($call111 | 0) == 4;
   16787     if ($cmp112) {
   16788       label = 9;
   16789       break;
   16790     } else {
   16791       label = 11;
   16792       break;
   16793     }
   16794    case 9:
   16795     $root = $g + 32 | 0;
   16796     $16 = HEAP32[$root >> 2] | 0;
   16797     $17 = $16;
   16798     $call115 = _agfindnode($17, $call107) | 0;
   16799     $tobool116 = ($call115 | 0) == 0;
   16800     if ($tobool116) {
   16801       label = 15;
   16802       break;
   16803     } else {
   16804       label = 10;
   16805       break;
   16806     }
   16807    case 10:
   16808     $u118 = $call115 + 24 | 0;
   16809     $coord = $u118 + 8 | 0;
   16810     $x119 = $coord;
   16811     $18 = +HEAPF64[$x119 >> 3];
   16812     HEAPF64[$x >> 3] = $18;
   16813     $y122 = $u118 + 16 | 0;
   16814     $19 = $y122;
   16815     $20 = +HEAPF64[$19 >> 3];
   16816     HEAPF64[$y >> 3] = $20;
   16817     label = 15;
   16818     break;
   16819    case 11:
   16820     $call124 = _sscanf($call | 0, 111064, (tempInt = STACKTOP, STACKTOP = STACKTOP + 40 | 0, HEAP32[tempInt >> 2] = $X, HEAP32[tempInt + 8 >> 2] = $Y, HEAP32[tempInt + 16 >> 2] = $Z, HEAP32[tempInt + 24 >> 2] = $call107, HEAP32[tempInt + 32 >> 2] = $call110, tempInt) | 0) | 0;
   16821     $cmp125 = ($call124 | 0) == 4;
   16822     if ($cmp125) {
   16823       label = 12;
   16824       break;
   16825     } else {
   16826       label = 14;
   16827       break;
   16828     }
   16829    case 12:
   16830     $root128 = $g + 32 | 0;
   16831     $21 = HEAP32[$root128 >> 2] | 0;
   16832     $22 = $21;
   16833     $call129 = _agfindnode($22, $call107) | 0;
   16834     $tobool130 = ($call129 | 0) == 0;
   16835     if ($tobool130) {
   16836       label = 15;
   16837       break;
   16838     } else {
   16839       label = 13;
   16840       break;
   16841     }
   16842    case 13:
   16843     $u132 = $call129 + 24 | 0;
   16844     $coord133 = $u132 + 8 | 0;
   16845     $x134 = $coord133;
   16846     $23 = +HEAPF64[$x134 >> 3];
   16847     HEAPF64[$x >> 3] = $23;
   16848     $y137 = $u132 + 16 | 0;
   16849     $24 = $y137;
   16850     $25 = +HEAPF64[$24 >> 3];
   16851     HEAPF64[$y >> 3] = $25;
   16852     label = 15;
   16853     break;
   16854    case 14:
   16855     $call140 = _sscanf($call | 0, 110680, (tempInt = STACKTOP, STACKTOP = STACKTOP + 40 | 0, HEAP32[tempInt >> 2] = $X, HEAP32[tempInt + 8 >> 2] = $Y, HEAP32[tempInt + 16 >> 2] = $Z, HEAP32[tempInt + 24 >> 2] = $x, HEAP32[tempInt + 32 >> 2] = $y, tempInt) | 0) | 0;
   16856     label = 15;
   16857     break;
   16858    case 15:
   16859     _free($call107);
   16860     _free($call110);
   16861     label = 16;
   16862     break;
   16863    case 16:
   16864     $26 = +HEAPF64[$X >> 3];
   16865     $x144 = $job + 368 | 0;
   16866     HEAPF64[$x144 >> 3] = $26;
   16867     $27 = +HEAPF64[$Y >> 3];
   16868     $y146 = $job + 376 | 0;
   16869     HEAPF64[$y146 >> 3] = $27;
   16870     $28 = +HEAPF64[$Z >> 3];
   16871     $zoom = $job + 352 | 0;
   16872     HEAPF64[$zoom >> 3] = $28;
   16873     $29 = +HEAPF64[$x >> 3];
   16874     $x147 = $job + 336 | 0;
   16875     HEAPF64[$x147 >> 3] = $29;
   16876     $30 = +HEAPF64[$y >> 3];
   16877     $y149 = $job + 344 | 0;
   16878     HEAPF64[$y149 >> 3] = $30;
   16879     STACKTOP = __stackBase__;
   16880     return;
   16881   }
   16882 }
   16883 function _exch_xyf($agg_result, $p) {
   16884   $agg_result = $agg_result | 0;
   16885   $p = $p | 0;
   16886   var $1 = 0.0, tempParam = 0, __stackBase__ = 0;
   16887   __stackBase__ = STACKTOP;
   16888   tempParam = $p;
   16889   $p = STACKTOP;
   16890   STACKTOP = STACKTOP + 16 | 0;
   16891   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   16892   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   16893   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   16894   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   16895   $1 = +HEAPF64[$p >> 3];
   16896   HEAPF64[$agg_result >> 3] = +HEAPF64[$p + 8 >> 3];
   16897   HEAPF64[$agg_result + 8 >> 3] = $1;
   16898   STACKTOP = __stackBase__;
   16899   return;
   16900 }
   16901 function _pagecode($agg_result, $job, $c) {
   16902   $agg_result = $agg_result | 0;
   16903   $job = $job | 0;
   16904   $c = $c | 0;
   16905   var $conv = 0, $y1 = 0, $0 = 0, $sub = 0, $y2 = 0, $x10 = 0, $1 = 0, $sub11 = 0, $x13 = 0, $rv_sroa_1_0 = 0, $rv_sroa_0_0 = 0, $rv_sroa_0_0__idx = 0, $rv_sroa_1_4__idx1 = 0, label = 0;
   16906   label = 2;
   16907   while (1) switch (label | 0) {
   16908    case 2:
   16909     $conv = $c << 24 >> 24;
   16910     if (($conv | 0) == 84) {
   16911       label = 3;
   16912       break;
   16913     } else if (($conv | 0) == 66) {
   16914       label = 4;
   16915       break;
   16916     } else if (($conv | 0) == 76) {
   16917       label = 5;
   16918       break;
   16919     } else if (($conv | 0) == 82) {
   16920       label = 6;
   16921       break;
   16922     } else {
   16923       $rv_sroa_0_0 = 0;
   16924       $rv_sroa_1_0 = 0;
   16925       label = 7;
   16926       break;
   16927     }
   16928    case 3:
   16929     $y1 = $job + 168 | 0;
   16930     $0 = HEAP32[$y1 >> 2] | 0;
   16931     $sub = $0 - 1 | 0;
   16932     $y2 = $job + 176 | 0;
   16933     HEAP32[$y2 >> 2] = $sub;
   16934     $rv_sroa_0_0 = 0;
   16935     $rv_sroa_1_0 = -1;
   16936     label = 7;
   16937     break;
   16938    case 4:
   16939     $rv_sroa_0_0 = 0;
   16940     $rv_sroa_1_0 = 1;
   16941     label = 7;
   16942     break;
   16943    case 5:
   16944     $rv_sroa_0_0 = 1;
   16945     $rv_sroa_1_0 = 0;
   16946     label = 7;
   16947     break;
   16948    case 6:
   16949     $x10 = $job + 164 | 0;
   16950     $1 = HEAP32[$x10 >> 2] | 0;
   16951     $sub11 = $1 - 1 | 0;
   16952     $x13 = $job + 172 | 0;
   16953     HEAP32[$x13 >> 2] = $sub11;
   16954     $rv_sroa_0_0 = -1;
   16955     $rv_sroa_1_0 = 0;
   16956     label = 7;
   16957     break;
   16958    case 7:
   16959     $rv_sroa_0_0__idx = $agg_result | 0;
   16960     HEAP32[$rv_sroa_0_0__idx >> 2] = $rv_sroa_0_0;
   16961     $rv_sroa_1_4__idx1 = $agg_result + 4 | 0;
   16962     HEAP32[$rv_sroa_1_4__idx1 >> 2] = $rv_sroa_1_0;
   16963     return;
   16964   }
   16965 }
   16966 function _exch_xy($agg_result, $p) {
   16967   $agg_result = $agg_result | 0;
   16968   $p = $p | 0;
   16969   var $1 = 0, tempParam = 0, __stackBase__ = 0;
   16970   __stackBase__ = STACKTOP;
   16971   tempParam = $p;
   16972   $p = STACKTOP;
   16973   STACKTOP = STACKTOP + 8 | 0;
   16974   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   16975   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   16976   $1 = HEAP32[$p >> 2] | 0;
   16977   HEAP32[$agg_result >> 2] = HEAP32[$p + 4 >> 2] | 0;
   16978   HEAP32[$agg_result + 4 >> 2] = $1;
   16979   STACKTOP = __stackBase__;
   16980   return;
   16981 }
   16982 function _init_job_pagination($job, $g) {
   16983   $job = $job | 0;
   16984   $g = $g | 0;
   16985   var $pageSize = 0, $imageSize = 0, $margin = 0, $centering = 0, $tmp = 0, $tmp219 = 0, $tmpcast = 0, $tmp223 = 0, $tmpcast85 = 0, $tmp241 = 0, $tmpcast88 = 0, $tmp243 = 0, $tmpcast89 = 0, $tmp275 = 0, $tmp276 = 0, $tmp277 = 0, $tmp278 = 0, $tmp456 = 0, $tmpcast86 = 0, $tmp461 = 0, $tmpcast87 = 0, $gvc1 = 0, $0 = 0, $1 = 0, $view = 0, $2 = 0, $3 = 0, $rotation = 0, $4 = 0, $tobool = 0, $5 = 0, $margin2 = 0, $6 = 0, $7 = 0, $graph_sets_pageSize = 0, $8 = 0, $tobool3 = 0, $flags = 0, $9 = 0, $and = 0, $tobool4 = 0, $x = 0, $10 = 0.0, $x7 = 0, $11 = 0.0, $mul = 0.0, $sub = 0.0, $x8 = 0, $y = 0, $12 = 0.0, $y10 = 0, $13 = 0.0, $mul11 = 0.0, $sub12 = 0.0, $y13 = 0, $14 = 0.0, $cmp = 0, $x17 = 0, $x18 = 0, $15 = 0.0, $div = 0.0, $conv20 = 0, $x22 = 0, $16 = 0.0, $conv26 = 0.0, $17 = 0.0, $mul28 = 0.0, $sub29 = 0.0, $cmp30 = 0, $inc = 0, $18 = 0.0, $cmp38 = 0, $y42 = 0, $y44 = 0, $19 = 0.0, $div46 = 0.0, $conv47 = 0, $y49 = 0, $20 = 0.0, $conv53 = 0.0, $21 = 0.0, $mul55 = 0.0, $sub56 = 0.0, $cmp57 = 0, $inc62 = 0, $x66 = 0, $22 = 0, $y68 = 0, $23 = 0, $mul69 = 0, $numPages = 0, $x70 = 0, $24 = 0.0, $25 = 0.0, $cmp72 = 0, $_ = 0.0, $y77 = 0, $26 = 0.0, $27 = 0.0, $cmp79 = 0, $cond86 = 0.0, $features = 0, $28 = 0, $tobool89 = 0, $features91 = 0, $29 = 0, $x92 = 0, $30 = 0.0, $x93 = 0, $31 = 0.0, $mul94 = 0.0, $sub95 = 0.0, $x96 = 0, $cmp98 = 0, $storemerge = 0.0, $32 = 0, $y106 = 0, $33 = 0.0, $y107 = 0, $34 = 0.0, $mul108 = 0.0, $sub109 = 0.0, $y110 = 0, $cmp112 = 0, $35 = 0, $numPages121 = 0, $y123 = 0, $x125 = 0, $x126 = 0, $36 = 0.0, $x127 = 0, $37 = 0.0, $cmp128 = 0, $y134 = 0, $38 = 0.0, $y135 = 0, $39 = 0.0, $cmp136 = 0, $x143 = 0, $40 = 0.0, $x144 = 0, $41 = 0.0, $mul145 = 0.0, $add = 0.0, $x146 = 0, $42 = 0.0, $mul147 = 0.0, $div148 = 0.0, $cmp149 = 0, $add160 = 0.0, $sub171 = 0.0, $add160_sink = 0.0, $conv161 = 0, $width = 0, $y175 = 0, $43 = 0.0, $y176 = 0, $44 = 0.0, $mul177 = 0.0, $add178 = 0.0, $y180 = 0, $45 = 0.0, $mul181 = 0.0, $div182 = 0.0, $cmp183 = 0, $add194 = 0.0, $sub205 = 0.0, $add194_sink = 0.0, $conv195 = 0, $height = 0, $pagesArrayMinor = 0, $x211 = 0, $pagesArrayMajor = 0, $x214 = 0, $x217 = 0, $pagedir = 0, $46 = 0, $47 = 0, $48 = 0, $49 = 0, $ld$0$0 = 0, $50$0 = 0, $ld$1$1 = 0, $50$1 = 0, $st$2$0 = 0, $st$3$1 = 0, $51 = 0, $arrayidx222 = 0, $52 = 0, $53 = 0, $ld$4$0 = 0, $54$0 = 0, $ld$5$1 = 0, $54$1 = 0, $st$6$0 = 0, $st$7$1 = 0, $55 = 0, $56 = 0, $add228 = 0, $ispos = 0, $neg = 0, $call = 0, $cmp229 = 0, $y212 = 0, $y209 = 0, $57 = 0, $58 = 0, $add235 = 0, $ispos90 = 0, $neg91 = 0, $call236 = 0, $cmp237 = 0, $ld$8$0 = 0, $59$0 = 0, $ld$9$1 = 0, $59$1 = 0, $st$10$0 = 0, $st$11$1 = 0, $ld$12$0 = 0, $60$0 = 0, $ld$13$1 = 0, $60$1 = 0, $st$14$0 = 0, $st$15$1 = 0, $61 = 0, $call245 = 0, $drawing = 0, $62 = 0, $centered = 0, $63 = 0, $tobool247 = 0, $64 = 0.0, $x250 = 0, $65 = 0.0, $cmp251 = 0, $sub256 = 0.0, $div257 = 0.0, $x258 = 0, $66 = 0.0, $y261 = 0, $67 = 0.0, $cmp262 = 0, $sub267 = 0.0, $div268 = 0.0, $y269 = 0, $68 = 0, $tobool273 = 0, $69 = 0, $70 = 0, $71 = 0, $72 = 0, $73 = 0, $74 = 0.0, $x281 = 0, $75 = 0.0, $add282 = 0.0, $x283 = 0, $76 = 0.0, $y285 = 0, $77 = 0.0, $add286 = 0.0, $y289 = 0, $78 = 0.0, $79 = 0.0, $add292 = 0.0, $x293 = 0, $80 = 0.0, $add294 = 0.0, $x296 = 0, $81 = 0.0, $82 = 0.0, $add299 = 0.0, $y300 = 0, $83 = 0.0, $add301 = 0.0, $y304 = 0, $84 = 0.0, $zoom = 0, $85 = 0.0, $div306 = 0.0, $x308 = 0, $86 = 0.0, $87 = 0.0, $div311 = 0.0, $y313 = 0, $88 = 0.0, $89 = 0.0, $mul319 = 0.0, $div320 = 0.0, $cmp321 = 0, $add331 = 0.0, $sub341 = 0.0, $add331_sink = 0.0, $conv332 = 0, $pageBoundingBox = 0, $LL345 = 0, $x346 = 0, $90 = 0.0, $91 = 0.0, $mul352 = 0.0, $div353 = 0.0, $cmp354 = 0, $add364 = 0.0, $sub374 = 0.0, $add364_sink = 0.0, $conv365 = 0, $y380 = 0, $92 = 0.0, $93 = 0.0, $mul386 = 0.0, $div387 = 0.0, $cmp388 = 0, $add398 = 0.0, $sub408 = 0.0, $add398_sink = 0.0, $conv399 = 0, $UR413 = 0, $x414 = 0, $94 = 0.0, $95 = 0.0, $mul420 = 0.0, $div421 = 0.0, $cmp422 = 0, $add432 = 0.0, $sub442 = 0.0, $add432_sink = 0.0, $conv433 = 0, $y448 = 0, $96 = 0, $tobool450 = 0, $97 = 0, $ld$16$0 = 0, $98$0 = 0, $ld$17$1 = 0, $98$1 = 0, $st$18$0 = 0, $st$19$1 = 0, $99 = 0, $ld$20$0 = 0, $100$0 = 0, $ld$21$1 = 0, $100$1 = 0, $st$22$0 = 0, $st$23$1 = 0, label = 0, __stackBase__ = 0;
   16986   __stackBase__ = STACKTOP;
   16987   STACKTOP = STACKTOP + 88 | 0;
   16988   label = 2;
   16989   while (1) switch (label | 0) {
   16990    case 2:
   16991     $pageSize = __stackBase__ | 0;
   16992     $imageSize = __stackBase__ + 16 | 0;
   16993     $margin = __stackBase__ + 32 | 0;
   16994     $centering = __stackBase__ + 48 | 0;
   16995     $tmp = __stackBase__ + 64 | 0;
   16996     $tmp219 = __stackBase__ + 80 | 0;
   16997     $tmpcast = $tmp219;
   16998     $tmp223 = STACKTOP;
   16999     STACKTOP = STACKTOP + 8 | 0;
   17000     $tmpcast85 = $tmp223;
   17001     $tmp241 = STACKTOP;
   17002     STACKTOP = STACKTOP + 8 | 0;
   17003     $tmpcast88 = $tmp241;
   17004     $tmp243 = STACKTOP;
   17005     STACKTOP = STACKTOP + 8 | 0;
   17006     $tmpcast89 = $tmp243;
   17007     $tmp275 = STACKTOP;
   17008     STACKTOP = STACKTOP + 16 | 0;
   17009     $tmp276 = STACKTOP;
   17010     STACKTOP = STACKTOP + 16 | 0;
   17011     $tmp277 = STACKTOP;
   17012     STACKTOP = STACKTOP + 16 | 0;
   17013     $tmp278 = STACKTOP;
   17014     STACKTOP = STACKTOP + 16 | 0;
   17015     $tmp456 = STACKTOP;
   17016     STACKTOP = STACKTOP + 8 | 0;
   17017     $tmpcast86 = $tmp456;
   17018     $tmp461 = STACKTOP;
   17019     STACKTOP = STACKTOP + 8 | 0;
   17020     $tmpcast87 = $tmp461;
   17021     $gvc1 = $job | 0;
   17022     $0 = HEAP32[$gvc1 >> 2] | 0;
   17023     $1 = $centering;
   17024     _memset($1 | 0, 0, 16);
   17025     $view = $job + 368 | 0;
   17026     $2 = $imageSize;
   17027     $3 = $view;
   17028     HEAP32[$2 >> 2] = HEAP32[$3 >> 2] | 0;
   17029     HEAP32[$2 + 4 >> 2] = HEAP32[$3 + 4 >> 2] | 0;
   17030     HEAP32[$2 + 8 >> 2] = HEAP32[$3 + 8 >> 2] | 0;
   17031     HEAP32[$2 + 12 >> 2] = HEAP32[$3 + 12 >> 2] | 0;
   17032     $rotation = $job + 360 | 0;
   17033     $4 = HEAP32[$rotation >> 2] | 0;
   17034     $tobool = ($4 | 0) == 0;
   17035     if ($tobool) {
   17036       label = 4;
   17037       break;
   17038     } else {
   17039       label = 3;
   17040       break;
   17041     }
   17042    case 3:
   17043     _exch_xyf($tmp, $imageSize);
   17044     $5 = $tmp;
   17045     HEAP32[$2 >> 2] = HEAP32[$5 >> 2] | 0;
   17046     HEAP32[$2 + 4 >> 2] = HEAP32[$5 + 4 >> 2] | 0;
   17047     HEAP32[$2 + 8 >> 2] = HEAP32[$5 + 8 >> 2] | 0;
   17048     HEAP32[$2 + 12 >> 2] = HEAP32[$5 + 12 >> 2] | 0;
   17049     label = 4;
   17050     break;
   17051    case 4:
   17052     $margin2 = $job + 416 | 0;
   17053     $6 = $margin;
   17054     $7 = $margin2;
   17055     HEAP32[$6 >> 2] = HEAP32[$7 >> 2] | 0;
   17056     HEAP32[$6 + 4 >> 2] = HEAP32[$7 + 4 >> 2] | 0;
   17057     HEAP32[$6 + 8 >> 2] = HEAP32[$7 + 8 >> 2] | 0;
   17058     HEAP32[$6 + 12 >> 2] = HEAP32[$7 + 12 >> 2] | 0;
   17059     $graph_sets_pageSize = $0 + 270 | 0;
   17060     $8 = HEAP8[$graph_sets_pageSize] | 0;
   17061     $tobool3 = $8 << 24 >> 24 == 0;
   17062     if ($tobool3) {
   17063       label = 15;
   17064       break;
   17065     } else {
   17066       label = 5;
   17067       break;
   17068     }
   17069    case 5:
   17070     $flags = $job + 152 | 0;
   17071     $9 = HEAP32[$flags >> 2] | 0;
   17072     $and = $9 & 32;
   17073     $tobool4 = ($and | 0) == 0;
   17074     if ($tobool4) {
   17075       label = 15;
   17076       break;
   17077     } else {
   17078       label = 6;
   17079       break;
   17080     }
   17081    case 6:
   17082     $x = $0 + 208 | 0;
   17083     $10 = +HEAPF64[$x >> 3];
   17084     $x7 = $margin | 0;
   17085     $11 = +HEAPF64[$x7 >> 3];
   17086     $mul = $11 * 2.0;
   17087     $sub = $10 - $mul;
   17088     $x8 = $pageSize | 0;
   17089     HEAPF64[$x8 >> 3] = $sub;
   17090     $y = $0 + 216 | 0;
   17091     $12 = +HEAPF64[$y >> 3];
   17092     $y10 = $margin + 8 | 0;
   17093     $13 = +HEAPF64[$y10 >> 3];
   17094     $mul11 = $13 * 2.0;
   17095     $sub12 = $12 - $mul11;
   17096     $y13 = $pageSize + 8 | 0;
   17097     HEAPF64[$y13 >> 3] = $sub12;
   17098     $14 = +HEAPF64[$x8 >> 3];
   17099     $cmp = $14 < 1.0e-4;
   17100     if ($cmp) {
   17101       label = 7;
   17102       break;
   17103     } else {
   17104       label = 8;
   17105       break;
   17106     }
   17107    case 7:
   17108     $x17 = $job + 164 | 0;
   17109     HEAP32[$x17 >> 2] = 1;
   17110     label = 10;
   17111     break;
   17112    case 8:
   17113     $x18 = $imageSize | 0;
   17114     $15 = +HEAPF64[$x18 >> 3];
   17115     $div = $15 / $14;
   17116     $conv20 = ~~$div;
   17117     $x22 = $job + 164 | 0;
   17118     HEAP32[$x22 >> 2] = $conv20;
   17119     $16 = +HEAPF64[$x18 >> 3];
   17120     $conv26 = +($conv20 | 0);
   17121     $17 = +HEAPF64[$x8 >> 3];
   17122     $mul28 = $conv26 * $17;
   17123     $sub29 = $16 - $mul28;
   17124     $cmp30 = $sub29 > 1.0e-4;
   17125     if ($cmp30) {
   17126       label = 9;
   17127       break;
   17128     } else {
   17129       label = 10;
   17130       break;
   17131     }
   17132    case 9:
   17133     $inc = $conv20 + 1 | 0;
   17134     HEAP32[$x22 >> 2] = $inc;
   17135     label = 10;
   17136     break;
   17137    case 10:
   17138     $18 = +HEAPF64[$y13 >> 3];
   17139     $cmp38 = $18 < 1.0e-4;
   17140     if ($cmp38) {
   17141       label = 11;
   17142       break;
   17143     } else {
   17144       label = 12;
   17145       break;
   17146     }
   17147    case 11:
   17148     $y42 = $job + 168 | 0;
   17149     HEAP32[$y42 >> 2] = 1;
   17150     label = 14;
   17151     break;
   17152    case 12:
   17153     $y44 = $imageSize + 8 | 0;
   17154     $19 = +HEAPF64[$y44 >> 3];
   17155     $div46 = $19 / $18;
   17156     $conv47 = ~~$div46;
   17157     $y49 = $job + 168 | 0;
   17158     HEAP32[$y49 >> 2] = $conv47;
   17159     $20 = +HEAPF64[$y44 >> 3];
   17160     $conv53 = +($conv47 | 0);
   17161     $21 = +HEAPF64[$y13 >> 3];
   17162     $mul55 = $conv53 * $21;
   17163     $sub56 = $20 - $mul55;
   17164     $cmp57 = $sub56 > 1.0e-4;
   17165     if ($cmp57) {
   17166       label = 13;
   17167       break;
   17168     } else {
   17169       label = 14;
   17170       break;
   17171     }
   17172    case 13:
   17173     $inc62 = $conv47 + 1 | 0;
   17174     HEAP32[$y49 >> 2] = $inc62;
   17175     label = 14;
   17176     break;
   17177    case 14:
   17178     $x66 = $job + 164 | 0;
   17179     $22 = HEAP32[$x66 >> 2] | 0;
   17180     $y68 = $job + 168 | 0;
   17181     $23 = HEAP32[$y68 >> 2] | 0;
   17182     $mul69 = Math_imul($23, $22);
   17183     $numPages = $job + 204 | 0;
   17184     HEAP32[$numPages >> 2] = $mul69;
   17185     $x70 = $imageSize | 0;
   17186     $24 = +HEAPF64[$x70 >> 3];
   17187     $25 = +HEAPF64[$x8 >> 3];
   17188     $cmp72 = $24 < $25;
   17189     $_ = $cmp72 ? $24 : $25;
   17190     HEAPF64[$x70 >> 3] = $_;
   17191     $y77 = $imageSize + 8 | 0;
   17192     $26 = +HEAPF64[$y77 >> 3];
   17193     $27 = +HEAPF64[$y13 >> 3];
   17194     $cmp79 = $26 < $27;
   17195     $cond86 = $cmp79 ? $26 : $27;
   17196     HEAPF64[$y77 >> 3] = $cond86;
   17197     label = 23;
   17198     break;
   17199    case 15:
   17200     $features = $job + 68 | 0;
   17201     $28 = HEAP32[$features >> 2] | 0;
   17202     $tobool89 = ($28 | 0) == 0;
   17203     if ($tobool89) {
   17204       label = 18;
   17205       break;
   17206     } else {
   17207       label = 16;
   17208       break;
   17209     }
   17210    case 16:
   17211     $features91 = $job + 84 | 0;
   17212     $29 = HEAP32[$features91 >> 2] | 0;
   17213     $x92 = $29 + 24 | 0;
   17214     $30 = +HEAPF64[$x92 >> 3];
   17215     $x93 = $margin | 0;
   17216     $31 = +HEAPF64[$x93 >> 3];
   17217     $mul94 = $31 * 2.0;
   17218     $sub95 = $30 - $mul94;
   17219     $x96 = $pageSize | 0;
   17220     $cmp98 = $sub95 < 0.0;
   17221     $storemerge = $cmp98 ? 0.0 : $sub95;
   17222     HEAPF64[$x96 >> 3] = $storemerge;
   17223     $32 = HEAP32[$features91 >> 2] | 0;
   17224     $y106 = $32 + 32 | 0;
   17225     $33 = +HEAPF64[$y106 >> 3];
   17226     $y107 = $margin + 8 | 0;
   17227     $34 = +HEAPF64[$y107 >> 3];
   17228     $mul108 = $34 * 2.0;
   17229     $sub109 = $33 - $mul108;
   17230     $y110 = $pageSize + 8 | 0;
   17231     HEAPF64[$y110 >> 3] = $sub109;
   17232     $cmp112 = $sub109 < 0.0;
   17233     if ($cmp112) {
   17234       label = 17;
   17235       break;
   17236     } else {
   17237       label = 19;
   17238       break;
   17239     }
   17240    case 17:
   17241     HEAPF64[$y110 >> 3] = 0.0;
   17242     label = 19;
   17243     break;
   17244    case 18:
   17245     $35 = $pageSize;
   17246     _memset($35 | 0, 0, 16);
   17247     label = 19;
   17248     break;
   17249    case 19:
   17250     $numPages121 = $job + 204 | 0;
   17251     HEAP32[$numPages121 >> 2] = 1;
   17252     $y123 = $job + 168 | 0;
   17253     HEAP32[$y123 >> 2] = 1;
   17254     $x125 = $job + 164 | 0;
   17255     HEAP32[$x125 >> 2] = 1;
   17256     $x126 = $pageSize | 0;
   17257     $36 = +HEAPF64[$x126 >> 3];
   17258     $x127 = $imageSize | 0;
   17259     $37 = +HEAPF64[$x127 >> 3];
   17260     $cmp128 = $36 < $37;
   17261     if ($cmp128) {
   17262       label = 20;
   17263       break;
   17264     } else {
   17265       label = 21;
   17266       break;
   17267     }
   17268    case 20:
   17269     HEAPF64[$x126 >> 3] = $37;
   17270     label = 21;
   17271     break;
   17272    case 21:
   17273     $y134 = $pageSize + 8 | 0;
   17274     $38 = +HEAPF64[$y134 >> 3];
   17275     $y135 = $imageSize + 8 | 0;
   17276     $39 = +HEAPF64[$y135 >> 3];
   17277     $cmp136 = $38 < $39;
   17278     if ($cmp136) {
   17279       label = 22;
   17280       break;
   17281     } else {
   17282       label = 23;
   17283       break;
   17284     }
   17285    case 22:
   17286     HEAPF64[$y134 >> 3] = $39;
   17287     label = 23;
   17288     break;
   17289    case 23:
   17290     $x143 = $pageSize | 0;
   17291     $40 = +HEAPF64[$x143 >> 3];
   17292     $x144 = $margin | 0;
   17293     $41 = +HEAPF64[$x144 >> 3];
   17294     $mul145 = $41 * 2.0;
   17295     $add = $40 + $mul145;
   17296     $x146 = $job + 432 | 0;
   17297     $42 = +HEAPF64[$x146 >> 3];
   17298     $mul147 = $42 * $add;
   17299     $div148 = $mul147 / 72.0;
   17300     $cmp149 = $div148 < 0.0;
   17301     if ($cmp149) {
   17302       label = 25;
   17303       break;
   17304     } else {
   17305       label = 24;
   17306       break;
   17307     }
   17308    case 24:
   17309     $add160 = $div148 + .5;
   17310     $add160_sink = $add160;
   17311     label = 26;
   17312     break;
   17313    case 25:
   17314     $sub171 = $div148 + -.5;
   17315     $add160_sink = $sub171;
   17316     label = 26;
   17317     break;
   17318    case 26:
   17319     $conv161 = ~~$add160_sink;
   17320     $width = $job + 448 | 0;
   17321     HEAP32[$width >> 2] = $conv161;
   17322     $y175 = $pageSize + 8 | 0;
   17323     $43 = +HEAPF64[$y175 >> 3];
   17324     $y176 = $margin + 8 | 0;
   17325     $44 = +HEAPF64[$y176 >> 3];
   17326     $mul177 = $44 * 2.0;
   17327     $add178 = $43 + $mul177;
   17328     $y180 = $job + 440 | 0;
   17329     $45 = +HEAPF64[$y180 >> 3];
   17330     $mul181 = $45 * $add178;
   17331     $div182 = $mul181 / 72.0;
   17332     $cmp183 = $div182 < 0.0;
   17333     if ($cmp183) {
   17334       label = 28;
   17335       break;
   17336     } else {
   17337       label = 27;
   17338       break;
   17339     }
   17340    case 27:
   17341     $add194 = $div182 + .5;
   17342     $add194_sink = $add194;
   17343     label = 29;
   17344     break;
   17345    case 28:
   17346     $sub205 = $div182 + -.5;
   17347     $add194_sink = $sub205;
   17348     label = 29;
   17349     break;
   17350    case 29:
   17351     $conv195 = ~~$add194_sink;
   17352     $height = $job + 452 | 0;
   17353     HEAP32[$height >> 2] = $conv195;
   17354     $pagesArrayMinor = $job + 188 | 0;
   17355     $x211 = $pagesArrayMinor | 0;
   17356     $pagesArrayMajor = $job + 180 | 0;
   17357     $x214 = $pagesArrayMajor | 0;
   17358     $x217 = $job + 172 | 0;
   17359     $pagedir = $0 + 168 | 0;
   17360     $46 = $x217;
   17361     _memset($46 | 0, 0, 24);
   17362     $47 = HEAP32[$pagedir >> 2] | 0;
   17363     $48 = HEAP8[$47] | 0;
   17364     _pagecode($tmpcast, $job, $48);
   17365     $49 = $pagesArrayMajor;
   17366     $ld$0$0 = $tmp219 | 0;
   17367     $50$0 = HEAP32[$ld$0$0 >> 2] | 0;
   17368     $ld$1$1 = $tmp219 + 4 | 0;
   17369     $50$1 = HEAP32[$ld$1$1 >> 2] | 0;
   17370     $st$2$0 = $49 | 0;
   17371     HEAP32[$st$2$0 >> 2] = $50$0;
   17372     $st$3$1 = $49 + 4 | 0;
   17373     HEAP32[$st$3$1 >> 2] = $50$1;
   17374     $51 = HEAP32[$pagedir >> 2] | 0;
   17375     $arrayidx222 = $51 + 1 | 0;
   17376     $52 = HEAP8[$arrayidx222] | 0;
   17377     _pagecode($tmpcast85, $job, $52);
   17378     $53 = $pagesArrayMinor;
   17379     $ld$4$0 = $tmp223 | 0;
   17380     $54$0 = HEAP32[$ld$4$0 >> 2] | 0;
   17381     $ld$5$1 = $tmp223 + 4 | 0;
   17382     $54$1 = HEAP32[$ld$5$1 >> 2] | 0;
   17383     $st$6$0 = $53 | 0;
   17384     HEAP32[$st$6$0 >> 2] = $54$0;
   17385     $st$7$1 = $53 + 4 | 0;
   17386     HEAP32[$st$7$1 >> 2] = $54$1;
   17387     $55 = HEAP32[$x214 >> 2] | 0;
   17388     $56 = HEAP32[$x211 >> 2] | 0;
   17389     $add228 = $56 + $55 | 0;
   17390     $ispos = ($add228 | 0) > -1;
   17391     $neg = -$add228 | 0;
   17392     $call = $ispos ? $add228 : $neg;
   17393     $cmp229 = ($call | 0) == 1;
   17394     if ($cmp229) {
   17395       label = 30;
   17396       break;
   17397     } else {
   17398       label = 31;
   17399       break;
   17400     }
   17401    case 30:
   17402     $y212 = $job + 184 | 0;
   17403     $y209 = $job + 192 | 0;
   17404     $57 = HEAP32[$y212 >> 2] | 0;
   17405     $58 = HEAP32[$y209 >> 2] | 0;
   17406     $add235 = $58 + $57 | 0;
   17407     $ispos90 = ($add235 | 0) > -1;
   17408     $neg91 = -$add235 | 0;
   17409     $call236 = $ispos90 ? $add235 : $neg91;
   17410     $cmp237 = ($call236 | 0) == 1;
   17411     if ($cmp237) {
   17412       label = 32;
   17413       break;
   17414     } else {
   17415       label = 31;
   17416       break;
   17417     }
   17418    case 31:
   17419     _pagecode($tmpcast88, $job, 66);
   17420     $ld$8$0 = $tmp241 | 0;
   17421     $59$0 = HEAP32[$ld$8$0 >> 2] | 0;
   17422     $ld$9$1 = $tmp241 + 4 | 0;
   17423     $59$1 = HEAP32[$ld$9$1 >> 2] | 0;
   17424     $st$10$0 = $49 | 0;
   17425     HEAP32[$st$10$0 >> 2] = $59$0;
   17426     $st$11$1 = $49 + 4 | 0;
   17427     HEAP32[$st$11$1 >> 2] = $59$1;
   17428     _pagecode($tmpcast89, $job, 76);
   17429     $ld$12$0 = $tmp243 | 0;
   17430     $60$0 = HEAP32[$ld$12$0 >> 2] | 0;
   17431     $ld$13$1 = $tmp243 + 4 | 0;
   17432     $60$1 = HEAP32[$ld$13$1 >> 2] | 0;
   17433     $st$14$0 = $53 | 0;
   17434     HEAP32[$st$14$0 >> 2] = $60$0;
   17435     $st$15$1 = $53 + 4 | 0;
   17436     HEAP32[$st$15$1 >> 2] = $60$1;
   17437     $61 = HEAP32[$pagedir >> 2] | 0;
   17438     $call245 = _agerr(0, 112488, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $61, tempInt) | 0) | 0;
   17439     label = 32;
   17440     break;
   17441    case 32:
   17442     $drawing = $g + 48 | 0;
   17443     $62 = HEAP32[$drawing >> 2] | 0;
   17444     $centered = $62 + 82 | 0;
   17445     $63 = HEAP8[$centered] | 0;
   17446     $tobool247 = $63 << 24 >> 24 == 0;
   17447     if ($tobool247) {
   17448       label = 37;
   17449       break;
   17450     } else {
   17451       label = 33;
   17452       break;
   17453     }
   17454    case 33:
   17455     $64 = +HEAPF64[$x143 >> 3];
   17456     $x250 = $imageSize | 0;
   17457     $65 = +HEAPF64[$x250 >> 3];
   17458     $cmp251 = $64 > $65;
   17459     if ($cmp251) {
   17460       label = 34;
   17461       break;
   17462     } else {
   17463       label = 35;
   17464       break;
   17465     }
   17466    case 34:
   17467     $sub256 = $64 - $65;
   17468     $div257 = $sub256 * .5;
   17469     $x258 = $centering | 0;
   17470     HEAPF64[$x258 >> 3] = $div257;
   17471     label = 35;
   17472     break;
   17473    case 35:
   17474     $66 = +HEAPF64[$y175 >> 3];
   17475     $y261 = $imageSize + 8 | 0;
   17476     $67 = +HEAPF64[$y261 >> 3];
   17477     $cmp262 = $66 > $67;
   17478     if ($cmp262) {
   17479       label = 36;
   17480       break;
   17481     } else {
   17482       label = 37;
   17483       break;
   17484     }
   17485    case 36:
   17486     $sub267 = $66 - $67;
   17487     $div268 = $sub267 * .5;
   17488     $y269 = $centering + 8 | 0;
   17489     HEAPF64[$y269 >> 3] = $div268;
   17490     label = 37;
   17491     break;
   17492    case 37:
   17493     $68 = HEAP32[$rotation >> 2] | 0;
   17494     $tobool273 = ($68 | 0) == 0;
   17495     if ($tobool273) {
   17496       label = 39;
   17497       break;
   17498     } else {
   17499       label = 38;
   17500       break;
   17501     }
   17502    case 38:
   17503     _exch_xyf($tmp275, $imageSize);
   17504     $69 = $tmp275;
   17505     HEAP32[$2 >> 2] = HEAP32[$69 >> 2] | 0;
   17506     HEAP32[$2 + 4 >> 2] = HEAP32[$69 + 4 >> 2] | 0;
   17507     HEAP32[$2 + 8 >> 2] = HEAP32[$69 + 8 >> 2] | 0;
   17508     HEAP32[$2 + 12 >> 2] = HEAP32[$69 + 12 >> 2] | 0;
   17509     _exch_xyf($tmp276, $pageSize);
   17510     $70 = $pageSize;
   17511     $71 = $tmp276;
   17512     HEAP32[$70 >> 2] = HEAP32[$71 >> 2] | 0;
   17513     HEAP32[$70 + 4 >> 2] = HEAP32[$71 + 4 >> 2] | 0;
   17514     HEAP32[$70 + 8 >> 2] = HEAP32[$71 + 8 >> 2] | 0;
   17515     HEAP32[$70 + 12 >> 2] = HEAP32[$71 + 12 >> 2] | 0;
   17516     _exch_xyf($tmp277, $margin);
   17517     $72 = $tmp277;
   17518     HEAP32[$6 >> 2] = HEAP32[$72 >> 2] | 0;
   17519     HEAP32[$6 + 4 >> 2] = HEAP32[$72 + 4 >> 2] | 0;
   17520     HEAP32[$6 + 8 >> 2] = HEAP32[$72 + 8 >> 2] | 0;
   17521     HEAP32[$6 + 12 >> 2] = HEAP32[$72 + 12 >> 2] | 0;
   17522     _exch_xyf($tmp278, $centering);
   17523     $73 = $tmp278;
   17524     HEAP32[$1 >> 2] = HEAP32[$73 >> 2] | 0;
   17525     HEAP32[$1 + 4 >> 2] = HEAP32[$73 + 4 >> 2] | 0;
   17526     HEAP32[$1 + 8 >> 2] = HEAP32[$73 + 8 >> 2] | 0;
   17527     HEAP32[$1 + 12 >> 2] = HEAP32[$73 + 12 >> 2] | 0;
   17528     label = 39;
   17529     break;
   17530    case 39:
   17531     $74 = +HEAPF64[$x144 >> 3];
   17532     $x281 = $centering | 0;
   17533     $75 = +HEAPF64[$x281 >> 3];
   17534     $add282 = $74 + $75;
   17535     $x283 = $job + 384 | 0;
   17536     HEAPF64[$x283 >> 3] = $add282;
   17537     $76 = +HEAPF64[$y176 >> 3];
   17538     $y285 = $centering + 8 | 0;
   17539     $77 = +HEAPF64[$y285 >> 3];
   17540     $add286 = $76 + $77;
   17541     $y289 = $job + 392 | 0;
   17542     HEAPF64[$y289 >> 3] = $add286;
   17543     $78 = +HEAPF64[$x144 >> 3];
   17544     $79 = +HEAPF64[$x281 >> 3];
   17545     $add292 = $78 + $79;
   17546     $x293 = $imageSize | 0;
   17547     $80 = +HEAPF64[$x293 >> 3];
   17548     $add294 = $add292 + $80;
   17549     $x296 = $job + 400 | 0;
   17550     HEAPF64[$x296 >> 3] = $add294;
   17551     $81 = +HEAPF64[$y176 >> 3];
   17552     $82 = +HEAPF64[$y285 >> 3];
   17553     $add299 = $81 + $82;
   17554     $y300 = $imageSize + 8 | 0;
   17555     $83 = +HEAPF64[$y300 >> 3];
   17556     $add301 = $add299 + $83;
   17557     $y304 = $job + 408 | 0;
   17558     HEAPF64[$y304 >> 3] = $add301;
   17559     $84 = +HEAPF64[$x293 >> 3];
   17560     $zoom = $job + 352 | 0;
   17561     $85 = +HEAPF64[$zoom >> 3];
   17562     $div306 = $84 / $85;
   17563     $x308 = $job + 320 | 0;
   17564     HEAPF64[$x308 >> 3] = $div306;
   17565     $86 = +HEAPF64[$y300 >> 3];
   17566     $87 = +HEAPF64[$zoom >> 3];
   17567     $div311 = $86 / $87;
   17568     $y313 = $job + 328 | 0;
   17569     HEAPF64[$y313 >> 3] = $div311;
   17570     $88 = +HEAPF64[$x283 >> 3];
   17571     $89 = +HEAPF64[$x146 >> 3];
   17572     $mul319 = $88 * $89;
   17573     $div320 = $mul319 / 72.0;
   17574     $cmp321 = $div320 < 0.0;
   17575     if ($cmp321) {
   17576       label = 41;
   17577       break;
   17578     } else {
   17579       label = 40;
   17580       break;
   17581     }
   17582    case 40:
   17583     $add331 = $div320 + .5;
   17584     $add331_sink = $add331;
   17585     label = 42;
   17586     break;
   17587    case 41:
   17588     $sub341 = $div320 + -.5;
   17589     $add331_sink = $sub341;
   17590     label = 42;
   17591     break;
   17592    case 42:
   17593     $conv332 = ~~$add331_sink;
   17594     $pageBoundingBox = $job + 456 | 0;
   17595     $LL345 = $pageBoundingBox | 0;
   17596     $x346 = $pageBoundingBox | 0;
   17597     HEAP32[$x346 >> 2] = $conv332;
   17598     $90 = +HEAPF64[$y289 >> 3];
   17599     $91 = +HEAPF64[$y180 >> 3];
   17600     $mul352 = $90 * $91;
   17601     $div353 = $mul352 / 72.0;
   17602     $cmp354 = $div353 < 0.0;
   17603     if ($cmp354) {
   17604       label = 44;
   17605       break;
   17606     } else {
   17607       label = 43;
   17608       break;
   17609     }
   17610    case 43:
   17611     $add364 = $div353 + .5;
   17612     $add364_sink = $add364;
   17613     label = 45;
   17614     break;
   17615    case 44:
   17616     $sub374 = $div353 + -.5;
   17617     $add364_sink = $sub374;
   17618     label = 45;
   17619     break;
   17620    case 45:
   17621     $conv365 = ~~$add364_sink;
   17622     $y380 = $job + 460 | 0;
   17623     HEAP32[$y380 >> 2] = $conv365;
   17624     $92 = +HEAPF64[$x296 >> 3];
   17625     $93 = +HEAPF64[$x146 >> 3];
   17626     $mul386 = $92 * $93;
   17627     $div387 = $mul386 / 72.0;
   17628     $cmp388 = $div387 < 0.0;
   17629     if ($cmp388) {
   17630       label = 47;
   17631       break;
   17632     } else {
   17633       label = 46;
   17634       break;
   17635     }
   17636    case 46:
   17637     $add398 = $div387 + .5;
   17638     $add398_sink = $add398;
   17639     label = 48;
   17640     break;
   17641    case 47:
   17642     $sub408 = $div387 + -.5;
   17643     $add398_sink = $sub408;
   17644     label = 48;
   17645     break;
   17646    case 48:
   17647     $conv399 = ~~$add398_sink;
   17648     $UR413 = $job + 464 | 0;
   17649     $x414 = $UR413 | 0;
   17650     HEAP32[$x414 >> 2] = $conv399;
   17651     $94 = +HEAPF64[$y304 >> 3];
   17652     $95 = +HEAPF64[$y180 >> 3];
   17653     $mul420 = $94 * $95;
   17654     $div421 = $mul420 / 72.0;
   17655     $cmp422 = $div421 < 0.0;
   17656     if ($cmp422) {
   17657       label = 50;
   17658       break;
   17659     } else {
   17660       label = 49;
   17661       break;
   17662     }
   17663    case 49:
   17664     $add432 = $div421 + .5;
   17665     $add432_sink = $add432;
   17666     label = 51;
   17667     break;
   17668    case 50:
   17669     $sub442 = $div421 + -.5;
   17670     $add432_sink = $sub442;
   17671     label = 51;
   17672     break;
   17673    case 51:
   17674     $conv433 = ~~$add432_sink;
   17675     $y448 = $job + 468 | 0;
   17676     HEAP32[$y448 >> 2] = $conv433;
   17677     $96 = HEAP32[$rotation >> 2] | 0;
   17678     $tobool450 = ($96 | 0) == 0;
   17679     if ($tobool450) {
   17680       label = 53;
   17681       break;
   17682     } else {
   17683       label = 52;
   17684       break;
   17685     }
   17686    case 52:
   17687     _exch_xy($tmpcast86, $LL345);
   17688     $97 = $pageBoundingBox;
   17689     $ld$16$0 = $tmp456 | 0;
   17690     $98$0 = HEAP32[$ld$16$0 >> 2] | 0;
   17691     $ld$17$1 = $tmp456 + 4 | 0;
   17692     $98$1 = HEAP32[$ld$17$1 >> 2] | 0;
   17693     $st$18$0 = $97 | 0;
   17694     HEAP32[$st$18$0 >> 2] = $98$0;
   17695     $st$19$1 = $97 + 4 | 0;
   17696     HEAP32[$st$19$1 >> 2] = $98$1;
   17697     _exch_xy($tmpcast87, $UR413);
   17698     $99 = $UR413;
   17699     $ld$20$0 = $tmp461 | 0;
   17700     $100$0 = HEAP32[$ld$20$0 >> 2] | 0;
   17701     $ld$21$1 = $tmp461 + 4 | 0;
   17702     $100$1 = HEAP32[$ld$21$1 >> 2] | 0;
   17703     $st$22$0 = $99 | 0;
   17704     HEAP32[$st$22$0 >> 2] = $100$0;
   17705     $st$23$1 = $99 + 4 | 0;
   17706     HEAP32[$st$23$1 >> 2] = $100$1;
   17707     label = 53;
   17708     break;
   17709    case 53:
   17710     STACKTOP = __stackBase__;
   17711     return;
   17712   }
   17713 }
   17714 function _parse_layers($gvc, $g, $p) {
   17715   $gvc = $gvc | 0;
   17716   $g = $g | 0;
   17717   $p = $p | 0;
   17718   var $0 = 0, $call = 0, $layerDelims = 0, $tobool = 0, $_call = 0, $call3 = 0, $layers = 0, $1 = 0, $call6 = 0, $tobool724 = 0, $layerIDs = 0, $layerIDs16 = 0, $sz_027 = 0, $tok_026 = 0, $ntok_025 = 0, $inc = 0, $cmp = 0, $add = 0, $2 = 0, $tobool9 = 0, $3 = 0, $mul = 0, $call11 = 0, $mul12 = 0, $call13 = 0, $call11_sink = 0, $4 = 0, $sz_1 = 0, $5 = 0, $arrayidx = 0, $6 = 0, $call18 = 0, $tobool7 = 0, $tobool19 = 0, $layerIDs21 = 0, $7 = 0, $8 = 0, $add22 = 0, $mul23 = 0, $call24 = 0, $9 = 0, $add28 = 0, $10 = 0, $arrayidx30 = 0, $ntok_0_lcssa29 = 0, label = 0;
   17719   label = 2;
   17720   while (1) switch (label | 0) {
   17721    case 2:
   17722     $0 = $g | 0;
   17723     $call = _agget($0, 108728) | 0;
   17724     $layerDelims = $gvc + 272 | 0;
   17725     $tobool = ($call | 0) == 0;
   17726     $_call = $tobool ? 108104 : $call;
   17727     HEAP32[$layerDelims >> 2] = $_call;
   17728     $call3 = _strdup($p | 0) | 0;
   17729     $layers = $gvc + 276 | 0;
   17730     HEAP32[$layers >> 2] = $call3;
   17731     $1 = HEAP32[$layerDelims >> 2] | 0;
   17732     $call6 = _strtok($call3 | 0, $1 | 0) | 0;
   17733     $tobool724 = ($call6 | 0) == 0;
   17734     if ($tobool724) {
   17735       $ntok_0_lcssa29 = 0;
   17736       label = 12;
   17737       break;
   17738     } else {
   17739       label = 3;
   17740       break;
   17741     }
   17742    case 3:
   17743     $layerIDs = $gvc + 280 | 0;
   17744     $layerIDs16 = $gvc + 280 | 0;
   17745     $ntok_025 = 0;
   17746     $tok_026 = $call6;
   17747     $sz_027 = 0;
   17748     label = 4;
   17749     break;
   17750    case 4:
   17751     $inc = $ntok_025 + 1 | 0;
   17752     $cmp = ($inc | 0) > ($sz_027 | 0);
   17753     if ($cmp) {
   17754       label = 5;
   17755       break;
   17756     } else {
   17757       $sz_1 = $sz_027;
   17758       label = 9;
   17759       break;
   17760     }
   17761    case 5:
   17762     $add = $sz_027 + 128 | 0;
   17763     $2 = HEAP32[$layerIDs >> 2] | 0;
   17764     $tobool9 = ($2 | 0) == 0;
   17765     if ($tobool9) {
   17766       label = 7;
   17767       break;
   17768     } else {
   17769       label = 6;
   17770       break;
   17771     }
   17772    case 6:
   17773     $3 = $2;
   17774     $mul = $add << 2;
   17775     $call11 = _grealloc($3, $mul) | 0;
   17776     $call11_sink = $call11;
   17777     label = 8;
   17778     break;
   17779    case 7:
   17780     $mul12 = $add << 2;
   17781     $call13 = _gmalloc($mul12) | 0;
   17782     $call11_sink = $call13;
   17783     label = 8;
   17784     break;
   17785    case 8:
   17786     $4 = $call11_sink;
   17787     HEAP32[$layerIDs >> 2] = $4;
   17788     $sz_1 = $add;
   17789     label = 9;
   17790     break;
   17791    case 9:
   17792     $5 = HEAP32[$layerIDs16 >> 2] | 0;
   17793     $arrayidx = $5 + ($inc << 2) | 0;
   17794     HEAP32[$arrayidx >> 2] = $tok_026;
   17795     $6 = HEAP32[$layerDelims >> 2] | 0;
   17796     $call18 = _strtok(0, $6 | 0) | 0;
   17797     $tobool7 = ($call18 | 0) == 0;
   17798     if ($tobool7) {
   17799       label = 10;
   17800       break;
   17801     } else {
   17802       $ntok_025 = $inc;
   17803       $tok_026 = $call18;
   17804       $sz_027 = $sz_1;
   17805       label = 4;
   17806       break;
   17807     }
   17808    case 10:
   17809     $tobool19 = ($inc | 0) == 0;
   17810     if ($tobool19) {
   17811       $ntok_0_lcssa29 = 0;
   17812       label = 12;
   17813       break;
   17814     } else {
   17815       label = 11;
   17816       break;
   17817     }
   17818    case 11:
   17819     $layerIDs21 = $gvc + 280 | 0;
   17820     $7 = HEAP32[$layerIDs21 >> 2] | 0;
   17821     $8 = $7;
   17822     $add22 = $inc << 2;
   17823     $mul23 = $add22 + 8 | 0;
   17824     $call24 = _grealloc($8, $mul23) | 0;
   17825     $9 = $call24;
   17826     HEAP32[$layerIDs21 >> 2] = $9;
   17827     HEAP32[$9 >> 2] = 0;
   17828     $add28 = $ntok_025 + 2 | 0;
   17829     $10 = HEAP32[$layerIDs21 >> 2] | 0;
   17830     $arrayidx30 = $10 + ($add28 << 2) | 0;
   17831     HEAP32[$arrayidx30 >> 2] = 0;
   17832     $ntok_0_lcssa29 = $inc;
   17833     label = 12;
   17834     break;
   17835    case 12:
   17836     return $ntok_0_lcssa29 | 0;
   17837   }
   17838   return 0;
   17839 }
   17840 function _init_bb_node($g, $n) {
   17841   $g = $g | 0;
   17842   $n = $n | 0;
   17843   var $x = 0, $0 = 0.0, $lw = 0, $1 = 0.0, $sub = 0.0, $x3 = 0, $y = 0, $2 = 0.0, $ht = 0, $3 = 0.0, $div = 0.0, $sub7 = 0.0, $y11 = 0, $4 = 0.0, $rw = 0, $5 = 0.0, $add = 0.0, $x18 = 0, $6 = 0.0, $7 = 0.0, $div24 = 0.0, $add25 = 0.0, $y29 = 0, $8 = 0, $9 = 0, $call = 0, $tobool16 = 0, $e_0_in17 = 0, $e_0 = 0, $call30 = 0, $tobool = 0, label = 0;
   17844   label = 2;
   17845   while (1) switch (label | 0) {
   17846    case 2:
   17847     $x = $n + 32 | 0;
   17848     $0 = +HEAPF64[$x >> 3];
   17849     $lw = $n + 104 | 0;
   17850     $1 = +HEAPF64[$lw >> 3];
   17851     $sub = $0 - $1;
   17852     $x3 = $n + 64 | 0;
   17853     HEAPF64[$x3 >> 3] = $sub;
   17854     $y = $n + 40 | 0;
   17855     $2 = +HEAPF64[$y >> 3];
   17856     $ht = $n + 96 | 0;
   17857     $3 = +HEAPF64[$ht >> 3];
   17858     $div = $3 * .5;
   17859     $sub7 = $2 - $div;
   17860     $y11 = $n + 72 | 0;
   17861     HEAPF64[$y11 >> 3] = $sub7;
   17862     $4 = +HEAPF64[$x >> 3];
   17863     $rw = $n + 112 | 0;
   17864     $5 = +HEAPF64[$rw >> 3];
   17865     $add = $4 + $5;
   17866     $x18 = $n + 80 | 0;
   17867     HEAPF64[$x18 >> 3] = $add;
   17868     $6 = +HEAPF64[$y >> 3];
   17869     $7 = +HEAPF64[$ht >> 3];
   17870     $div24 = $7 * .5;
   17871     $add25 = $6 + $div24;
   17872     $y29 = $n + 88 | 0;
   17873     HEAPF64[$y29 >> 3] = $add25;
   17874     $8 = $g;
   17875     $9 = $n;
   17876     $call = _agfstout($8, $9) | 0;
   17877     $tobool16 = ($call | 0) == 0;
   17878     if ($tobool16) {
   17879       label = 4;
   17880       break;
   17881     } else {
   17882       $e_0_in17 = $call;
   17883       label = 3;
   17884       break;
   17885     }
   17886    case 3:
   17887     $e_0 = $e_0_in17;
   17888     _init_bb_edge($e_0);
   17889     $call30 = _agnxtout($8, $e_0_in17) | 0;
   17890     $tobool = ($call30 | 0) == 0;
   17891     if ($tobool) {
   17892       label = 4;
   17893       break;
   17894     } else {
   17895       $e_0_in17 = $call30;
   17896       label = 3;
   17897       break;
   17898     }
   17899    case 4:
   17900     return;
   17901   }
   17902 }
   17903 function _init_bb_edge($e) {
   17904   $e = $e | 0;
   17905   var $spl1 = 0, $0 = 0, $tobool = 0, label = 0;
   17906   label = 2;
   17907   while (1) switch (label | 0) {
   17908    case 2:
   17909     $spl1 = $e + 24 | 0;
   17910     $0 = HEAP32[$spl1 >> 2] | 0;
   17911     $tobool = ($0 | 0) == 0;
   17912     if ($tobool) {
   17913       label = 4;
   17914       break;
   17915     } else {
   17916       label = 3;
   17917       break;
   17918     }
   17919    case 3:
   17920     _init_splines_bb($0);
   17921     label = 4;
   17922     break;
   17923    case 4:
   17924     return;
   17925   }
   17926 }
   17927 function _init_splines_bb($spl) {
   17928   $spl = $spl | 0;
   17929   var $bz = 0, $tmp = 0, $tmp6 = 0, $tmp66 = 0, $tmp134 = 0, $size = 0, $0 = 0, $cmp = 0, $list = 0, $1 = 0, $2 = 0, $3 = 0, $bb_sroa_0_0__idx40 = 0, $bb_sroa_0_0_copyload41 = 0.0, $bb_sroa_1_8__idx48 = 0, $bb_sroa_1_8_copyload49 = 0.0, $bb_sroa_2_16__idx56 = 0, $bb_sroa_2_16_copyload57 = 0.0, $bb_sroa_3_24__idx64 = 0, $bb_sroa_3_24_copyload65 = 0.0, $4 = 0, $cmp278 = 0, $b_sroa_0_0__idx3 = 0, $b_sroa_1_8__idx13 = 0, $b_sroa_2_16__idx23 = 0, $b_sroa_3_24__idx33 = 0, $sflag = 0, $eflag = 0, $ep = 0, $size130 = 0, $list131 = 0, $b_sroa_0_0__idx = 0, $b_sroa_1_8__idx10 = 0, $b_sroa_2_16__idx20 = 0, $b_sroa_3_24__idx30 = 0, $sp = 0, $list63 = 0, $b_sroa_0_0__idx1 = 0, $b_sroa_1_8__idx11 = 0, $b_sroa_2_16__idx21 = 0, $b_sroa_3_24__idx31 = 0, $bb_sroa_0_083 = 0.0, $bb_sroa_1_082 = 0.0, $bb_sroa_2_081 = 0.0, $bb_sroa_3_080 = 0.0, $i_079 = 0, $cmp3 = 0, $5 = 0, $arrayidx5 = 0, $6 = 0, $b_sroa_0_0_copyload4 = 0.0, $b_sroa_1_8_copyload14 = 0.0, $b_sroa_2_16_copyload24 = 0.0, $b_sroa_3_24_copyload34 = 0.0, $cmp9 = 0, $bb_sroa_0_0_b_sroa_0_0_copyload4 = 0.0, $cmp22 = 0, $cond30 = 0.0, $cmp36 = 0, $bb_sroa_2_0_b_sroa_2_16_copyload24 = 0.0, $cmp51 = 0, $cond59 = 0.0, $bb_sroa_3_1 = 0.0, $bb_sroa_2_1 = 0.0, $bb_sroa_1_1 = 0.0, $bb_sroa_0_1 = 0.0, $7 = 0, $tobool = 0, $8 = 0, $b_sroa_0_0_copyload2 = 0.0, $b_sroa_1_8_copyload12 = 0.0, $b_sroa_2_16_copyload22 = 0.0, $b_sroa_3_24_copyload32 = 0.0, $cmp71 = 0, $bb_sroa_0_1_b_sroa_0_0_copyload2 = 0.0, $cmp86 = 0, $cond94 = 0.0, $cmp101 = 0, $bb_sroa_2_1_b_sroa_2_16_copyload22 = 0.0, $cmp116 = 0, $cond124 = 0.0, $bb_sroa_3_2 = 0.0, $bb_sroa_2_2 = 0.0, $bb_sroa_1_2 = 0.0, $bb_sroa_0_2 = 0.0, $9 = 0, $tobool128 = 0, $10 = 0, $sub = 0, $11 = 0, $arrayidx132 = 0, $b_sroa_0_0_copyload = 0.0, $b_sroa_1_8_copyload = 0.0, $b_sroa_2_16_copyload = 0.0, $b_sroa_3_24_copyload = 0.0, $cmp139 = 0, $bb_sroa_0_2_b_sroa_0_0_copyload = 0.0, $cmp154 = 0, $cond162 = 0.0, $cmp169 = 0, $bb_sroa_2_2_b_sroa_2_16_copyload = 0.0, $cmp184 = 0, $cond192 = 0.0, $bb_sroa_3_3 = 0.0, $bb_sroa_2_3 = 0.0, $bb_sroa_1_3 = 0.0, $bb_sroa_0_3 = 0.0, $inc = 0, $12 = 0, $cmp2 = 0, $bb_sroa_0_0_lcssa = 0.0, $bb_sroa_1_0_lcssa = 0.0, $bb_sroa_2_0_lcssa = 0.0, $bb_sroa_3_0_lcssa = 0.0, $bb_sroa_0_0__idx = 0, $bb_sroa_1_8__idx47 = 0, $bb_sroa_2_16__idx55 = 0, $bb_sroa_3_24__idx63 = 0, label = 0, __stackBase__ = 0;
   17930   __stackBase__ = STACKTOP;
   17931   STACKTOP = STACKTOP + 176 | 0;
   17932   label = 2;
   17933   while (1) switch (label | 0) {
   17934    case 2:
   17935     $bz = __stackBase__ | 0;
   17936     $tmp = __stackBase__ + 48 | 0;
   17937     $tmp6 = __stackBase__ + 80 | 0;
   17938     $tmp66 = __stackBase__ + 112 | 0;
   17939     $tmp134 = __stackBase__ + 144 | 0;
   17940     $size = $spl + 4 | 0;
   17941     $0 = HEAP32[$size >> 2] | 0;
   17942     $cmp = ($0 | 0) > 0;
   17943     if ($cmp) {
   17944       label = 4;
   17945       break;
   17946     } else {
   17947       label = 3;
   17948       break;
   17949     }
   17950    case 3:
   17951     ___assert_func(162152, 3408, 164200, 103680);
   17952    case 4:
   17953     $list = $spl | 0;
   17954     $1 = HEAP32[$list >> 2] | 0;
   17955     $2 = $bz;
   17956     $3 = $1;
   17957     HEAP32[$2 >> 2] = HEAP32[$3 >> 2] | 0;
   17958     HEAP32[$2 + 4 >> 2] = HEAP32[$3 + 4 >> 2] | 0;
   17959     HEAP32[$2 + 8 >> 2] = HEAP32[$3 + 8 >> 2] | 0;
   17960     HEAP32[$2 + 12 >> 2] = HEAP32[$3 + 12 >> 2] | 0;
   17961     HEAP32[$2 + 16 >> 2] = HEAP32[$3 + 16 >> 2] | 0;
   17962     HEAP32[$2 + 20 >> 2] = HEAP32[$3 + 20 >> 2] | 0;
   17963     HEAP32[$2 + 24 >> 2] = HEAP32[$3 + 24 >> 2] | 0;
   17964     HEAP32[$2 + 28 >> 2] = HEAP32[$3 + 28 >> 2] | 0;
   17965     HEAP32[$2 + 32 >> 2] = HEAP32[$3 + 32 >> 2] | 0;
   17966     HEAP32[$2 + 36 >> 2] = HEAP32[$3 + 36 >> 2] | 0;
   17967     HEAP32[$2 + 40 >> 2] = HEAP32[$3 + 40 >> 2] | 0;
   17968     HEAP32[$2 + 44 >> 2] = HEAP32[$3 + 44 >> 2] | 0;
   17969     _bezier_bb($tmp, $bz);
   17970     $bb_sroa_0_0__idx40 = $tmp | 0;
   17971     $bb_sroa_0_0_copyload41 = +HEAPF64[$bb_sroa_0_0__idx40 >> 3];
   17972     $bb_sroa_1_8__idx48 = $tmp + 8 | 0;
   17973     $bb_sroa_1_8_copyload49 = +HEAPF64[$bb_sroa_1_8__idx48 >> 3];
   17974     $bb_sroa_2_16__idx56 = $tmp + 16 | 0;
   17975     $bb_sroa_2_16_copyload57 = +HEAPF64[$bb_sroa_2_16__idx56 >> 3];
   17976     $bb_sroa_3_24__idx64 = $tmp + 24 | 0;
   17977     $bb_sroa_3_24_copyload65 = +HEAPF64[$bb_sroa_3_24__idx64 >> 3];
   17978     $4 = HEAP32[$size >> 2] | 0;
   17979     $cmp278 = ($4 | 0) > 0;
   17980     if ($cmp278) {
   17981       label = 5;
   17982       break;
   17983     } else {
   17984       $bb_sroa_3_0_lcssa = $bb_sroa_3_24_copyload65;
   17985       $bb_sroa_2_0_lcssa = $bb_sroa_2_16_copyload57;
   17986       $bb_sroa_1_0_lcssa = $bb_sroa_1_8_copyload49;
   17987       $bb_sroa_0_0_lcssa = $bb_sroa_0_0_copyload41;
   17988       label = 13;
   17989       break;
   17990     }
   17991    case 5:
   17992     $b_sroa_0_0__idx3 = $tmp6 | 0;
   17993     $b_sroa_1_8__idx13 = $tmp6 + 8 | 0;
   17994     $b_sroa_2_16__idx23 = $tmp6 + 16 | 0;
   17995     $b_sroa_3_24__idx33 = $tmp6 + 24 | 0;
   17996     $sflag = $bz + 8 | 0;
   17997     $eflag = $bz + 12 | 0;
   17998     $ep = $bz + 32 | 0;
   17999     $size130 = $bz + 4 | 0;
   18000     $list131 = $bz | 0;
   18001     $b_sroa_0_0__idx = $tmp134 | 0;
   18002     $b_sroa_1_8__idx10 = $tmp134 + 8 | 0;
   18003     $b_sroa_2_16__idx20 = $tmp134 + 16 | 0;
   18004     $b_sroa_3_24__idx30 = $tmp134 + 24 | 0;
   18005     $sp = $bz + 16 | 0;
   18006     $list63 = $bz | 0;
   18007     $b_sroa_0_0__idx1 = $tmp66 | 0;
   18008     $b_sroa_1_8__idx11 = $tmp66 + 8 | 0;
   18009     $b_sroa_2_16__idx21 = $tmp66 + 16 | 0;
   18010     $b_sroa_3_24__idx31 = $tmp66 + 24 | 0;
   18011     $i_079 = 0;
   18012     $bb_sroa_3_080 = $bb_sroa_3_24_copyload65;
   18013     $bb_sroa_2_081 = $bb_sroa_2_16_copyload57;
   18014     $bb_sroa_1_082 = $bb_sroa_1_8_copyload49;
   18015     $bb_sroa_0_083 = $bb_sroa_0_0_copyload41;
   18016     label = 6;
   18017     break;
   18018    case 6:
   18019     $cmp3 = ($i_079 | 0) > 0;
   18020     if ($cmp3) {
   18021       label = 7;
   18022       break;
   18023     } else {
   18024       $bb_sroa_0_1 = $bb_sroa_0_083;
   18025       $bb_sroa_1_1 = $bb_sroa_1_082;
   18026       $bb_sroa_2_1 = $bb_sroa_2_081;
   18027       $bb_sroa_3_1 = $bb_sroa_3_080;
   18028       label = 8;
   18029       break;
   18030     }
   18031    case 7:
   18032     $5 = HEAP32[$list >> 2] | 0;
   18033     $arrayidx5 = $5 + ($i_079 * 48 & -1) | 0;
   18034     $6 = $arrayidx5;
   18035     HEAP32[$2 >> 2] = HEAP32[$6 >> 2] | 0;
   18036     HEAP32[$2 + 4 >> 2] = HEAP32[$6 + 4 >> 2] | 0;
   18037     HEAP32[$2 + 8 >> 2] = HEAP32[$6 + 8 >> 2] | 0;
   18038     HEAP32[$2 + 12 >> 2] = HEAP32[$6 + 12 >> 2] | 0;
   18039     HEAP32[$2 + 16 >> 2] = HEAP32[$6 + 16 >> 2] | 0;
   18040     HEAP32[$2 + 20 >> 2] = HEAP32[$6 + 20 >> 2] | 0;
   18041     HEAP32[$2 + 24 >> 2] = HEAP32[$6 + 24 >> 2] | 0;
   18042     HEAP32[$2 + 28 >> 2] = HEAP32[$6 + 28 >> 2] | 0;
   18043     HEAP32[$2 + 32 >> 2] = HEAP32[$6 + 32 >> 2] | 0;
   18044     HEAP32[$2 + 36 >> 2] = HEAP32[$6 + 36 >> 2] | 0;
   18045     HEAP32[$2 + 40 >> 2] = HEAP32[$6 + 40 >> 2] | 0;
   18046     HEAP32[$2 + 44 >> 2] = HEAP32[$6 + 44 >> 2] | 0;
   18047     _bezier_bb($tmp6, $bz);
   18048     $b_sroa_0_0_copyload4 = +HEAPF64[$b_sroa_0_0__idx3 >> 3];
   18049     $b_sroa_1_8_copyload14 = +HEAPF64[$b_sroa_1_8__idx13 >> 3];
   18050     $b_sroa_2_16_copyload24 = +HEAPF64[$b_sroa_2_16__idx23 >> 3];
   18051     $b_sroa_3_24_copyload34 = +HEAPF64[$b_sroa_3_24__idx33 >> 3];
   18052     $cmp9 = $bb_sroa_0_083 < $b_sroa_0_0_copyload4;
   18053     $bb_sroa_0_0_b_sroa_0_0_copyload4 = $cmp9 ? $bb_sroa_0_083 : $b_sroa_0_0_copyload4;
   18054     $cmp22 = $bb_sroa_1_082 < $b_sroa_1_8_copyload14;
   18055     $cond30 = $cmp22 ? $bb_sroa_1_082 : $b_sroa_1_8_copyload14;
   18056     $cmp36 = $bb_sroa_2_081 > $b_sroa_2_16_copyload24;
   18057     $bb_sroa_2_0_b_sroa_2_16_copyload24 = $cmp36 ? $bb_sroa_2_081 : $b_sroa_2_16_copyload24;
   18058     $cmp51 = $bb_sroa_3_080 > $b_sroa_3_24_copyload34;
   18059     $cond59 = $cmp51 ? $bb_sroa_3_080 : $b_sroa_3_24_copyload34;
   18060     $bb_sroa_0_1 = $bb_sroa_0_0_b_sroa_0_0_copyload4;
   18061     $bb_sroa_1_1 = $cond30;
   18062     $bb_sroa_2_1 = $bb_sroa_2_0_b_sroa_2_16_copyload24;
   18063     $bb_sroa_3_1 = $cond59;
   18064     label = 8;
   18065     break;
   18066    case 8:
   18067     $7 = HEAP32[$sflag >> 2] | 0;
   18068     $tobool = ($7 | 0) == 0;
   18069     if ($tobool) {
   18070       $bb_sroa_0_2 = $bb_sroa_0_1;
   18071       $bb_sroa_1_2 = $bb_sroa_1_1;
   18072       $bb_sroa_2_2 = $bb_sroa_2_1;
   18073       $bb_sroa_3_2 = $bb_sroa_3_1;
   18074       label = 10;
   18075       break;
   18076     } else {
   18077       label = 9;
   18078       break;
   18079     }
   18080    case 9:
   18081     $8 = HEAP32[$list63 >> 2] | 0;
   18082     _arrow_bb($tmp66, $sp, $8, 1.0, 0);
   18083     $b_sroa_0_0_copyload2 = +HEAPF64[$b_sroa_0_0__idx1 >> 3];
   18084     $b_sroa_1_8_copyload12 = +HEAPF64[$b_sroa_1_8__idx11 >> 3];
   18085     $b_sroa_2_16_copyload22 = +HEAPF64[$b_sroa_2_16__idx21 >> 3];
   18086     $b_sroa_3_24_copyload32 = +HEAPF64[$b_sroa_3_24__idx31 >> 3];
   18087     $cmp71 = $bb_sroa_0_1 < $b_sroa_0_0_copyload2;
   18088     $bb_sroa_0_1_b_sroa_0_0_copyload2 = $cmp71 ? $bb_sroa_0_1 : $b_sroa_0_0_copyload2;
   18089     $cmp86 = $bb_sroa_1_1 < $b_sroa_1_8_copyload12;
   18090     $cond94 = $cmp86 ? $bb_sroa_1_1 : $b_sroa_1_8_copyload12;
   18091     $cmp101 = $bb_sroa_2_1 > $b_sroa_2_16_copyload22;
   18092     $bb_sroa_2_1_b_sroa_2_16_copyload22 = $cmp101 ? $bb_sroa_2_1 : $b_sroa_2_16_copyload22;
   18093     $cmp116 = $bb_sroa_3_1 > $b_sroa_3_24_copyload32;
   18094     $cond124 = $cmp116 ? $bb_sroa_3_1 : $b_sroa_3_24_copyload32;
   18095     $bb_sroa_0_2 = $bb_sroa_0_1_b_sroa_0_0_copyload2;
   18096     $bb_sroa_1_2 = $cond94;
   18097     $bb_sroa_2_2 = $bb_sroa_2_1_b_sroa_2_16_copyload22;
   18098     $bb_sroa_3_2 = $cond124;
   18099     label = 10;
   18100     break;
   18101    case 10:
   18102     $9 = HEAP32[$eflag >> 2] | 0;
   18103     $tobool128 = ($9 | 0) == 0;
   18104     if ($tobool128) {
   18105       $bb_sroa_0_3 = $bb_sroa_0_2;
   18106       $bb_sroa_1_3 = $bb_sroa_1_2;
   18107       $bb_sroa_2_3 = $bb_sroa_2_2;
   18108       $bb_sroa_3_3 = $bb_sroa_3_2;
   18109       label = 12;
   18110       break;
   18111     } else {
   18112       label = 11;
   18113       break;
   18114     }
   18115    case 11:
   18116     $10 = HEAP32[$size130 >> 2] | 0;
   18117     $sub = $10 - 1 | 0;
   18118     $11 = HEAP32[$list131 >> 2] | 0;
   18119     $arrayidx132 = $11 + ($sub << 4) | 0;
   18120     _arrow_bb($tmp134, $ep, $arrayidx132, 1.0, 0);
   18121     $b_sroa_0_0_copyload = +HEAPF64[$b_sroa_0_0__idx >> 3];
   18122     $b_sroa_1_8_copyload = +HEAPF64[$b_sroa_1_8__idx10 >> 3];
   18123     $b_sroa_2_16_copyload = +HEAPF64[$b_sroa_2_16__idx20 >> 3];
   18124     $b_sroa_3_24_copyload = +HEAPF64[$b_sroa_3_24__idx30 >> 3];
   18125     $cmp139 = $bb_sroa_0_2 < $b_sroa_0_0_copyload;
   18126     $bb_sroa_0_2_b_sroa_0_0_copyload = $cmp139 ? $bb_sroa_0_2 : $b_sroa_0_0_copyload;
   18127     $cmp154 = $bb_sroa_1_2 < $b_sroa_1_8_copyload;
   18128     $cond162 = $cmp154 ? $bb_sroa_1_2 : $b_sroa_1_8_copyload;
   18129     $cmp169 = $bb_sroa_2_2 > $b_sroa_2_16_copyload;
   18130     $bb_sroa_2_2_b_sroa_2_16_copyload = $cmp169 ? $bb_sroa_2_2 : $b_sroa_2_16_copyload;
   18131     $cmp184 = $bb_sroa_3_2 > $b_sroa_3_24_copyload;
   18132     $cond192 = $cmp184 ? $bb_sroa_3_2 : $b_sroa_3_24_copyload;
   18133     $bb_sroa_0_3 = $bb_sroa_0_2_b_sroa_0_0_copyload;
   18134     $bb_sroa_1_3 = $cond162;
   18135     $bb_sroa_2_3 = $bb_sroa_2_2_b_sroa_2_16_copyload;
   18136     $bb_sroa_3_3 = $cond192;
   18137     label = 12;
   18138     break;
   18139    case 12:
   18140     $inc = $i_079 + 1 | 0;
   18141     $12 = HEAP32[$size >> 2] | 0;
   18142     $cmp2 = ($inc | 0) < ($12 | 0);
   18143     if ($cmp2) {
   18144       $i_079 = $inc;
   18145       $bb_sroa_3_080 = $bb_sroa_3_3;
   18146       $bb_sroa_2_081 = $bb_sroa_2_3;
   18147       $bb_sroa_1_082 = $bb_sroa_1_3;
   18148       $bb_sroa_0_083 = $bb_sroa_0_3;
   18149       label = 6;
   18150       break;
   18151     } else {
   18152       $bb_sroa_3_0_lcssa = $bb_sroa_3_3;
   18153       $bb_sroa_2_0_lcssa = $bb_sroa_2_3;
   18154       $bb_sroa_1_0_lcssa = $bb_sroa_1_3;
   18155       $bb_sroa_0_0_lcssa = $bb_sroa_0_3;
   18156       label = 13;
   18157       break;
   18158     }
   18159    case 13:
   18160     $bb_sroa_0_0__idx = $spl + 8 | 0;
   18161     HEAPF64[$bb_sroa_0_0__idx >> 3] = $bb_sroa_0_0_lcssa;
   18162     $bb_sroa_1_8__idx47 = $spl + 16 | 0;
   18163     HEAPF64[$bb_sroa_1_8__idx47 >> 3] = $bb_sroa_1_0_lcssa;
   18164     $bb_sroa_2_16__idx55 = $spl + 24 | 0;
   18165     HEAPF64[$bb_sroa_2_16__idx55 >> 3] = $bb_sroa_2_0_lcssa;
   18166     $bb_sroa_3_24__idx63 = $spl + 32 | 0;
   18167     HEAPF64[$bb_sroa_3_24__idx63 >> 3] = $bb_sroa_3_0_lcssa;
   18168     STACKTOP = __stackBase__;
   18169     return;
   18170   }
   18171 }
   18172 function _is_style_delim($c) {
   18173   $c = $c | 0;
   18174   var $retval_0 = 0, label = 0;
   18175   label = 2;
   18176   while (1) switch (label | 0) {
   18177    case 2:
   18178     if (($c | 0) == 40 | ($c | 0) == 41 | ($c | 0) == 44 | ($c | 0) == 0) {
   18179       $retval_0 = 1;
   18180       label = 4;
   18181       break;
   18182     } else {
   18183       label = 3;
   18184       break;
   18185     }
   18186    case 3:
   18187     $retval_0 = 0;
   18188     label = 4;
   18189     break;
   18190    case 4:
   18191     return $retval_0 | 0;
   18192   }
   18193   return 0;
   18194 }
   18195 function _edge_in_box($e, $b) {
   18196   $e = $e | 0;
   18197   $b = $b | 0;
   18198   var $spl1 = 0, $0 = 0, $tobool = 0, $bb = 0, $call = 0, $tobool2 = 0, $label = 0, $1 = 0, $tobool4 = 0, $call6 = 0, $tobool7 = 0, $xlabel = 0, $2 = 0, $tobool11 = 0, $call13 = 0, $tobool15 = 0, $retval_0 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   18199   __stackBase__ = STACKTOP;
   18200   tempParam = $b;
   18201   $b = STACKTOP;
   18202   STACKTOP = STACKTOP + 32 | 0;
   18203   _memcpy($b, tempParam, 32);
   18204   label = 2;
   18205   while (1) switch (label | 0) {
   18206    case 2:
   18207     $spl1 = $e + 24 | 0;
   18208     $0 = HEAP32[$spl1 >> 2] | 0;
   18209     $tobool = ($0 | 0) == 0;
   18210     if ($tobool) {
   18211       label = 4;
   18212       break;
   18213     } else {
   18214       label = 3;
   18215       break;
   18216     }
   18217    case 3:
   18218     $bb = $0 + 8 | 0;
   18219     $call = _boxf_overlap($bb, $b) | 0;
   18220     $tobool2 = ($call | 0) == 0;
   18221     if ($tobool2) {
   18222       label = 4;
   18223       break;
   18224     } else {
   18225       $retval_0 = 1;
   18226       label = 9;
   18227       break;
   18228     }
   18229    case 4:
   18230     $label = $e + 112 | 0;
   18231     $1 = HEAP32[$label >> 2] | 0;
   18232     $tobool4 = ($1 | 0) == 0;
   18233     if ($tobool4) {
   18234       label = 6;
   18235       break;
   18236     } else {
   18237       label = 5;
   18238       break;
   18239     }
   18240    case 5:
   18241     $call6 = _overlap_label($1, $b) | 0;
   18242     $tobool7 = $call6 << 24 >> 24 == 0;
   18243     if ($tobool7) {
   18244       label = 6;
   18245       break;
   18246     } else {
   18247       $retval_0 = 1;
   18248       label = 9;
   18249       break;
   18250     }
   18251    case 6:
   18252     $xlabel = $e + 124 | 0;
   18253     $2 = HEAP32[$xlabel >> 2] | 0;
   18254     $tobool11 = ($2 | 0) == 0;
   18255     if ($tobool11) {
   18256       label = 8;
   18257       break;
   18258     } else {
   18259       label = 7;
   18260       break;
   18261     }
   18262    case 7:
   18263     $call13 = _overlap_label($2, $b) | 0;
   18264     $tobool15 = $call13 << 24 >> 24 == 0;
   18265     if ($tobool15) {
   18266       label = 8;
   18267       break;
   18268     } else {
   18269       $retval_0 = 1;
   18270       label = 9;
   18271       break;
   18272     }
   18273    case 8:
   18274     $retval_0 = 0;
   18275     label = 9;
   18276     break;
   18277    case 9:
   18278     STACKTOP = __stackBase__;
   18279     return $retval_0 | 0;
   18280   }
   18281   return 0;
   18282 }
   18283 function _edge_in_layer($job, $e) {
   18284   $job = $job | 0;
   18285   $e = $e | 0;
   18286   var $numLayers = 0, $0 = 0, $cmp = 0, $1 = 0, $2 = 0, $call = 0, $call1 = 0, $tobool = 0, $3 = 0, $tobool4 = 0, $tail = 0, $head = 0, $cnt_0 = 0, $cmp7 = 0, $cmp8 = 0, $cond_in = 0, $cond = 0, $4 = 0, $5 = 0, $call9 = 0, $6 = 0, $cmp11 = 0, $call13 = 0, $tobool15 = 0, $inc = 0, $retval_0 = 0, label = 0;
   18287   label = 2;
   18288   while (1) switch (label | 0) {
   18289    case 2:
   18290     $numLayers = $job + 156 | 0;
   18291     $0 = HEAP32[$numLayers >> 2] | 0;
   18292     $cmp = ($0 | 0) < 2;
   18293     if ($cmp) {
   18294       $retval_0 = 1;
   18295       label = 9;
   18296       break;
   18297     } else {
   18298       label = 3;
   18299       break;
   18300     }
   18301    case 3:
   18302     $1 = $e | 0;
   18303     $2 = HEAP32[41920] | 0;
   18304     $call = _late_string($1, $2, 136112) | 0;
   18305     $call1 = _selectedlayer($job, $call) | 0;
   18306     $tobool = $call1 << 24 >> 24 == 0;
   18307     if ($tobool) {
   18308       label = 4;
   18309       break;
   18310     } else {
   18311       $retval_0 = 1;
   18312       label = 9;
   18313       break;
   18314     }
   18315    case 4:
   18316     $3 = HEAP8[$call] | 0;
   18317     $tobool4 = $3 << 24 >> 24 == 0;
   18318     if ($tobool4) {
   18319       label = 5;
   18320       break;
   18321     } else {
   18322       $retval_0 = 0;
   18323       label = 9;
   18324       break;
   18325     }
   18326    case 5:
   18327     $tail = $e + 16 | 0;
   18328     $head = $e + 12 | 0;
   18329     $cnt_0 = 0;
   18330     label = 6;
   18331     break;
   18332    case 6:
   18333     $cmp7 = ($cnt_0 | 0) < 2;
   18334     if ($cmp7) {
   18335       label = 7;
   18336       break;
   18337     } else {
   18338       $retval_0 = 0;
   18339       label = 9;
   18340       break;
   18341     }
   18342    case 7:
   18343     $cmp8 = ($cnt_0 | 0) < 1;
   18344     $cond_in = $cmp8 ? $tail : $head;
   18345     $cond = HEAP32[$cond_in >> 2] | 0;
   18346     $4 = $cond | 0;
   18347     $5 = HEAP32[41586] | 0;
   18348     $call9 = _late_string($4, $5, 136112) | 0;
   18349     $6 = HEAP8[$call9] | 0;
   18350     $cmp11 = $6 << 24 >> 24 == 0;
   18351     if ($cmp11) {
   18352       $retval_0 = 1;
   18353       label = 9;
   18354       break;
   18355     } else {
   18356       label = 8;
   18357       break;
   18358     }
   18359    case 8:
   18360     $call13 = _selectedlayer($job, $call9) | 0;
   18361     $tobool15 = $call13 << 24 >> 24 == 0;
   18362     $inc = $cnt_0 + 1 | 0;
   18363     if ($tobool15) {
   18364       $cnt_0 = $inc;
   18365       label = 6;
   18366       break;
   18367     } else {
   18368       $retval_0 = 1;
   18369       label = 9;
   18370       break;
   18371     }
   18372    case 9:
   18373     return $retval_0 | 0;
   18374   }
   18375   return 0;
   18376 }
   18377 function _bezier_bb($agg_result, $bz) {
   18378   $agg_result = $agg_result | 0;
   18379   $bz = $bz | 0;
   18380   var $size = 0, $0 = 0, $cmp = 0, $rem = 0, $cmp2 = 0, $list = 0, $1 = 0, $bb_sroa_1_sroa_0_0_bb_sroa_1_16__cast35_idx = 0, $bb_sroa_1_sroa_0_0_copyload = 0.0, $bb_sroa_1_sroa_1_8_bb_sroa_1_16__cast35_idx42 = 0, $bb_sroa_1_sroa_1_8_copyload = 0.0, $2 = 0, $cmp780 = 0, $3 = 0, $4 = 0, $bb_sroa_1_sroa_0_085 = 0.0, $bb_sroa_1_sroa_1_084 = 0.0, $bb_sroa_0_sroa_0_083 = 0.0, $bb_sroa_0_sroa_1_082 = 0.0, $i_081 = 0, $p1_sroa_0_0__idx = 0, $p1_sroa_0_0_copyload = 0.0, $p1_sroa_1_8__idx58 = 0, $p1_sroa_1_8_copyload = 0.0, $inc = 0, $p2_sroa_0_0__idx = 0, $p2_sroa_0_0_copyload = 0.0, $p2_sroa_1_8__idx57 = 0, $p2_sroa_1_8_copyload = 0.0, $inc12 = 0, $add = 0.0, $div = 0.0, $add16 = 0.0, $div17 = 0.0, $cmp22 = 0, $bb_sroa_0_sroa_0_0_div = 0.0, $cmp34 = 0, $cond41 = 0.0, $cmp47 = 0, $bb_sroa_1_sroa_0_0_div = 0.0, $cmp60 = 0, $cond67 = 0.0, $p_sroa_0_0__idx = 0, $p_sroa_0_0_copyload = 0.0, $p_sroa_1_8__idx69 = 0, $p_sroa_1_8_copyload = 0.0, $cmp75 = 0, $bb_sroa_0_sroa_0_0_div_p_sroa_0_0_copyload = 0.0, $cmp88 = 0, $cond95 = 0.0, $cmp101 = 0, $bb_sroa_1_sroa_0_0_div_p_sroa_0_0_copyload = 0.0, $cmp114 = 0, $cond121 = 0.0, $inc124 = 0, $cmp7 = 0, $bb_sroa_1_sroa_0_0_lcssa = 0.0, $bb_sroa_1_sroa_1_0_lcssa = 0.0, $bb_sroa_0_sroa_0_0_lcssa = 0.0, $bb_sroa_0_sroa_1_0_lcssa = 0.0, $bb_sroa_0_sroa_0_0_bb_sroa_0_0__cast_idx = 0, $bb_sroa_0_sroa_1_8_bb_sroa_0_0__cast_idx56 = 0, $bb_sroa_1_sroa_0_0_bb_sroa_1_16__cast_idx = 0, $bb_sroa_1_sroa_1_8_bb_sroa_1_16__cast_idx48 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   18381   __stackBase__ = STACKTOP;
   18382   tempParam = $bz;
   18383   $bz = STACKTOP;
   18384   STACKTOP = STACKTOP + 48 | 0;
   18385   _memcpy($bz, tempParam, 48);
   18386   label = 2;
   18387   while (1) switch (label | 0) {
   18388    case 2:
   18389     $size = $bz + 4 | 0;
   18390     $0 = HEAP32[$size >> 2] | 0;
   18391     $cmp = ($0 | 0) > 0;
   18392     if ($cmp) {
   18393       label = 4;
   18394       break;
   18395     } else {
   18396       label = 3;
   18397       break;
   18398     }
   18399    case 3:
   18400     ___assert_func(162152, 3382, 164608, 103312);
   18401    case 4:
   18402     $rem = ($0 | 0) % 3;
   18403     $cmp2 = ($rem | 0) == 1;
   18404     if ($cmp2) {
   18405       label = 6;
   18406       break;
   18407     } else {
   18408       label = 5;
   18409       break;
   18410     }
   18411    case 5:
   18412     ___assert_func(162152, 3383, 164608, 102936);
   18413    case 6:
   18414     $list = $bz | 0;
   18415     $1 = HEAP32[$list >> 2] | 0;
   18416     $bb_sroa_1_sroa_0_0_bb_sroa_1_16__cast35_idx = $1 | 0;
   18417     $bb_sroa_1_sroa_0_0_copyload = +HEAPF64[$bb_sroa_1_sroa_0_0_bb_sroa_1_16__cast35_idx >> 3];
   18418     $bb_sroa_1_sroa_1_8_bb_sroa_1_16__cast35_idx42 = $1 + 8 | 0;
   18419     $bb_sroa_1_sroa_1_8_copyload = +HEAPF64[$bb_sroa_1_sroa_1_8_bb_sroa_1_16__cast35_idx42 >> 3];
   18420     $2 = HEAP32[$size >> 2] | 0;
   18421     $cmp780 = ($2 | 0) > 1;
   18422     if ($cmp780) {
   18423       label = 7;
   18424       break;
   18425     } else {
   18426       $bb_sroa_0_sroa_1_0_lcssa = $bb_sroa_1_sroa_1_8_copyload;
   18427       $bb_sroa_0_sroa_0_0_lcssa = $bb_sroa_1_sroa_0_0_copyload;
   18428       $bb_sroa_1_sroa_1_0_lcssa = $bb_sroa_1_sroa_1_8_copyload;
   18429       $bb_sroa_1_sroa_0_0_lcssa = $bb_sroa_1_sroa_0_0_copyload;
   18430       label = 9;
   18431       break;
   18432     }
   18433    case 7:
   18434     $3 = HEAP32[$list >> 2] | 0;
   18435     $4 = HEAP32[$size >> 2] | 0;
   18436     $i_081 = 1;
   18437     $bb_sroa_0_sroa_1_082 = $bb_sroa_1_sroa_1_8_copyload;
   18438     $bb_sroa_0_sroa_0_083 = $bb_sroa_1_sroa_0_0_copyload;
   18439     $bb_sroa_1_sroa_1_084 = $bb_sroa_1_sroa_1_8_copyload;
   18440     $bb_sroa_1_sroa_0_085 = $bb_sroa_1_sroa_0_0_copyload;
   18441     label = 8;
   18442     break;
   18443    case 8:
   18444     $p1_sroa_0_0__idx = $3 + ($i_081 << 4) | 0;
   18445     $p1_sroa_0_0_copyload = +HEAPF64[$p1_sroa_0_0__idx >> 3];
   18446     $p1_sroa_1_8__idx58 = $3 + ($i_081 << 4) + 8 | 0;
   18447     $p1_sroa_1_8_copyload = +HEAPF64[$p1_sroa_1_8__idx58 >> 3];
   18448     $inc = $i_081 + 1 | 0;
   18449     $p2_sroa_0_0__idx = $3 + ($inc << 4) | 0;
   18450     $p2_sroa_0_0_copyload = +HEAPF64[$p2_sroa_0_0__idx >> 3];
   18451     $p2_sroa_1_8__idx57 = $3 + ($inc << 4) + 8 | 0;
   18452     $p2_sroa_1_8_copyload = +HEAPF64[$p2_sroa_1_8__idx57 >> 3];
   18453     $inc12 = $i_081 + 2 | 0;
   18454     $add = $p1_sroa_0_0_copyload + $p2_sroa_0_0_copyload;
   18455     $div = $add * .5;
   18456     $add16 = $p1_sroa_1_8_copyload + $p2_sroa_1_8_copyload;
   18457     $div17 = $add16 * .5;
   18458     $cmp22 = $bb_sroa_0_sroa_0_083 < $div;
   18459     $bb_sroa_0_sroa_0_0_div = $cmp22 ? $bb_sroa_0_sroa_0_083 : $div;
   18460     $cmp34 = $bb_sroa_0_sroa_1_082 < $div17;
   18461     $cond41 = $cmp34 ? $bb_sroa_0_sroa_1_082 : $div17;
   18462     $cmp47 = $bb_sroa_1_sroa_0_085 > $div;
   18463     $bb_sroa_1_sroa_0_0_div = $cmp47 ? $bb_sroa_1_sroa_0_085 : $div;
   18464     $cmp60 = $bb_sroa_1_sroa_1_084 > $div17;
   18465     $cond67 = $cmp60 ? $bb_sroa_1_sroa_1_084 : $div17;
   18466     $p_sroa_0_0__idx = $3 + ($inc12 << 4) | 0;
   18467     $p_sroa_0_0_copyload = +HEAPF64[$p_sroa_0_0__idx >> 3];
   18468     $p_sroa_1_8__idx69 = $3 + ($inc12 << 4) + 8 | 0;
   18469     $p_sroa_1_8_copyload = +HEAPF64[$p_sroa_1_8__idx69 >> 3];
   18470     $cmp75 = $bb_sroa_0_sroa_0_0_div < $p_sroa_0_0_copyload;
   18471     $bb_sroa_0_sroa_0_0_div_p_sroa_0_0_copyload = $cmp75 ? $bb_sroa_0_sroa_0_0_div : $p_sroa_0_0_copyload;
   18472     $cmp88 = $cond41 < $p_sroa_1_8_copyload;
   18473     $cond95 = $cmp88 ? $cond41 : $p_sroa_1_8_copyload;
   18474     $cmp101 = $bb_sroa_1_sroa_0_0_div > $p_sroa_0_0_copyload;
   18475     $bb_sroa_1_sroa_0_0_div_p_sroa_0_0_copyload = $cmp101 ? $bb_sroa_1_sroa_0_0_div : $p_sroa_0_0_copyload;
   18476     $cmp114 = $cond67 > $p_sroa_1_8_copyload;
   18477     $cond121 = $cmp114 ? $cond67 : $p_sroa_1_8_copyload;
   18478     $inc124 = $i_081 + 3 | 0;
   18479     $cmp7 = ($inc124 | 0) < ($4 | 0);
   18480     if ($cmp7) {
   18481       $i_081 = $inc124;
   18482       $bb_sroa_0_sroa_1_082 = $cond95;
   18483       $bb_sroa_0_sroa_0_083 = $bb_sroa_0_sroa_0_0_div_p_sroa_0_0_copyload;
   18484       $bb_sroa_1_sroa_1_084 = $cond121;
   18485       $bb_sroa_1_sroa_0_085 = $bb_sroa_1_sroa_0_0_div_p_sroa_0_0_copyload;
   18486       label = 8;
   18487       break;
   18488     } else {
   18489       $bb_sroa_0_sroa_1_0_lcssa = $cond95;
   18490       $bb_sroa_0_sroa_0_0_lcssa = $bb_sroa_0_sroa_0_0_div_p_sroa_0_0_copyload;
   18491       $bb_sroa_1_sroa_1_0_lcssa = $cond121;
   18492       $bb_sroa_1_sroa_0_0_lcssa = $bb_sroa_1_sroa_0_0_div_p_sroa_0_0_copyload;
   18493       label = 9;
   18494       break;
   18495     }
   18496    case 9:
   18497     $bb_sroa_0_sroa_0_0_bb_sroa_0_0__cast_idx = $agg_result | 0;
   18498     HEAPF64[$bb_sroa_0_sroa_0_0_bb_sroa_0_0__cast_idx >> 3] = $bb_sroa_0_sroa_0_0_lcssa;
   18499     $bb_sroa_0_sroa_1_8_bb_sroa_0_0__cast_idx56 = $agg_result + 8 | 0;
   18500     HEAPF64[$bb_sroa_0_sroa_1_8_bb_sroa_0_0__cast_idx56 >> 3] = $bb_sroa_0_sroa_1_0_lcssa;
   18501     $bb_sroa_1_sroa_0_0_bb_sroa_1_16__cast_idx = $agg_result + 16 | 0;
   18502     HEAPF64[$bb_sroa_1_sroa_0_0_bb_sroa_1_16__cast_idx >> 3] = $bb_sroa_1_sroa_0_0_lcssa;
   18503     $bb_sroa_1_sroa_1_8_bb_sroa_1_16__cast_idx48 = $agg_result + 24 | 0;
   18504     HEAPF64[$bb_sroa_1_sroa_1_8_bb_sroa_1_16__cast_idx48 >> 3] = $bb_sroa_1_sroa_1_0_lcssa;
   18505     STACKTOP = __stackBase__;
   18506     return;
   18507   }
   18508 }
   18509 function _emit_begin_edge($job, $e, $styles) {
   18510   $job = $job | 0;
   18511   $e = $e | 0;
   18512   $styles = $styles | 0;
   18513   var $pbs = 0, $pbs_n = 0, $pbs_poly_n = 0, $xb = 0, $xbuf = 0, $flags1 = 0, $0 = 0, $call = 0, $type = 0, $1 = 0, $e_c = 0, $emit_state = 0, $tobool = 0, $spl = 0, $2 = 0, $tobool4 = 0, $3 = 0, $tobool5 = 0, $4 = 0, $index = 0, $5 = 0, $call7 = 0, $tobool8 = 0, $6 = 0, $tobool10 = 0, $call12 = 0.0, $and = 0, $tobool14 = 0, $tail = 0, $7 = 0, $graph = 0, $8 = 0, $odim = 0, $9 = 0, $cmp = 0, $pos = 0, $10 = 0, $arrayidx22 = 0, $11 = 0.0, $mul = 0.0, $cmp23 = 0, $add = 0.0, $sub = 0.0, $add_sink = 0.0, $conv30 = 0, $conv37 = 0.0, $tail_z = 0, $head = 0, $12 = 0, $pos39 = 0, $13 = 0, $arrayidx40 = 0, $14 = 0.0, $mul41 = 0.0, $cmp42 = 0, $add50 = 0.0, $sub58 = 0.0, $add50_sink = 0.0, $conv51 = 0, $conv62 = 0.0, $head_z = 0, $tail_z64 = 0, $15 = 0, $and67 = 0, $tobool68 = 0, $label = 0, $16 = 0, $tobool71 = 0, $text = 0, $17 = 0, $label73 = 0, $label75 = 0, $18 = 0, $xlabel = 0, $headlabel = 0, $taillabel = 0, $xlabel77 = 0, $19 = 0, $tobool78 = 0, $text80 = 0, $20 = 0, $tail_label = 0, $21 = 0, $tobool84 = 0, $text86 = 0, $22 = 0, $head_label = 0, $23 = 0, $tobool90 = 0, $text92 = 0, $24 = 0, $and96 = 0, $tobool97 = 0, $arraydecay = 0, $25 = 0, $call99 = 0, $call100 = 0, $id = 0, $call101 = 0, $tobool102 = 0, $26 = 0, $tobool106 = 0, $call107 = 0, $tobool108 = 0, $27 = 0, $tobool112 = 0, $s_0 = 0, $call114 = 0, $dflt_url_0 = 0, $call116 = 0, $tobool117 = 0, $28 = 0, $tobool121 = 0, $call123 = 0, $tobool124 = 0, $29 = 0, $tobool128 = 0, $s_1 = 0, $call130 = 0, $url = 0, $tobool132 = 0, $call134 = 0, $url135 = 0, $call138 = 0, $tobool139 = 0, $30 = 0, $tobool143 = 0, $call145 = 0, $tobool146 = 0, $31 = 0, $tobool150 = 0, $s_2 = 0, $call152 = 0, $labelurl = 0, $tobool154 = 0, $call156 = 0, $labelurl157 = 0, $call160 = 0, $tobool161 = 0, $32 = 0, $tobool165 = 0, $call167 = 0, $tobool168 = 0, $33 = 0, $tobool172 = 0, $s_3 = 0, $call174 = 0, $tailurl = 0, $34 = 0, $35 = 0, $36 = 0, $37 = 0, $tobool176 = 0, $call178 = 0, $tailurl179 = 0, $call182 = 0, $tobool183 = 0, $38 = 0, $tobool187 = 0, $call189 = 0, $tobool190 = 0, $39 = 0, $tobool194 = 0, $s_4 = 0, $call196 = 0, $headurl = 0, $40 = 0, $41 = 0, $42 = 0, $43 = 0, $tobool199 = 0, $call201 = 0, $headurl202 = 0, $dflt_url_1 = 0, $and206 = 0, $tobool207 = 0, $44 = 0, $call209 = 0, $tobool210 = 0, $45 = 0, $tobool214 = 0, $call216 = 0, $dflt_target_0 = 0, $call218 = 0, $tobool219 = 0, $46 = 0, $tobool223 = 0, $47 = 0, $48 = 0, $49 = 0, $50 = 0, $call226 = 0, $target = 0, $tobool228 = 0, $call230 = 0, $target231 = 0, $call234 = 0, $tobool235 = 0, $51 = 0, $tobool239 = 0, $call241 = 0, $labeltarget = 0, $tobool243 = 0, $call245 = 0, $labeltarget246 = 0, $call249 = 0, $tobool250 = 0, $52 = 0, $tobool254 = 0, $call256 = 0, $tailtarget = 0, $53 = 0, $54 = 0, $55 = 0, $56 = 0, $tobool259 = 0, $call261 = 0, $tailtarget262 = 0, $call265 = 0, $tobool266 = 0, $57 = 0, $tobool270 = 0, $58 = 0, $59 = 0, $60 = 0, $61 = 0, $call273 = 0, $headtarget = 0, $tobool275 = 0, $call277 = 0, $headtarget278 = 0, $dflt_target_1 = 0, $and282 = 0, $tobool283 = 0, $62 = 0, $call285 = 0, $tobool286 = 0, $63 = 0, $tobool290 = 0, $call292 = 0, $tobool293 = 0, $64 = 0, $tobool297 = 0, $s_5 = 0, $call299 = 0, $tooltip = 0, $65 = 0, $66 = 0, $67 = 0, $68 = 0, $label302 = 0, $69 = 0, $tobool303 = 0, $call306 = 0, $tooltip307 = 0, $call310 = 0, $tobool311 = 0, $70 = 0, $tobool315 = 0, $call317 = 0, $labeltooltip = 0, $71 = 0, $72 = 0, $73 = 0, $74 = 0, $label320 = 0, $75 = 0, $tobool321 = 0, $call324 = 0, $labeltooltip325 = 0, $call328 = 0, $tobool329 = 0, $76 = 0, $tobool333 = 0, $call335 = 0, $tailtooltip = 0, $77 = 0, $78 = 0, $79 = 0, $80 = 0, $taillabel338 = 0, $81 = 0, $tobool339 = 0, $call342 = 0, $tailtooltip343 = 0, $call346 = 0, $tobool347 = 0, $82 = 0, $tobool351 = 0, $call353 = 0, $headtooltip = 0, $83 = 0, $84 = 0, $85 = 0, $86 = 0, $headlabel356 = 0, $87 = 0, $tobool357 = 0, $call360 = 0, $headtooltip361 = 0, $and365 = 0, $tobool366 = 0, $spl369 = 0, $88 = 0, $tobool370 = 0, $url372 = 0, $89 = 0, $tobool373 = 0, $tooltip375 = 0, $90 = 0, $tobool376 = 0, $and378 = 0, $tobool379 = 0, $obj382 = 0, $91 = 0, $penwidth383 = 0, $92 = 0.0, $div = 0.0, $cmp384 = 0, $cond392 = 0.0, $93 = 0, $size = 0, $94 = 0, $cmp395201 = 0, $list = 0, $i_0202 = 0, $95 = 0, $add_ptr = 0, $inc = 0, $cmp395 = 0, $96 = 0, $url_bsplinemap_poly_n = 0, $97 = 0, $url_bsplinemap_n = 0, $and397 = 0, $tobool398 = 0, $98 = 0, $cmp401198 = 0, $99 = 0, $100 = 0, $nump_0200 = 0, $i_1199 = 0, $arrayidx404 = 0, $101 = 0, $add405 = 0, $inc407 = 0, $cmp401 = 0, $nump_0_lcssa = 0, $102 = 0, $call409 = 0, $103 = 0, $url_bsplinemap_p = 0, $url_map_shape = 0, $104 = 0, $url_map_p = 0, $105 = 0, $106 = 0, $url_map_n = 0, $url414 = 0, $107 = 0, $tobool415 = 0, $108 = 0, $109 = 0, $110 = 0, $_mask = 0, $tobool418 = 0, $111 = 0, $tooltip421 = 0, $112 = 0, $target422 = 0, $113 = 0, $id423 = 0, $114 = 0, label = 0, __stackBase__ = 0;
   18514   __stackBase__ = STACKTOP;
   18515   STACKTOP = STACKTOP + 168 | 0;
   18516   label = 2;
   18517   while (1) switch (label | 0) {
   18518    case 2:
   18519     $pbs = __stackBase__ | 0;
   18520     $pbs_n = __stackBase__ + 8 | 0;
   18521     $pbs_poly_n = __stackBase__ + 16 | 0;
   18522     $xb = __stackBase__ + 24 | 0;
   18523     $xbuf = __stackBase__ + 40 | 0;
   18524     $flags1 = $job + 152 | 0;
   18525     $0 = HEAP32[$flags1 >> 2] | 0;
   18526     HEAP32[$pbs >> 2] = 0;
   18527     HEAP32[$pbs_n >> 2] = 0;
   18528     HEAP32[$pbs_poly_n >> 2] = 0;
   18529     $call = _push_obj_state($job) | 0;
   18530     $type = $call + 4 | 0;
   18531     HEAP32[$type >> 2] = 3;
   18532     $1 = $call + 8 | 0;
   18533     $e_c = $e;
   18534     HEAP32[$1 >> 2] = $e_c;
   18535     $emit_state = $call + 12 | 0;
   18536     HEAP32[$emit_state >> 2] = 9;
   18537     $tobool = ($styles | 0) == 0;
   18538     if ($tobool) {
   18539       label = 5;
   18540       break;
   18541     } else {
   18542       label = 3;
   18543       break;
   18544     }
   18545    case 3:
   18546     $spl = $e + 24 | 0;
   18547     $2 = HEAP32[$spl >> 2] | 0;
   18548     $tobool4 = ($2 | 0) == 0;
   18549     if ($tobool4) {
   18550       label = 5;
   18551       break;
   18552     } else {
   18553       label = 4;
   18554       break;
   18555     }
   18556    case 4:
   18557     _gvrender_set_style($job, $styles);
   18558     label = 5;
   18559     break;
   18560    case 5:
   18561     $3 = HEAP32[41916] | 0;
   18562     $tobool5 = ($3 | 0) == 0;
   18563     if ($tobool5) {
   18564       label = 9;
   18565       break;
   18566     } else {
   18567       label = 6;
   18568       break;
   18569     }
   18570    case 6:
   18571     $4 = $e | 0;
   18572     $index = $3 + 8 | 0;
   18573     $5 = HEAP32[$index >> 2] | 0;
   18574     $call7 = _agxget($4, $5) | 0;
   18575     $tobool8 = ($call7 | 0) == 0;
   18576     if ($tobool8) {
   18577       label = 9;
   18578       break;
   18579     } else {
   18580       label = 7;
   18581       break;
   18582     }
   18583    case 7:
   18584     $6 = HEAP8[$call7] | 0;
   18585     $tobool10 = $6 << 24 >> 24 == 0;
   18586     if ($tobool10) {
   18587       label = 9;
   18588       break;
   18589     } else {
   18590       label = 8;
   18591       break;
   18592     }
   18593    case 8:
   18594     $call12 = +_late_double($4, $3, 1.0, 0.0);
   18595     _gvrender_set_penwidth($job, $call12);
   18596     label = 9;
   18597     break;
   18598    case 9:
   18599     $and = $0 & 16777216;
   18600     $tobool14 = ($and | 0) == 0;
   18601     if ($tobool14) {
   18602       label = 19;
   18603       break;
   18604     } else {
   18605       label = 10;
   18606       break;
   18607     }
   18608    case 10:
   18609     $tail = $e + 16 | 0;
   18610     $7 = HEAP32[$tail >> 2] | 0;
   18611     $graph = $7 + 20 | 0;
   18612     $8 = HEAP32[$graph >> 2] | 0;
   18613     $odim = $8 + 210 | 0;
   18614     $9 = HEAP16[$odim >> 1] | 0;
   18615     $cmp = ($9 & 65535) > 2;
   18616     if ($cmp) {
   18617       label = 11;
   18618       break;
   18619     } else {
   18620       label = 18;
   18621       break;
   18622     }
   18623    case 11:
   18624     $pos = $7 + 148 | 0;
   18625     $10 = HEAP32[$pos >> 2] | 0;
   18626     $arrayidx22 = $10 + 16 | 0;
   18627     $11 = +HEAPF64[$arrayidx22 >> 3];
   18628     $mul = $11 * 72.0;
   18629     $cmp23 = $mul < 0.0;
   18630     if ($cmp23) {
   18631       label = 13;
   18632       break;
   18633     } else {
   18634       label = 12;
   18635       break;
   18636     }
   18637    case 12:
   18638     $add = $mul + .5;
   18639     $add_sink = $add;
   18640     label = 14;
   18641     break;
   18642    case 13:
   18643     $sub = $mul + -.5;
   18644     $add_sink = $sub;
   18645     label = 14;
   18646     break;
   18647    case 14:
   18648     $conv30 = ~~$add_sink;
   18649     $conv37 = +($conv30 | 0);
   18650     $tail_z = $call + 128 | 0;
   18651     HEAPF64[$tail_z >> 3] = $conv37;
   18652     $head = $e + 12 | 0;
   18653     $12 = HEAP32[$head >> 2] | 0;
   18654     $pos39 = $12 + 148 | 0;
   18655     $13 = HEAP32[$pos39 >> 2] | 0;
   18656     $arrayidx40 = $13 + 16 | 0;
   18657     $14 = +HEAPF64[$arrayidx40 >> 3];
   18658     $mul41 = $14 * 72.0;
   18659     $cmp42 = $mul41 < 0.0;
   18660     if ($cmp42) {
   18661       label = 16;
   18662       break;
   18663     } else {
   18664       label = 15;
   18665       break;
   18666     }
   18667    case 15:
   18668     $add50 = $mul41 + .5;
   18669     $add50_sink = $add50;
   18670     label = 17;
   18671     break;
   18672    case 16:
   18673     $sub58 = $mul41 + -.5;
   18674     $add50_sink = $sub58;
   18675     label = 17;
   18676     break;
   18677    case 17:
   18678     $conv51 = ~~$add50_sink;
   18679     $conv62 = +($conv51 | 0);
   18680     $head_z = $call + 136 | 0;
   18681     HEAPF64[$head_z >> 3] = $conv62;
   18682     label = 19;
   18683     break;
   18684    case 18:
   18685     $tail_z64 = $call + 128 | 0;
   18686     $15 = $tail_z64;
   18687     _memset($15 | 0, 0, 16);
   18688     label = 19;
   18689     break;
   18690    case 19:
   18691     $and67 = $0 & 32768;
   18692     $tobool68 = ($and67 | 0) == 0;
   18693     if ($tobool68) {
   18694       label = 28;
   18695       break;
   18696     } else {
   18697       label = 20;
   18698       break;
   18699     }
   18700    case 20:
   18701     $label = $e + 112 | 0;
   18702     $16 = HEAP32[$label >> 2] | 0;
   18703     $tobool71 = ($16 | 0) == 0;
   18704     if ($tobool71) {
   18705       label = 22;
   18706       break;
   18707     } else {
   18708       label = 21;
   18709       break;
   18710     }
   18711    case 21:
   18712     $text = $16 | 0;
   18713     $17 = HEAP32[$text >> 2] | 0;
   18714     $label73 = $call + 144 | 0;
   18715     HEAP32[$label73 >> 2] = $17;
   18716     label = 22;
   18717     break;
   18718    case 22:
   18719     $label75 = $call + 144 | 0;
   18720     $18 = HEAP32[$label75 >> 2] | 0;
   18721     $xlabel = $call + 148 | 0;
   18722     HEAP32[$xlabel >> 2] = $18;
   18723     $headlabel = $call + 156 | 0;
   18724     HEAP32[$headlabel >> 2] = $18;
   18725     $taillabel = $call + 152 | 0;
   18726     HEAP32[$taillabel >> 2] = $18;
   18727     $xlabel77 = $e + 124 | 0;
   18728     $19 = HEAP32[$xlabel77 >> 2] | 0;
   18729     $tobool78 = ($19 | 0) == 0;
   18730     if ($tobool78) {
   18731       label = 24;
   18732       break;
   18733     } else {
   18734       label = 23;
   18735       break;
   18736     }
   18737    case 23:
   18738     $text80 = $19 | 0;
   18739     $20 = HEAP32[$text80 >> 2] | 0;
   18740     HEAP32[$xlabel >> 2] = $20;
   18741     label = 24;
   18742     break;
   18743    case 24:
   18744     $tail_label = $e + 120 | 0;
   18745     $21 = HEAP32[$tail_label >> 2] | 0;
   18746     $tobool84 = ($21 | 0) == 0;
   18747     if ($tobool84) {
   18748       label = 26;
   18749       break;
   18750     } else {
   18751       label = 25;
   18752       break;
   18753     }
   18754    case 25:
   18755     $text86 = $21 | 0;
   18756     $22 = HEAP32[$text86 >> 2] | 0;
   18757     HEAP32[$taillabel >> 2] = $22;
   18758     label = 26;
   18759     break;
   18760    case 26:
   18761     $head_label = $e + 116 | 0;
   18762     $23 = HEAP32[$head_label >> 2] | 0;
   18763     $tobool90 = ($23 | 0) == 0;
   18764     if ($tobool90) {
   18765       label = 28;
   18766       break;
   18767     } else {
   18768       label = 27;
   18769       break;
   18770     }
   18771    case 27:
   18772     $text92 = $23 | 0;
   18773     $24 = HEAP32[$text92 >> 2] | 0;
   18774     HEAP32[$headlabel >> 2] = $24;
   18775     label = 28;
   18776     break;
   18777    case 28:
   18778     $and96 = $0 & 65536;
   18779     $tobool97 = ($and96 | 0) == 0;
   18780     if ($tobool97) {
   18781       $dflt_url_1 = 0;
   18782       label = 62;
   18783       break;
   18784     } else {
   18785       label = 29;
   18786       break;
   18787     }
   18788    case 29:
   18789     $arraydecay = $xbuf | 0;
   18790     _agxbinit($xb, 128, $arraydecay);
   18791     $25 = $e | 0;
   18792     $call99 = _getObjId($job, $25, $xb) | 0;
   18793     $call100 = _strdup_and_subst_obj($call99, $25) | 0;
   18794     $id = $call + 164 | 0;
   18795     HEAP32[$id >> 2] = $call100;
   18796     _agxbfree($xb);
   18797     $call101 = _agget($25, 95856) | 0;
   18798     $tobool102 = ($call101 | 0) == 0;
   18799     if ($tobool102) {
   18800       label = 31;
   18801       break;
   18802     } else {
   18803       label = 30;
   18804       break;
   18805     }
   18806    case 30:
   18807     $26 = HEAP8[$call101] | 0;
   18808     $tobool106 = $26 << 24 >> 24 == 0;
   18809     if ($tobool106) {
   18810       label = 31;
   18811       break;
   18812     } else {
   18813       $s_0 = $call101;
   18814       label = 33;
   18815       break;
   18816     }
   18817    case 31:
   18818     $call107 = _agget($25, 95472) | 0;
   18819     $tobool108 = ($call107 | 0) == 0;
   18820     if ($tobool108) {
   18821       $dflt_url_0 = 0;
   18822       label = 34;
   18823       break;
   18824     } else {
   18825       label = 32;
   18826       break;
   18827     }
   18828    case 32:
   18829     $27 = HEAP8[$call107] | 0;
   18830     $tobool112 = $27 << 24 >> 24 == 0;
   18831     if ($tobool112) {
   18832       $dflt_url_0 = 0;
   18833       label = 34;
   18834       break;
   18835     } else {
   18836       $s_0 = $call107;
   18837       label = 33;
   18838       break;
   18839     }
   18840    case 33:
   18841     $call114 = _strdup_and_subst_obj($s_0, $25) | 0;
   18842     $dflt_url_0 = $call114;
   18843     label = 34;
   18844     break;
   18845    case 34:
   18846     $call116 = _agget($25, 95120) | 0;
   18847     $tobool117 = ($call116 | 0) == 0;
   18848     if ($tobool117) {
   18849       label = 36;
   18850       break;
   18851     } else {
   18852       label = 35;
   18853       break;
   18854     }
   18855    case 35:
   18856     $28 = HEAP8[$call116] | 0;
   18857     $tobool121 = $28 << 24 >> 24 == 0;
   18858     if ($tobool121) {
   18859       label = 36;
   18860       break;
   18861     } else {
   18862       $s_1 = $call116;
   18863       label = 38;
   18864       break;
   18865     }
   18866    case 36:
   18867     $call123 = _agget($25, 94832) | 0;
   18868     $tobool124 = ($call123 | 0) == 0;
   18869     if ($tobool124) {
   18870       label = 39;
   18871       break;
   18872     } else {
   18873       label = 37;
   18874       break;
   18875     }
   18876    case 37:
   18877     $29 = HEAP8[$call123] | 0;
   18878     $tobool128 = $29 << 24 >> 24 == 0;
   18879     if ($tobool128) {
   18880       label = 39;
   18881       break;
   18882     } else {
   18883       $s_1 = $call123;
   18884       label = 38;
   18885       break;
   18886     }
   18887    case 38:
   18888     $call130 = _strdup_and_subst_obj($s_1, $25) | 0;
   18889     $url = $call + 160 | 0;
   18890     HEAP32[$url >> 2] = $call130;
   18891     label = 41;
   18892     break;
   18893    case 39:
   18894     $tobool132 = ($dflt_url_0 | 0) == 0;
   18895     if ($tobool132) {
   18896       label = 41;
   18897       break;
   18898     } else {
   18899       label = 40;
   18900       break;
   18901     }
   18902    case 40:
   18903     $call134 = _strdup($dflt_url_0 | 0) | 0;
   18904     $url135 = $call + 160 | 0;
   18905     HEAP32[$url135 >> 2] = $call134;
   18906     label = 41;
   18907     break;
   18908    case 41:
   18909     $call138 = _agget($25, 94544) | 0;
   18910     $tobool139 = ($call138 | 0) == 0;
   18911     if ($tobool139) {
   18912       label = 43;
   18913       break;
   18914     } else {
   18915       label = 42;
   18916       break;
   18917     }
   18918    case 42:
   18919     $30 = HEAP8[$call138] | 0;
   18920     $tobool143 = $30 << 24 >> 24 == 0;
   18921     if ($tobool143) {
   18922       label = 43;
   18923       break;
   18924     } else {
   18925       $s_2 = $call138;
   18926       label = 45;
   18927       break;
   18928     }
   18929    case 43:
   18930     $call145 = _agget($25, 94208) | 0;
   18931     $tobool146 = ($call145 | 0) == 0;
   18932     if ($tobool146) {
   18933       label = 46;
   18934       break;
   18935     } else {
   18936       label = 44;
   18937       break;
   18938     }
   18939    case 44:
   18940     $31 = HEAP8[$call145] | 0;
   18941     $tobool150 = $31 << 24 >> 24 == 0;
   18942     if ($tobool150) {
   18943       label = 46;
   18944       break;
   18945     } else {
   18946       $s_2 = $call145;
   18947       label = 45;
   18948       break;
   18949     }
   18950    case 45:
   18951     $call152 = _strdup_and_subst_obj($s_2, $25) | 0;
   18952     $labelurl = $call + 168 | 0;
   18953     HEAP32[$labelurl >> 2] = $call152;
   18954     label = 48;
   18955     break;
   18956    case 46:
   18957     $tobool154 = ($dflt_url_0 | 0) == 0;
   18958     if ($tobool154) {
   18959       label = 48;
   18960       break;
   18961     } else {
   18962       label = 47;
   18963       break;
   18964     }
   18965    case 47:
   18966     $call156 = _strdup($dflt_url_0 | 0) | 0;
   18967     $labelurl157 = $call + 168 | 0;
   18968     HEAP32[$labelurl157 >> 2] = $call156;
   18969     label = 48;
   18970     break;
   18971    case 48:
   18972     $call160 = _agget($25, 93872) | 0;
   18973     $tobool161 = ($call160 | 0) == 0;
   18974     if ($tobool161) {
   18975       label = 50;
   18976       break;
   18977     } else {
   18978       label = 49;
   18979       break;
   18980     }
   18981    case 49:
   18982     $32 = HEAP8[$call160] | 0;
   18983     $tobool165 = $32 << 24 >> 24 == 0;
   18984     if ($tobool165) {
   18985       label = 50;
   18986       break;
   18987     } else {
   18988       $s_3 = $call160;
   18989       label = 52;
   18990       break;
   18991     }
   18992    case 50:
   18993     $call167 = _agget($25, 93488) | 0;
   18994     $tobool168 = ($call167 | 0) == 0;
   18995     if ($tobool168) {
   18996       label = 53;
   18997       break;
   18998     } else {
   18999       label = 51;
   19000       break;
   19001     }
   19002    case 51:
   19003     $33 = HEAP8[$call167] | 0;
   19004     $tobool172 = $33 << 24 >> 24 == 0;
   19005     if ($tobool172) {
   19006       label = 53;
   19007       break;
   19008     } else {
   19009       $s_3 = $call167;
   19010       label = 52;
   19011       break;
   19012     }
   19013    case 52:
   19014     $call174 = _strdup_and_subst_obj($s_3, $25) | 0;
   19015     $tailurl = $call + 172 | 0;
   19016     HEAP32[$tailurl >> 2] = $call174;
   19017     $34 = $call + 212 | 0;
   19018     $35 = $34;
   19019     $36 = HEAP32[$35 >> 2] | 0;
   19020     $37 = $36 | 128;
   19021     HEAP32[$35 >> 2] = $37;
   19022     label = 55;
   19023     break;
   19024    case 53:
   19025     $tobool176 = ($dflt_url_0 | 0) == 0;
   19026     if ($tobool176) {
   19027       label = 55;
   19028       break;
   19029     } else {
   19030       label = 54;
   19031       break;
   19032     }
   19033    case 54:
   19034     $call178 = _strdup($dflt_url_0 | 0) | 0;
   19035     $tailurl179 = $call + 172 | 0;
   19036     HEAP32[$tailurl179 >> 2] = $call178;
   19037     label = 55;
   19038     break;
   19039    case 55:
   19040     $call182 = _agget($25, 93168) | 0;
   19041     $tobool183 = ($call182 | 0) == 0;
   19042     if ($tobool183) {
   19043       label = 57;
   19044       break;
   19045     } else {
   19046       label = 56;
   19047       break;
   19048     }
   19049    case 56:
   19050     $38 = HEAP8[$call182] | 0;
   19051     $tobool187 = $38 << 24 >> 24 == 0;
   19052     if ($tobool187) {
   19053       label = 57;
   19054       break;
   19055     } else {
   19056       $s_4 = $call182;
   19057       label = 59;
   19058       break;
   19059     }
   19060    case 57:
   19061     $call189 = _agget($25, 92824) | 0;
   19062     $tobool190 = ($call189 | 0) == 0;
   19063     if ($tobool190) {
   19064       label = 60;
   19065       break;
   19066     } else {
   19067       label = 58;
   19068       break;
   19069     }
   19070    case 58:
   19071     $39 = HEAP8[$call189] | 0;
   19072     $tobool194 = $39 << 24 >> 24 == 0;
   19073     if ($tobool194) {
   19074       label = 60;
   19075       break;
   19076     } else {
   19077       $s_4 = $call189;
   19078       label = 59;
   19079       break;
   19080     }
   19081    case 59:
   19082     $call196 = _strdup_and_subst_obj($s_4, $25) | 0;
   19083     $headurl = $call + 176 | 0;
   19084     HEAP32[$headurl >> 2] = $call196;
   19085     $40 = $call + 212 | 0;
   19086     $41 = $40;
   19087     $42 = HEAP32[$41 >> 2] | 0;
   19088     $43 = $42 | 256;
   19089     HEAP32[$41 >> 2] = $43;
   19090     $dflt_url_1 = $dflt_url_0;
   19091     label = 62;
   19092     break;
   19093    case 60:
   19094     $tobool199 = ($dflt_url_0 | 0) == 0;
   19095     if ($tobool199) {
   19096       $dflt_url_1 = 0;
   19097       label = 62;
   19098       break;
   19099     } else {
   19100       label = 61;
   19101       break;
   19102     }
   19103    case 61:
   19104     $call201 = _strdup($dflt_url_0 | 0) | 0;
   19105     $headurl202 = $call + 176 | 0;
   19106     HEAP32[$headurl202 >> 2] = $call201;
   19107     $dflt_url_1 = $dflt_url_0;
   19108     label = 62;
   19109     break;
   19110    case 62:
   19111     $and206 = $0 & 8388608;
   19112     $tobool207 = ($and206 | 0) == 0;
   19113     if ($tobool207) {
   19114       $dflt_target_1 = 0;
   19115       label = 86;
   19116       break;
   19117     } else {
   19118       label = 63;
   19119       break;
   19120     }
   19121    case 63:
   19122     $44 = $e | 0;
   19123     $call209 = _agget($44, 92456) | 0;
   19124     $tobool210 = ($call209 | 0) == 0;
   19125     if ($tobool210) {
   19126       $dflt_target_0 = 0;
   19127       label = 66;
   19128       break;
   19129     } else {
   19130       label = 64;
   19131       break;
   19132     }
   19133    case 64:
   19134     $45 = HEAP8[$call209] | 0;
   19135     $tobool214 = $45 << 24 >> 24 == 0;
   19136     if ($tobool214) {
   19137       $dflt_target_0 = 0;
   19138       label = 66;
   19139       break;
   19140     } else {
   19141       label = 65;
   19142       break;
   19143     }
   19144    case 65:
   19145     $call216 = _strdup_and_subst_obj($call209, $44) | 0;
   19146     $dflt_target_0 = $call216;
   19147     label = 66;
   19148     break;
   19149    case 66:
   19150     $call218 = _agget($44, 91968) | 0;
   19151     $tobool219 = ($call218 | 0) == 0;
   19152     if ($tobool219) {
   19153       label = 69;
   19154       break;
   19155     } else {
   19156       label = 67;
   19157       break;
   19158     }
   19159    case 67:
   19160     $46 = HEAP8[$call218] | 0;
   19161     $tobool223 = $46 << 24 >> 24 == 0;
   19162     if ($tobool223) {
   19163       label = 69;
   19164       break;
   19165     } else {
   19166       label = 68;
   19167       break;
   19168     }
   19169    case 68:
   19170     $47 = $call + 212 | 0;
   19171     $48 = $47;
   19172     $49 = HEAP32[$48 >> 2] | 0;
   19173     $50 = $49 | 64;
   19174     HEAP32[$48 >> 2] = $50;
   19175     $call226 = _strdup_and_subst_obj($call218, $44) | 0;
   19176     $target = $call + 196 | 0;
   19177     HEAP32[$target >> 2] = $call226;
   19178     label = 71;
   19179     break;
   19180    case 69:
   19181     $tobool228 = ($dflt_target_0 | 0) == 0;
   19182     if ($tobool228) {
   19183       label = 71;
   19184       break;
   19185     } else {
   19186       label = 70;
   19187       break;
   19188     }
   19189    case 70:
   19190     $call230 = _strdup($dflt_target_0 | 0) | 0;
   19191     $target231 = $call + 196 | 0;
   19192     HEAP32[$target231 >> 2] = $call230;
   19193     label = 71;
   19194     break;
   19195    case 71:
   19196     $call234 = _agget($44, 91616) | 0;
   19197     $tobool235 = ($call234 | 0) == 0;
   19198     if ($tobool235) {
   19199       label = 74;
   19200       break;
   19201     } else {
   19202       label = 72;
   19203       break;
   19204     }
   19205    case 72:
   19206     $51 = HEAP8[$call234] | 0;
   19207     $tobool239 = $51 << 24 >> 24 == 0;
   19208     if ($tobool239) {
   19209       label = 74;
   19210       break;
   19211     } else {
   19212       label = 73;
   19213       break;
   19214     }
   19215    case 73:
   19216     $call241 = _strdup_and_subst_obj($call234, $44) | 0;
   19217     $labeltarget = $call + 200 | 0;
   19218     HEAP32[$labeltarget >> 2] = $call241;
   19219     label = 76;
   19220     break;
   19221    case 74:
   19222     $tobool243 = ($dflt_target_0 | 0) == 0;
   19223     if ($tobool243) {
   19224       label = 76;
   19225       break;
   19226     } else {
   19227       label = 75;
   19228       break;
   19229     }
   19230    case 75:
   19231     $call245 = _strdup($dflt_target_0 | 0) | 0;
   19232     $labeltarget246 = $call + 200 | 0;
   19233     HEAP32[$labeltarget246 >> 2] = $call245;
   19234     label = 76;
   19235     break;
   19236    case 76:
   19237     $call249 = _agget($44, 91328) | 0;
   19238     $tobool250 = ($call249 | 0) == 0;
   19239     if ($tobool250) {
   19240       label = 79;
   19241       break;
   19242     } else {
   19243       label = 77;
   19244       break;
   19245     }
   19246    case 77:
   19247     $52 = HEAP8[$call249] | 0;
   19248     $tobool254 = $52 << 24 >> 24 == 0;
   19249     if ($tobool254) {
   19250       label = 79;
   19251       break;
   19252     } else {
   19253       label = 78;
   19254       break;
   19255     }
   19256    case 78:
   19257     $call256 = _strdup_and_subst_obj($call249, $44) | 0;
   19258     $tailtarget = $call + 204 | 0;
   19259     HEAP32[$tailtarget >> 2] = $call256;
   19260     $53 = $call + 212 | 0;
   19261     $54 = $53;
   19262     $55 = HEAP32[$54 >> 2] | 0;
   19263     $56 = $55 | 16;
   19264     HEAP32[$54 >> 2] = $56;
   19265     label = 81;
   19266     break;
   19267    case 79:
   19268     $tobool259 = ($dflt_target_0 | 0) == 0;
   19269     if ($tobool259) {
   19270       label = 81;
   19271       break;
   19272     } else {
   19273       label = 80;
   19274       break;
   19275     }
   19276    case 80:
   19277     $call261 = _strdup($dflt_target_0 | 0) | 0;
   19278     $tailtarget262 = $call + 204 | 0;
   19279     HEAP32[$tailtarget262 >> 2] = $call261;
   19280     label = 81;
   19281     break;
   19282    case 81:
   19283     $call265 = _agget($44, 90976) | 0;
   19284     $tobool266 = ($call265 | 0) == 0;
   19285     if ($tobool266) {
   19286       label = 84;
   19287       break;
   19288     } else {
   19289       label = 82;
   19290       break;
   19291     }
   19292    case 82:
   19293     $57 = HEAP8[$call265] | 0;
   19294     $tobool270 = $57 << 24 >> 24 == 0;
   19295     if ($tobool270) {
   19296       label = 84;
   19297       break;
   19298     } else {
   19299       label = 83;
   19300       break;
   19301     }
   19302    case 83:
   19303     $58 = $call + 212 | 0;
   19304     $59 = $58;
   19305     $60 = HEAP32[$59 >> 2] | 0;
   19306     $61 = $60 | 32;
   19307     HEAP32[$59 >> 2] = $61;
   19308     $call273 = _strdup_and_subst_obj($call265, $44) | 0;
   19309     $headtarget = $call + 208 | 0;
   19310     HEAP32[$headtarget >> 2] = $call273;
   19311     $dflt_target_1 = $dflt_target_0;
   19312     label = 86;
   19313     break;
   19314    case 84:
   19315     $tobool275 = ($dflt_target_0 | 0) == 0;
   19316     if ($tobool275) {
   19317       $dflt_target_1 = 0;
   19318       label = 86;
   19319       break;
   19320     } else {
   19321       label = 85;
   19322       break;
   19323     }
   19324    case 85:
   19325     $call277 = _strdup($dflt_target_0 | 0) | 0;
   19326     $headtarget278 = $call + 208 | 0;
   19327     HEAP32[$headtarget278 >> 2] = $call277;
   19328     $dflt_target_1 = $dflt_target_0;
   19329     label = 86;
   19330     break;
   19331    case 86:
   19332     $and282 = $0 & 4194304;
   19333     $tobool283 = ($and282 | 0) == 0;
   19334     if ($tobool283) {
   19335       label = 109;
   19336       break;
   19337     } else {
   19338       label = 87;
   19339       break;
   19340     }
   19341    case 87:
   19342     $62 = $e | 0;
   19343     $call285 = _agget($62, 90704) | 0;
   19344     $tobool286 = ($call285 | 0) == 0;
   19345     if ($tobool286) {
   19346       label = 89;
   19347       break;
   19348     } else {
   19349       label = 88;
   19350       break;
   19351     }
   19352    case 88:
   19353     $63 = HEAP8[$call285] | 0;
   19354     $tobool290 = $63 << 24 >> 24 == 0;
   19355     if ($tobool290) {
   19356       label = 89;
   19357       break;
   19358     } else {
   19359       $s_5 = $call285;
   19360       label = 91;
   19361       break;
   19362     }
   19363    case 89:
   19364     $call292 = _agget($62, 90384) | 0;
   19365     $tobool293 = ($call292 | 0) == 0;
   19366     if ($tobool293) {
   19367       label = 92;
   19368       break;
   19369     } else {
   19370       label = 90;
   19371       break;
   19372     }
   19373    case 90:
   19374     $64 = HEAP8[$call292] | 0;
   19375     $tobool297 = $64 << 24 >> 24 == 0;
   19376     if ($tobool297) {
   19377       label = 92;
   19378       break;
   19379     } else {
   19380       $s_5 = $call292;
   19381       label = 91;
   19382       break;
   19383     }
   19384    case 91:
   19385     $call299 = _strdup_and_subst_obj($s_5, $62) | 0;
   19386     $tooltip = $call + 180 | 0;
   19387     HEAP32[$tooltip >> 2] = $call299;
   19388     $65 = $call + 212 | 0;
   19389     $66 = $65;
   19390     $67 = HEAP32[$66 >> 2] | 0;
   19391     $68 = $67 | 1;
   19392     HEAP32[$66 >> 2] = $68;
   19393     label = 94;
   19394     break;
   19395    case 92:
   19396     $label302 = $call + 144 | 0;
   19397     $69 = HEAP32[$label302 >> 2] | 0;
   19398     $tobool303 = ($69 | 0) == 0;
   19399     if ($tobool303) {
   19400       label = 94;
   19401       break;
   19402     } else {
   19403       label = 93;
   19404       break;
   19405     }
   19406    case 93:
   19407     $call306 = _strdup($69 | 0) | 0;
   19408     $tooltip307 = $call + 180 | 0;
   19409     HEAP32[$tooltip307 >> 2] = $call306;
   19410     label = 94;
   19411     break;
   19412    case 94:
   19413     $call310 = _agget($62, 90080) | 0;
   19414     $tobool311 = ($call310 | 0) == 0;
   19415     if ($tobool311) {
   19416       label = 97;
   19417       break;
   19418     } else {
   19419       label = 95;
   19420       break;
   19421     }
   19422    case 95:
   19423     $70 = HEAP8[$call310] | 0;
   19424     $tobool315 = $70 << 24 >> 24 == 0;
   19425     if ($tobool315) {
   19426       label = 97;
   19427       break;
   19428     } else {
   19429       label = 96;
   19430       break;
   19431     }
   19432    case 96:
   19433     $call317 = _strdup_and_subst_obj($call310, $62) | 0;
   19434     $labeltooltip = $call + 184 | 0;
   19435     HEAP32[$labeltooltip >> 2] = $call317;
   19436     $71 = $call + 212 | 0;
   19437     $72 = $71;
   19438     $73 = HEAP32[$72 >> 2] | 0;
   19439     $74 = $73 | 8;
   19440     HEAP32[$72 >> 2] = $74;
   19441     label = 99;
   19442     break;
   19443    case 97:
   19444     $label320 = $call + 144 | 0;
   19445     $75 = HEAP32[$label320 >> 2] | 0;
   19446     $tobool321 = ($75 | 0) == 0;
   19447     if ($tobool321) {
   19448       label = 99;
   19449       break;
   19450     } else {
   19451       label = 98;
   19452       break;
   19453     }
   19454    case 98:
   19455     $call324 = _strdup($75 | 0) | 0;
   19456     $labeltooltip325 = $call + 184 | 0;
   19457     HEAP32[$labeltooltip325 >> 2] = $call324;
   19458     label = 99;
   19459     break;
   19460    case 99:
   19461     $call328 = _agget($62, 163232) | 0;
   19462     $tobool329 = ($call328 | 0) == 0;
   19463     if ($tobool329) {
   19464       label = 102;
   19465       break;
   19466     } else {
   19467       label = 100;
   19468       break;
   19469     }
   19470    case 100:
   19471     $76 = HEAP8[$call328] | 0;
   19472     $tobool333 = $76 << 24 >> 24 == 0;
   19473     if ($tobool333) {
   19474       label = 102;
   19475       break;
   19476     } else {
   19477       label = 101;
   19478       break;
   19479     }
   19480    case 101:
   19481     $call335 = _strdup_and_subst_obj($call328, $62) | 0;
   19482     $tailtooltip = $call + 188 | 0;
   19483     HEAP32[$tailtooltip >> 2] = $call335;
   19484     $77 = $call + 212 | 0;
   19485     $78 = $77;
   19486     $79 = HEAP32[$78 >> 2] | 0;
   19487     $80 = $79 | 2;
   19488     HEAP32[$78 >> 2] = $80;
   19489     label = 104;
   19490     break;
   19491    case 102:
   19492     $taillabel338 = $call + 152 | 0;
   19493     $81 = HEAP32[$taillabel338 >> 2] | 0;
   19494     $tobool339 = ($81 | 0) == 0;
   19495     if ($tobool339) {
   19496       label = 104;
   19497       break;
   19498     } else {
   19499       label = 103;
   19500       break;
   19501     }
   19502    case 103:
   19503     $call342 = _strdup($81 | 0) | 0;
   19504     $tailtooltip343 = $call + 188 | 0;
   19505     HEAP32[$tailtooltip343 >> 2] = $call342;
   19506     label = 104;
   19507     break;
   19508    case 104:
   19509     $call346 = _agget($62, 162944) | 0;
   19510     $tobool347 = ($call346 | 0) == 0;
   19511     if ($tobool347) {
   19512       label = 107;
   19513       break;
   19514     } else {
   19515       label = 105;
   19516       break;
   19517     }
   19518    case 105:
   19519     $82 = HEAP8[$call346] | 0;
   19520     $tobool351 = $82 << 24 >> 24 == 0;
   19521     if ($tobool351) {
   19522       label = 107;
   19523       break;
   19524     } else {
   19525       label = 106;
   19526       break;
   19527     }
   19528    case 106:
   19529     $call353 = _strdup_and_subst_obj($call346, $62) | 0;
   19530     $headtooltip = $call + 192 | 0;
   19531     HEAP32[$headtooltip >> 2] = $call353;
   19532     $83 = $call + 212 | 0;
   19533     $84 = $83;
   19534     $85 = HEAP32[$84 >> 2] | 0;
   19535     $86 = $85 | 4;
   19536     HEAP32[$84 >> 2] = $86;
   19537     label = 109;
   19538     break;
   19539    case 107:
   19540     $headlabel356 = $call + 156 | 0;
   19541     $87 = HEAP32[$headlabel356 >> 2] | 0;
   19542     $tobool357 = ($87 | 0) == 0;
   19543     if ($tobool357) {
   19544       label = 109;
   19545       break;
   19546     } else {
   19547       label = 108;
   19548       break;
   19549     }
   19550    case 108:
   19551     $call360 = _strdup($87 | 0) | 0;
   19552     $headtooltip361 = $call + 192 | 0;
   19553     HEAP32[$headtooltip361 >> 2] = $call360;
   19554     label = 109;
   19555     break;
   19556    case 109:
   19557     _free($dflt_url_1);
   19558     _free($dflt_target_1);
   19559     $and365 = $0 & 4259840;
   19560     $tobool366 = ($and365 | 0) == 0;
   19561     if ($tobool366) {
   19562       label = 123;
   19563       break;
   19564     } else {
   19565       label = 110;
   19566       break;
   19567     }
   19568    case 110:
   19569     $spl369 = $e + 24 | 0;
   19570     $88 = HEAP32[$spl369 >> 2] | 0;
   19571     $tobool370 = ($88 | 0) == 0;
   19572     if ($tobool370) {
   19573       label = 123;
   19574       break;
   19575     } else {
   19576       label = 111;
   19577       break;
   19578     }
   19579    case 111:
   19580     $url372 = $call + 160 | 0;
   19581     $89 = HEAP32[$url372 >> 2] | 0;
   19582     $tobool373 = ($89 | 0) == 0;
   19583     if ($tobool373) {
   19584       label = 112;
   19585       break;
   19586     } else {
   19587       label = 113;
   19588       break;
   19589     }
   19590    case 112:
   19591     $tooltip375 = $call + 180 | 0;
   19592     $90 = HEAP32[$tooltip375 >> 2] | 0;
   19593     $tobool376 = ($90 | 0) == 0;
   19594     if ($tobool376) {
   19595       label = 123;
   19596       break;
   19597     } else {
   19598       label = 113;
   19599       break;
   19600     }
   19601    case 113:
   19602     $and378 = $0 & 524288;
   19603     $tobool379 = ($and378 | 0) == 0;
   19604     if ($tobool379) {
   19605       label = 123;
   19606       break;
   19607     } else {
   19608       label = 114;
   19609       break;
   19610     }
   19611    case 114:
   19612     $obj382 = $job + 16 | 0;
   19613     $91 = HEAP32[$obj382 >> 2] | 0;
   19614     $penwidth383 = $91 + 104 | 0;
   19615     $92 = +HEAPF64[$penwidth383 >> 3];
   19616     $div = $92 * .5;
   19617     $cmp384 = $div > 2.0;
   19618     $cond392 = $cmp384 ? $div : 2.0;
   19619     $93 = HEAP32[$spl369 >> 2] | 0;
   19620     $size = $93 + 4 | 0;
   19621     $94 = HEAP32[$size >> 2] | 0;
   19622     $cmp395201 = ($94 | 0) > 0;
   19623     if ($cmp395201) {
   19624       label = 115;
   19625       break;
   19626     } else {
   19627       label = 117;
   19628       break;
   19629     }
   19630    case 115:
   19631     $list = $93 | 0;
   19632     $i_0202 = 0;
   19633     label = 116;
   19634     break;
   19635    case 116:
   19636     $95 = HEAP32[$list >> 2] | 0;
   19637     $add_ptr = $95 + ($i_0202 * 48 & -1) | 0;
   19638     _map_output_bspline($pbs, $pbs_n, $pbs_poly_n, $add_ptr, $cond392);
   19639     $inc = $i_0202 + 1 | 0;
   19640     $cmp395 = ($inc | 0) < ($94 | 0);
   19641     if ($cmp395) {
   19642       $i_0202 = $inc;
   19643       label = 116;
   19644       break;
   19645     } else {
   19646       label = 117;
   19647       break;
   19648     }
   19649    case 117:
   19650     $96 = HEAP32[$pbs_poly_n >> 2] | 0;
   19651     $url_bsplinemap_poly_n = $call + 228 | 0;
   19652     HEAP32[$url_bsplinemap_poly_n >> 2] = $96;
   19653     $97 = HEAP32[$pbs_n >> 2] | 0;
   19654     $url_bsplinemap_n = $call + 232 | 0;
   19655     HEAP32[$url_bsplinemap_n >> 2] = $97;
   19656     $and397 = $0 & 8192;
   19657     $tobool398 = ($and397 | 0) == 0;
   19658     if ($tobool398) {
   19659       label = 118;
   19660       break;
   19661     } else {
   19662       label = 122;
   19663       break;
   19664     }
   19665    case 118:
   19666     $98 = HEAP32[$pbs_poly_n >> 2] | 0;
   19667     $cmp401198 = ($98 | 0) > 0;
   19668     if ($cmp401198) {
   19669       label = 119;
   19670       break;
   19671     } else {
   19672       $nump_0_lcssa = 0;
   19673       label = 121;
   19674       break;
   19675     }
   19676    case 119:
   19677     $99 = HEAP32[$pbs_n >> 2] | 0;
   19678     $100 = HEAP32[$pbs_poly_n >> 2] | 0;
   19679     $i_1199 = 0;
   19680     $nump_0200 = 0;
   19681     label = 120;
   19682     break;
   19683    case 120:
   19684     $arrayidx404 = $99 + ($i_1199 << 2) | 0;
   19685     $101 = HEAP32[$arrayidx404 >> 2] | 0;
   19686     $add405 = $101 + $nump_0200 | 0;
   19687     $inc407 = $i_1199 + 1 | 0;
   19688     $cmp401 = ($inc407 | 0) < ($100 | 0);
   19689     if ($cmp401) {
   19690       $i_1199 = $inc407;
   19691       $nump_0200 = $add405;
   19692       label = 120;
   19693       break;
   19694     } else {
   19695       $nump_0_lcssa = $add405;
   19696       label = 121;
   19697       break;
   19698     }
   19699    case 121:
   19700     $102 = HEAP32[$pbs >> 2] | 0;
   19701     $call409 = _gvrender_ptf_A($job, $102, $102, $nump_0_lcssa) | 0;
   19702     label = 122;
   19703     break;
   19704    case 122:
   19705     $103 = HEAP32[$pbs >> 2] | 0;
   19706     $url_bsplinemap_p = $call + 236 | 0;
   19707     HEAP32[$url_bsplinemap_p >> 2] = $103;
   19708     $url_map_shape = $call + 216 | 0;
   19709     HEAP32[$url_map_shape >> 2] = 2;
   19710     $104 = HEAP32[$pbs >> 2] | 0;
   19711     $url_map_p = $call + 224 | 0;
   19712     HEAP32[$url_map_p >> 2] = $104;
   19713     $105 = HEAP32[$pbs_n >> 2] | 0;
   19714     $106 = HEAP32[$105 >> 2] | 0;
   19715     $url_map_n = $call + 220 | 0;
   19716     HEAP32[$url_map_n >> 2] = $106;
   19717     label = 123;
   19718     break;
   19719    case 123:
   19720     _gvrender_begin_edge($job, 0);
   19721     $url414 = $call + 160 | 0;
   19722     $107 = HEAP32[$url414 >> 2] | 0;
   19723     $tobool415 = ($107 | 0) == 0;
   19724     if ($tobool415) {
   19725       label = 124;
   19726       break;
   19727     } else {
   19728       label = 125;
   19729       break;
   19730     }
   19731    case 124:
   19732     $108 = $call + 212 | 0;
   19733     $109 = $108;
   19734     $110 = HEAP32[$109 >> 2] | 0;
   19735     $_mask = $110 & 1;
   19736     $tobool418 = ($_mask | 0) == 0;
   19737     if ($tobool418) {
   19738       label = 126;
   19739       break;
   19740     } else {
   19741       label = 125;
   19742       break;
   19743     }
   19744    case 125:
   19745     $111 = HEAP32[$url414 >> 2] | 0;
   19746     $tooltip421 = $call + 180 | 0;
   19747     $112 = HEAP32[$tooltip421 >> 2] | 0;
   19748     $target422 = $call + 196 | 0;
   19749     $113 = HEAP32[$target422 >> 2] | 0;
   19750     $id423 = $call + 164 | 0;
   19751     $114 = HEAP32[$id423 >> 2] | 0;
   19752     _gvrender_begin_anchor($job, $111, $112, $113, $114);
   19753     label = 126;
   19754     break;
   19755    case 126:
   19756     STACKTOP = __stackBase__;
   19757     return;
   19758   }
   19759 }
   19760 function _emit_edge_graphics($job, $e, $styles) {
   19761   $job = $job | 0;
   19762   $e = $e | 0;
   19763   $styles = $styles | 0;
   19764   var $bz = 0, $pf0 = 0, $pf1 = 0, $pf2 = 0, $pf3 = 0, $tmp = 0, $tmp128 = 0, $tmp140 = 0, $tmp193 = 0, $0 = 0, $obj = 0, $1 = 0, $penwidth1 = 0, $2 = 0.0, $3 = 0, $call = 0, $spl = 0, $4 = 0, $tobool = 0, $5 = 0, $call2 = 0.0, $6 = 0, $call3 = 0, $numcomma_0 = 0, $numc_0 = 0, $p_0 = 0, $7 = 0, $inc = 0, $inc11 = 0, $numcomma_1 = 0, $numc_1 = 0, $incdec_ptr = 0, $tobool13 = 0, $tobool14 = 0, $or_cond = 0, $add = 0, $call16 = 0, $tobool17 = 0, $color_0 = 0, $gui_state = 0, $8 = 0, $conv23 = 0, $and = 0, $tobool24 = 0, $9 = 0, $call26 = 0, $call27 = 0, $10 = 0, $call28 = 0, $and33 = 0, $tobool34 = 0, $11 = 0, $call36 = 0, $call37 = 0, $12 = 0, $call38 = 0, $and43 = 0, $tobool44 = 0, $13 = 0, $call46 = 0, $call47 = 0, $14 = 0, $call48 = 0, $and53 = 0, $tobool54 = 0, $15 = 0, $call56 = 0, $call57 = 0, $16 = 0, $call58 = 0, $fillcolor_0 = 0, $pencolor_0 = 0, $cmp63 = 0, $cmp67 = 0, $pencolor_0191193 = 0, $17 = 0, $size = 0, $18 = 0, $mul = 0, $call78 = 0, $19 = 0, $call81 = 0, $20 = 0, $add83 = 0, $conv84 = 0.0, $div = 0.0, $cmp87216 = 0, $21 = 0, $size93 = 0, $list112 = 0, $22 = 0, $23 = 0, $x194 = 0, $y201 = 0, $24 = 0, $25 = 0, $26 = 0, $27 = 0, $x = 0, $y = 0, $x153 = 0, $y162 = 0, $x171 = 0, $y180 = 0, $28 = 0, $i_0217 = 0, $29 = 0, $list92 = 0, $30 = 0, $arrayidx = 0, $31 = 0, $32 = 0, $size96 = 0, $size99 = 0, $33 = 0, $mul101 = 0, $call102 = 0, $34 = 0, $list105 = 0, $35 = 0, $mul107 = 0, $call108 = 0, $36 = 0, $list111 = 0, $37 = 0, $38 = 0, $39 = 0, $sub212 = 0, $cmp116213 = 0, $j_0214 = 0, $add119 = 0, $40 = 0, $arrayidx121 = 0, $41 = 0, $cmp122 = 0, $arrayidx125 = 0, $42 = 0, $43 = 0, $add130 = 0, $44 = 0, $arrayidx132 = 0, $45 = 0, $add133 = 0, $46 = 0, $arrayidx135 = 0, $47 = 0, $arrayidx137 = 0, $arrayidx139 = 0, $48 = 0, $49 = 0, $50 = 0.0, $x142 = 0, $51 = 0.0, $mul143 = 0.0, $sub144 = 0.0, $x146 = 0, $52 = 0.0, $y148 = 0, $53 = 0.0, $mul149 = 0.0, $sub150 = 0.0, $y152 = 0, $54 = 0.0, $x156 = 0, $55 = 0.0, $mul157 = 0.0, $sub158 = 0.0, $x161 = 0, $56 = 0.0, $y165 = 0, $57 = 0.0, $mul166 = 0.0, $sub167 = 0.0, $y170 = 0, $58 = 0.0, $x174 = 0, $59 = 0.0, $mul175 = 0.0, $sub176 = 0.0, $x179 = 0, $60 = 0.0, $y183 = 0, $61 = 0.0, $mul184 = 0.0, $sub185 = 0.0, $y188 = 0, $62 = 0, $sub = 0, $cmp116 = 0, $j_0_lcssa = 0, $arrayidx192 = 0, $63 = 0, $64 = 0.0, $x196 = 0, $65 = 0.0, $mul197 = 0.0, $sub198 = 0.0, $x200 = 0, $66 = 0.0, $y203 = 0, $67 = 0.0, $mul204 = 0.0, $sub205 = 0.0, $y207 = 0, $inc209 = 0, $cmp87 = 0, $call211 = 0, $call212 = 0, $tobool214203 = 0, $cmp241201 = 0, $cnum_0208 = 0, $color_1207 = 0, $lastcolor_0206 = 0, $tailcolor_0205 = 0, $headcolor_0204 = 0, $68 = 0, $tobool217 = 0, $_color_1 = 0, $cmp220 = 0, $69 = 0, $and226 = 0, $tobool227 = 0, $lastcolor_1 = 0, $cmp231 = 0, $_color_1_headcolor_0 = 0, $_color_1_tailcolor_0 = 0, $cmp235 = 0, $tailcolor_2 = 0, $i_1202 = 0, $list246 = 0, $70 = 0, $list249 = 0, $71 = 0, $size253 = 0, $72 = 0, $cmp254199 = 0, $j_1200 = 0, $x258 = 0, $73 = 0.0, $x260 = 0, $74 = 0.0, $add261 = 0.0, $y263 = 0, $75 = 0.0, $y265 = 0, $76 = 0.0, $add266 = 0.0, $inc268 = 0, $77 = 0, $cmp254 = 0, $_lcssa = 0, $inc274 = 0, $cmp241 = 0, $inc277 = 0, $call278 = 0, $tobool214 = 0, $tailcolor_0_lcssa = 0, $headcolor_0_lcssa = 0, $sflag = 0, $78 = 0, $tobool280 = 0, $cmp282 = 0, $79 = 0, $and288 = 0, $tobool289 = 0, $color_3 = 0, $sp = 0, $list293 = 0, $80 = 0, $81 = 0, $color_4 = 0, $eflag = 0, $82 = 0, $tobool297 = 0, $cmp299 = 0, $83 = 0, $and305 = 0, $tobool306 = 0, $ep = 0, $size310 = 0, $84 = 0, $sub311 = 0, $list312 = 0, $85 = 0, $arrayidx313 = 0, $86 = 0, $cmp318197 = 0, $i_2198 = 0, $list323 = 0, $87 = 0, $88 = 0, $list326 = 0, $89 = 0, $90 = 0, $inc328 = 0, $cmp318 = 0, $91 = 0, $and336 = 0, $tobool337 = 0, $92 = 0, $tobool340 = 0, $93 = 0, $size348194 = 0, $94 = 0, $cmp349195 = 0, $95 = 0, $flags = 0, $list359 = 0, $size360 = 0, $sflag366 = 0, $eflag374 = 0, $tobool395 = 0, $tobool395_old = 0, $ep377 = 0, $sp369 = 0, $sflag361 = 0, $eflag362 = 0, $96 = 0, $i_3196 = 0, $list354 = 0, $97 = 0, $arrayidx355 = 0, $98 = 0, $99 = 0, $and356 = 0, $tobool357 = 0, $100 = 0, $101 = 0, $102 = 0, $103 = 0, $104 = 0, $tobool367 = 0, $105 = 0, $106 = 0, $tobool375 = 0, $107 = 0, $sub379 = 0, $108 = 0, $arrayidx381 = 0, $109 = 0, $size386 = 0, $110 = 0, $cmp387 = 0, $111 = 0, $tobool391 = 0, $112 = 0, $tobool393 = 0, $or_cond188 = 0, $inc400 = 0, $113 = 0, $size348 = 0, $114 = 0, $cmp349 = 0, label = 0, __stackBase__ = 0;
   19765   __stackBase__ = STACKTOP;
   19766   STACKTOP = STACKTOP + 176 | 0;
   19767   label = 2;
   19768   while (1) switch (label | 0) {
   19769    case 2:
   19770     $bz = __stackBase__ | 0;
   19771     $pf0 = __stackBase__ + 48 | 0;
   19772     $pf1 = __stackBase__ + 64 | 0;
   19773     $pf2 = __stackBase__ + 80 | 0;
   19774     $pf3 = __stackBase__ + 96 | 0;
   19775     $tmp = __stackBase__ + 112 | 0;
   19776     $tmp128 = __stackBase__ + 128 | 0;
   19777     $tmp140 = __stackBase__ + 144 | 0;
   19778     $tmp193 = __stackBase__ + 160 | 0;
   19779     $0 = $pf2;
   19780     _memset($0 | 0, 0, 16);
   19781     $obj = $job + 16 | 0;
   19782     $1 = HEAP32[$obj >> 2] | 0;
   19783     $penwidth1 = $1 + 104 | 0;
   19784     $2 = +HEAPF64[$penwidth1 >> 3];
   19785     $3 = $e | 0;
   19786     $call = _agget($3, 133704) | 0;
   19787     _setColorScheme($call);
   19788     $spl = $e + 24 | 0;
   19789     $4 = HEAP32[$spl >> 2] | 0;
   19790     $tobool = ($4 | 0) == 0;
   19791     if ($tobool) {
   19792       label = 72;
   19793       break;
   19794     } else {
   19795       label = 3;
   19796       break;
   19797     }
   19798    case 3:
   19799     $5 = HEAP32[41962] | 0;
   19800     $call2 = +_late_double($3, $5, 1.0, 0.0);
   19801     $6 = HEAP32[41958] | 0;
   19802     $call3 = _late_string($3, $6, 136112) | 0;
   19803     $p_0 = $call3;
   19804     $numc_0 = 0;
   19805     $numcomma_0 = 0;
   19806     label = 4;
   19807     break;
   19808    case 4:
   19809     $7 = HEAP8[$p_0] | 0;
   19810     if (($7 << 24 >> 24 | 0) == 58) {
   19811       label = 5;
   19812       break;
   19813     } else if (($7 << 24 >> 24 | 0) == 44) {
   19814       label = 6;
   19815       break;
   19816     } else if (($7 << 24 >> 24 | 0) == 0) {
   19817       label = 8;
   19818       break;
   19819     } else {
   19820       $numc_1 = $numc_0;
   19821       $numcomma_1 = $numcomma_0;
   19822       label = 7;
   19823       break;
   19824     }
   19825    case 5:
   19826     $inc = $numc_0 + 1 | 0;
   19827     $numc_1 = $inc;
   19828     $numcomma_1 = $numcomma_0;
   19829     label = 7;
   19830     break;
   19831    case 6:
   19832     $inc11 = $numcomma_0 + 1 | 0;
   19833     $numc_1 = $numc_0;
   19834     $numcomma_1 = $inc11;
   19835     label = 7;
   19836     break;
   19837    case 7:
   19838     $incdec_ptr = $p_0 + 1 | 0;
   19839     $p_0 = $incdec_ptr;
   19840     $numc_0 = $numc_1;
   19841     $numcomma_0 = $numcomma_1;
   19842     label = 4;
   19843     break;
   19844    case 8:
   19845     $tobool13 = ($numcomma_0 | 0) == 0;
   19846     $tobool14 = ($numc_0 | 0) == 0;
   19847     $or_cond = $tobool13 | $tobool14;
   19848     if ($or_cond) {
   19849       $color_0 = $call3;
   19850       label = 10;
   19851       break;
   19852     } else {
   19853       label = 9;
   19854       break;
   19855     }
   19856    case 9:
   19857     $add = $numc_0 + 1 | 0;
   19858     $call16 = _multicolor($job, $e, $styles, $call3, $add, $call2, $2) | 0;
   19859     $tobool17 = ($call16 | 0) == 0;
   19860     if ($tobool17) {
   19861       label = 72;
   19862       break;
   19863     } else {
   19864       $color_0 = 117856;
   19865       label = 10;
   19866       break;
   19867     }
   19868    case 10:
   19869     $gui_state = $e + 131 | 0;
   19870     $8 = HEAP8[$gui_state] | 0;
   19871     $conv23 = $8 & 255;
   19872     $and = $conv23 & 1;
   19873     $tobool24 = ($and | 0) == 0;
   19874     if ($tobool24) {
   19875       label = 12;
   19876       break;
   19877     } else {
   19878       label = 11;
   19879       break;
   19880     }
   19881    case 11:
   19882     $9 = HEAP32[41966] | 0;
   19883     $call26 = _default_pencolor($color_0, 131512) | 0;
   19884     $call27 = _late_nnstring($3, $9, $call26) | 0;
   19885     $10 = HEAP32[41968] | 0;
   19886     $call28 = _late_nnstring($3, $10, 130536) | 0;
   19887     $pencolor_0 = $call27;
   19888     $fillcolor_0 = $call28;
   19889     label = 18;
   19890     break;
   19891    case 12:
   19892     $and33 = $conv23 & 2;
   19893     $tobool34 = ($and33 | 0) == 0;
   19894     if ($tobool34) {
   19895       label = 14;
   19896       break;
   19897     } else {
   19898       label = 13;
   19899       break;
   19900     }
   19901    case 13:
   19902     $11 = HEAP32[41908] | 0;
   19903     $call36 = _default_pencolor($color_0, 128656) | 0;
   19904     $call37 = _late_nnstring($3, $11, $call36) | 0;
   19905     $12 = HEAP32[41910] | 0;
   19906     $call38 = _late_nnstring($3, $12, 126080) | 0;
   19907     $pencolor_0 = $call37;
   19908     $fillcolor_0 = $call38;
   19909     label = 18;
   19910     break;
   19911    case 14:
   19912     $and43 = $conv23 & 8;
   19913     $tobool44 = ($and43 | 0) == 0;
   19914     if ($tobool44) {
   19915       label = 16;
   19916       break;
   19917     } else {
   19918       label = 15;
   19919       break;
   19920     }
   19921    case 15:
   19922     $13 = HEAP32[41948] | 0;
   19923     $call46 = _default_pencolor($color_0, 122664) | 0;
   19924     $call47 = _late_nnstring($3, $13, $call46) | 0;
   19925     $14 = HEAP32[41950] | 0;
   19926     $call48 = _late_nnstring($3, $14, 121984) | 0;
   19927     $pencolor_0 = $call47;
   19928     $fillcolor_0 = $call48;
   19929     label = 18;
   19930     break;
   19931    case 16:
   19932     $and53 = $conv23 & 4;
   19933     $tobool54 = ($and53 | 0) == 0;
   19934     if ($tobool54) {
   19935       $pencolor_0191193 = $color_0;
   19936       label = 22;
   19937       break;
   19938     } else {
   19939       label = 17;
   19940       break;
   19941     }
   19942    case 17:
   19943     $15 = HEAP32[41896] | 0;
   19944     $call56 = _default_pencolor($color_0, 121456) | 0;
   19945     $call57 = _late_nnstring($3, $15, $call56) | 0;
   19946     $16 = HEAP32[41898] | 0;
   19947     $call58 = _late_nnstring($3, $16, 120728) | 0;
   19948     $pencolor_0 = $call57;
   19949     $fillcolor_0 = $call58;
   19950     label = 18;
   19951     break;
   19952    case 18:
   19953     $cmp63 = ($pencolor_0 | 0) == ($color_0 | 0);
   19954     if ($cmp63) {
   19955       label = 20;
   19956       break;
   19957     } else {
   19958       label = 19;
   19959       break;
   19960     }
   19961    case 19:
   19962     _gvrender_set_pencolor($job, $pencolor_0);
   19963     label = 20;
   19964     break;
   19965    case 20:
   19966     $cmp67 = ($fillcolor_0 | 0) == ($color_0 | 0);
   19967     if ($cmp67) {
   19968       $pencolor_0191193 = $pencolor_0;
   19969       label = 22;
   19970       break;
   19971     } else {
   19972       label = 21;
   19973       break;
   19974     }
   19975    case 21:
   19976     _gvrender_set_fillcolor($job, $fillcolor_0);
   19977     $pencolor_0191193 = $pencolor_0;
   19978     label = 22;
   19979     break;
   19980    case 22:
   19981     if ($tobool14) {
   19982       label = 54;
   19983       break;
   19984     } else {
   19985       label = 23;
   19986       break;
   19987     }
   19988    case 23:
   19989     $17 = HEAP32[$spl >> 2] | 0;
   19990     $size = $17 + 4 | 0;
   19991     $18 = HEAP32[$size >> 2] | 0;
   19992     $mul = $18 * 48 & -1;
   19993     $call78 = _malloc($mul) | 0;
   19994     $19 = $call78;
   19995     $call81 = _malloc($mul) | 0;
   19996     $20 = $call81;
   19997     $add83 = $numc_0 + 2 | 0;
   19998     $conv84 = +($add83 | 0);
   19999     $div = $conv84 * .5;
   20000     $cmp87216 = ($18 | 0) > 0;
   20001     if ($cmp87216) {
   20002       label = 24;
   20003       break;
   20004     } else {
   20005       label = 31;
   20006       break;
   20007     }
   20008    case 24:
   20009     $21 = $bz;
   20010     $size93 = $bz + 4 | 0;
   20011     $list112 = $bz | 0;
   20012     $22 = $pf3;
   20013     $23 = $tmp193;
   20014     $x194 = $pf3 | 0;
   20015     $y201 = $pf3 + 8 | 0;
   20016     $24 = $pf0;
   20017     $25 = $pf1;
   20018     $26 = $tmp;
   20019     $27 = $tmp140;
   20020     $x = $pf0 | 0;
   20021     $y = $pf0 + 8 | 0;
   20022     $x153 = $pf1 | 0;
   20023     $y162 = $pf1 + 8 | 0;
   20024     $x171 = $pf2 | 0;
   20025     $y180 = $pf2 + 8 | 0;
   20026     $28 = $tmp128;
   20027     $i_0217 = 0;
   20028     label = 25;
   20029     break;
   20030    case 25:
   20031     $29 = HEAP32[$spl >> 2] | 0;
   20032     $list92 = $29 | 0;
   20033     $30 = HEAP32[$list92 >> 2] | 0;
   20034     $arrayidx = $30 + ($i_0217 * 48 & -1) | 0;
   20035     $31 = $arrayidx;
   20036     HEAP32[$21 >> 2] = HEAP32[$31 >> 2] | 0;
   20037     HEAP32[$21 + 4 >> 2] = HEAP32[$31 + 4 >> 2] | 0;
   20038     HEAP32[$21 + 8 >> 2] = HEAP32[$31 + 8 >> 2] | 0;
   20039     HEAP32[$21 + 12 >> 2] = HEAP32[$31 + 12 >> 2] | 0;
   20040     HEAP32[$21 + 16 >> 2] = HEAP32[$31 + 16 >> 2] | 0;
   20041     HEAP32[$21 + 20 >> 2] = HEAP32[$31 + 20 >> 2] | 0;
   20042     HEAP32[$21 + 24 >> 2] = HEAP32[$31 + 24 >> 2] | 0;
   20043     HEAP32[$21 + 28 >> 2] = HEAP32[$31 + 28 >> 2] | 0;
   20044     HEAP32[$21 + 32 >> 2] = HEAP32[$31 + 32 >> 2] | 0;
   20045     HEAP32[$21 + 36 >> 2] = HEAP32[$31 + 36 >> 2] | 0;
   20046     HEAP32[$21 + 40 >> 2] = HEAP32[$31 + 40 >> 2] | 0;
   20047     HEAP32[$21 + 44 >> 2] = HEAP32[$31 + 44 >> 2] | 0;
   20048     $32 = HEAP32[$size93 >> 2] | 0;
   20049     $size96 = $19 + ($i_0217 * 48 & -1) + 4 | 0;
   20050     HEAP32[$size96 >> 2] = $32;
   20051     $size99 = $20 + ($i_0217 * 48 & -1) + 4 | 0;
   20052     HEAP32[$size99 >> 2] = $32;
   20053     $33 = HEAP32[$size93 >> 2] | 0;
   20054     $mul101 = $33 << 4;
   20055     $call102 = _malloc($mul101) | 0;
   20056     $34 = $call102;
   20057     $list105 = $19 + ($i_0217 * 48 & -1) | 0;
   20058     HEAP32[$list105 >> 2] = $34;
   20059     $35 = HEAP32[$size93 >> 2] | 0;
   20060     $mul107 = $35 << 4;
   20061     $call108 = _malloc($mul107) | 0;
   20062     $36 = $call108;
   20063     $list111 = $20 + ($i_0217 * 48 & -1) | 0;
   20064     HEAP32[$list111 >> 2] = $36;
   20065     $37 = HEAP32[$list112 >> 2] | 0;
   20066     $38 = $37;
   20067     HEAP32[$22 >> 2] = HEAP32[$38 >> 2] | 0;
   20068     HEAP32[$22 + 4 >> 2] = HEAP32[$38 + 4 >> 2] | 0;
   20069     HEAP32[$22 + 8 >> 2] = HEAP32[$38 + 8 >> 2] | 0;
   20070     HEAP32[$22 + 12 >> 2] = HEAP32[$38 + 12 >> 2] | 0;
   20071     $39 = HEAP32[$size93 >> 2] | 0;
   20072     $sub212 = $39 - 1 | 0;
   20073     $cmp116213 = ($sub212 | 0) > 0;
   20074     if ($cmp116213) {
   20075       $j_0214 = 0;
   20076       label = 26;
   20077       break;
   20078     } else {
   20079       $j_0_lcssa = 0;
   20080       label = 30;
   20081       break;
   20082     }
   20083    case 26:
   20084     HEAP32[$24 >> 2] = HEAP32[$22 >> 2] | 0;
   20085     HEAP32[$24 + 4 >> 2] = HEAP32[$22 + 4 >> 2] | 0;
   20086     HEAP32[$24 + 8 >> 2] = HEAP32[$22 + 8 >> 2] | 0;
   20087     HEAP32[$24 + 12 >> 2] = HEAP32[$22 + 12 >> 2] | 0;
   20088     $add119 = $j_0214 + 1 | 0;
   20089     $40 = HEAP32[$list112 >> 2] | 0;
   20090     $arrayidx121 = $40 + ($add119 << 4) | 0;
   20091     $41 = $arrayidx121;
   20092     HEAP32[$25 >> 2] = HEAP32[$41 >> 2] | 0;
   20093     HEAP32[$25 + 4 >> 2] = HEAP32[$41 + 4 >> 2] | 0;
   20094     HEAP32[$25 + 8 >> 2] = HEAP32[$41 + 8 >> 2] | 0;
   20095     HEAP32[$25 + 12 >> 2] = HEAP32[$41 + 12 >> 2] | 0;
   20096     $cmp122 = ($j_0214 | 0) == 0;
   20097     $arrayidx125 = $34 + ($j_0214 << 4) | 0;
   20098     if ($cmp122) {
   20099       label = 27;
   20100       break;
   20101     } else {
   20102       label = 28;
   20103       break;
   20104     }
   20105    case 27:
   20106     _computeoffset_p($tmp, $pf0, $pf1);
   20107     $42 = $arrayidx125;
   20108     HEAP32[$42 >> 2] = HEAP32[$26 >> 2] | 0;
   20109     HEAP32[$42 + 4 >> 2] = HEAP32[$26 + 4 >> 2] | 0;
   20110     HEAP32[$42 + 8 >> 2] = HEAP32[$26 + 8 >> 2] | 0;
   20111     HEAP32[$42 + 12 >> 2] = HEAP32[$26 + 12 >> 2] | 0;
   20112     label = 29;
   20113     break;
   20114    case 28:
   20115     _computeoffset_p($tmp128, $pf2, $pf1);
   20116     $43 = $arrayidx125;
   20117     HEAP32[$43 >> 2] = HEAP32[$28 >> 2] | 0;
   20118     HEAP32[$43 + 4 >> 2] = HEAP32[$28 + 4 >> 2] | 0;
   20119     HEAP32[$43 + 8 >> 2] = HEAP32[$28 + 8 >> 2] | 0;
   20120     HEAP32[$43 + 12 >> 2] = HEAP32[$28 + 12 >> 2] | 0;
   20121     label = 29;
   20122     break;
   20123    case 29:
   20124     $add130 = $j_0214 + 2 | 0;
   20125     $44 = HEAP32[$list112 >> 2] | 0;
   20126     $arrayidx132 = $44 + ($add130 << 4) | 0;
   20127     $45 = $arrayidx132;
   20128     HEAP32[$0 >> 2] = HEAP32[$45 >> 2] | 0;
   20129     HEAP32[$0 + 4 >> 2] = HEAP32[$45 + 4 >> 2] | 0;
   20130     HEAP32[$0 + 8 >> 2] = HEAP32[$45 + 8 >> 2] | 0;
   20131     HEAP32[$0 + 12 >> 2] = HEAP32[$45 + 12 >> 2] | 0;
   20132     $add133 = $j_0214 + 3 | 0;
   20133     $46 = HEAP32[$list112 >> 2] | 0;
   20134     $arrayidx135 = $46 + ($add133 << 4) | 0;
   20135     $47 = $arrayidx135;
   20136     HEAP32[$22 >> 2] = HEAP32[$47 >> 2] | 0;
   20137     HEAP32[$22 + 4 >> 2] = HEAP32[$47 + 4 >> 2] | 0;
   20138     HEAP32[$22 + 8 >> 2] = HEAP32[$47 + 8 >> 2] | 0;
   20139     HEAP32[$22 + 12 >> 2] = HEAP32[$47 + 12 >> 2] | 0;
   20140     $arrayidx137 = $34 + ($add119 << 4) | 0;
   20141     $arrayidx139 = $34 + ($add130 << 4) | 0;
   20142     _computeoffset_qr($tmp140, $pf0, $pf1, $pf2, $pf3);
   20143     $48 = $arrayidx139;
   20144     HEAP32[$48 >> 2] = HEAP32[$27 >> 2] | 0;
   20145     HEAP32[$48 + 4 >> 2] = HEAP32[$27 + 4 >> 2] | 0;
   20146     HEAP32[$48 + 8 >> 2] = HEAP32[$27 + 8 >> 2] | 0;
   20147     HEAP32[$48 + 12 >> 2] = HEAP32[$27 + 12 >> 2] | 0;
   20148     $49 = $arrayidx137;
   20149     HEAP32[$49 >> 2] = HEAP32[$27 >> 2] | 0;
   20150     HEAP32[$49 + 4 >> 2] = HEAP32[$27 + 4 >> 2] | 0;
   20151     HEAP32[$49 + 8 >> 2] = HEAP32[$27 + 8 >> 2] | 0;
   20152     HEAP32[$49 + 12 >> 2] = HEAP32[$27 + 12 >> 2] | 0;
   20153     $50 = +HEAPF64[$x >> 3];
   20154     $x142 = $arrayidx125 | 0;
   20155     $51 = +HEAPF64[$x142 >> 3];
   20156     $mul143 = $div * $51;
   20157     $sub144 = $50 - $mul143;
   20158     $x146 = $36 + ($j_0214 << 4) | 0;
   20159     HEAPF64[$x146 >> 3] = $sub144;
   20160     $52 = +HEAPF64[$y >> 3];
   20161     $y148 = $34 + ($j_0214 << 4) + 8 | 0;
   20162     $53 = +HEAPF64[$y148 >> 3];
   20163     $mul149 = $div * $53;
   20164     $sub150 = $52 - $mul149;
   20165     $y152 = $36 + ($j_0214 << 4) + 8 | 0;
   20166     HEAPF64[$y152 >> 3] = $sub150;
   20167     $54 = +HEAPF64[$x153 >> 3];
   20168     $x156 = $arrayidx137 | 0;
   20169     $55 = +HEAPF64[$x156 >> 3];
   20170     $mul157 = $div * $55;
   20171     $sub158 = $54 - $mul157;
   20172     $x161 = $36 + ($add119 << 4) | 0;
   20173     HEAPF64[$x161 >> 3] = $sub158;
   20174     $56 = +HEAPF64[$y162 >> 3];
   20175     $y165 = $34 + ($add119 << 4) + 8 | 0;
   20176     $57 = +HEAPF64[$y165 >> 3];
   20177     $mul166 = $div * $57;
   20178     $sub167 = $56 - $mul166;
   20179     $y170 = $36 + ($add119 << 4) + 8 | 0;
   20180     HEAPF64[$y170 >> 3] = $sub167;
   20181     $58 = +HEAPF64[$x171 >> 3];
   20182     $x174 = $arrayidx139 | 0;
   20183     $59 = +HEAPF64[$x174 >> 3];
   20184     $mul175 = $div * $59;
   20185     $sub176 = $58 - $mul175;
   20186     $x179 = $36 + ($add130 << 4) | 0;
   20187     HEAPF64[$x179 >> 3] = $sub176;
   20188     $60 = +HEAPF64[$y180 >> 3];
   20189     $y183 = $34 + ($add130 << 4) + 8 | 0;
   20190     $61 = +HEAPF64[$y183 >> 3];
   20191     $mul184 = $div * $61;
   20192     $sub185 = $60 - $mul184;
   20193     $y188 = $36 + ($add130 << 4) + 8 | 0;
   20194     HEAPF64[$y188 >> 3] = $sub185;
   20195     $62 = HEAP32[$size93 >> 2] | 0;
   20196     $sub = $62 - 1 | 0;
   20197     $cmp116 = ($add133 | 0) < ($sub | 0);
   20198     if ($cmp116) {
   20199       $j_0214 = $add133;
   20200       label = 26;
   20201       break;
   20202     } else {
   20203       $j_0_lcssa = $add133;
   20204       label = 30;
   20205       break;
   20206     }
   20207    case 30:
   20208     $arrayidx192 = $34 + ($j_0_lcssa << 4) | 0;
   20209     _computeoffset_p($tmp193, $pf2, $pf3);
   20210     $63 = $arrayidx192;
   20211     HEAP32[$63 >> 2] = HEAP32[$23 >> 2] | 0;
   20212     HEAP32[$63 + 4 >> 2] = HEAP32[$23 + 4 >> 2] | 0;
   20213     HEAP32[$63 + 8 >> 2] = HEAP32[$23 + 8 >> 2] | 0;
   20214     HEAP32[$63 + 12 >> 2] = HEAP32[$23 + 12 >> 2] | 0;
   20215     $64 = +HEAPF64[$x194 >> 3];
   20216     $x196 = $arrayidx192 | 0;
   20217     $65 = +HEAPF64[$x196 >> 3];
   20218     $mul197 = $div * $65;
   20219     $sub198 = $64 - $mul197;
   20220     $x200 = $36 + ($j_0_lcssa << 4) | 0;
   20221     HEAPF64[$x200 >> 3] = $sub198;
   20222     $66 = +HEAPF64[$y201 >> 3];
   20223     $y203 = $34 + ($j_0_lcssa << 4) + 8 | 0;
   20224     $67 = +HEAPF64[$y203 >> 3];
   20225     $mul204 = $div * $67;
   20226     $sub205 = $66 - $mul204;
   20227     $y207 = $36 + ($j_0_lcssa << 4) + 8 | 0;
   20228     HEAPF64[$y207 >> 3] = $sub205;
   20229     $inc209 = $i_0217 + 1 | 0;
   20230     $cmp87 = ($inc209 | 0) < ($18 | 0);
   20231     if ($cmp87) {
   20232       $i_0217 = $inc209;
   20233       label = 25;
   20234       break;
   20235     } else {
   20236       label = 31;
   20237       break;
   20238     }
   20239    case 31:
   20240     $call211 = _strdup($pencolor_0191193 | 0) | 0;
   20241     $call212 = _strtok($call211 | 0, 98688) | 0;
   20242     $tobool214203 = ($call212 | 0) == 0;
   20243     if ($tobool214203) {
   20244       $headcolor_0_lcssa = $pencolor_0191193;
   20245       $tailcolor_0_lcssa = $pencolor_0191193;
   20246       label = 41;
   20247       break;
   20248     } else {
   20249       label = 32;
   20250       break;
   20251     }
   20252    case 32:
   20253     $cmp241201 = ($18 | 0) > 0;
   20254     $headcolor_0204 = $pencolor_0191193;
   20255     $tailcolor_0205 = $pencolor_0191193;
   20256     $lastcolor_0206 = $pencolor_0191193;
   20257     $color_1207 = $call212;
   20258     $cnum_0208 = 0;
   20259     label = 33;
   20260     break;
   20261    case 33:
   20262     $68 = HEAP8[$color_1207] | 0;
   20263     $tobool217 = $68 << 24 >> 24 == 0;
   20264     $_color_1 = $tobool217 ? 117856 : $color_1207;
   20265     $cmp220 = ($_color_1 | 0) == ($lastcolor_0206 | 0);
   20266     if ($cmp220) {
   20267       $lastcolor_1 = $lastcolor_0206;
   20268       label = 36;
   20269       break;
   20270     } else {
   20271       label = 34;
   20272       break;
   20273     }
   20274    case 34:
   20275     $69 = HEAP8[$gui_state] | 0;
   20276     $and226 = $69 & 3;
   20277     $tobool227 = $and226 << 24 >> 24 == 0;
   20278     if ($tobool227) {
   20279       label = 35;
   20280       break;
   20281     } else {
   20282       $lastcolor_1 = $_color_1;
   20283       label = 36;
   20284       break;
   20285     }
   20286    case 35:
   20287     _gvrender_set_pencolor($job, $_color_1);
   20288     _gvrender_set_fillcolor($job, $_color_1);
   20289     $lastcolor_1 = $_color_1;
   20290     label = 36;
   20291     break;
   20292    case 36:
   20293     $cmp231 = ($cnum_0208 | 0) == 0;
   20294     $_color_1_headcolor_0 = $cmp231 ? $_color_1 : $headcolor_0204;
   20295     $_color_1_tailcolor_0 = $cmp231 ? $_color_1 : $tailcolor_0205;
   20296     $cmp235 = ($cnum_0208 | 0) == 1;
   20297     $tailcolor_2 = $cmp235 ? $_color_1 : $_color_1_tailcolor_0;
   20298     if ($cmp241201) {
   20299       $i_1202 = 0;
   20300       label = 37;
   20301       break;
   20302     } else {
   20303       label = 40;
   20304       break;
   20305     }
   20306    case 37:
   20307     $list246 = $20 + ($i_1202 * 48 & -1) | 0;
   20308     $70 = HEAP32[$list246 >> 2] | 0;
   20309     $list249 = $19 + ($i_1202 * 48 & -1) | 0;
   20310     $71 = HEAP32[$list249 >> 2] | 0;
   20311     $size253 = $20 + ($i_1202 * 48 & -1) + 4 | 0;
   20312     $72 = HEAP32[$size253 >> 2] | 0;
   20313     $cmp254199 = ($72 | 0) > 0;
   20314     if ($cmp254199) {
   20315       $j_1200 = 0;
   20316       label = 38;
   20317       break;
   20318     } else {
   20319       $_lcssa = $72;
   20320       label = 39;
   20321       break;
   20322     }
   20323    case 38:
   20324     $x258 = $71 + ($j_1200 << 4) | 0;
   20325     $73 = +HEAPF64[$x258 >> 3];
   20326     $x260 = $70 + ($j_1200 << 4) | 0;
   20327     $74 = +HEAPF64[$x260 >> 3];
   20328     $add261 = $73 + $74;
   20329     HEAPF64[$x260 >> 3] = $add261;
   20330     $y263 = $71 + ($j_1200 << 4) + 8 | 0;
   20331     $75 = +HEAPF64[$y263 >> 3];
   20332     $y265 = $70 + ($j_1200 << 4) + 8 | 0;
   20333     $76 = +HEAPF64[$y265 >> 3];
   20334     $add266 = $75 + $76;
   20335     HEAPF64[$y265 >> 3] = $add266;
   20336     $inc268 = $j_1200 + 1 | 0;
   20337     $77 = HEAP32[$size253 >> 2] | 0;
   20338     $cmp254 = ($inc268 | 0) < ($77 | 0);
   20339     if ($cmp254) {
   20340       $j_1200 = $inc268;
   20341       label = 38;
   20342       break;
   20343     } else {
   20344       $_lcssa = $77;
   20345       label = 39;
   20346       break;
   20347     }
   20348    case 39:
   20349     _gvrender_beziercurve($job, $70, $_lcssa, 0, 0, 0);
   20350     $inc274 = $i_1202 + 1 | 0;
   20351     $cmp241 = ($inc274 | 0) < ($18 | 0);
   20352     if ($cmp241) {
   20353       $i_1202 = $inc274;
   20354       label = 37;
   20355       break;
   20356     } else {
   20357       label = 40;
   20358       break;
   20359     }
   20360    case 40:
   20361     $inc277 = $cnum_0208 + 1 | 0;
   20362     $call278 = _strtok(0, 98688) | 0;
   20363     $tobool214 = ($call278 | 0) == 0;
   20364     if ($tobool214) {
   20365       $headcolor_0_lcssa = $_color_1_headcolor_0;
   20366       $tailcolor_0_lcssa = $tailcolor_2;
   20367       label = 41;
   20368       break;
   20369     } else {
   20370       $headcolor_0204 = $_color_1_headcolor_0;
   20371       $tailcolor_0205 = $tailcolor_2;
   20372       $lastcolor_0206 = $lastcolor_1;
   20373       $color_1207 = $call278;
   20374       $cnum_0208 = $inc277;
   20375       label = 33;
   20376       break;
   20377     }
   20378    case 41:
   20379     $sflag = $bz + 8 | 0;
   20380     $78 = HEAP32[$sflag >> 2] | 0;
   20381     $tobool280 = ($78 | 0) == 0;
   20382     if ($tobool280) {
   20383       $color_4 = 0;
   20384       label = 46;
   20385       break;
   20386     } else {
   20387       label = 42;
   20388       break;
   20389     }
   20390    case 42:
   20391     $cmp282 = ($tailcolor_0_lcssa | 0) == 0;
   20392     if ($cmp282) {
   20393       $color_3 = 0;
   20394       label = 45;
   20395       break;
   20396     } else {
   20397       label = 43;
   20398       break;
   20399     }
   20400    case 43:
   20401     $79 = HEAP8[$gui_state] | 0;
   20402     $and288 = $79 & 3;
   20403     $tobool289 = $and288 << 24 >> 24 == 0;
   20404     if ($tobool289) {
   20405       label = 44;
   20406       break;
   20407     } else {
   20408       $color_3 = $tailcolor_0_lcssa;
   20409       label = 45;
   20410       break;
   20411     }
   20412    case 44:
   20413     _gvrender_set_pencolor($job, $tailcolor_0_lcssa);
   20414     _gvrender_set_fillcolor($job, $tailcolor_0_lcssa);
   20415     $color_3 = $tailcolor_0_lcssa;
   20416     label = 45;
   20417     break;
   20418    case 45:
   20419     $sp = $bz + 16 | 0;
   20420     $list293 = $bz | 0;
   20421     $80 = HEAP32[$list293 >> 2] | 0;
   20422     $81 = HEAP32[$sflag >> 2] | 0;
   20423     _arrow_gen($job, 2, $sp, $80, $call2, $2, $81);
   20424     $color_4 = $color_3;
   20425     label = 46;
   20426     break;
   20427    case 46:
   20428     $eflag = $bz + 12 | 0;
   20429     $82 = HEAP32[$eflag >> 2] | 0;
   20430     $tobool297 = ($82 | 0) == 0;
   20431     if ($tobool297) {
   20432       label = 51;
   20433       break;
   20434     } else {
   20435       label = 47;
   20436       break;
   20437     }
   20438    case 47:
   20439     $cmp299 = ($color_4 | 0) == ($headcolor_0_lcssa | 0);
   20440     if ($cmp299) {
   20441       label = 50;
   20442       break;
   20443     } else {
   20444       label = 48;
   20445       break;
   20446     }
   20447    case 48:
   20448     $83 = HEAP8[$gui_state] | 0;
   20449     $and305 = $83 & 3;
   20450     $tobool306 = $and305 << 24 >> 24 == 0;
   20451     if ($tobool306) {
   20452       label = 49;
   20453       break;
   20454     } else {
   20455       label = 50;
   20456       break;
   20457     }
   20458    case 49:
   20459     _gvrender_set_pencolor($job, $headcolor_0_lcssa);
   20460     _gvrender_set_fillcolor($job, $headcolor_0_lcssa);
   20461     label = 50;
   20462     break;
   20463    case 50:
   20464     $ep = $bz + 32 | 0;
   20465     $size310 = $bz + 4 | 0;
   20466     $84 = HEAP32[$size310 >> 2] | 0;
   20467     $sub311 = $84 - 1 | 0;
   20468     $list312 = $bz | 0;
   20469     $85 = HEAP32[$list312 >> 2] | 0;
   20470     $arrayidx313 = $85 + ($sub311 << 4) | 0;
   20471     $86 = HEAP32[$eflag >> 2] | 0;
   20472     _arrow_gen($job, 3, $ep, $arrayidx313, $call2, $2, $86);
   20473     label = 51;
   20474     break;
   20475    case 51:
   20476     _free($call211);
   20477     $cmp318197 = ($18 | 0) > 0;
   20478     if ($cmp318197) {
   20479       $i_2198 = 0;
   20480       label = 52;
   20481       break;
   20482     } else {
   20483       label = 53;
   20484       break;
   20485     }
   20486    case 52:
   20487     $list323 = $19 + ($i_2198 * 48 & -1) | 0;
   20488     $87 = HEAP32[$list323 >> 2] | 0;
   20489     $88 = $87;
   20490     _free($88);
   20491     $list326 = $20 + ($i_2198 * 48 & -1) | 0;
   20492     $89 = HEAP32[$list326 >> 2] | 0;
   20493     $90 = $89;
   20494     _free($90);
   20495     $inc328 = $i_2198 + 1 | 0;
   20496     $cmp318 = ($inc328 | 0) < ($18 | 0);
   20497     if ($cmp318) {
   20498       $i_2198 = $inc328;
   20499       label = 52;
   20500       break;
   20501     } else {
   20502       label = 53;
   20503       break;
   20504     }
   20505    case 53:
   20506     _free($call78);
   20507     _free($call81);
   20508     label = 72;
   20509     break;
   20510    case 54:
   20511     $91 = HEAP8[$gui_state] | 0;
   20512     $and336 = $91 & 3;
   20513     $tobool337 = $and336 << 24 >> 24 == 0;
   20514     if ($tobool337) {
   20515       label = 55;
   20516       break;
   20517     } else {
   20518       label = 58;
   20519       break;
   20520     }
   20521    case 55:
   20522     $92 = HEAP8[$pencolor_0191193] | 0;
   20523     $tobool340 = $92 << 24 >> 24 == 0;
   20524     if ($tobool340) {
   20525       label = 57;
   20526       break;
   20527     } else {
   20528       label = 56;
   20529       break;
   20530     }
   20531    case 56:
   20532     _gvrender_set_pencolor($job, $pencolor_0191193);
   20533     _gvrender_set_fillcolor($job, $pencolor_0191193);
   20534     label = 58;
   20535     break;
   20536    case 57:
   20537     _gvrender_set_pencolor($job, 117856);
   20538     _gvrender_set_fillcolor($job, 117856);
   20539     label = 58;
   20540     break;
   20541    case 58:
   20542     $93 = HEAP32[$spl >> 2] | 0;
   20543     $size348194 = $93 + 4 | 0;
   20544     $94 = HEAP32[$size348194 >> 2] | 0;
   20545     $cmp349195 = ($94 | 0) > 0;
   20546     if ($cmp349195) {
   20547       label = 59;
   20548       break;
   20549     } else {
   20550       label = 72;
   20551       break;
   20552     }
   20553    case 59:
   20554     $95 = $bz;
   20555     $flags = $job + 152 | 0;
   20556     $list359 = $bz | 0;
   20557     $size360 = $bz + 4 | 0;
   20558     $sflag366 = $bz + 8 | 0;
   20559     $eflag374 = $bz + 12 | 0;
   20560     $tobool395 = ($styles | 0) == 0;
   20561     $tobool395_old = ($styles | 0) == 0;
   20562     $ep377 = $bz + 32 | 0;
   20563     $sp369 = $bz + 16 | 0;
   20564     $sflag361 = $bz + 8 | 0;
   20565     $eflag362 = $bz + 12 | 0;
   20566     $i_3196 = 0;
   20567     $96 = $93;
   20568     label = 60;
   20569     break;
   20570    case 60:
   20571     $list354 = $96 | 0;
   20572     $97 = HEAP32[$list354 >> 2] | 0;
   20573     $arrayidx355 = $97 + ($i_3196 * 48 & -1) | 0;
   20574     $98 = $arrayidx355;
   20575     HEAP32[$95 >> 2] = HEAP32[$98 >> 2] | 0;
   20576     HEAP32[$95 + 4 >> 2] = HEAP32[$98 + 4 >> 2] | 0;
   20577     HEAP32[$95 + 8 >> 2] = HEAP32[$98 + 8 >> 2] | 0;
   20578     HEAP32[$95 + 12 >> 2] = HEAP32[$98 + 12 >> 2] | 0;
   20579     HEAP32[$95 + 16 >> 2] = HEAP32[$98 + 16 >> 2] | 0;
   20580     HEAP32[$95 + 20 >> 2] = HEAP32[$98 + 20 >> 2] | 0;
   20581     HEAP32[$95 + 24 >> 2] = HEAP32[$98 + 24 >> 2] | 0;
   20582     HEAP32[$95 + 28 >> 2] = HEAP32[$98 + 28 >> 2] | 0;
   20583     HEAP32[$95 + 32 >> 2] = HEAP32[$98 + 32 >> 2] | 0;
   20584     HEAP32[$95 + 36 >> 2] = HEAP32[$98 + 36 >> 2] | 0;
   20585     HEAP32[$95 + 40 >> 2] = HEAP32[$98 + 40 >> 2] | 0;
   20586     HEAP32[$95 + 44 >> 2] = HEAP32[$98 + 44 >> 2] | 0;
   20587     $99 = HEAP32[$flags >> 2] | 0;
   20588     $and356 = $99 & 16384;
   20589     $tobool357 = ($and356 | 0) == 0;
   20590     $100 = HEAP32[$list359 >> 2] | 0;
   20591     $101 = HEAP32[$size360 >> 2] | 0;
   20592     if ($tobool357) {
   20593       label = 62;
   20594       break;
   20595     } else {
   20596       label = 61;
   20597       break;
   20598     }
   20599    case 61:
   20600     $102 = HEAP32[$sflag361 >> 2] | 0;
   20601     $103 = HEAP32[$eflag362 >> 2] | 0;
   20602     _gvrender_beziercurve($job, $100, $101, $102, $103, 0);
   20603     label = 71;
   20604     break;
   20605    case 62:
   20606     _gvrender_beziercurve($job, $100, $101, 0, 0, 0);
   20607     $104 = HEAP32[$sflag366 >> 2] | 0;
   20608     $tobool367 = ($104 | 0) == 0;
   20609     if ($tobool367) {
   20610       label = 64;
   20611       break;
   20612     } else {
   20613       label = 63;
   20614       break;
   20615     }
   20616    case 63:
   20617     $105 = HEAP32[$list359 >> 2] | 0;
   20618     _arrow_gen($job, 2, $sp369, $105, $call2, $2, $104);
   20619     label = 64;
   20620     break;
   20621    case 64:
   20622     $106 = HEAP32[$eflag374 >> 2] | 0;
   20623     $tobool375 = ($106 | 0) == 0;
   20624     if ($tobool375) {
   20625       label = 66;
   20626       break;
   20627     } else {
   20628       label = 65;
   20629       break;
   20630     }
   20631    case 65:
   20632     $107 = HEAP32[$size360 >> 2] | 0;
   20633     $sub379 = $107 - 1 | 0;
   20634     $108 = HEAP32[$list359 >> 2] | 0;
   20635     $arrayidx381 = $108 + ($sub379 << 4) | 0;
   20636     _arrow_gen($job, 3, $ep377, $arrayidx381, $call2, $2, $106);
   20637     label = 66;
   20638     break;
   20639    case 66:
   20640     $109 = HEAP32[$spl >> 2] | 0;
   20641     $size386 = $109 + 4 | 0;
   20642     $110 = HEAP32[$size386 >> 2] | 0;
   20643     $cmp387 = ($110 | 0) > 1;
   20644     if ($cmp387) {
   20645       label = 67;
   20646       break;
   20647     } else {
   20648       label = 71;
   20649       break;
   20650     }
   20651    case 67:
   20652     $111 = HEAP32[$sflag366 >> 2] | 0;
   20653     $tobool391 = ($111 | 0) == 0;
   20654     if ($tobool391) {
   20655       label = 68;
   20656       break;
   20657     } else {
   20658       label = 69;
   20659       break;
   20660     }
   20661    case 68:
   20662     $112 = HEAP32[$eflag374 >> 2] | 0;
   20663     $tobool393 = ($112 | 0) == 0;
   20664     $or_cond188 = $tobool393 | $tobool395;
   20665     if ($or_cond188) {
   20666       label = 71;
   20667       break;
   20668     } else {
   20669       label = 70;
   20670       break;
   20671     }
   20672    case 69:
   20673     if ($tobool395_old) {
   20674       label = 71;
   20675       break;
   20676     } else {
   20677       label = 70;
   20678       break;
   20679     }
   20680    case 70:
   20681     _gvrender_set_style($job, $styles);
   20682     label = 71;
   20683     break;
   20684    case 71:
   20685     $inc400 = $i_3196 + 1 | 0;
   20686     $113 = HEAP32[$spl >> 2] | 0;
   20687     $size348 = $113 + 4 | 0;
   20688     $114 = HEAP32[$size348 >> 2] | 0;
   20689     $cmp349 = ($inc400 | 0) < ($114 | 0);
   20690     if ($cmp349) {
   20691       $i_3196 = $inc400;
   20692       $96 = $113;
   20693       label = 60;
   20694       break;
   20695     } else {
   20696       label = 72;
   20697       break;
   20698     }
   20699    case 72:
   20700     STACKTOP = __stackBase__;
   20701     return;
   20702   }
   20703 }
   20704 function _emit_end_edge($job) {
   20705   $job = $job | 0;
   20706   var $p = 0, $bz_sroa_4 = 0, $obj1 = 0, $0 = 0, $u = 0, $e2 = 0, $1 = 0, $url = 0, $2 = 0, $tobool = 0, $3 = 0, $4 = 0, $5 = 0, $_mask = 0, $tobool3 = 0, $url_bsplinemap_poly_n = 0, $6 = 0, $tobool4 = 0, $url_bsplinemap_n = 0, $7 = 0, $cmp95 = 0, $8 = 0, $9 = 0, $url_map_n = 0, $url_bsplinemap_p = 0, $url_map_p = 0, $tooltip = 0, $target = 0, $id = 0, $nump_097 = 0, $i_096 = 0, $10 = 0, $arrayidx8 = 0, $11 = 0, $12 = 0, $arrayidx9 = 0, $13 = 0, $14 = 0, $15 = 0, $16 = 0, $17 = 0, $arrayidx12 = 0, $18 = 0, $add = 0, $inc = 0, $19 = 0, $cmp = 0, $url_map_n14 = 0, $url_map_p15 = 0, $spl = 0, $20 = 0, $tobool17 = 0, $list = 0, $21 = 0, $bz_sroa_0_0__idx2 = 0, $bz_sroa_0_0_copyload3 = 0, $bz_sroa_2_8__idx8 = 0, $bz_sroa_2_8_copyload9 = 0, $bz_sroa_4_16__idx13 = 0, $bz_sroa_4_16__cast14 = 0, $bz_sroa_4_16_cast15 = 0, $tobool22 = 0, $22 = 0, $23 = 0, $24 = 0, $25 = 0, $26 = 0, $27 = 0, $28 = 0, $bf_val_sext29 = 0, $conv = 0, $tailurl = 0, $29 = 0, $30 = 0, $31 = 0, $bf_val_sext32 = 0, $conv33 = 0, $32 = 0, $33 = 0, $bf_val_sext36 = 0, $conv37 = 0, $34 = 0, $size = 0, $35 = 0, $sub = 0, $list42 = 0, $36 = 0, $bz_sroa_0_0__idx = 0, $bz_sroa_0_0_copyload = 0, $bz_sroa_1_4__idx4 = 0, $bz_sroa_1_4_copyload = 0, $bz_sroa_3_12__idx10 = 0, $bz_sroa_3_12_copyload = 0, $bz_sroa_4_16__idx = 0, $bz_sroa_4_16__cast = 0, $tobool44 = 0, $bz_sroa_4_32_idx = 0, $bz_sroa_4_32_cast = 0, $sub48 = 0, $arrayidx50 = 0, $37 = 0, $38 = 0, $39 = 0, $40 = 0, $bf_val_sext54 = 0, $conv55 = 0, $headurl = 0, $41 = 0, $42 = 0, $43 = 0, $bf_val_sext58 = 0, $conv59 = 0, $44 = 0, $45 = 0, $bf_val_sext62 = 0, $conv63 = 0, $label = 0, $46 = 0, $47 = 0, $48 = 0, $49 = 0, $50 = 0, $51 = 0, $bf_val_sext68 = 0, $labelurl = 0, $52 = 0, $labeltooltip = 0, $53 = 0, $labeltarget = 0, $54 = 0, $id69 = 0, $55 = 0, $56 = 0, $57 = 0, $call = 0, $call70 = 0, $tobool72 = 0, $58 = 0, $cond = 0, $xlabel = 0, $59 = 0, $60 = 0, $61 = 0, $62 = 0, $bf_val_sext81 = 0, $63 = 0, $64 = 0, $65 = 0, $66 = 0, $67 = 0, $call86 = 0, $call87 = 0, $tobool89 = 0, $68 = 0, $cond99 = 0, $head_label = 0, $69 = 0, $70 = 0, $71 = 0, $72 = 0, $bf_val_sext103 = 0, $headurl104 = 0, $73 = 0, $headtooltip105 = 0, $74 = 0, $headtarget106 = 0, $75 = 0, $76 = 0, $tail_label = 0, $77 = 0, $78 = 0, $79 = 0, $80 = 0, $bf_val_sext111 = 0, $tailurl112 = 0, $81 = 0, $tailtooltip113 = 0, $82 = 0, $tailtarget114 = 0, $83 = 0, $84 = 0, label = 0, __stackBase__ = 0;
   20707   __stackBase__ = STACKTOP;
   20708   STACKTOP = STACKTOP + 48 | 0;
   20709   label = 2;
   20710   while (1) switch (label | 0) {
   20711    case 2:
   20712     $p = __stackBase__ | 0;
   20713     $bz_sroa_4 = __stackBase__ + 16 | 0;
   20714     $obj1 = $job + 16 | 0;
   20715     $0 = HEAP32[$obj1 >> 2] | 0;
   20716     $u = $0 + 8 | 0;
   20717     $e2 = $u;
   20718     $1 = HEAP32[$e2 >> 2] | 0;
   20719     $url = $0 + 160 | 0;
   20720     $2 = HEAP32[$url >> 2] | 0;
   20721     $tobool = ($2 | 0) == 0;
   20722     if ($tobool) {
   20723       label = 3;
   20724       break;
   20725     } else {
   20726       label = 4;
   20727       break;
   20728     }
   20729    case 3:
   20730     $3 = $0 + 212 | 0;
   20731     $4 = $3;
   20732     $5 = HEAP32[$4 >> 2] | 0;
   20733     $_mask = $5 & 1;
   20734     $tobool3 = ($_mask | 0) == 0;
   20735     if ($tobool3) {
   20736       label = 8;
   20737       break;
   20738     } else {
   20739       label = 4;
   20740       break;
   20741     }
   20742    case 4:
   20743     _gvrender_end_anchor($job);
   20744     $url_bsplinemap_poly_n = $0 + 228 | 0;
   20745     $6 = HEAP32[$url_bsplinemap_poly_n >> 2] | 0;
   20746     $tobool4 = ($6 | 0) == 0;
   20747     if ($tobool4) {
   20748       label = 8;
   20749       break;
   20750     } else {
   20751       label = 5;
   20752       break;
   20753     }
   20754    case 5:
   20755     $url_bsplinemap_n = $0 + 232 | 0;
   20756     $7 = HEAP32[$url_bsplinemap_poly_n >> 2] | 0;
   20757     $cmp95 = ($7 | 0) > 1;
   20758     if ($cmp95) {
   20759       label = 6;
   20760       break;
   20761     } else {
   20762       label = 8;
   20763       break;
   20764     }
   20765    case 6:
   20766     $8 = HEAP32[$url_bsplinemap_n >> 2] | 0;
   20767     $9 = HEAP32[$8 >> 2] | 0;
   20768     $url_map_n = $0 + 220 | 0;
   20769     $url_bsplinemap_p = $0 + 236 | 0;
   20770     $url_map_p = $0 + 224 | 0;
   20771     $tooltip = $0 + 180 | 0;
   20772     $target = $0 + 196 | 0;
   20773     $id = $0 + 164 | 0;
   20774     $i_096 = 1;
   20775     $nump_097 = $9;
   20776     label = 7;
   20777     break;
   20778    case 7:
   20779     $10 = HEAP32[$url_bsplinemap_n >> 2] | 0;
   20780     $arrayidx8 = $10 + ($i_096 << 2) | 0;
   20781     $11 = HEAP32[$arrayidx8 >> 2] | 0;
   20782     HEAP32[$url_map_n >> 2] = $11;
   20783     $12 = HEAP32[$url_bsplinemap_p >> 2] | 0;
   20784     $arrayidx9 = $12 + ($nump_097 << 4) | 0;
   20785     HEAP32[$url_map_p >> 2] = $arrayidx9;
   20786     $13 = HEAP32[$url >> 2] | 0;
   20787     $14 = HEAP32[$tooltip >> 2] | 0;
   20788     $15 = HEAP32[$target >> 2] | 0;
   20789     $16 = HEAP32[$id >> 2] | 0;
   20790     _gvrender_begin_anchor($job, $13, $14, $15, $16);
   20791     _gvrender_end_anchor($job);
   20792     $17 = HEAP32[$url_bsplinemap_n >> 2] | 0;
   20793     $arrayidx12 = $17 + ($i_096 << 2) | 0;
   20794     $18 = HEAP32[$arrayidx12 >> 2] | 0;
   20795     $add = $18 + $nump_097 | 0;
   20796     $inc = $i_096 + 1 | 0;
   20797     $19 = HEAP32[$url_bsplinemap_poly_n >> 2] | 0;
   20798     $cmp = ($inc | 0) < ($19 | 0);
   20799     if ($cmp) {
   20800       $i_096 = $inc;
   20801       $nump_097 = $add;
   20802       label = 7;
   20803       break;
   20804     } else {
   20805       label = 8;
   20806       break;
   20807     }
   20808    case 8:
   20809     $url_map_n14 = $0 + 220 | 0;
   20810     HEAP32[$url_map_n14 >> 2] = 0;
   20811     $url_map_p15 = $0 + 224 | 0;
   20812     HEAP32[$url_map_p15 >> 2] = 0;
   20813     $spl = $1 + 24 | 0;
   20814     $20 = HEAP32[$spl >> 2] | 0;
   20815     $tobool17 = ($20 | 0) == 0;
   20816     if ($tobool17) {
   20817       label = 16;
   20818       break;
   20819     } else {
   20820       label = 9;
   20821       break;
   20822     }
   20823    case 9:
   20824     $list = $20 | 0;
   20825     $21 = HEAP32[$list >> 2] | 0;
   20826     $bz_sroa_0_0__idx2 = $21 | 0;
   20827     $bz_sroa_0_0_copyload3 = HEAP32[$bz_sroa_0_0__idx2 >> 2] | 0;
   20828     $bz_sroa_2_8__idx8 = $21 + 8 | 0;
   20829     $bz_sroa_2_8_copyload9 = HEAP32[$bz_sroa_2_8__idx8 >> 2] | 0;
   20830     $bz_sroa_4_16__idx13 = $21 + 16 | 0;
   20831     $bz_sroa_4_16__cast14 = $bz_sroa_4_16__idx13;
   20832     $bz_sroa_4_16_cast15 = $bz_sroa_4;
   20833     HEAP32[$bz_sroa_4_16_cast15 >> 2] = HEAP32[$bz_sroa_4_16__cast14 >> 2] | 0;
   20834     HEAP32[$bz_sroa_4_16_cast15 + 4 >> 2] = HEAP32[$bz_sroa_4_16__cast14 + 4 >> 2] | 0;
   20835     HEAP32[$bz_sroa_4_16_cast15 + 8 >> 2] = HEAP32[$bz_sroa_4_16__cast14 + 8 >> 2] | 0;
   20836     HEAP32[$bz_sroa_4_16_cast15 + 12 >> 2] = HEAP32[$bz_sroa_4_16__cast14 + 12 >> 2] | 0;
   20837     HEAP32[$bz_sroa_4_16_cast15 + 16 >> 2] = HEAP32[$bz_sroa_4_16__cast14 + 16 >> 2] | 0;
   20838     HEAP32[$bz_sroa_4_16_cast15 + 20 >> 2] = HEAP32[$bz_sroa_4_16__cast14 + 20 >> 2] | 0;
   20839     HEAP32[$bz_sroa_4_16_cast15 + 24 >> 2] = HEAP32[$bz_sroa_4_16__cast14 + 24 >> 2] | 0;
   20840     HEAP32[$bz_sroa_4_16_cast15 + 28 >> 2] = HEAP32[$bz_sroa_4_16__cast14 + 28 >> 2] | 0;
   20841     $tobool22 = ($bz_sroa_2_8_copyload9 | 0) == 0;
   20842     $22 = $p;
   20843     if ($tobool22) {
   20844       label = 11;
   20845       break;
   20846     } else {
   20847       label = 10;
   20848       break;
   20849     }
   20850    case 10:
   20851     HEAP32[$22 >> 2] = HEAP32[$bz_sroa_4_16_cast15 >> 2] | 0;
   20852     HEAP32[$22 + 4 >> 2] = HEAP32[$bz_sroa_4_16_cast15 + 4 >> 2] | 0;
   20853     HEAP32[$22 + 8 >> 2] = HEAP32[$bz_sroa_4_16_cast15 + 8 >> 2] | 0;
   20854     HEAP32[$22 + 12 >> 2] = HEAP32[$bz_sroa_4_16_cast15 + 12 >> 2] | 0;
   20855     label = 12;
   20856     break;
   20857    case 11:
   20858     $23 = $bz_sroa_0_0_copyload3;
   20859     HEAP32[$22 >> 2] = HEAP32[$23 >> 2] | 0;
   20860     HEAP32[$22 + 4 >> 2] = HEAP32[$23 + 4 >> 2] | 0;
   20861     HEAP32[$22 + 8 >> 2] = HEAP32[$23 + 8 >> 2] | 0;
   20862     HEAP32[$22 + 12 >> 2] = HEAP32[$23 + 12 >> 2] | 0;
   20863     label = 12;
   20864     break;
   20865    case 12:
   20866     $24 = $0 + 212 | 0;
   20867     $25 = $24;
   20868     $26 = HEAP32[$25 >> 2] | 0;
   20869     $27 = $26 >>> 7;
   20870     $28 = $27 << 31;
   20871     $bf_val_sext29 = $28 >> 31;
   20872     $conv = $bf_val_sext29 & 255;
   20873     $tailurl = $0 + 172 | 0;
   20874     $29 = HEAP32[$tailurl >> 2] | 0;
   20875     $30 = $26 >>> 1;
   20876     $31 = $30 << 31;
   20877     $bf_val_sext32 = $31 >> 31;
   20878     $conv33 = $bf_val_sext32 & 255;
   20879     $32 = $26 >>> 4;
   20880     $33 = $32 << 31;
   20881     $bf_val_sext36 = $33 >> 31;
   20882     $conv37 = $bf_val_sext36 & 255;
   20883     _nodeIntersect($job, $p, $conv, $29, $conv33, $conv37);
   20884     $34 = HEAP32[$spl >> 2] | 0;
   20885     $size = $34 + 4 | 0;
   20886     $35 = HEAP32[$size >> 2] | 0;
   20887     $sub = $35 - 1 | 0;
   20888     $list42 = $34 | 0;
   20889     $36 = HEAP32[$list42 >> 2] | 0;
   20890     $bz_sroa_0_0__idx = $36 + ($sub * 48 & -1) | 0;
   20891     $bz_sroa_0_0_copyload = HEAP32[$bz_sroa_0_0__idx >> 2] | 0;
   20892     $bz_sroa_1_4__idx4 = $36 + ($sub * 48 & -1) + 4 | 0;
   20893     $bz_sroa_1_4_copyload = HEAP32[$bz_sroa_1_4__idx4 >> 2] | 0;
   20894     $bz_sroa_3_12__idx10 = $36 + ($sub * 48 & -1) + 12 | 0;
   20895     $bz_sroa_3_12_copyload = HEAP32[$bz_sroa_3_12__idx10 >> 2] | 0;
   20896     $bz_sroa_4_16__idx = $36 + ($sub * 48 & -1) + 16 | 0;
   20897     $bz_sroa_4_16__cast = $bz_sroa_4_16__idx;
   20898     HEAP32[$bz_sroa_4_16_cast15 >> 2] = HEAP32[$bz_sroa_4_16__cast >> 2] | 0;
   20899     HEAP32[$bz_sroa_4_16_cast15 + 4 >> 2] = HEAP32[$bz_sroa_4_16__cast + 4 >> 2] | 0;
   20900     HEAP32[$bz_sroa_4_16_cast15 + 8 >> 2] = HEAP32[$bz_sroa_4_16__cast + 8 >> 2] | 0;
   20901     HEAP32[$bz_sroa_4_16_cast15 + 12 >> 2] = HEAP32[$bz_sroa_4_16__cast + 12 >> 2] | 0;
   20902     HEAP32[$bz_sroa_4_16_cast15 + 16 >> 2] = HEAP32[$bz_sroa_4_16__cast + 16 >> 2] | 0;
   20903     HEAP32[$bz_sroa_4_16_cast15 + 20 >> 2] = HEAP32[$bz_sroa_4_16__cast + 20 >> 2] | 0;
   20904     HEAP32[$bz_sroa_4_16_cast15 + 24 >> 2] = HEAP32[$bz_sroa_4_16__cast + 24 >> 2] | 0;
   20905     HEAP32[$bz_sroa_4_16_cast15 + 28 >> 2] = HEAP32[$bz_sroa_4_16__cast + 28 >> 2] | 0;
   20906     $tobool44 = ($bz_sroa_3_12_copyload | 0) == 0;
   20907     if ($tobool44) {
   20908       label = 14;
   20909       break;
   20910     } else {
   20911       label = 13;
   20912       break;
   20913     }
   20914    case 13:
   20915     $bz_sroa_4_32_idx = $bz_sroa_4 + 16 | 0;
   20916     $bz_sroa_4_32_cast = $bz_sroa_4_32_idx;
   20917     HEAP32[$22 >> 2] = HEAP32[$bz_sroa_4_32_cast >> 2] | 0;
   20918     HEAP32[$22 + 4 >> 2] = HEAP32[$bz_sroa_4_32_cast + 4 >> 2] | 0;
   20919     HEAP32[$22 + 8 >> 2] = HEAP32[$bz_sroa_4_32_cast + 8 >> 2] | 0;
   20920     HEAP32[$22 + 12 >> 2] = HEAP32[$bz_sroa_4_32_cast + 12 >> 2] | 0;
   20921     label = 15;
   20922     break;
   20923    case 14:
   20924     $sub48 = $bz_sroa_1_4_copyload - 1 | 0;
   20925     $arrayidx50 = $bz_sroa_0_0_copyload + ($sub48 << 4) | 0;
   20926     $37 = $arrayidx50;
   20927     HEAP32[$22 >> 2] = HEAP32[$37 >> 2] | 0;
   20928     HEAP32[$22 + 4 >> 2] = HEAP32[$37 + 4 >> 2] | 0;
   20929     HEAP32[$22 + 8 >> 2] = HEAP32[$37 + 8 >> 2] | 0;
   20930     HEAP32[$22 + 12 >> 2] = HEAP32[$37 + 12 >> 2] | 0;
   20931     label = 15;
   20932     break;
   20933    case 15:
   20934     $38 = HEAP32[$25 >> 2] | 0;
   20935     $39 = $38 >>> 8;
   20936     $40 = $39 << 31;
   20937     $bf_val_sext54 = $40 >> 31;
   20938     $conv55 = $bf_val_sext54 & 255;
   20939     $headurl = $0 + 176 | 0;
   20940     $41 = HEAP32[$headurl >> 2] | 0;
   20941     $42 = $38 >>> 2;
   20942     $43 = $42 << 31;
   20943     $bf_val_sext58 = $43 >> 31;
   20944     $conv59 = $bf_val_sext58 & 255;
   20945     $44 = $38 >>> 5;
   20946     $45 = $44 << 31;
   20947     $bf_val_sext62 = $45 >> 31;
   20948     $conv63 = $bf_val_sext62 & 255;
   20949     _nodeIntersect($job, $p, $conv55, $41, $conv59, $conv63);
   20950     label = 16;
   20951     break;
   20952    case 16:
   20953     $label = $1 + 112 | 0;
   20954     $46 = HEAP32[$label >> 2] | 0;
   20955     $47 = $0 + 212 | 0;
   20956     $48 = $47;
   20957     $49 = HEAP32[$48 >> 2] | 0;
   20958     $50 = $49 >>> 3;
   20959     $51 = $50 << 31;
   20960     $bf_val_sext68 = $51 >> 31;
   20961     $labelurl = $0 + 168 | 0;
   20962     $52 = HEAP32[$labelurl >> 2] | 0;
   20963     $labeltooltip = $0 + 184 | 0;
   20964     $53 = HEAP32[$labeltooltip >> 2] | 0;
   20965     $labeltarget = $0 + 200 | 0;
   20966     $54 = HEAP32[$labeltarget >> 2] | 0;
   20967     $id69 = $0 + 164 | 0;
   20968     $55 = HEAP32[$id69 >> 2] | 0;
   20969     $56 = $1 | 0;
   20970     $57 = HEAP32[41952] | 0;
   20971     $call = _late_string($56, $57, 101168) | 0;
   20972     $call70 = _mapbool($call) | 0;
   20973     $tobool72 = $call70 << 24 >> 24 == 0;
   20974     if ($tobool72) {
   20975       $cond = 0;
   20976       label = 18;
   20977       break;
   20978     } else {
   20979       label = 17;
   20980       break;
   20981     }
   20982    case 17:
   20983     $58 = HEAP32[$spl >> 2] | 0;
   20984     $cond = $58;
   20985     label = 18;
   20986     break;
   20987    case 18:
   20988     _emit_edge_label($job, $46, 11, $bf_val_sext68, $52, $53, $54, $55, $cond);
   20989     $xlabel = $1 + 124 | 0;
   20990     $59 = HEAP32[$xlabel >> 2] | 0;
   20991     $60 = HEAP32[$48 >> 2] | 0;
   20992     $61 = $60 >>> 3;
   20993     $62 = $61 << 31;
   20994     $bf_val_sext81 = $62 >> 31;
   20995     $63 = HEAP32[$labelurl >> 2] | 0;
   20996     $64 = HEAP32[$labeltooltip >> 2] | 0;
   20997     $65 = HEAP32[$labeltarget >> 2] | 0;
   20998     $66 = HEAP32[$id69 >> 2] | 0;
   20999     $67 = HEAP32[41952] | 0;
   21000     $call86 = _late_string($56, $67, 101168) | 0;
   21001     $call87 = _mapbool($call86) | 0;
   21002     $tobool89 = $call87 << 24 >> 24 == 0;
   21003     if ($tobool89) {
   21004       $cond99 = 0;
   21005       label = 20;
   21006       break;
   21007     } else {
   21008       label = 19;
   21009       break;
   21010     }
   21011    case 19:
   21012     $68 = HEAP32[$spl >> 2] | 0;
   21013     $cond99 = $68;
   21014     label = 20;
   21015     break;
   21016    case 20:
   21017     _emit_edge_label($job, $59, 11, $bf_val_sext81, $63, $64, $65, $66, $cond99);
   21018     $head_label = $1 + 116 | 0;
   21019     $69 = HEAP32[$head_label >> 2] | 0;
   21020     $70 = HEAP32[$48 >> 2] | 0;
   21021     $71 = $70 >>> 2;
   21022     $72 = $71 << 31;
   21023     $bf_val_sext103 = $72 >> 31;
   21024     $headurl104 = $0 + 176 | 0;
   21025     $73 = HEAP32[$headurl104 >> 2] | 0;
   21026     $headtooltip105 = $0 + 192 | 0;
   21027     $74 = HEAP32[$headtooltip105 >> 2] | 0;
   21028     $headtarget106 = $0 + 208 | 0;
   21029     $75 = HEAP32[$headtarget106 >> 2] | 0;
   21030     $76 = HEAP32[$id69 >> 2] | 0;
   21031     _emit_edge_label($job, $69, 7, $bf_val_sext103, $73, $74, $75, $76, 0);
   21032     $tail_label = $1 + 120 | 0;
   21033     $77 = HEAP32[$tail_label >> 2] | 0;
   21034     $78 = HEAP32[$48 >> 2] | 0;
   21035     $79 = $78 >>> 1;
   21036     $80 = $79 << 31;
   21037     $bf_val_sext111 = $80 >> 31;
   21038     $tailurl112 = $0 + 172 | 0;
   21039     $81 = HEAP32[$tailurl112 >> 2] | 0;
   21040     $tailtooltip113 = $0 + 188 | 0;
   21041     $82 = HEAP32[$tailtooltip113 >> 2] | 0;
   21042     $tailtarget114 = $0 + 204 | 0;
   21043     $83 = HEAP32[$tailtarget114 >> 2] | 0;
   21044     $84 = HEAP32[$id69 >> 2] | 0;
   21045     _emit_edge_label($job, $77, 6, $bf_val_sext111, $81, $82, $83, $84, 0);
   21046     _gvrender_end_edge($job);
   21047     _pop_obj_state($job);
   21048     STACKTOP = __stackBase__;
   21049     return;
   21050   }
   21051 }
   21052 function _nodeIntersect($job, $p, $explicit_iurl, $iurl, $explicit_itooltip, $explicit_itarget) {
   21053   $job = $job | 0;
   21054   $p = $p | 0;
   21055   $explicit_iurl = $explicit_iurl | 0;
   21056   $iurl = $iurl | 0;
   21057   $explicit_itooltip = $explicit_itooltip | 0;
   21058   $explicit_itarget = $explicit_itarget | 0;
   21059   var $obj1 = 0, $0 = 0, $tobool = 0, $url2 = 0, $1 = 0, $url_0 = 0, $tobool3 = 0, $2 = 0, $3 = 0, $4 = 0, $_mask = 0, $tobool24 = 0, $tobool25 = 0, $or_cond = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   21060   __stackBase__ = STACKTOP;
   21061   tempParam = $p;
   21062   $p = STACKTOP;
   21063   STACKTOP = STACKTOP + 16 | 0;
   21064   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   21065   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   21066   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   21067   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   21068   label = 2;
   21069   while (1) switch (label | 0) {
   21070    case 2:
   21071     $obj1 = $job + 16 | 0;
   21072     $0 = HEAP32[$obj1 >> 2] | 0;
   21073     $tobool = $explicit_iurl << 24 >> 24 == 0;
   21074     if ($tobool) {
   21075       label = 3;
   21076       break;
   21077     } else {
   21078       $url_0 = $iurl;
   21079       label = 4;
   21080       break;
   21081     }
   21082    case 3:
   21083     $url2 = $0 + 160 | 0;
   21084     $1 = HEAP32[$url2 >> 2] | 0;
   21085     $url_0 = $1;
   21086     label = 4;
   21087     break;
   21088    case 4:
   21089     $tobool3 = $explicit_itooltip << 24 >> 24 == 0;
   21090     if ($tobool3) {
   21091       label = 5;
   21092       break;
   21093     } else {
   21094       label = 6;
   21095       break;
   21096     }
   21097    case 5:
   21098     $2 = $0 + 212 | 0;
   21099     $3 = $2;
   21100     $4 = HEAP32[$3 >> 2] | 0;
   21101     $_mask = $4 & 1;
   21102     $tobool24 = ($url_0 | 0) == 0;
   21103     $tobool25 = ($_mask | 0) == 0;
   21104     $or_cond = $tobool24 & $tobool25;
   21105     if ($or_cond) {
   21106       label = 7;
   21107       break;
   21108     } else {
   21109       label = 6;
   21110       break;
   21111     }
   21112    case 6:
   21113     _map_point($job, $p);
   21114     label = 7;
   21115     break;
   21116    case 7:
   21117     STACKTOP = __stackBase__;
   21118     return;
   21119   }
   21120 }
   21121 function _pointfof($agg_result, $x, $y) {
   21122   $agg_result = $agg_result | 0;
   21123   $x = +$x;
   21124   $y = +$y;
   21125   HEAPF64[$agg_result >> 3] = $x;
   21126   HEAPF64[$agg_result + 8 >> 3] = $y;
   21127   return;
   21128 }
   21129 function _map_label($job, $lab) {
   21130   $job = $job | 0;
   21131   $lab = $lab | 0;
   21132   var $obj1 = 0, $0 = 0, $flags2 = 0, $1 = 0, $and = 0, $tobool = 0, $and3 = 0, $tobool4 = 0, $url_map_shape = 0, $url_map_n = 0, $url_map_n7 = 0, $url_map_p = 0, $2 = 0, $3 = 0, $url_map_n8 = 0, $4 = 0, $mul = 0, $call = 0, $5 = 0, $x = 0, $6 = 0.0, $x10 = 0, $7 = 0.0, $div = 0.0, $sub = 0.0, $x11 = 0, $y = 0, $8 = 0.0, $y14 = 0, $9 = 0.0, $div15 = 0.0, $sub16 = 0.0, $y18 = 0, $10 = 0, $11 = 0.0, $12 = 0.0, $div23 = 0.0, $add = 0.0, $arrayidx24 = 0, $x25 = 0, $13 = 0.0, $14 = 0.0, $div30 = 0.0, $add31 = 0.0, $y33 = 0, $15 = 0, $and34 = 0, $tobool35 = 0, $call37 = 0, label = 0;
   21133   label = 2;
   21134   while (1) switch (label | 0) {
   21135    case 2:
   21136     $obj1 = $job + 16 | 0;
   21137     $0 = HEAP32[$obj1 >> 2] | 0;
   21138     $flags2 = $job + 152 | 0;
   21139     $1 = HEAP32[$flags2 >> 2] | 0;
   21140     $and = $1 & 4259840;
   21141     $tobool = ($and | 0) == 0;
   21142     if ($tobool) {
   21143       label = 10;
   21144       break;
   21145     } else {
   21146       label = 3;
   21147       break;
   21148     }
   21149    case 3:
   21150     $and3 = $1 & 131072;
   21151     $tobool4 = ($and3 | 0) != 0;
   21152     $url_map_shape = $0 + 216 | 0;
   21153     if ($tobool4) {
   21154       label = 4;
   21155       break;
   21156     } else {
   21157       label = 5;
   21158       break;
   21159     }
   21160    case 4:
   21161     HEAP32[$url_map_shape >> 2] = 0;
   21162     $url_map_n = $0 + 220 | 0;
   21163     HEAP32[$url_map_n >> 2] = 2;
   21164     label = 6;
   21165     break;
   21166    case 5:
   21167     HEAP32[$url_map_shape >> 2] = 2;
   21168     $url_map_n7 = $0 + 220 | 0;
   21169     HEAP32[$url_map_n7 >> 2] = 4;
   21170     label = 6;
   21171     break;
   21172    case 6:
   21173     $url_map_p = $0 + 224 | 0;
   21174     $2 = HEAP32[$url_map_p >> 2] | 0;
   21175     $3 = $2;
   21176     _free($3);
   21177     $url_map_n8 = $0 + 220 | 0;
   21178     $4 = HEAP32[$url_map_n8 >> 2] | 0;
   21179     $mul = $4 << 4;
   21180     $call = _zmalloc($mul) | 0;
   21181     $5 = $call;
   21182     HEAP32[$url_map_p >> 2] = $5;
   21183     $x = $lab + 56 | 0;
   21184     $6 = +HEAPF64[$x >> 3];
   21185     $x10 = $lab + 24 | 0;
   21186     $7 = +HEAPF64[$x10 >> 3];
   21187     $div = $7 * .5;
   21188     $sub = $6 - $div;
   21189     $x11 = $call;
   21190     HEAPF64[$x11 >> 3] = $sub;
   21191     $y = $lab + 64 | 0;
   21192     $8 = +HEAPF64[$y >> 3];
   21193     $y14 = $lab + 32 | 0;
   21194     $9 = +HEAPF64[$y14 >> 3];
   21195     $div15 = $9 * .5;
   21196     $sub16 = $8 - $div15;
   21197     $y18 = $call + 8 | 0;
   21198     $10 = $y18;
   21199     HEAPF64[$10 >> 3] = $sub16;
   21200     $11 = +HEAPF64[$x >> 3];
   21201     $12 = +HEAPF64[$x10 >> 3];
   21202     $div23 = $12 * .5;
   21203     $add = $11 + $div23;
   21204     $arrayidx24 = $call + 16 | 0;
   21205     $x25 = $arrayidx24;
   21206     HEAPF64[$x25 >> 3] = $add;
   21207     $13 = +HEAPF64[$y >> 3];
   21208     $14 = +HEAPF64[$y14 >> 3];
   21209     $div30 = $14 * .5;
   21210     $add31 = $13 + $div30;
   21211     $y33 = $call + 24 | 0;
   21212     $15 = $y33;
   21213     HEAPF64[$15 >> 3] = $add31;
   21214     $and34 = $1 & 8192;
   21215     $tobool35 = ($and34 | 0) == 0;
   21216     if ($tobool35) {
   21217       label = 7;
   21218       break;
   21219     } else {
   21220       label = 8;
   21221       break;
   21222     }
   21223    case 7:
   21224     $call37 = _gvrender_ptf_A($job, $5, $5, 2) | 0;
   21225     label = 8;
   21226     break;
   21227    case 8:
   21228     if ($tobool4) {
   21229       label = 10;
   21230       break;
   21231     } else {
   21232       label = 9;
   21233       break;
   21234     }
   21235    case 9:
   21236     _rect2poly($5);
   21237     label = 10;
   21238     break;
   21239    case 10:
   21240     return;
   21241   }
   21242 }
   21243 function _emit_attachment($job, $lp, $spl) {
   21244   $job = $job | 0;
   21245   $lp = $lp | 0;
   21246   $spl = $spl | 0;
   21247   var $AF = 0, $tmp18 = 0, $tmp21 = 0, $text = 0, $0 = 0, $s_0 = 0, $1 = 0, $tobool = 0, $conv = 0, $call = 0, $cmp = 0, $incdec_ptr = 0, $_pr = 0, $cmp3 = 0, $sz_sroa_0_0__idx = 0, $sz_sroa_0_0_copyload = 0.0, $sz_sroa_1_8__idx5 = 0, $sz_sroa_1_8_copyload = 0.0, $arrayidx = 0, $pos = 0, $x = 0, $2 = 0.0, $div = 0.0, $add = 0.0, $y = 0, $3 = 0.0, $div10 = 0.0, $sub = 0.0, $AF17 = 0, $arrayidx11 = 0, $x13 = 0, $4 = 0.0, $sub15 = 0.0, $y17 = 0, $5 = 0.0, $6 = 0, $7 = 0, $arrayidx19 = 0, $8 = 0, $9 = 0, $gvc = 0, $10 = 0, $defaultlinestyle = 0, $11 = 0, $fontcolor = 0, $12 = 0, label = 0, __stackBase__ = 0;
   21248   __stackBase__ = STACKTOP;
   21249   STACKTOP = STACKTOP + 80 | 0;
   21250   label = 2;
   21251   while (1) switch (label | 0) {
   21252    case 2:
   21253     $AF = __stackBase__ | 0;
   21254     $tmp18 = __stackBase__ + 48 | 0;
   21255     $tmp21 = __stackBase__ + 64 | 0;
   21256     $text = $lp | 0;
   21257     $0 = HEAP32[$text >> 2] | 0;
   21258     $s_0 = $0;
   21259     label = 3;
   21260     break;
   21261    case 3:
   21262     $1 = HEAP8[$s_0] | 0;
   21263     $tobool = $1 << 24 >> 24 == 0;
   21264     if ($tobool) {
   21265       label = 7;
   21266       break;
   21267     } else {
   21268       label = 4;
   21269       break;
   21270     }
   21271    case 4:
   21272     $conv = $1 & 255;
   21273     $call = _isspace($conv | 0) | 0;
   21274     $cmp = ($call | 0) == 0;
   21275     $incdec_ptr = $s_0 + 1 | 0;
   21276     if ($cmp) {
   21277       label = 5;
   21278       break;
   21279     } else {
   21280       $s_0 = $incdec_ptr;
   21281       label = 3;
   21282       break;
   21283     }
   21284    case 5:
   21285     $_pr = HEAP8[$s_0] | 0;
   21286     $cmp3 = $_pr << 24 >> 24 == 0;
   21287     if ($cmp3) {
   21288       label = 7;
   21289       break;
   21290     } else {
   21291       label = 6;
   21292       break;
   21293     }
   21294    case 6:
   21295     $sz_sroa_0_0__idx = $lp + 24 | 0;
   21296     $sz_sroa_0_0_copyload = +HEAPF64[$sz_sroa_0_0__idx >> 3];
   21297     $sz_sroa_1_8__idx5 = $lp + 32 | 0;
   21298     $sz_sroa_1_8_copyload = +HEAPF64[$sz_sroa_1_8__idx5 >> 3];
   21299     $arrayidx = $AF | 0;
   21300     $pos = $lp + 56 | 0;
   21301     $x = $pos | 0;
   21302     $2 = +HEAPF64[$x >> 3];
   21303     $div = $sz_sroa_0_0_copyload * .5;
   21304     $add = $div + $2;
   21305     $y = $lp + 64 | 0;
   21306     $3 = +HEAPF64[$y >> 3];
   21307     $div10 = $sz_sroa_1_8_copyload * .5;
   21308     $sub = $3 - $div10;
   21309     $AF17 = $AF | 0;
   21310     _pointfof($AF17, $add, $sub);
   21311     $arrayidx11 = $AF + 16 | 0;
   21312     $x13 = $AF | 0;
   21313     $4 = +HEAPF64[$x13 >> 3];
   21314     $sub15 = $4 - $sz_sroa_0_0_copyload;
   21315     $y17 = $AF + 8 | 0;
   21316     $5 = +HEAPF64[$y17 >> 3];
   21317     _pointfof($tmp18, $sub15, $5);
   21318     $6 = $arrayidx11;
   21319     $7 = $tmp18;
   21320     HEAP32[$6 >> 2] = HEAP32[$7 >> 2] | 0;
   21321     HEAP32[$6 + 4 >> 2] = HEAP32[$7 + 4 >> 2] | 0;
   21322     HEAP32[$6 + 8 >> 2] = HEAP32[$7 + 8 >> 2] | 0;
   21323     HEAP32[$6 + 12 >> 2] = HEAP32[$7 + 12 >> 2] | 0;
   21324     $arrayidx19 = $AF + 32 | 0;
   21325     _dotneato_closest($tmp21, $spl, $pos);
   21326     $8 = $arrayidx19;
   21327     $9 = $tmp21;
   21328     HEAP32[$8 >> 2] = HEAP32[$9 >> 2] | 0;
   21329     HEAP32[$8 + 4 >> 2] = HEAP32[$9 + 4 >> 2] | 0;
   21330     HEAP32[$8 + 8 >> 2] = HEAP32[$9 + 8 >> 2] | 0;
   21331     HEAP32[$8 + 12 >> 2] = HEAP32[$9 + 12 >> 2] | 0;
   21332     $gvc = $job | 0;
   21333     $10 = HEAP32[$gvc >> 2] | 0;
   21334     $defaultlinestyle = $10 + 304 | 0;
   21335     $11 = HEAP32[$defaultlinestyle >> 2] | 0;
   21336     _gvrender_set_style($job, $11);
   21337     $fontcolor = $lp + 8 | 0;
   21338     $12 = HEAP32[$fontcolor >> 2] | 0;
   21339     _gvrender_set_pencolor($job, $12);
   21340     _gvrender_polyline($job, $arrayidx, 3);
   21341     label = 7;
   21342     break;
   21343    case 7:
   21344     STACKTOP = __stackBase__;
   21345     return;
   21346   }
   21347 }
   21348 function _map_point($job, $pf) {
   21349   $job = $job | 0;
   21350   $pf = $pf | 0;
   21351   var $obj1 = 0, $0 = 0, $flags2 = 0, $1 = 0, $and = 0, $tobool = 0, $and3 = 0, $tobool4 = 0, $url_map_shape = 0, $url_map_n = 0, $url_map_n7 = 0, $url_map_p = 0, $2 = 0, $3 = 0, $url_map_n8 = 0, $4 = 0, $mul = 0, $call = 0, $5 = 0, $x = 0, $6 = 0.0, $sub = 0.0, $x10 = 0, $y = 0, $7 = 0.0, $sub11 = 0.0, $y13 = 0, $8 = 0, $9 = 0.0, $add = 0.0, $arrayidx15 = 0, $x16 = 0, $10 = 0.0, $add18 = 0.0, $y20 = 0, $11 = 0, $and21 = 0, $tobool22 = 0, $call24 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   21352   __stackBase__ = STACKTOP;
   21353   tempParam = $pf;
   21354   $pf = STACKTOP;
   21355   STACKTOP = STACKTOP + 16 | 0;
   21356   HEAP32[$pf >> 2] = HEAP32[tempParam >> 2] | 0;
   21357   HEAP32[$pf + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   21358   HEAP32[$pf + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   21359   HEAP32[$pf + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   21360   label = 2;
   21361   while (1) switch (label | 0) {
   21362    case 2:
   21363     $obj1 = $job + 16 | 0;
   21364     $0 = HEAP32[$obj1 >> 2] | 0;
   21365     $flags2 = $job + 152 | 0;
   21366     $1 = HEAP32[$flags2 >> 2] | 0;
   21367     $and = $1 & 4259840;
   21368     $tobool = ($and | 0) == 0;
   21369     if ($tobool) {
   21370       label = 10;
   21371       break;
   21372     } else {
   21373       label = 3;
   21374       break;
   21375     }
   21376    case 3:
   21377     $and3 = $1 & 131072;
   21378     $tobool4 = ($and3 | 0) != 0;
   21379     $url_map_shape = $0 + 216 | 0;
   21380     if ($tobool4) {
   21381       label = 4;
   21382       break;
   21383     } else {
   21384       label = 5;
   21385       break;
   21386     }
   21387    case 4:
   21388     HEAP32[$url_map_shape >> 2] = 0;
   21389     $url_map_n = $0 + 220 | 0;
   21390     HEAP32[$url_map_n >> 2] = 2;
   21391     label = 6;
   21392     break;
   21393    case 5:
   21394     HEAP32[$url_map_shape >> 2] = 2;
   21395     $url_map_n7 = $0 + 220 | 0;
   21396     HEAP32[$url_map_n7 >> 2] = 4;
   21397     label = 6;
   21398     break;
   21399    case 6:
   21400     $url_map_p = $0 + 224 | 0;
   21401     $2 = HEAP32[$url_map_p >> 2] | 0;
   21402     $3 = $2;
   21403     _free($3);
   21404     $url_map_n8 = $0 + 220 | 0;
   21405     $4 = HEAP32[$url_map_n8 >> 2] | 0;
   21406     $mul = $4 << 4;
   21407     $call = _zmalloc($mul) | 0;
   21408     $5 = $call;
   21409     HEAP32[$url_map_p >> 2] = $5;
   21410     $x = $pf | 0;
   21411     $6 = +HEAPF64[$x >> 3];
   21412     $sub = $6 + -3.0;
   21413     $x10 = $call;
   21414     HEAPF64[$x10 >> 3] = $sub;
   21415     $y = $pf + 8 | 0;
   21416     $7 = +HEAPF64[$y >> 3];
   21417     $sub11 = $7 + -3.0;
   21418     $y13 = $call + 8 | 0;
   21419     $8 = $y13;
   21420     HEAPF64[$8 >> 3] = $sub11;
   21421     $9 = +HEAPF64[$x >> 3];
   21422     $add = $9 + 3.0;
   21423     $arrayidx15 = $call + 16 | 0;
   21424     $x16 = $arrayidx15;
   21425     HEAPF64[$x16 >> 3] = $add;
   21426     $10 = +HEAPF64[$y >> 3];
   21427     $add18 = $10 + 3.0;
   21428     $y20 = $call + 24 | 0;
   21429     $11 = $y20;
   21430     HEAPF64[$11 >> 3] = $add18;
   21431     $and21 = $1 & 8192;
   21432     $tobool22 = ($and21 | 0) == 0;
   21433     if ($tobool22) {
   21434       label = 7;
   21435       break;
   21436     } else {
   21437       label = 8;
   21438       break;
   21439     }
   21440    case 7:
   21441     $call24 = _gvrender_ptf_A($job, $5, $5, 2) | 0;
   21442     label = 8;
   21443     break;
   21444    case 8:
   21445     if ($tobool4) {
   21446       label = 10;
   21447       break;
   21448     } else {
   21449       label = 9;
   21450       break;
   21451     }
   21452    case 9:
   21453     _rect2poly($5);
   21454     label = 10;
   21455     break;
   21456    case 10:
   21457     STACKTOP = __stackBase__;
   21458     return;
   21459   }
   21460 }
   21461 function _multicolor($job, $e, $styles, $colors, $num, $arrowsize, $penwidth) {
   21462   $job = $job | 0;
   21463   $e = $e | 0;
   21464   $styles = $styles | 0;
   21465   $colors = $colors | 0;
   21466   $num = $num | 0;
   21467   $arrowsize = +$arrowsize;
   21468   $penwidth = +$penwidth;
   21469   var $bz = 0, $bz0 = 0, $bz_l = 0, $bz_r = 0, $segs = 0, $call = 0, $cmp = 0, $tail = 0, $0 = 0, $graph = 0, $1 = 0, $name = 0, $2 = 0, $3 = 0, $4 = 0, $and = 0, $tobool = 0, $cond = 0, $head = 0, $5 = 0, $name2 = 0, $6 = 0, $call3 = 0, $cmp4 = 0, $cmp6 = 0, $spl = 0, $7 = 0, $size36 = 0, $8 = 0, $cmp1037 = 0, $9 = 0, $sflag = 0, $eflag = 0, $tobool68 = 0, $tobool68_old = 0, $ep = 0, $size53 = 0, $list54 = 0, $sp = 0, $list47 = 0, $list21 = 0, $size22 = 0, $10 = 0, $11 = 0, $list30 = 0, $list31 = 0, $size32 = 0, $list36 = 0, $size37 = 0, $12 = 0, $endcolor_039 = 0, $i_038 = 0, $list = 0, $13 = 0, $arrayidx = 0, $14 = 0, $15 = 0, $segs13 = 0, $16 = 0, $color31 = 0, $17 = 0, $tobool1532 = 0, $18 = 0, $color35 = 0, $endcolor_134 = 0, $indvars = 0, $19 = 0, $segs18 = 0, $20 = 0, $cmp19 = 0, $t = 0, $21 = 0, $22 = 0.0, $23 = 0, $24 = 0, $25 = 0, $26 = 0, $cmp26 = 0, $27 = 0.0, $28 = 0, $29 = 0, $30 = 0, $31 = 0, $32 = 0, $33 = 0, $34 = 0, $35 = 0, $36 = 0, $37 = 0, $38 = 0, $endcolor_2 = 0, $incdec_ptr = 0, $39 = 0, $tobool15 = 0, $endcolor_1_lcssa = 0, $40 = 0, $tobool41 = 0, $41 = 0, $segs43 = 0, $42 = 0, $color44 = 0, $43 = 0, $44 = 0, $segs45 = 0, $45 = 0, $color46 = 0, $46 = 0, $47 = 0, $48 = 0, $49 = 0, $tobool51 = 0, $50 = 0, $sub = 0, $51 = 0, $arrayidx55 = 0, $52 = 0, $53 = 0, $size60 = 0, $54 = 0, $cmp61 = 0, $55 = 0, $tobool64 = 0, $56 = 0, $tobool66 = 0, $or_cond = 0, $inc = 0, $57 = 0, $size = 0, $58 = 0, $cmp10 = 0, $59 = 0, $60 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   21470   __stackBase__ = STACKTOP;
   21471   STACKTOP = STACKTOP + 200 | 0;
   21472   label = 2;
   21473   while (1) switch (label | 0) {
   21474    case 2:
   21475     $bz = __stackBase__ | 0;
   21476     $bz0 = __stackBase__ + 48 | 0;
   21477     $bz_l = __stackBase__ + 96 | 0;
   21478     $bz_r = __stackBase__ + 144 | 0;
   21479     $segs = __stackBase__ + 192 | 0;
   21480     $call = _parseSegs($colors, $num, $segs) | 0;
   21481     $cmp = ($call | 0) > 1;
   21482     if ($cmp) {
   21483       label = 3;
   21484       break;
   21485     } else {
   21486       label = 4;
   21487       break;
   21488     }
   21489    case 3:
   21490     $tail = $e + 16 | 0;
   21491     $0 = HEAP32[$tail >> 2] | 0;
   21492     $graph = $0 + 20 | 0;
   21493     $1 = HEAP32[$graph >> 2] | 0;
   21494     $name = $0 + 12 | 0;
   21495     $2 = HEAP32[$name >> 2] | 0;
   21496     $3 = $1;
   21497     $4 = HEAP32[$3 >> 2] | 0;
   21498     $and = $4 & 16;
   21499     $tobool = ($and | 0) != 0;
   21500     $cond = $tobool ? 97952 : 97448;
   21501     $head = $e + 12 | 0;
   21502     $5 = HEAP32[$head >> 2] | 0;
   21503     $name2 = $5 + 12 | 0;
   21504     $6 = HEAP32[$name2 >> 2] | 0;
   21505     $call3 = _agerr(3, 98296, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = $2, HEAP32[tempInt + 8 >> 2] = $cond, HEAP32[tempInt + 16 >> 2] = $6, tempInt) | 0) | 0;
   21506     $cmp4 = ($call | 0) == 2;
   21507     if ($cmp4) {
   21508       $retval_0 = 1;
   21509       label = 25;
   21510       break;
   21511     } else {
   21512       label = 5;
   21513       break;
   21514     }
   21515    case 4:
   21516     $cmp6 = ($call | 0) == 1;
   21517     if ($cmp6) {
   21518       $retval_0 = 1;
   21519       label = 25;
   21520       break;
   21521     } else {
   21522       label = 5;
   21523       break;
   21524     }
   21525    case 5:
   21526     $spl = $e + 24 | 0;
   21527     $7 = HEAP32[$spl >> 2] | 0;
   21528     $size36 = $7 + 4 | 0;
   21529     $8 = HEAP32[$size36 >> 2] | 0;
   21530     $cmp1037 = ($8 | 0) > 0;
   21531     if ($cmp1037) {
   21532       label = 6;
   21533       break;
   21534     } else {
   21535       label = 24;
   21536       break;
   21537     }
   21538    case 6:
   21539     $9 = $bz;
   21540     $sflag = $bz + 8 | 0;
   21541     $eflag = $bz + 12 | 0;
   21542     $tobool68 = ($styles | 0) == 0;
   21543     $tobool68_old = ($styles | 0) == 0;
   21544     $ep = $bz + 32 | 0;
   21545     $size53 = $bz + 4 | 0;
   21546     $list54 = $bz | 0;
   21547     $sp = $bz + 16 | 0;
   21548     $list47 = $bz | 0;
   21549     $list21 = $bz_l | 0;
   21550     $size22 = $bz_l + 4 | 0;
   21551     $10 = $bz0;
   21552     $11 = $bz_r;
   21553     $list30 = $bz0 | 0;
   21554     $list31 = $bz_l | 0;
   21555     $size32 = $bz_l + 4 | 0;
   21556     $list36 = $bz_r | 0;
   21557     $size37 = $bz_r + 4 | 0;
   21558     $i_038 = 0;
   21559     $endcolor_039 = 0;
   21560     $12 = $7;
   21561     label = 7;
   21562     break;
   21563    case 7:
   21564     $list = $12 | 0;
   21565     $13 = HEAP32[$list >> 2] | 0;
   21566     $arrayidx = $13 + ($i_038 * 48 & -1) | 0;
   21567     $14 = $arrayidx;
   21568     HEAP32[$9 >> 2] = HEAP32[$14 >> 2] | 0;
   21569     HEAP32[$9 + 4 >> 2] = HEAP32[$14 + 4 >> 2] | 0;
   21570     HEAP32[$9 + 8 >> 2] = HEAP32[$14 + 8 >> 2] | 0;
   21571     HEAP32[$9 + 12 >> 2] = HEAP32[$14 + 12 >> 2] | 0;
   21572     HEAP32[$9 + 16 >> 2] = HEAP32[$14 + 16 >> 2] | 0;
   21573     HEAP32[$9 + 20 >> 2] = HEAP32[$14 + 20 >> 2] | 0;
   21574     HEAP32[$9 + 24 >> 2] = HEAP32[$14 + 24 >> 2] | 0;
   21575     HEAP32[$9 + 28 >> 2] = HEAP32[$14 + 28 >> 2] | 0;
   21576     HEAP32[$9 + 32 >> 2] = HEAP32[$14 + 32 >> 2] | 0;
   21577     HEAP32[$9 + 36 >> 2] = HEAP32[$14 + 36 >> 2] | 0;
   21578     HEAP32[$9 + 40 >> 2] = HEAP32[$14 + 40 >> 2] | 0;
   21579     HEAP32[$9 + 44 >> 2] = HEAP32[$14 + 44 >> 2] | 0;
   21580     $15 = HEAP32[$segs >> 2] | 0;
   21581     $segs13 = $15 + 4 | 0;
   21582     $16 = HEAP32[$segs13 >> 2] | 0;
   21583     $color31 = $16 | 0;
   21584     $17 = HEAP32[$color31 >> 2] | 0;
   21585     $tobool1532 = ($17 | 0) == 0;
   21586     if ($tobool1532) {
   21587       $endcolor_1_lcssa = $endcolor_039;
   21588       label = 14;
   21589       break;
   21590     } else {
   21591       $endcolor_134 = $endcolor_039;
   21592       $color35 = $color31;
   21593       $18 = $17;
   21594       label = 8;
   21595       break;
   21596     }
   21597    case 8:
   21598     $indvars = $color35;
   21599     _gvrender_set_pencolor($job, $18);
   21600     $19 = HEAP32[$segs >> 2] | 0;
   21601     $segs18 = $19 + 4 | 0;
   21602     $20 = HEAP32[$segs18 >> 2] | 0;
   21603     $cmp19 = ($indvars | 0) == ($20 | 0);
   21604     $t = $color35 + 4 | 0;
   21605     $21 = $t;
   21606     $22 = +HEAPF32[$21 >> 2];
   21607     if ($cmp19) {
   21608       label = 9;
   21609       break;
   21610     } else {
   21611       label = 10;
   21612       break;
   21613     }
   21614    case 9:
   21615     _splitBSpline($bz, $22, $bz_l, $bz_r);
   21616     $23 = HEAP32[$list21 >> 2] | 0;
   21617     $24 = HEAP32[$size22 >> 2] | 0;
   21618     _gvrender_beziercurve($job, $23, $24, 0, 0, 0);
   21619     $25 = HEAP32[$list21 >> 2] | 0;
   21620     $26 = $25;
   21621     _free($26);
   21622     $endcolor_2 = $endcolor_134;
   21623     label = 13;
   21624     break;
   21625    case 10:
   21626     $cmp26 = $22 < 1.0;
   21627     if ($cmp26) {
   21628       label = 11;
   21629       break;
   21630     } else {
   21631       label = 12;
   21632       break;
   21633     }
   21634    case 11:
   21635     HEAP32[$10 >> 2] = HEAP32[$11 >> 2] | 0;
   21636     HEAP32[$10 + 4 >> 2] = HEAP32[$11 + 4 >> 2] | 0;
   21637     HEAP32[$10 + 8 >> 2] = HEAP32[$11 + 8 >> 2] | 0;
   21638     HEAP32[$10 + 12 >> 2] = HEAP32[$11 + 12 >> 2] | 0;
   21639     HEAP32[$10 + 16 >> 2] = HEAP32[$11 + 16 >> 2] | 0;
   21640     HEAP32[$10 + 20 >> 2] = HEAP32[$11 + 20 >> 2] | 0;
   21641     HEAP32[$10 + 24 >> 2] = HEAP32[$11 + 24 >> 2] | 0;
   21642     HEAP32[$10 + 28 >> 2] = HEAP32[$11 + 28 >> 2] | 0;
   21643     HEAP32[$10 + 32 >> 2] = HEAP32[$11 + 32 >> 2] | 0;
   21644     HEAP32[$10 + 36 >> 2] = HEAP32[$11 + 36 >> 2] | 0;
   21645     HEAP32[$10 + 40 >> 2] = HEAP32[$11 + 40 >> 2] | 0;
   21646     HEAP32[$10 + 44 >> 2] = HEAP32[$11 + 44 >> 2] | 0;
   21647     $27 = +HEAPF32[$21 >> 2];
   21648     _splitBSpline($bz0, $27, $bz_l, $bz_r);
   21649     $28 = HEAP32[$list30 >> 2] | 0;
   21650     $29 = $28;
   21651     _free($29);
   21652     $30 = HEAP32[$list31 >> 2] | 0;
   21653     $31 = HEAP32[$size32 >> 2] | 0;
   21654     _gvrender_beziercurve($job, $30, $31, 0, 0, 0);
   21655     $32 = HEAP32[$list31 >> 2] | 0;
   21656     $33 = $32;
   21657     _free($33);
   21658     $endcolor_2 = $endcolor_134;
   21659     label = 13;
   21660     break;
   21661    case 12:
   21662     $34 = HEAP32[$color35 >> 2] | 0;
   21663     $35 = HEAP32[$list36 >> 2] | 0;
   21664     $36 = HEAP32[$size37 >> 2] | 0;
   21665     _gvrender_beziercurve($job, $35, $36, 0, 0, 0);
   21666     $37 = HEAP32[$list36 >> 2] | 0;
   21667     $38 = $37;
   21668     _free($38);
   21669     $endcolor_2 = $34;
   21670     label = 13;
   21671     break;
   21672    case 13:
   21673     $incdec_ptr = $color35 + 8 | 0;
   21674     $39 = HEAP32[$incdec_ptr >> 2] | 0;
   21675     $tobool15 = ($39 | 0) == 0;
   21676     if ($tobool15) {
   21677       $endcolor_1_lcssa = $endcolor_2;
   21678       label = 14;
   21679       break;
   21680     } else {
   21681       $endcolor_134 = $endcolor_2;
   21682       $color35 = $incdec_ptr;
   21683       $18 = $39;
   21684       label = 8;
   21685       break;
   21686     }
   21687    case 14:
   21688     $40 = HEAP32[$sflag >> 2] | 0;
   21689     $tobool41 = ($40 | 0) == 0;
   21690     if ($tobool41) {
   21691       label = 16;
   21692       break;
   21693     } else {
   21694       label = 15;
   21695       break;
   21696     }
   21697    case 15:
   21698     $41 = HEAP32[$segs >> 2] | 0;
   21699     $segs43 = $41 + 4 | 0;
   21700     $42 = HEAP32[$segs43 >> 2] | 0;
   21701     $color44 = $42 | 0;
   21702     $43 = HEAP32[$color44 >> 2] | 0;
   21703     _gvrender_set_pencolor($job, $43);
   21704     $44 = HEAP32[$segs >> 2] | 0;
   21705     $segs45 = $44 + 4 | 0;
   21706     $45 = HEAP32[$segs45 >> 2] | 0;
   21707     $color46 = $45 | 0;
   21708     $46 = HEAP32[$color46 >> 2] | 0;
   21709     _gvrender_set_fillcolor($job, $46);
   21710     $47 = HEAP32[$list47 >> 2] | 0;
   21711     $48 = HEAP32[$sflag >> 2] | 0;
   21712     _arrow_gen($job, 2, $sp, $47, $arrowsize, $penwidth, $48);
   21713     label = 16;
   21714     break;
   21715    case 16:
   21716     $49 = HEAP32[$eflag >> 2] | 0;
   21717     $tobool51 = ($49 | 0) == 0;
   21718     if ($tobool51) {
   21719       label = 18;
   21720       break;
   21721     } else {
   21722       label = 17;
   21723       break;
   21724     }
   21725    case 17:
   21726     _gvrender_set_pencolor($job, $endcolor_1_lcssa);
   21727     _gvrender_set_fillcolor($job, $endcolor_1_lcssa);
   21728     $50 = HEAP32[$size53 >> 2] | 0;
   21729     $sub = $50 - 1 | 0;
   21730     $51 = HEAP32[$list54 >> 2] | 0;
   21731     $arrayidx55 = $51 + ($sub << 4) | 0;
   21732     $52 = HEAP32[$eflag >> 2] | 0;
   21733     _arrow_gen($job, 3, $ep, $arrayidx55, $arrowsize, $penwidth, $52);
   21734     label = 18;
   21735     break;
   21736    case 18:
   21737     $53 = HEAP32[$spl >> 2] | 0;
   21738     $size60 = $53 + 4 | 0;
   21739     $54 = HEAP32[$size60 >> 2] | 0;
   21740     $cmp61 = ($54 | 0) > 1;
   21741     if ($cmp61) {
   21742       label = 19;
   21743       break;
   21744     } else {
   21745       label = 23;
   21746       break;
   21747     }
   21748    case 19:
   21749     $55 = HEAP32[$sflag >> 2] | 0;
   21750     $tobool64 = ($55 | 0) == 0;
   21751     if ($tobool64) {
   21752       label = 20;
   21753       break;
   21754     } else {
   21755       label = 21;
   21756       break;
   21757     }
   21758    case 20:
   21759     $56 = HEAP32[$eflag >> 2] | 0;
   21760     $tobool66 = ($56 | 0) == 0;
   21761     $or_cond = $tobool66 | $tobool68;
   21762     if ($or_cond) {
   21763       label = 23;
   21764       break;
   21765     } else {
   21766       label = 22;
   21767       break;
   21768     }
   21769    case 21:
   21770     if ($tobool68_old) {
   21771       label = 23;
   21772       break;
   21773     } else {
   21774       label = 22;
   21775       break;
   21776     }
   21777    case 22:
   21778     _gvrender_set_style($job, $styles);
   21779     label = 23;
   21780     break;
   21781    case 23:
   21782     $inc = $i_038 + 1 | 0;
   21783     $57 = HEAP32[$spl >> 2] | 0;
   21784     $size = $57 + 4 | 0;
   21785     $58 = HEAP32[$size >> 2] | 0;
   21786     $cmp10 = ($inc | 0) < ($58 | 0);
   21787     if ($cmp10) {
   21788       $i_038 = $inc;
   21789       $endcolor_039 = $endcolor_1_lcssa;
   21790       $12 = $57;
   21791       label = 7;
   21792       break;
   21793     } else {
   21794       label = 24;
   21795       break;
   21796     }
   21797    case 24:
   21798     $59 = HEAP32[$segs >> 2] | 0;
   21799     $60 = $59;
   21800     _free($60);
   21801     $retval_0 = 0;
   21802     label = 25;
   21803     break;
   21804    case 25:
   21805     STACKTOP = __stackBase__;
   21806     return $retval_0 | 0;
   21807   }
   21808   return 0;
   21809 }
   21810 function _default_pencolor($pencolor, $deflt) {
   21811   $pencolor = $pencolor | 0;
   21812   $deflt = $deflt | 0;
   21813   var $p_0 = 0, $ncol_0 = 0, $0 = 0, $inc = 0, $ncol_1 = 0, $incdec_ptr = 0, $call = 0, $add = 0, $mul = 0, $1 = 0, $cmp2 = 0, $add5 = 0, $2 = 0, $call6 = 0, $3 = 0, $call8 = 0, $dec8 = 0, $tobool99 = 0, $4 = 0, $5 = 0, $dec10 = 0, $strlen = 0, $endptr = 0, $6 = 0, $7 = 0, $call11 = 0, $dec = 0, $tobool9 = 0, $8 = 0, $_lcssa = 0, label = 0;
   21814   label = 2;
   21815   while (1) switch (label | 0) {
   21816    case 2:
   21817     $ncol_0 = 1;
   21818     $p_0 = $pencolor;
   21819     label = 3;
   21820     break;
   21821    case 3:
   21822     $0 = HEAP8[$p_0] | 0;
   21823     if (($0 << 24 >> 24 | 0) == 58) {
   21824       label = 4;
   21825       break;
   21826     } else if (($0 << 24 >> 24 | 0) == 0) {
   21827       label = 6;
   21828       break;
   21829     } else {
   21830       $ncol_1 = $ncol_0;
   21831       label = 5;
   21832       break;
   21833     }
   21834    case 4:
   21835     $inc = $ncol_0 + 1 | 0;
   21836     $ncol_1 = $inc;
   21837     label = 5;
   21838     break;
   21839    case 5:
   21840     $incdec_ptr = $p_0 + 1 | 0;
   21841     $ncol_0 = $ncol_1;
   21842     $p_0 = $incdec_ptr;
   21843     label = 3;
   21844     break;
   21845    case 6:
   21846     $call = _strlen($deflt | 0) | 0;
   21847     $add = $call + 1 | 0;
   21848     $mul = Math_imul($add, $ncol_0);
   21849     $1 = HEAP32[5300] | 0;
   21850     $cmp2 = ($1 | 0) < ($mul | 0);
   21851     if ($cmp2) {
   21852       label = 7;
   21853       break;
   21854     } else {
   21855       label = 8;
   21856       break;
   21857     }
   21858    case 7:
   21859     $add5 = $mul + 10 | 0;
   21860     HEAP32[5300] = $add5;
   21861     $2 = HEAP32[5302] | 0;
   21862     $call6 = _realloc($2, $add5) | 0;
   21863     HEAP32[5302] = $call6;
   21864     label = 8;
   21865     break;
   21866    case 8:
   21867     $3 = HEAP32[5302] | 0;
   21868     $call8 = _strcpy($3 | 0, $deflt | 0) | 0;
   21869     $dec8 = $ncol_0 - 1 | 0;
   21870     $tobool99 = ($dec8 | 0) == 0;
   21871     $4 = HEAP32[5302] | 0;
   21872     if ($tobool99) {
   21873       $_lcssa = $4;
   21874       label = 10;
   21875       break;
   21876     } else {
   21877       $dec10 = $dec8;
   21878       $5 = $4;
   21879       label = 9;
   21880       break;
   21881     }
   21882    case 9:
   21883     $strlen = _strlen($5 | 0) | 0;
   21884     $endptr = $5 + $strlen | 0;
   21885     $6 = $endptr;
   21886     tempBigInt = 58;
   21887     HEAP8[$6] = tempBigInt & 255;
   21888     tempBigInt = tempBigInt >> 8;
   21889     HEAP8[$6 + 1 | 0] = tempBigInt & 255;
   21890     $7 = HEAP32[5302] | 0;
   21891     $call11 = _strcat($7 | 0, $deflt | 0) | 0;
   21892     $dec = $dec10 - 1 | 0;
   21893     $tobool9 = ($dec | 0) == 0;
   21894     $8 = HEAP32[5302] | 0;
   21895     if ($tobool9) {
   21896       $_lcssa = $8;
   21897       label = 10;
   21898       break;
   21899     } else {
   21900       $dec10 = $dec;
   21901       $5 = $8;
   21902       label = 9;
   21903       break;
   21904     }
   21905    case 10:
   21906     return $_lcssa | 0;
   21907   }
   21908   return 0;
   21909 }
   21910 function _computeoffset_p($agg_result, $p, $q) {
   21911   $agg_result = $agg_result | 0;
   21912   $p = $p | 0;
   21913   $q = $q | 0;
   21914   var $sub = 0.0, $sub5 = 0.0, $div = 0.0, tempParam = 0, __stackBase__ = 0;
   21915   __stackBase__ = STACKTOP;
   21916   tempParam = $p;
   21917   $p = STACKTOP;
   21918   STACKTOP = STACKTOP + 16 | 0;
   21919   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   21920   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   21921   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   21922   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   21923   tempParam = $q;
   21924   $q = STACKTOP;
   21925   STACKTOP = STACKTOP + 16 | 0;
   21926   HEAP32[$q >> 2] = HEAP32[tempParam >> 2] | 0;
   21927   HEAP32[$q + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   21928   HEAP32[$q + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   21929   HEAP32[$q + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   21930   $sub = +HEAPF64[$p >> 3] - +HEAPF64[$q >> 3];
   21931   $sub5 = +HEAPF64[$p + 8 >> 3] - +HEAPF64[$q + 8 >> 3];
   21932   $div = 2.0 / +Math_sqrt(+($sub * $sub + $sub5 * $sub5 + 1.0e-4));
   21933   HEAPF64[$agg_result >> 3] = $sub5 * $div;
   21934   HEAPF64[$agg_result + 8 >> 3] = $div * (-0.0 - $sub);
   21935   STACKTOP = __stackBase__;
   21936   return;
   21937 }
   21938 function _computeoffset_qr($agg_result, $p, $q, $r, $s) {
   21939   $agg_result = $agg_result | 0;
   21940   $p = $p | 0;
   21941   $q = $q | 0;
   21942   $r = $r | 0;
   21943   $s = $s | 0;
   21944   var $x1 = 0, $0 = 0.0, $x2 = 0, $1 = 0.0, $sub = 0.0, $y3 = 0, $2 = 0.0, $y4 = 0, $3 = 0.0, $sub5 = 0.0, $mul = 0.0, $mul6 = 0.0, $add = 0.0, $call = 0.0, $cmp = 0, $x7 = 0, $4 = 0.0, $x8 = 0, $5 = 0.0, $sub9 = 0.0, $y10 = 0, $6 = 0.0, $y11 = 0, $7 = 0.0, $sub12 = 0.0, $mul13 = 0.0, $mul14 = 0.0, $add15 = 0.0, $add16 = 0.0, $call17 = 0.0, $len_0 = 0.0, $x_0 = 0.0, $y_0 = 0.0, $div = 0.0, $mul18 = 0.0, $sub20 = 0.0, $mul21 = 0.0, $res_sroa_0_0__idx = 0, $res_sroa_1_8__idx10 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   21945   __stackBase__ = STACKTOP;
   21946   tempParam = $p;
   21947   $p = STACKTOP;
   21948   STACKTOP = STACKTOP + 16 | 0;
   21949   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   21950   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   21951   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   21952   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   21953   tempParam = $q;
   21954   $q = STACKTOP;
   21955   STACKTOP = STACKTOP + 16 | 0;
   21956   HEAP32[$q >> 2] = HEAP32[tempParam >> 2] | 0;
   21957   HEAP32[$q + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   21958   HEAP32[$q + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   21959   HEAP32[$q + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   21960   tempParam = $r;
   21961   $r = STACKTOP;
   21962   STACKTOP = STACKTOP + 16 | 0;
   21963   HEAP32[$r >> 2] = HEAP32[tempParam >> 2] | 0;
   21964   HEAP32[$r + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   21965   HEAP32[$r + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   21966   HEAP32[$r + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   21967   tempParam = $s;
   21968   $s = STACKTOP;
   21969   STACKTOP = STACKTOP + 16 | 0;
   21970   HEAP32[$s >> 2] = HEAP32[tempParam >> 2] | 0;
   21971   HEAP32[$s + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   21972   HEAP32[$s + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   21973   HEAP32[$s + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   21974   label = 2;
   21975   while (1) switch (label | 0) {
   21976    case 2:
   21977     $x1 = $q | 0;
   21978     $0 = +HEAPF64[$x1 >> 3];
   21979     $x2 = $r | 0;
   21980     $1 = +HEAPF64[$x2 >> 3];
   21981     $sub = $0 - $1;
   21982     $y3 = $q + 8 | 0;
   21983     $2 = +HEAPF64[$y3 >> 3];
   21984     $y4 = $r + 8 | 0;
   21985     $3 = +HEAPF64[$y4 >> 3];
   21986     $sub5 = $2 - $3;
   21987     $mul = $sub * $sub;
   21988     $mul6 = $sub5 * $sub5;
   21989     $add = $mul + $mul6;
   21990     $call = +Math_sqrt(+$add);
   21991     $cmp = $call < 1.0e-4;
   21992     if ($cmp) {
   21993       label = 3;
   21994       break;
   21995     } else {
   21996       $y_0 = $sub5;
   21997       $x_0 = $sub;
   21998       $len_0 = $call;
   21999       label = 4;
   22000       break;
   22001     }
   22002    case 3:
   22003     $x7 = $p | 0;
   22004     $4 = +HEAPF64[$x7 >> 3];
   22005     $x8 = $s | 0;
   22006     $5 = +HEAPF64[$x8 >> 3];
   22007     $sub9 = $4 - $5;
   22008     $y10 = $p + 8 | 0;
   22009     $6 = +HEAPF64[$y10 >> 3];
   22010     $y11 = $s + 8 | 0;
   22011     $7 = +HEAPF64[$y11 >> 3];
   22012     $sub12 = $6 - $7;
   22013     $mul13 = $sub9 * $sub9;
   22014     $mul14 = $sub12 * $sub12;
   22015     $add15 = $mul13 + $mul14;
   22016     $add16 = $add15 + 1.0e-4;
   22017     $call17 = +Math_sqrt(+$add16);
   22018     $y_0 = $sub12;
   22019     $x_0 = $sub9;
   22020     $len_0 = $call17;
   22021     label = 4;
   22022     break;
   22023    case 4:
   22024     $div = 2.0 / $len_0;
   22025     $mul18 = $y_0 * $div;
   22026     $sub20 = -0.0 - $x_0;
   22027     $mul21 = $div * $sub20;
   22028     $res_sroa_0_0__idx = $agg_result | 0;
   22029     HEAPF64[$res_sroa_0_0__idx >> 3] = $mul18;
   22030     $res_sroa_1_8__idx10 = $agg_result + 8 | 0;
   22031     HEAPF64[$res_sroa_1_8__idx10 >> 3] = $mul21;
   22032     STACKTOP = __stackBase__;
   22033     return;
   22034   }
   22035 }
   22036 function _parseSegs($clrs, $nseg, $psegs) {
   22037   $clrs = $clrs | 0;
   22038   $nseg = $nseg | 0;
   22039   $psegs = $psegs | 0;
   22040   var $call = 0, $0 = 0, $add = 0, $mul = 0, $call1 = 0, $call2 = 0, $base = 0, $call5 = 0, $1 = 0, $segs6 = 0, $2 = 0, $call7 = 0, $tobool32 = 0, $rval_037 = 0, $prev_v_036 = 0.0, $nseg_addr_035 = 0, $cnum_034 = 0, $color_033 = 0, $sub = 0, $cmp = 0, $cmp8 = 0, $call10 = 0, $tobool11 = 0, $color13 = 0, $inc = 0, $t = 0, $call16 = 0.0, $cmp17 = 0, $cmp19 = 0, $color22 = 0, $sub23 = 0.0, $sub24 = 0.0, $div = 0.0, $conv = 0.0, $inc25 = 0, $t27 = 0, $_b29 = 0, $call31 = 0, $_b = 0, $call37 = 0, $rval_1 = 0, $cnum_1 = 0, $nseg_addr_1 = 0, $prev_v_1 = 0.0, $rval_2 = 0, $call42 = 0, $tobool = 0, $cmp43 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   22041   __stackBase__ = STACKTOP;
   22042   label = 2;
   22043   while (1) switch (label | 0) {
   22044    case 2:
   22045     $call = _zmalloc(8) | 0;
   22046     $0 = $call;
   22047     $add = $nseg << 3;
   22048     $mul = $add + 8 | 0;
   22049     $call1 = _zmalloc($mul) | 0;
   22050     $call2 = _strdup($clrs | 0) | 0;
   22051     $base = $call;
   22052     HEAP32[$base >> 2] = $call2;
   22053     $call5 = _zmalloc($mul) | 0;
   22054     $1 = $call5;
   22055     $segs6 = $call + 4 | 0;
   22056     $2 = $segs6;
   22057     HEAP32[$2 >> 2] = $1;
   22058     $call7 = _strtok($call2 | 0, 98688) | 0;
   22059     $tobool32 = ($call7 | 0) == 0;
   22060     if ($tobool32) {
   22061       label = 18;
   22062       break;
   22063     } else {
   22064       $color_033 = $call7;
   22065       $cnum_034 = 0;
   22066       $nseg_addr_035 = $nseg;
   22067       $prev_v_036 = 0.0;
   22068       $rval_037 = 0;
   22069       label = 3;
   22070       break;
   22071     }
   22072    case 3:
   22073     $sub = $nseg_addr_035 - 1 | 0;
   22074     $cmp = ($cnum_034 | 0) == ($sub | 0);
   22075     if ($cmp) {
   22076       label = 4;
   22077       break;
   22078     } else {
   22079       label = 8;
   22080       break;
   22081     }
   22082    case 4:
   22083     $cmp8 = $prev_v_036 < 1.0;
   22084     if ($cmp8) {
   22085       label = 5;
   22086       break;
   22087     } else {
   22088       $rval_2 = $rval_037;
   22089       $prev_v_1 = $prev_v_036;
   22090       $nseg_addr_1 = $nseg_addr_035;
   22091       $cnum_1 = $cnum_034;
   22092       label = 16;
   22093       break;
   22094     }
   22095    case 5:
   22096     $call10 = _strchr($color_033 | 0, 44) | 0;
   22097     $tobool11 = ($call10 | 0) == 0;
   22098     if ($tobool11) {
   22099       label = 7;
   22100       break;
   22101     } else {
   22102       label = 6;
   22103       break;
   22104     }
   22105    case 6:
   22106     HEAP8[$call10] = 0;
   22107     label = 7;
   22108     break;
   22109    case 7:
   22110     $color13 = $1 + ($cnum_034 << 3) | 0;
   22111     HEAP32[$color13 >> 2] = $color_033;
   22112     $inc = $cnum_034 + 1 | 0;
   22113     $t = $1 + ($cnum_034 << 3) + 4 | 0;
   22114     HEAPF32[$t >> 2] = 1.0;
   22115     $rval_2 = $rval_037;
   22116     $prev_v_1 = $prev_v_036;
   22117     $nseg_addr_1 = $nseg_addr_035;
   22118     $cnum_1 = $inc;
   22119     label = 16;
   22120     break;
   22121    case 8:
   22122     $call16 = +_getSegLen($color_033, $prev_v_036);
   22123     $cmp17 = $call16 < 0.0;
   22124     if ($cmp17) {
   22125       label = 13;
   22126       break;
   22127     } else {
   22128       label = 9;
   22129       break;
   22130     }
   22131    case 9:
   22132     $cmp19 = $prev_v_036 < $call16;
   22133     if ($cmp19) {
   22134       label = 10;
   22135       break;
   22136     } else {
   22137       label = 11;
   22138       break;
   22139     }
   22140    case 10:
   22141     $color22 = $1 + ($cnum_034 << 3) | 0;
   22142     HEAP32[$color22 >> 2] = $color_033;
   22143     $sub23 = $call16 - $prev_v_036;
   22144     $sub24 = 1.0 - $prev_v_036;
   22145     $div = $sub23 / $sub24;
   22146     $conv = $div;
   22147     $inc25 = $cnum_034 + 1 | 0;
   22148     $t27 = $1 + ($cnum_034 << 3) + 4 | 0;
   22149     HEAPF32[$t27 >> 2] = $conv;
   22150     $rval_2 = $rval_037;
   22151     $prev_v_1 = $call16;
   22152     $nseg_addr_1 = $nseg_addr_035;
   22153     $cnum_1 = $inc25;
   22154     label = 16;
   22155     break;
   22156    case 11:
   22157     $_b29 = HEAP8[7544] | 0;
   22158     if ($_b29) {
   22159       $rval_2 = $rval_037;
   22160       $prev_v_1 = $prev_v_036;
   22161       $nseg_addr_1 = $sub;
   22162       $cnum_1 = $cnum_034;
   22163       label = 16;
   22164       break;
   22165     } else {
   22166       label = 12;
   22167       break;
   22168     }
   22169    case 12:
   22170     $call31 = _agerr(0, 97080, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $clrs, tempInt) | 0) | 0;
   22171     HEAP8[7544] = 1;
   22172     $rval_2 = 3;
   22173     $prev_v_1 = $prev_v_036;
   22174     $nseg_addr_1 = $sub;
   22175     $cnum_1 = $cnum_034;
   22176     label = 16;
   22177     break;
   22178    case 13:
   22179     $_b = HEAP8[7544] | 0;
   22180     if ($_b) {
   22181       $rval_1 = 1;
   22182       label = 15;
   22183       break;
   22184     } else {
   22185       label = 14;
   22186       break;
   22187     }
   22188    case 14:
   22189     $call37 = _agerr(1, 96608, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $clrs, tempInt) | 0) | 0;
   22190     HEAP8[7544] = 1;
   22191     $rval_1 = 2;
   22192     label = 15;
   22193     break;
   22194    case 15:
   22195     _freeSegs($0);
   22196     $retval_0 = $rval_1;
   22197     label = 20;
   22198     break;
   22199    case 16:
   22200     $call42 = _strtok(0, 98688) | 0;
   22201     $tobool = ($call42 | 0) == 0;
   22202     if ($tobool) {
   22203       label = 17;
   22204       break;
   22205     } else {
   22206       $color_033 = $call42;
   22207       $cnum_034 = $cnum_1;
   22208       $nseg_addr_035 = $nseg_addr_1;
   22209       $prev_v_036 = $prev_v_1;
   22210       $rval_037 = $rval_2;
   22211       label = 3;
   22212       break;
   22213     }
   22214    case 17:
   22215     $cmp43 = ($cnum_1 | 0) == 0;
   22216     if ($cmp43) {
   22217       label = 18;
   22218       break;
   22219     } else {
   22220       label = 19;
   22221       break;
   22222     }
   22223    case 18:
   22224     _freeSegs($0);
   22225     $retval_0 = 1;
   22226     label = 20;
   22227     break;
   22228    case 19:
   22229     HEAP32[$psegs >> 2] = $0;
   22230     $retval_0 = $rval_2;
   22231     label = 20;
   22232     break;
   22233    case 20:
   22234     STACKTOP = __stackBase__;
   22235     return $retval_0 | 0;
   22236   }
   22237   return 0;
   22238 }
   22239 function _splitBSpline($bz, $t, $left, $right) {
   22240   $bz = $bz | 0;
   22241   $t = +$t;
   22242   $left = $left | 0;
   22243   $right = $right | 0;
   22244   var $tmp = 0, $tmp84 = 0, $size = 0, $0 = 0, $sub = 0, $div = 0, $sub_off = 0, $1 = 0, $size1 = 0, $call = 0, $2 = 0, $list = 0, $size2 = 0, $call3 = 0, $3 = 0, $list4 = 0, $list5 = 0, $4 = 0, $conv = 0.0, $5 = 0, $mul = 0, $call8 = 0, $6 = 0, $list9 = 0, $cmp1060 = 0, $7 = 0, $i_063 = 0, $pts_062 = 0, $sum_061 = 0.0, $call12 = 0.0, $arrayidx = 0, $add = 0.0, $add_ptr = 0, $inc = 0, $cmp10 = 0, $sum_0_lcssa = 0.0, $conv14 = 0.0, $mul15 = 0.0, $sum_1 = 0.0, $i_1 = 0, $cmp17 = 0, $arrayidx20 = 0, $8 = 0.0, $add21 = 0.0, $cmp22 = 0, $inc27 = 0, $sum_2 = 0.0, $9 = 0, $add31 = 0, $size32 = 0, $mul34 = 0, $call35 = 0, $10 = 0, $list36 = 0, $sub37 = 0, $mul38 = 0, $add39 = 0, $size40 = 0, $mul42 = 0, $call43 = 0, $11 = 0, $list44 = 0, $12 = 0, $cmp4758 = 0, $j_059 = 0, $13 = 0, $arrayidx51 = 0, $14 = 0, $arrayidx53 = 0, $15 = 0, $16 = 0, $inc55 = 0, $17 = 0, $cmp47 = 0, $phitmp = 0, $j_0_lcssa = 0, $18 = 0, $cmp6055 = 0, $j_157 = 0, $k_056 = 0, $19 = 0, $arrayidx64 = 0, $inc65 = 0, $20 = 0, $arrayidx67 = 0, $21 = 0, $22 = 0, $inc69 = 0, $23 = 0, $cmp60 = 0, $arrayidx71 = 0, $24 = 0.0, $sub72 = 0.0, $sub73 = 0.0, $div74 = 0.0, $conv75 = 0.0, $25 = 0, $mul77 = 0, $add_ptr78 = 0, $conv79 = 0.0, $26 = 0, $add_ptr82 = 0, $27 = 0, label = 0, __stackBase__ = 0;
   22245   __stackBase__ = STACKTOP;
   22246   STACKTOP = STACKTOP + 32 | 0;
   22247   label = 2;
   22248   while (1) switch (label | 0) {
   22249    case 2:
   22250     $tmp = __stackBase__ | 0;
   22251     $tmp84 = __stackBase__ + 16 | 0;
   22252     $size = $bz + 4 | 0;
   22253     $0 = HEAP32[$size >> 2] | 0;
   22254     $sub = $0 - 1 | 0;
   22255     $div = ($sub | 0) / 3 & -1;
   22256     $sub_off = $0 - 4 | 0;
   22257     $1 = $sub_off >>> 0 < 3;
   22258     if ($1) {
   22259       label = 3;
   22260       break;
   22261     } else {
   22262       label = 4;
   22263       break;
   22264     }
   22265    case 3:
   22266     $size1 = $left + 4 | 0;
   22267     HEAP32[$size1 >> 2] = 4;
   22268     $call = _zmalloc(64) | 0;
   22269     $2 = $call;
   22270     $list = $left | 0;
   22271     HEAP32[$list >> 2] = $2;
   22272     $size2 = $right + 4 | 0;
   22273     HEAP32[$size2 >> 2] = 4;
   22274     $call3 = _zmalloc(64) | 0;
   22275     $3 = $call3;
   22276     $list4 = $right | 0;
   22277     HEAP32[$list4 >> 2] = $3;
   22278     $list5 = $bz | 0;
   22279     $4 = HEAP32[$list5 >> 2] | 0;
   22280     $conv = $t;
   22281     $5 = HEAP32[$list >> 2] | 0;
   22282     _Bezier($tmp, $4, 3, $conv, $5, $3);
   22283     label = 16;
   22284     break;
   22285    case 4:
   22286     $mul = $div << 3;
   22287     $call8 = _zmalloc($mul) | 0;
   22288     $6 = $call8;
   22289     $list9 = $bz | 0;
   22290     $cmp1060 = ($sub | 0) > 2;
   22291     if ($cmp1060) {
   22292       label = 5;
   22293       break;
   22294     } else {
   22295       $sum_0_lcssa = 0.0;
   22296       label = 7;
   22297       break;
   22298     }
   22299    case 5:
   22300     $7 = HEAP32[$list9 >> 2] | 0;
   22301     $sum_061 = 0.0;
   22302     $pts_062 = $7;
   22303     $i_063 = 0;
   22304     label = 6;
   22305     break;
   22306    case 6:
   22307     $call12 = +_approxLen($pts_062);
   22308     $arrayidx = $6 + ($i_063 << 3) | 0;
   22309     HEAPF64[$arrayidx >> 3] = $call12;
   22310     $add = $sum_061 + $call12;
   22311     $add_ptr = $pts_062 + 48 | 0;
   22312     $inc = $i_063 + 1 | 0;
   22313     $cmp10 = ($inc | 0) < ($div | 0);
   22314     if ($cmp10) {
   22315       $sum_061 = $add;
   22316       $pts_062 = $add_ptr;
   22317       $i_063 = $inc;
   22318       label = 6;
   22319       break;
   22320     } else {
   22321       $sum_0_lcssa = $add;
   22322       label = 7;
   22323       break;
   22324     }
   22325    case 7:
   22326     $conv14 = $t;
   22327     $mul15 = $conv14 * $sum_0_lcssa;
   22328     $i_1 = 0;
   22329     $sum_1 = 0.0;
   22330     label = 8;
   22331     break;
   22332    case 8:
   22333     $cmp17 = ($i_1 | 0) < ($div | 0);
   22334     if ($cmp17) {
   22335       label = 9;
   22336       break;
   22337     } else {
   22338       $sum_2 = $sum_1;
   22339       label = 10;
   22340       break;
   22341     }
   22342    case 9:
   22343     $arrayidx20 = $6 + ($i_1 << 3) | 0;
   22344     $8 = +HEAPF64[$arrayidx20 >> 3];
   22345     $add21 = $sum_1 + $8;
   22346     $cmp22 = $add21 < $mul15;
   22347     $inc27 = $i_1 + 1 | 0;
   22348     if ($cmp22) {
   22349       $i_1 = $inc27;
   22350       $sum_1 = $add21;
   22351       label = 8;
   22352       break;
   22353     } else {
   22354       $sum_2 = $add21;
   22355       label = 10;
   22356       break;
   22357     }
   22358    case 10:
   22359     $9 = $i_1 * 3 & -1;
   22360     $add31 = $9 + 4 | 0;
   22361     $size32 = $left + 4 | 0;
   22362     HEAP32[$size32 >> 2] = $add31;
   22363     $mul34 = $add31 << 4;
   22364     $call35 = _zmalloc($mul34) | 0;
   22365     $10 = $call35;
   22366     $list36 = $left | 0;
   22367     HEAP32[$list36 >> 2] = $10;
   22368     $sub37 = $div - $i_1 | 0;
   22369     $mul38 = $sub37 * 3 & -1;
   22370     $add39 = $mul38 + 1 | 0;
   22371     $size40 = $right + 4 | 0;
   22372     HEAP32[$size40 >> 2] = $add39;
   22373     $mul42 = $add39 << 4;
   22374     $call43 = _zmalloc($mul42) | 0;
   22375     $11 = $call43;
   22376     $list44 = $right | 0;
   22377     HEAP32[$list44 >> 2] = $11;
   22378     $12 = HEAP32[$size32 >> 2] | 0;
   22379     $cmp4758 = ($12 | 0) > 0;
   22380     if ($cmp4758) {
   22381       $j_059 = 0;
   22382       label = 11;
   22383       break;
   22384     } else {
   22385       $j_0_lcssa = -4;
   22386       label = 13;
   22387       break;
   22388     }
   22389    case 11:
   22390     $13 = HEAP32[$list36 >> 2] | 0;
   22391     $arrayidx51 = $13 + ($j_059 << 4) | 0;
   22392     $14 = HEAP32[$list9 >> 2] | 0;
   22393     $arrayidx53 = $14 + ($j_059 << 4) | 0;
   22394     $15 = $arrayidx51;
   22395     $16 = $arrayidx53;
   22396     HEAP32[$15 >> 2] = HEAP32[$16 >> 2] | 0;
   22397     HEAP32[$15 + 4 >> 2] = HEAP32[$16 + 4 >> 2] | 0;
   22398     HEAP32[$15 + 8 >> 2] = HEAP32[$16 + 8 >> 2] | 0;
   22399     HEAP32[$15 + 12 >> 2] = HEAP32[$16 + 12 >> 2] | 0;
   22400     $inc55 = $j_059 + 1 | 0;
   22401     $17 = HEAP32[$size32 >> 2] | 0;
   22402     $cmp47 = ($inc55 | 0) < ($17 | 0);
   22403     if ($cmp47) {
   22404       $j_059 = $inc55;
   22405       label = 11;
   22406       break;
   22407     } else {
   22408       label = 12;
   22409       break;
   22410     }
   22411    case 12:
   22412     $phitmp = $j_059 - 3 | 0;
   22413     $j_0_lcssa = $phitmp;
   22414     label = 13;
   22415     break;
   22416    case 13:
   22417     $18 = HEAP32[$size40 >> 2] | 0;
   22418     $cmp6055 = ($18 | 0) > 0;
   22419     if ($cmp6055) {
   22420       $k_056 = $j_0_lcssa;
   22421       $j_157 = 0;
   22422       label = 14;
   22423       break;
   22424     } else {
   22425       label = 15;
   22426       break;
   22427     }
   22428    case 14:
   22429     $19 = HEAP32[$list44 >> 2] | 0;
   22430     $arrayidx64 = $19 + ($j_157 << 4) | 0;
   22431     $inc65 = $k_056 + 1 | 0;
   22432     $20 = HEAP32[$list9 >> 2] | 0;
   22433     $arrayidx67 = $20 + ($k_056 << 4) | 0;
   22434     $21 = $arrayidx64;
   22435     $22 = $arrayidx67;
   22436     HEAP32[$21 >> 2] = HEAP32[$22 >> 2] | 0;
   22437     HEAP32[$21 + 4 >> 2] = HEAP32[$22 + 4 >> 2] | 0;
   22438     HEAP32[$21 + 8 >> 2] = HEAP32[$22 + 8 >> 2] | 0;
   22439     HEAP32[$21 + 12 >> 2] = HEAP32[$22 + 12 >> 2] | 0;
   22440     $inc69 = $j_157 + 1 | 0;
   22441     $23 = HEAP32[$size40 >> 2] | 0;
   22442     $cmp60 = ($inc69 | 0) < ($23 | 0);
   22443     if ($cmp60) {
   22444       $k_056 = $inc65;
   22445       $j_157 = $inc69;
   22446       label = 14;
   22447       break;
   22448     } else {
   22449       label = 15;
   22450       break;
   22451     }
   22452    case 15:
   22453     $arrayidx71 = $6 + ($i_1 << 3) | 0;
   22454     $24 = +HEAPF64[$arrayidx71 >> 3];
   22455     $sub72 = $sum_2 - $24;
   22456     $sub73 = $mul15 - $sub72;
   22457     $div74 = $sub73 / $24;
   22458     $conv75 = $div74;
   22459     $25 = HEAP32[$list9 >> 2] | 0;
   22460     $mul77 = $i_1 * 3 & -1;
   22461     $add_ptr78 = $25 + ($mul77 << 4) | 0;
   22462     $conv79 = $conv75;
   22463     $26 = HEAP32[$list36 >> 2] | 0;
   22464     $add_ptr82 = $26 + ($mul77 << 4) | 0;
   22465     $27 = HEAP32[$list44 >> 2] | 0;
   22466     _Bezier($tmp84, $add_ptr78, 3, $conv79, $add_ptr82, $27);
   22467     _free($call8);
   22468     label = 16;
   22469     break;
   22470    case 16:
   22471     STACKTOP = __stackBase__;
   22472     return;
   22473   }
   22474 }
   22475 function _emit_edge_label($job, $lbl, $lkind, $explicit, $url, $tooltip, $target, $id, $spl) {
   22476   $job = $job | 0;
   22477   $lbl = $lbl | 0;
   22478   $lkind = $lkind | 0;
   22479   $explicit = $explicit | 0;
   22480   $url = $url | 0;
   22481   $tooltip = $tooltip | 0;
   22482   $target = $target | 0;
   22483   $id = $id | 0;
   22484   $spl = $spl | 0;
   22485   var $flags1 = 0, $0 = 0, $cmp = 0, $tobool = 0, $call = 0, $add = 0, $call3 = 0, $type_0 = 0, $call6 = 0, $newid_0 = 0, $obj = 0, $1 = 0, $emit_state = 0, $2 = 0, $tobool10_not = 0, $tobool11 = 0, $or_cond = 0, $and = 0, $tobool12 = 0, $tobool15 = 0, $and22 = 0, $tobool23 = 0, $tobool27 = 0, $3 = 0, $emit_state31 = 0, label = 0, __stackBase__ = 0;
   22486   __stackBase__ = STACKTOP;
   22487   label = 2;
   22488   while (1) switch (label | 0) {
   22489    case 2:
   22490     $flags1 = $job + 152 | 0;
   22491     $0 = HEAP32[$flags1 >> 2] | 0;
   22492     $cmp = ($lbl | 0) == 0;
   22493     if ($cmp) {
   22494       label = 21;
   22495       break;
   22496     } else {
   22497       label = 3;
   22498       break;
   22499     }
   22500    case 3:
   22501     $tobool = ($id | 0) == 0;
   22502     if ($tobool) {
   22503       $newid_0 = 0;
   22504       label = 9;
   22505       break;
   22506     } else {
   22507       label = 4;
   22508       break;
   22509     }
   22510    case 4:
   22511     $call = _strlen($id | 0) | 0;
   22512     $add = $call + 11 | 0;
   22513     $call3 = _zmalloc($add) | 0;
   22514     if (($lkind | 0) == 7) {
   22515       label = 5;
   22516       break;
   22517     } else if (($lkind | 0) == 6) {
   22518       label = 6;
   22519       break;
   22520     } else if (($lkind | 0) == 11) {
   22521       $type_0 = 100816;
   22522       label = 8;
   22523       break;
   22524     } else {
   22525       label = 7;
   22526       break;
   22527     }
   22528    case 5:
   22529     $type_0 = 100448;
   22530     label = 8;
   22531     break;
   22532    case 6:
   22533     $type_0 = 99968;
   22534     label = 8;
   22535     break;
   22536    case 7:
   22537     ___assert_func(162152, 2201, 164336, 99512);
   22538    case 8:
   22539     $call6 = _sprintf($call3 | 0, 99144, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $id, HEAP32[tempInt + 8 >> 2] = $type_0, tempInt) | 0) | 0;
   22540     $newid_0 = $call3;
   22541     label = 9;
   22542     break;
   22543    case 9:
   22544     $obj = $job + 16 | 0;
   22545     $1 = HEAP32[$obj >> 2] | 0;
   22546     $emit_state = $1 + 12 | 0;
   22547     $2 = HEAP32[$emit_state >> 2] | 0;
   22548     HEAP32[$emit_state >> 2] = $lkind;
   22549     $tobool10_not = ($url | 0) == 0;
   22550     $tobool11 = ($explicit | 0) == 0;
   22551     $or_cond = $tobool10_not & $tobool11;
   22552     if ($or_cond) {
   22553       label = 12;
   22554       break;
   22555     } else {
   22556       label = 10;
   22557       break;
   22558     }
   22559    case 10:
   22560     $and = $0 & 4;
   22561     $tobool12 = ($and | 0) == 0;
   22562     if ($tobool12) {
   22563       label = 11;
   22564       break;
   22565     } else {
   22566       label = 12;
   22567       break;
   22568     }
   22569    case 11:
   22570     _map_label($job, $lbl);
   22571     _gvrender_begin_anchor($job, $url, $tooltip, $target, $newid_0);
   22572     label = 12;
   22573     break;
   22574    case 12:
   22575     _emit_label($job, $lkind, $lbl);
   22576     $tobool15 = ($spl | 0) == 0;
   22577     if ($tobool15) {
   22578       label = 14;
   22579       break;
   22580     } else {
   22581       label = 13;
   22582       break;
   22583     }
   22584    case 13:
   22585     _emit_attachment($job, $lbl, $spl);
   22586     label = 14;
   22587     break;
   22588    case 14:
   22589     if ($or_cond) {
   22590       label = 18;
   22591       break;
   22592     } else {
   22593       label = 15;
   22594       break;
   22595     }
   22596    case 15:
   22597     $and22 = $0 & 4;
   22598     $tobool23 = ($and22 | 0) == 0;
   22599     if ($tobool23) {
   22600       label = 17;
   22601       break;
   22602     } else {
   22603       label = 16;
   22604       break;
   22605     }
   22606    case 16:
   22607     _map_label($job, $lbl);
   22608     _gvrender_begin_anchor($job, $url, $tooltip, $target, $newid_0);
   22609     label = 17;
   22610     break;
   22611    case 17:
   22612     _gvrender_end_anchor($job);
   22613     label = 18;
   22614     break;
   22615    case 18:
   22616     $tobool27 = ($newid_0 | 0) == 0;
   22617     if ($tobool27) {
   22618       label = 20;
   22619       break;
   22620     } else {
   22621       label = 19;
   22622       break;
   22623     }
   22624    case 19:
   22625     _free($newid_0);
   22626     label = 20;
   22627     break;
   22628    case 20:
   22629     $3 = HEAP32[$obj >> 2] | 0;
   22630     $emit_state31 = $3 + 12 | 0;
   22631     HEAP32[$emit_state31 >> 2] = $2;
   22632     label = 21;
   22633     break;
   22634    case 21:
   22635     STACKTOP = __stackBase__;
   22636     return;
   22637   }
   22638 }
   22639 function _is_natural_number($sstr) {
   22640   $sstr = $sstr | 0;
   22641   var $str_0 = 0, $0 = 0, $tobool = 0, $incdec_ptr = 0, $conv = 0, $isdigittmp = 0, $isdigit = 0, $retval_0 = 0, label = 0;
   22642   label = 2;
   22643   while (1) switch (label | 0) {
   22644    case 2:
   22645     $str_0 = $sstr;
   22646     label = 3;
   22647     break;
   22648    case 3:
   22649     $0 = HEAP8[$str_0] | 0;
   22650     $tobool = $0 << 24 >> 24 == 0;
   22651     if ($tobool) {
   22652       $retval_0 = 1;
   22653       label = 5;
   22654       break;
   22655     } else {
   22656       label = 4;
   22657       break;
   22658     }
   22659    case 4:
   22660     $incdec_ptr = $str_0 + 1 | 0;
   22661     $conv = $0 & 255;
   22662     $isdigittmp = $conv - 48 | 0;
   22663     $isdigit = $isdigittmp >>> 0 < 10;
   22664     if ($isdigit) {
   22665       $str_0 = $incdec_ptr;
   22666       label = 3;
   22667       break;
   22668     } else {
   22669       $retval_0 = 0;
   22670       label = 5;
   22671       break;
   22672     }
   22673    case 5:
   22674     return $retval_0 | 0;
   22675   }
   22676   return 0;
   22677 }
   22678 function _boxf_overlap($b0, $b1) {
   22679   $b0 = $b0 | 0;
   22680   $b1 = $b1 | 0;
   22681   var $x = 0, $0 = 0.0, $x1 = 0, $1 = 0.0, $cmp = 0, $x3 = 0, $2 = 0.0, $x5 = 0, $3 = 0.0, $cmp6 = 0, $y = 0, $4 = 0.0, $y10 = 0, $5 = 0.0, $cmp11 = 0, $y13 = 0, $6 = 0.0, $y15 = 0, $7 = 0.0, $cmp16 = 0, $phitmp = 0, $8 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   22682   __stackBase__ = STACKTOP;
   22683   tempParam = $b0;
   22684   $b0 = STACKTOP;
   22685   STACKTOP = STACKTOP + 32 | 0;
   22686   _memcpy($b0, tempParam, 32);
   22687   tempParam = $b1;
   22688   $b1 = STACKTOP;
   22689   STACKTOP = STACKTOP + 32 | 0;
   22690   _memcpy($b1, tempParam, 32);
   22691   label = 2;
   22692   while (1) switch (label | 0) {
   22693    case 2:
   22694     $x = $b0 + 16 | 0;
   22695     $0 = +HEAPF64[$x >> 3];
   22696     $x1 = $b1 | 0;
   22697     $1 = +HEAPF64[$x1 >> 3];
   22698     $cmp = $0 < $1;
   22699     if ($cmp) {
   22700       $8 = 0;
   22701       label = 6;
   22702       break;
   22703     } else {
   22704       label = 3;
   22705       break;
   22706     }
   22707    case 3:
   22708     $x3 = $b1 + 16 | 0;
   22709     $2 = +HEAPF64[$x3 >> 3];
   22710     $x5 = $b0 | 0;
   22711     $3 = +HEAPF64[$x5 >> 3];
   22712     $cmp6 = $2 < $3;
   22713     if ($cmp6) {
   22714       $8 = 0;
   22715       label = 6;
   22716       break;
   22717     } else {
   22718       label = 4;
   22719       break;
   22720     }
   22721    case 4:
   22722     $y = $b0 + 24 | 0;
   22723     $4 = +HEAPF64[$y >> 3];
   22724     $y10 = $b1 + 8 | 0;
   22725     $5 = +HEAPF64[$y10 >> 3];
   22726     $cmp11 = $4 < $5;
   22727     if ($cmp11) {
   22728       $8 = 0;
   22729       label = 6;
   22730       break;
   22731     } else {
   22732       label = 5;
   22733       break;
   22734     }
   22735    case 5:
   22736     $y13 = $b1 + 24 | 0;
   22737     $6 = +HEAPF64[$y13 >> 3];
   22738     $y15 = $b0 + 8 | 0;
   22739     $7 = +HEAPF64[$y15 >> 3];
   22740     $cmp16 = $6 >= $7;
   22741     $phitmp = $cmp16 & 1;
   22742     $8 = $phitmp;
   22743     label = 6;
   22744     break;
   22745    case 6:
   22746     STACKTOP = __stackBase__;
   22747     return $8 | 0;
   22748   }
   22749   return 0;
   22750 }
   22751 function _approxLen($pts) {
   22752   $pts = $pts | 0;
   22753   var $1 = 0.0, $sub = 0.0, $3 = 0.0, $sub11 = 0.0, $call = 0.0, $4 = 0.0, $sub22 = 0.0, $5 = 0.0, $sub33 = 0.0, $add42 = 0.0, $sub47 = 0.0, $sub58 = 0.0;
   22754   $1 = +HEAPF64[$pts + 16 >> 3];
   22755   $sub = +HEAPF64[$pts >> 3] - $1;
   22756   $3 = +HEAPF64[$pts + 24 >> 3];
   22757   $sub11 = +HEAPF64[$pts + 8 >> 3] - $3;
   22758   $call = +Math_sqrt(+($sub * $sub + $sub11 * $sub11));
   22759   $4 = +HEAPF64[$pts + 32 >> 3];
   22760   $sub22 = $1 - $4;
   22761   $5 = +HEAPF64[$pts + 40 >> 3];
   22762   $sub33 = $3 - $5;
   22763   $add42 = $call + +Math_sqrt(+($sub22 * $sub22 + $sub33 * $sub33));
   22764   $sub47 = $4 - +HEAPF64[$pts + 48 >> 3];
   22765   $sub58 = $5 - +HEAPF64[$pts + 56 >> 3];
   22766   return +($add42 + +Math_sqrt(+($sub47 * $sub47 + $sub58 * $sub58)));
   22767 }
   22768 function _getSegLen($s, $prev_v) {
   22769   $s = $s | 0;
   22770   $prev_v = +$prev_v;
   22771   var $endp = 0, $call = 0, $tobool = 0, $call1 = 0, $incdec_ptr = 0, $call2 = 0.0, $0 = 0, $cmp = 0, $cmp4 = 0, $cmp5 = 0, $or_cond = 0, $retval_0 = 0.0, label = 0, __stackBase__ = 0;
   22772   __stackBase__ = STACKTOP;
   22773   STACKTOP = STACKTOP + 8 | 0;
   22774   label = 2;
   22775   while (1) switch (label | 0) {
   22776    case 2:
   22777     $endp = __stackBase__ | 0;
   22778     $call = _strchr($s | 0, 44) | 0;
   22779     $tobool = ($call | 0) == 0;
   22780     if ($tobool) {
   22781       label = 3;
   22782       break;
   22783     } else {
   22784       label = 4;
   22785       break;
   22786     }
   22787    case 3:
   22788     $call1 = _agerr(1, 96248, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $s, tempInt) | 0) | 0;
   22789     $retval_0 = -1.0;
   22790     label = 7;
   22791     break;
   22792    case 4:
   22793     $incdec_ptr = $call + 1 | 0;
   22794     HEAP8[$call] = 0;
   22795     $call2 = +_strtod($incdec_ptr, $endp);
   22796     $0 = HEAP32[$endp >> 2] | 0;
   22797     $cmp = ($0 | 0) == ($incdec_ptr | 0);
   22798     if ($cmp) {
   22799       label = 6;
   22800       break;
   22801     } else {
   22802       label = 5;
   22803       break;
   22804     }
   22805    case 5:
   22806     $cmp4 = $call2 >= $prev_v;
   22807     $cmp5 = $call2 <= 1.0;
   22808     $or_cond = $cmp4 & $cmp5;
   22809     if ($or_cond) {
   22810       $retval_0 = $call2;
   22811       label = 7;
   22812       break;
   22813     } else {
   22814       label = 6;
   22815       break;
   22816     }
   22817    case 6:
   22818     $retval_0 = -1.0;
   22819     label = 7;
   22820     break;
   22821    case 7:
   22822     STACKTOP = __stackBase__;
   22823     return +$retval_0;
   22824   }
   22825   return 0.0;
   22826 }
   22827 function _freeSegs($segs) {
   22828   $segs = $segs | 0;
   22829   _free(HEAP32[$segs >> 2] | 0);
   22830   _free(HEAP32[$segs + 4 >> 2] | 0);
   22831   _free($segs);
   22832   return;
   22833 }
   22834 function _map_output_bspline($pbs, $pbs_n, $pbs_poly_n, $bp, $w2) {
   22835   $pbs = $pbs | 0;
   22836   $pbs_n = $pbs_n | 0;
   22837   $pbs_poly_n = $pbs_poly_n | 0;
   22838   $bp = $bp | 0;
   22839   $w2 = +$w2;
   22840   var $pts = 0, $pt1 = 0, $pt2 = 0, $call = 0, $0 = 0, $next = 0, $1 = 0, $size = 0, $2 = 0, $sub = 0, $div = 0, $cmp32 = 0, $list = 0, $arraydecay = 0, $tobool27 = 0, $arraydecay11 = 0, $arraydecay10 = 0, $3 = 0, $4 = 0, $j_034 = 0, $segp_033 = 0, $mul = 0, $5 = 0, $arrayidx4 = 0, $6 = 0, $7 = 0, $arrayidx_1 = 0, $add_1 = 0, $8 = 0, $arrayidx4_1 = 0, $9 = 0, $10 = 0, $arrayidx_2 = 0, $add_2 = 0, $11 = 0, $arrayidx4_2 = 0, $12 = 0, $13 = 0, $arrayidx_3 = 0, $add_3 = 0, $14 = 0, $arrayidx4_3 = 0, $15 = 0, $16 = 0, $call5 = 0, $inc7 = 0, $cmp = 0, $tobool2525 = 0, $cnt_030 = 0, $segp_129 = 0, $segprev_028 = 0, $next9 = 0, $17 = 0, $add_ptr = 0, $add_ptr12 = 0, $inc13 = 0, $cmp14 = 0, $cmp15 = 0, $or_cond = 0, $cnt_0_be = 0, $tobool = 0, $18 = 0, $19 = 0, $segl_026 = 0, $next27 = 0, $20 = 0, $21 = 0, $tobool25 = 0, label = 0, __stackBase__ = 0;
   22841   __stackBase__ = STACKTOP;
   22842   STACKTOP = STACKTOP + 1664 | 0;
   22843   label = 2;
   22844   while (1) switch (label | 0) {
   22845    case 2:
   22846     $pts = __stackBase__ | 0;
   22847     $pt1 = __stackBase__ + 64 | 0;
   22848     $pt2 = __stackBase__ + 864 | 0;
   22849     $call = _gmalloc(24) | 0;
   22850     $0 = $call;
   22851     $next = $call + 16 | 0;
   22852     $1 = $next;
   22853     HEAP32[$1 >> 2] = 1;
   22854     $size = $bp + 4 | 0;
   22855     $2 = HEAP32[$size >> 2] | 0;
   22856     $sub = $2 - 1 | 0;
   22857     $div = ($sub | 0) / 3 & -1;
   22858     $cmp32 = ($sub | 0) > 2;
   22859     if ($cmp32) {
   22860       label = 3;
   22861       break;
   22862     } else {
   22863       label = 4;
   22864       break;
   22865     }
   22866    case 3:
   22867     $list = $bp | 0;
   22868     $arraydecay = $pts | 0;
   22869     $segp_033 = $0;
   22870     $j_034 = 0;
   22871     label = 6;
   22872     break;
   22873    case 4:
   22874     $tobool27 = ($call | 0) == 0;
   22875     if ($tobool27) {
   22876       label = 12;
   22877       break;
   22878     } else {
   22879       label = 5;
   22880       break;
   22881     }
   22882    case 5:
   22883     $arraydecay11 = $pt2 | 0;
   22884     $arraydecay10 = $pt1 | 0;
   22885     $3 = $pt1;
   22886     $4 = $pt2;
   22887     $segprev_028 = 0;
   22888     $segp_129 = $0;
   22889     $cnt_030 = 0;
   22890     label = 8;
   22891     break;
   22892    case 6:
   22893     $mul = $j_034 * 3 & -1;
   22894     $5 = HEAP32[$list >> 2] | 0;
   22895     $arrayidx4 = $5 + ($mul << 4) | 0;
   22896     $6 = $pts;
   22897     $7 = $arrayidx4;
   22898     HEAP32[$6 >> 2] = HEAP32[$7 >> 2] | 0;
   22899     HEAP32[$6 + 4 >> 2] = HEAP32[$7 + 4 >> 2] | 0;
   22900     HEAP32[$6 + 8 >> 2] = HEAP32[$7 + 8 >> 2] | 0;
   22901     HEAP32[$6 + 12 >> 2] = HEAP32[$7 + 12 >> 2] | 0;
   22902     $arrayidx_1 = $pts + 16 | 0;
   22903     $add_1 = $mul + 1 | 0;
   22904     $8 = HEAP32[$list >> 2] | 0;
   22905     $arrayidx4_1 = $8 + ($add_1 << 4) | 0;
   22906     $9 = $arrayidx_1;
   22907     $10 = $arrayidx4_1;
   22908     HEAP32[$9 >> 2] = HEAP32[$10 >> 2] | 0;
   22909     HEAP32[$9 + 4 >> 2] = HEAP32[$10 + 4 >> 2] | 0;
   22910     HEAP32[$9 + 8 >> 2] = HEAP32[$10 + 8 >> 2] | 0;
   22911     HEAP32[$9 + 12 >> 2] = HEAP32[$10 + 12 >> 2] | 0;
   22912     $arrayidx_2 = $pts + 32 | 0;
   22913     $add_2 = $mul + 2 | 0;
   22914     $11 = HEAP32[$list >> 2] | 0;
   22915     $arrayidx4_2 = $11 + ($add_2 << 4) | 0;
   22916     $12 = $arrayidx_2;
   22917     $13 = $arrayidx4_2;
   22918     HEAP32[$12 >> 2] = HEAP32[$13 >> 2] | 0;
   22919     HEAP32[$12 + 4 >> 2] = HEAP32[$13 + 4 >> 2] | 0;
   22920     HEAP32[$12 + 8 >> 2] = HEAP32[$13 + 8 >> 2] | 0;
   22921     HEAP32[$12 + 12 >> 2] = HEAP32[$13 + 12 >> 2] | 0;
   22922     $arrayidx_3 = $pts + 48 | 0;
   22923     $add_3 = $mul + 3 | 0;
   22924     $14 = HEAP32[$list >> 2] | 0;
   22925     $arrayidx4_3 = $14 + ($add_3 << 4) | 0;
   22926     $15 = $arrayidx_3;
   22927     $16 = $arrayidx4_3;
   22928     HEAP32[$15 >> 2] = HEAP32[$16 >> 2] | 0;
   22929     HEAP32[$15 + 4 >> 2] = HEAP32[$16 + 4 >> 2] | 0;
   22930     HEAP32[$15 + 8 >> 2] = HEAP32[$16 + 8 >> 2] | 0;
   22931     HEAP32[$15 + 12 >> 2] = HEAP32[$16 + 12 >> 2] | 0;
   22932     $call5 = _approx_bezier($arraydecay, $segp_033) | 0;
   22933     $inc7 = $j_034 + 1 | 0;
   22934     $cmp = ($inc7 | 0) < ($div | 0);
   22935     if ($cmp) {
   22936       $segp_033 = $call5;
   22937       $j_034 = $inc7;
   22938       label = 6;
   22939       break;
   22940     } else {
   22941       label = 4;
   22942       break;
   22943     }
   22944    case 7:
   22945     $tobool2525 = ($call | 0) == 0;
   22946     if ($tobool2525) {
   22947       label = 12;
   22948       break;
   22949     } else {
   22950       $segl_026 = $0;
   22951       label = 11;
   22952       break;
   22953     }
   22954    case 8:
   22955     $next9 = $segp_129 + 16 | 0;
   22956     $17 = HEAP32[$next9 >> 2] | 0;
   22957     $add_ptr = $pt1 + ($cnt_030 << 4) | 0;
   22958     $add_ptr12 = $pt2 + ($cnt_030 << 4) | 0;
   22959     _mkSegPts($segprev_028, $segp_129, $17, $add_ptr, $add_ptr12, $w2);
   22960     $inc13 = $cnt_030 + 1 | 0;
   22961     $cmp14 = ($17 | 0) == 0;
   22962     $cmp15 = ($inc13 | 0) == 50;
   22963     $or_cond = $cmp14 | $cmp15;
   22964     if ($or_cond) {
   22965       label = 10;
   22966       break;
   22967     } else {
   22968       $cnt_0_be = $inc13;
   22969       label = 9;
   22970       break;
   22971     }
   22972    case 9:
   22973     $tobool = ($17 | 0) == 0;
   22974     if ($tobool) {
   22975       label = 7;
   22976       break;
   22977     } else {
   22978       $segprev_028 = $segp_129;
   22979       $segp_129 = $17;
   22980       $cnt_030 = $cnt_0_be;
   22981       label = 8;
   22982       break;
   22983     }
   22984    case 10:
   22985     _map_bspline_poly($pbs, $pbs_n, $pbs_poly_n, $inc13, $arraydecay10, $arraydecay11);
   22986     $18 = $add_ptr;
   22987     HEAP32[$3 >> 2] = HEAP32[$18 >> 2] | 0;
   22988     HEAP32[$3 + 4 >> 2] = HEAP32[$18 + 4 >> 2] | 0;
   22989     HEAP32[$3 + 8 >> 2] = HEAP32[$18 + 8 >> 2] | 0;
   22990     HEAP32[$3 + 12 >> 2] = HEAP32[$18 + 12 >> 2] | 0;
   22991     $19 = $add_ptr12;
   22992     HEAP32[$4 >> 2] = HEAP32[$19 >> 2] | 0;
   22993     HEAP32[$4 + 4 >> 2] = HEAP32[$19 + 4 >> 2] | 0;
   22994     HEAP32[$4 + 8 >> 2] = HEAP32[$19 + 8 >> 2] | 0;
   22995     HEAP32[$4 + 12 >> 2] = HEAP32[$19 + 12 >> 2] | 0;
   22996     $cnt_0_be = 1;
   22997     label = 9;
   22998     break;
   22999    case 11:
   23000     $next27 = $segl_026 + 16 | 0;
   23001     $20 = HEAP32[$next27 >> 2] | 0;
   23002     $21 = $segl_026;
   23003     _free($21);
   23004     $tobool25 = ($20 | 0) == 0;
   23005     if ($tobool25) {
   23006       label = 12;
   23007       break;
   23008     } else {
   23009       $segl_026 = $20;
   23010       label = 11;
   23011       break;
   23012     }
   23013    case 12:
   23014     STACKTOP = __stackBase__;
   23015     return;
   23016   }
   23017 }
   23018 function _approx_bezier($cp, $lp) {
   23019   $cp = $cp | 0;
   23020   $lp = $lp | 0;
   23021   var $left = 0, $right = 0, $tmp = 0, $call = 0, $tobool = 0, $next = 0, $0 = 0, $cmp = 0, $1 = 0, $2 = 0, $arrayidx3 = 0, $call4 = 0, $arraydecay = 0, $arraydecay5 = 0, $call7 = 0, $call9 = 0, $lp_addr_0 = 0, label = 0, __stackBase__ = 0;
   23022   __stackBase__ = STACKTOP;
   23023   STACKTOP = STACKTOP + 144 | 0;
   23024   label = 2;
   23025   while (1) switch (label | 0) {
   23026    case 2:
   23027     $left = __stackBase__ | 0;
   23028     $right = __stackBase__ + 64 | 0;
   23029     $tmp = __stackBase__ + 128 | 0;
   23030     $call = _check_control_points($cp) | 0;
   23031     $tobool = ($call | 0) == 0;
   23032     if ($tobool) {
   23033       label = 6;
   23034       break;
   23035     } else {
   23036       label = 3;
   23037       break;
   23038     }
   23039    case 3:
   23040     $next = $lp + 16 | 0;
   23041     $0 = HEAP32[$next >> 2] | 0;
   23042     $cmp = ($0 | 0) == 1;
   23043     if ($cmp) {
   23044       label = 4;
   23045       break;
   23046     } else {
   23047       label = 5;
   23048       break;
   23049     }
   23050    case 4:
   23051     HEAP32[$next >> 2] = 0;
   23052     $1 = $lp;
   23053     $2 = $cp;
   23054     HEAP32[$1 >> 2] = HEAP32[$2 >> 2] | 0;
   23055     HEAP32[$1 + 4 >> 2] = HEAP32[$2 + 4 >> 2] | 0;
   23056     HEAP32[$1 + 8 >> 2] = HEAP32[$2 + 8 >> 2] | 0;
   23057     HEAP32[$1 + 12 >> 2] = HEAP32[$2 + 12 >> 2] | 0;
   23058     label = 5;
   23059     break;
   23060    case 5:
   23061     $arrayidx3 = $cp + 48 | 0;
   23062     $call4 = _appendSeg($arrayidx3, $lp) | 0;
   23063     $lp_addr_0 = $call4;
   23064     label = 7;
   23065     break;
   23066    case 6:
   23067     $arraydecay = $left | 0;
   23068     $arraydecay5 = $right | 0;
   23069     _Bezier($tmp, $cp, 3, .5, $arraydecay, $arraydecay5);
   23070     $call7 = _approx_bezier($arraydecay, $lp) | 0;
   23071     $call9 = _approx_bezier($arraydecay5, $call7) | 0;
   23072     $lp_addr_0 = $call9;
   23073     label = 7;
   23074     break;
   23075    case 7:
   23076     STACKTOP = __stackBase__;
   23077     return $lp_addr_0 | 0;
   23078   }
   23079   return 0;
   23080 }
   23081 function _mkSegPts($prv, $cur, $nxt, $p1, $p2, $w2) {
   23082   $prv = $prv | 0;
   23083   $cur = $cur | 0;
   23084   $nxt = $nxt | 0;
   23085   $p1 = $p1 | 0;
   23086   $p2 = $p2 | 0;
   23087   $w2 = +$w2;
   23088   var $cp = 0, $pp = 0, $np = 0, $0 = 0, $1 = 0, $tobool = 0, $2 = 0, $3 = 0, $tobool5 = 0, $4 = 0, $5 = 0, $x = 0, $6 = 0.0, $mul = 0.0, $x8 = 0, $7 = 0.0, $sub = 0.0, $x9 = 0, $y = 0, $8 = 0.0, $mul10 = 0.0, $y11 = 0, $9 = 0.0, $sub12 = 0.0, $y13 = 0, $10 = 0, $11 = 0, $x16 = 0, $12 = 0.0, $mul17 = 0.0, $x18 = 0, $13 = 0.0, $sub19 = 0.0, $x20 = 0, $y21 = 0, $14 = 0.0, $mul22 = 0.0, $y23 = 0, $15 = 0.0, $sub24 = 0.0, $y25 = 0, $call = 0.0, $call27 = 0.0, $mul28 = 0.0, $call29 = 0.0, $mul30 = 0.0, $x31 = 0, $16 = 0.0, $add = 0.0, $y33 = 0, $17 = 0.0, $add34 = 0.0, $p_sroa_0_0__idx1 = 0, $p_sroa_1_8__idx4 = 0, $18 = 0.0, $sub37 = 0.0, $19 = 0.0, $sub40 = 0.0, $p_sroa_0_0__idx = 0, $p_sroa_1_8__idx3 = 0, label = 0, __stackBase__ = 0;
   23089   __stackBase__ = STACKTOP;
   23090   STACKTOP = STACKTOP + 48 | 0;
   23091   label = 2;
   23092   while (1) switch (label | 0) {
   23093    case 2:
   23094     $cp = __stackBase__ | 0;
   23095     $pp = __stackBase__ + 16 | 0;
   23096     $np = __stackBase__ + 32 | 0;
   23097     $0 = $cp;
   23098     $1 = $cur;
   23099     HEAP32[$0 >> 2] = HEAP32[$1 >> 2] | 0;
   23100     HEAP32[$0 + 4 >> 2] = HEAP32[$1 + 4 >> 2] | 0;
   23101     HEAP32[$0 + 8 >> 2] = HEAP32[$1 + 8 >> 2] | 0;
   23102     HEAP32[$0 + 12 >> 2] = HEAP32[$1 + 12 >> 2] | 0;
   23103     $tobool = ($prv | 0) == 0;
   23104     if ($tobool) {
   23105       label = 6;
   23106       break;
   23107     } else {
   23108       label = 3;
   23109       break;
   23110     }
   23111    case 3:
   23112     $2 = $pp;
   23113     $3 = $prv;
   23114     HEAP32[$2 >> 2] = HEAP32[$3 >> 2] | 0;
   23115     HEAP32[$2 + 4 >> 2] = HEAP32[$3 + 4 >> 2] | 0;
   23116     HEAP32[$2 + 8 >> 2] = HEAP32[$3 + 8 >> 2] | 0;
   23117     HEAP32[$2 + 12 >> 2] = HEAP32[$3 + 12 >> 2] | 0;
   23118     $tobool5 = ($nxt | 0) == 0;
   23119     if ($tobool5) {
   23120       label = 5;
   23121       break;
   23122     } else {
   23123       label = 4;
   23124       break;
   23125     }
   23126    case 4:
   23127     $4 = $np;
   23128     $5 = $nxt;
   23129     HEAP32[$4 >> 2] = HEAP32[$5 >> 2] | 0;
   23130     HEAP32[$4 + 4 >> 2] = HEAP32[$5 + 4 >> 2] | 0;
   23131     HEAP32[$4 + 8 >> 2] = HEAP32[$5 + 8 >> 2] | 0;
   23132     HEAP32[$4 + 12 >> 2] = HEAP32[$5 + 12 >> 2] | 0;
   23133     label = 7;
   23134     break;
   23135    case 5:
   23136     $x = $cp | 0;
   23137     $6 = +HEAPF64[$x >> 3];
   23138     $mul = $6 * 2.0;
   23139     $x8 = $pp | 0;
   23140     $7 = +HEAPF64[$x8 >> 3];
   23141     $sub = $mul - $7;
   23142     $x9 = $np | 0;
   23143     HEAPF64[$x9 >> 3] = $sub;
   23144     $y = $cp + 8 | 0;
   23145     $8 = +HEAPF64[$y >> 3];
   23146     $mul10 = $8 * 2.0;
   23147     $y11 = $pp + 8 | 0;
   23148     $9 = +HEAPF64[$y11 >> 3];
   23149     $sub12 = $mul10 - $9;
   23150     $y13 = $np + 8 | 0;
   23151     HEAPF64[$y13 >> 3] = $sub12;
   23152     label = 7;
   23153     break;
   23154    case 6:
   23155     $10 = $np;
   23156     $11 = $nxt;
   23157     HEAP32[$10 >> 2] = HEAP32[$11 >> 2] | 0;
   23158     HEAP32[$10 + 4 >> 2] = HEAP32[$11 + 4 >> 2] | 0;
   23159     HEAP32[$10 + 8 >> 2] = HEAP32[$11 + 8 >> 2] | 0;
   23160     HEAP32[$10 + 12 >> 2] = HEAP32[$11 + 12 >> 2] | 0;
   23161     $x16 = $cp | 0;
   23162     $12 = +HEAPF64[$x16 >> 3];
   23163     $mul17 = $12 * 2.0;
   23164     $x18 = $np | 0;
   23165     $13 = +HEAPF64[$x18 >> 3];
   23166     $sub19 = $mul17 - $13;
   23167     $x20 = $pp | 0;
   23168     HEAPF64[$x20 >> 3] = $sub19;
   23169     $y21 = $cp + 8 | 0;
   23170     $14 = +HEAPF64[$y21 >> 3];
   23171     $mul22 = $14 * 2.0;
   23172     $y23 = $np + 8 | 0;
   23173     $15 = +HEAPF64[$y23 >> 3];
   23174     $sub24 = $mul22 - $15;
   23175     $y25 = $pp + 8 | 0;
   23176     HEAPF64[$y25 >> 3] = $sub24;
   23177     label = 7;
   23178     break;
   23179    case 7:
   23180     $call = +_bisect($pp, $cp, $np);
   23181     $call27 = +Math_cos(+$call);
   23182     $mul28 = $call27 * $w2;
   23183     $call29 = +Math_sin(+$call);
   23184     $mul30 = $call29 * $w2;
   23185     $x31 = $cp | 0;
   23186     $16 = +HEAPF64[$x31 >> 3];
   23187     $add = $mul28 + $16;
   23188     $y33 = $cp + 8 | 0;
   23189     $17 = +HEAPF64[$y33 >> 3];
   23190     $add34 = $mul30 + $17;
   23191     $p_sroa_0_0__idx1 = $p1 | 0;
   23192     HEAPF64[$p_sroa_0_0__idx1 >> 3] = $add;
   23193     $p_sroa_1_8__idx4 = $p1 + 8 | 0;
   23194     HEAPF64[$p_sroa_1_8__idx4 >> 3] = $add34;
   23195     $18 = +HEAPF64[$x31 >> 3];
   23196     $sub37 = $18 - $mul28;
   23197     $19 = +HEAPF64[$y33 >> 3];
   23198     $sub40 = $19 - $mul30;
   23199     $p_sroa_0_0__idx = $p2 | 0;
   23200     HEAPF64[$p_sroa_0_0__idx >> 3] = $sub37;
   23201     $p_sroa_1_8__idx3 = $p2 + 8 | 0;
   23202     HEAPF64[$p_sroa_1_8__idx3 >> 3] = $sub40;
   23203     STACKTOP = __stackBase__;
   23204     return;
   23205   }
   23206 }
   23207 function _map_bspline_poly($pbs_p, $pbs_n, $pbs_poly_n, $n, $p1, $p2) {
   23208   $pbs_p = $pbs_p | 0;
   23209   $pbs_n = $pbs_n | 0;
   23210   $pbs_poly_n = $pbs_poly_n | 0;
   23211   $n = $n | 0;
   23212   $p1 = $p1 | 0;
   23213   $p2 = $p2 | 0;
   23214   var $mul = 0, $sub = 0, $0 = 0, $cmp26 = 0, $1 = 0, $2 = 0, $3 = 0, $i_028 = 0, $nump_027 = 0, $arrayidx = 0, $4 = 0, $add = 0, $inc = 0, $cmp = 0, $smax = 0, $_lcssa = 0, $i_0_lcssa = 0, $nump_0_lcssa = 0, $inc1 = 0, $5 = 0, $6 = 0, $mul2 = 0, $call = 0, $7 = 0, $arrayidx4 = 0, $8 = 0, $9 = 0, $add6 = 0, $mul7 = 0, $call8 = 0, $10 = 0, $cmp1024 = 0, $add15 = 0, $i_125 = 0, $add12 = 0, $11 = 0, $arrayidx13 = 0, $arrayidx14 = 0, $12 = 0, $13 = 0, $sub16 = 0, $14 = 0, $arrayidx17 = 0, $arrayidx18 = 0, $15 = 0, $16 = 0, $inc20 = 0, $cmp10 = 0, label = 0;
   23215   label = 2;
   23216   while (1) switch (label | 0) {
   23217    case 2:
   23218     $mul = $n << 1;
   23219     $sub = $mul - 1 | 0;
   23220     $0 = HEAP32[$pbs_poly_n >> 2] | 0;
   23221     $cmp26 = ($0 | 0) > 0;
   23222     if ($cmp26) {
   23223       label = 3;
   23224       break;
   23225     } else {
   23226       $nump_0_lcssa = 0;
   23227       $i_0_lcssa = 0;
   23228       $_lcssa = $0;
   23229       label = 6;
   23230       break;
   23231     }
   23232    case 3:
   23233     $1 = HEAP32[$pbs_n >> 2] | 0;
   23234     $2 = HEAP32[$pbs_poly_n >> 2] | 0;
   23235     $3 = ($2 | 0) > 1;
   23236     $nump_027 = 0;
   23237     $i_028 = 0;
   23238     label = 4;
   23239     break;
   23240    case 4:
   23241     $arrayidx = $1 + ($i_028 << 2) | 0;
   23242     $4 = HEAP32[$arrayidx >> 2] | 0;
   23243     $add = $4 + $nump_027 | 0;
   23244     $inc = $i_028 + 1 | 0;
   23245     $cmp = ($inc | 0) < ($2 | 0);
   23246     if ($cmp) {
   23247       $nump_027 = $add;
   23248       $i_028 = $inc;
   23249       label = 4;
   23250       break;
   23251     } else {
   23252       label = 5;
   23253       break;
   23254     }
   23255    case 5:
   23256     $smax = $3 ? $2 : 1;
   23257     $nump_0_lcssa = $add;
   23258     $i_0_lcssa = $smax;
   23259     $_lcssa = $2;
   23260     label = 6;
   23261     break;
   23262    case 6:
   23263     $inc1 = $_lcssa + 1 | 0;
   23264     HEAP32[$pbs_poly_n >> 2] = $inc1;
   23265     $5 = HEAP32[$pbs_n >> 2] | 0;
   23266     $6 = $5;
   23267     $mul2 = $inc1 << 2;
   23268     $call = _grealloc($6, $mul2) | 0;
   23269     $7 = $call;
   23270     HEAP32[$pbs_n >> 2] = $7;
   23271     $arrayidx4 = $7 + ($i_0_lcssa << 2) | 0;
   23272     HEAP32[$arrayidx4 >> 2] = $mul;
   23273     $8 = HEAP32[$pbs_p >> 2] | 0;
   23274     $9 = $8;
   23275     $add6 = $nump_0_lcssa + $mul | 0;
   23276     $mul7 = $add6 << 4;
   23277     $call8 = _grealloc($9, $mul7) | 0;
   23278     $10 = $call8;
   23279     HEAP32[$pbs_p >> 2] = $10;
   23280     $cmp1024 = ($n | 0) > 0;
   23281     if ($cmp1024) {
   23282       label = 7;
   23283       break;
   23284     } else {
   23285       label = 9;
   23286       break;
   23287     }
   23288    case 7:
   23289     $add15 = $sub + $nump_0_lcssa | 0;
   23290     $i_125 = 0;
   23291     label = 8;
   23292     break;
   23293    case 8:
   23294     $add12 = $i_125 + $nump_0_lcssa | 0;
   23295     $11 = HEAP32[$pbs_p >> 2] | 0;
   23296     $arrayidx13 = $11 + ($add12 << 4) | 0;
   23297     $arrayidx14 = $p1 + ($i_125 << 4) | 0;
   23298     $12 = $arrayidx13;
   23299     $13 = $arrayidx14;
   23300     HEAP32[$12 >> 2] = HEAP32[$13 >> 2] | 0;
   23301     HEAP32[$12 + 4 >> 2] = HEAP32[$13 + 4 >> 2] | 0;
   23302     HEAP32[$12 + 8 >> 2] = HEAP32[$13 + 8 >> 2] | 0;
   23303     HEAP32[$12 + 12 >> 2] = HEAP32[$13 + 12 >> 2] | 0;
   23304     $sub16 = $add15 - $i_125 | 0;
   23305     $14 = HEAP32[$pbs_p >> 2] | 0;
   23306     $arrayidx17 = $14 + ($sub16 << 4) | 0;
   23307     $arrayidx18 = $p2 + ($i_125 << 4) | 0;
   23308     $15 = $arrayidx17;
   23309     $16 = $arrayidx18;
   23310     HEAP32[$15 >> 2] = HEAP32[$16 >> 2] | 0;
   23311     HEAP32[$15 + 4 >> 2] = HEAP32[$16 + 4 >> 2] | 0;
   23312     HEAP32[$15 + 8 >> 2] = HEAP32[$16 + 8 >> 2] | 0;
   23313     HEAP32[$15 + 12 >> 2] = HEAP32[$16 + 12 >> 2] | 0;
   23314     $inc20 = $i_125 + 1 | 0;
   23315     $cmp10 = ($inc20 | 0) < ($n | 0);
   23316     if ($cmp10) {
   23317       $i_125 = $inc20;
   23318       label = 8;
   23319       break;
   23320     } else {
   23321       label = 9;
   23322       break;
   23323     }
   23324    case 9:
   23325     return;
   23326   }
   23327 }
   23328 function _bisect($pp, $cp, $np) {
   23329   $pp = $pp | 0;
   23330   $cp = $cp | 0;
   23331   $np = $np | 0;
   23332   var $y = 0, $0 = 0.0, $y1 = 0, $1 = 0.0, $sub = 0.0, $x = 0, $2 = 0.0, $x2 = 0, $3 = 0.0, $sub3 = 0.0, $call = 0.0, $y4 = 0, $4 = 0.0, $sub6 = 0.0, $x7 = 0, $5 = 0.0, $sub9 = 0.0, $call10 = 0.0, $sub11 = 0.0, $cmp = 0, $sub12 = 0.0, $ang_0 = 0.0, $div = 0.0, $add = 0.0, label = 0, tempParam = 0, __stackBase__ = 0;
   23333   __stackBase__ = STACKTOP;
   23334   tempParam = $pp;
   23335   $pp = STACKTOP;
   23336   STACKTOP = STACKTOP + 16 | 0;
   23337   HEAP32[$pp >> 2] = HEAP32[tempParam >> 2] | 0;
   23338   HEAP32[$pp + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   23339   HEAP32[$pp + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   23340   HEAP32[$pp + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   23341   tempParam = $cp;
   23342   $cp = STACKTOP;
   23343   STACKTOP = STACKTOP + 16 | 0;
   23344   HEAP32[$cp >> 2] = HEAP32[tempParam >> 2] | 0;
   23345   HEAP32[$cp + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   23346   HEAP32[$cp + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   23347   HEAP32[$cp + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   23348   tempParam = $np;
   23349   $np = STACKTOP;
   23350   STACKTOP = STACKTOP + 16 | 0;
   23351   HEAP32[$np >> 2] = HEAP32[tempParam >> 2] | 0;
   23352   HEAP32[$np + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   23353   HEAP32[$np + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   23354   HEAP32[$np + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   23355   label = 2;
   23356   while (1) switch (label | 0) {
   23357    case 2:
   23358     $y = $np + 8 | 0;
   23359     $0 = +HEAPF64[$y >> 3];
   23360     $y1 = $cp + 8 | 0;
   23361     $1 = +HEAPF64[$y1 >> 3];
   23362     $sub = $0 - $1;
   23363     $x = $np | 0;
   23364     $2 = +HEAPF64[$x >> 3];
   23365     $x2 = $cp | 0;
   23366     $3 = +HEAPF64[$x2 >> 3];
   23367     $sub3 = $2 - $3;
   23368     $call = +Math_atan2(+$sub, +$sub3);
   23369     $y4 = $pp + 8 | 0;
   23370     $4 = +HEAPF64[$y4 >> 3];
   23371     $sub6 = $4 - $1;
   23372     $x7 = $pp | 0;
   23373     $5 = +HEAPF64[$x7 >> 3];
   23374     $sub9 = $5 - $3;
   23375     $call10 = +Math_atan2(+$sub6, +$sub9);
   23376     $sub11 = $call - $call10;
   23377     $cmp = $sub11 > 0.0;
   23378     if ($cmp) {
   23379       label = 3;
   23380       break;
   23381     } else {
   23382       $ang_0 = $sub11;
   23383       label = 4;
   23384       break;
   23385     }
   23386    case 3:
   23387     $sub12 = $sub11 - 6.283185307179586;
   23388     $ang_0 = $sub12;
   23389     label = 4;
   23390     break;
   23391    case 4:
   23392     $div = $ang_0 * .5;
   23393     $add = $call10 + $div;
   23394     STACKTOP = __stackBase__;
   23395     return +$add;
   23396   }
   23397   return 0.0;
   23398 }
   23399 function _appendSeg($p, $lp) {
   23400   $p = $p | 0;
   23401   $lp = $lp | 0;
   23402   var $call = 0, $0 = 0, $2 = 0, tempParam = 0, __stackBase__ = 0;
   23403   __stackBase__ = STACKTOP;
   23404   tempParam = $p;
   23405   $p = STACKTOP;
   23406   STACKTOP = STACKTOP + 16 | 0;
   23407   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   23408   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   23409   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   23410   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   23411   $call = _gmalloc(24) | 0;
   23412   $0 = $call;
   23413   HEAP32[$call + 16 >> 2] = 0;
   23414   $2 = $p;
   23415   HEAP32[$call >> 2] = HEAP32[$2 >> 2] | 0;
   23416   HEAP32[$call + 4 >> 2] = HEAP32[$2 + 4 >> 2] | 0;
   23417   HEAP32[$call + 8 >> 2] = HEAP32[$2 + 8 >> 2] | 0;
   23418   HEAP32[$call + 12 >> 2] = HEAP32[$2 + 12 >> 2] | 0;
   23419   HEAP32[$lp + 16 >> 2] = $0;
   23420   STACKTOP = __stackBase__;
   23421   return $0 | 0;
   23422 }
   23423 function _selectedlayer($job, $spec) {
   23424   $job = $job | 0;
   23425   $spec = $spec | 0;
   23426   var $buf = 0, $xb = 0, $gvc1 = 0, $0 = 0, $arraydecay = 0, $call = 0, $ptr = 0, $1 = 0, $eptr = 0, $2 = 0, $cmp = 0, $call2 = 0, $3 = 0, $buf4 = 0, $4 = 0, $layerDelims = 0, $5 = 0, $call6 = 0, $tobool = 0, $6 = 0, $call8 = 0, $w1_0 = 0, $conv = 0, $cmp10 = 0, $conv11 = 0, $add = 0, $layerNum = 0, $7 = 0, $call13 = 0, $8 = 0, $cmp15 = 0, $conv17 = 0, $call19 = 0, $numLayers = 0, $9 = 0, $call20 = 0, $10 = 0, $or_cond_not = 0, $cmp26 = 0, $or_cond21 = 0, $n0_0 = 0, $layerNum31 = 0, $11 = 0, $cmp32 = 0, $n1_0 = 0, $cmp35 = 0, $phitmp = 0, $rval_0 = 0, label = 0, __stackBase__ = 0;
   23427   __stackBase__ = STACKTOP;
   23428   STACKTOP = STACKTOP + 144 | 0;
   23429   label = 2;
   23430   while (1) switch (label | 0) {
   23431    case 2:
   23432     $buf = __stackBase__ | 0;
   23433     $xb = __stackBase__ + 128 | 0;
   23434     $gvc1 = $job | 0;
   23435     $0 = HEAP32[$gvc1 >> 2] | 0;
   23436     $arraydecay = $buf | 0;
   23437     _agxbinit($xb, 128, $arraydecay);
   23438     $call = _agxbput($xb, $spec) | 0;
   23439     $ptr = $xb + 4 | 0;
   23440     $1 = HEAP32[$ptr >> 2] | 0;
   23441     $eptr = $xb + 8 | 0;
   23442     $2 = HEAP32[$eptr >> 2] | 0;
   23443     $cmp = $1 >>> 0 < $2 >>> 0;
   23444     if ($cmp) {
   23445       label = 4;
   23446       break;
   23447     } else {
   23448       label = 3;
   23449       break;
   23450     }
   23451    case 3:
   23452     $call2 = _agxbmore($xb, 1) | 0;
   23453     label = 4;
   23454     break;
   23455    case 4:
   23456     $3 = HEAP32[$ptr >> 2] | 0;
   23457     HEAP8[$3] = 0;
   23458     $buf4 = $xb | 0;
   23459     $4 = HEAP32[$buf4 >> 2] | 0;
   23460     HEAP32[$ptr >> 2] = $4;
   23461     $layerDelims = $0 + 272 | 0;
   23462     $5 = HEAP32[$layerDelims >> 2] | 0;
   23463     $call6 = _strtok($4 | 0, $5 | 0) | 0;
   23464     $tobool = ($call6 | 0) != 0;
   23465     if ($tobool) {
   23466       label = 5;
   23467       break;
   23468     } else {
   23469       $w1_0 = 0;
   23470       label = 6;
   23471       break;
   23472     }
   23473    case 5:
   23474     $6 = HEAP32[$layerDelims >> 2] | 0;
   23475     $call8 = _strtok(0, $6 | 0) | 0;
   23476     $w1_0 = $call8;
   23477     label = 6;
   23478     break;
   23479    case 6:
   23480     $conv = $tobool & 1;
   23481     $cmp10 = ($w1_0 | 0) != 0;
   23482     $conv11 = $cmp10 & 1;
   23483     $add = $conv11 + $conv | 0;
   23484     if (($add | 0) == 1) {
   23485       label = 7;
   23486       break;
   23487     } else if (($add | 0) == 2) {
   23488       label = 8;
   23489       break;
   23490     } else {
   23491       $rval_0 = 0;
   23492       label = 10;
   23493       break;
   23494     }
   23495    case 7:
   23496     $layerNum = $job + 160 | 0;
   23497     $7 = HEAP32[$layerNum >> 2] | 0;
   23498     $call13 = _layer_index($0, $call6, $7) | 0;
   23499     $8 = HEAP32[$layerNum >> 2] | 0;
   23500     $cmp15 = ($call13 | 0) == ($8 | 0);
   23501     $conv17 = $cmp15 & 1;
   23502     $rval_0 = $conv17;
   23503     label = 10;
   23504     break;
   23505    case 8:
   23506     $call19 = _layer_index($0, $call6, 0) | 0;
   23507     $numLayers = $job + 156 | 0;
   23508     $9 = HEAP32[$numLayers >> 2] | 0;
   23509     $call20 = _layer_index($0, $w1_0, $9) | 0;
   23510     $10 = $call20 | $call19;
   23511     $or_cond_not = ($10 | 0) > -1;
   23512     $cmp26 = ($call19 | 0) > ($call20 | 0);
   23513     $or_cond21 = $or_cond_not & $cmp26;
   23514     $n0_0 = $or_cond21 ? $call20 : $call19;
   23515     $layerNum31 = $job + 160 | 0;
   23516     $11 = HEAP32[$layerNum31 >> 2] | 0;
   23517     $cmp32 = ($n0_0 | 0) > ($11 | 0);
   23518     if ($cmp32) {
   23519       $rval_0 = 0;
   23520       label = 10;
   23521       break;
   23522     } else {
   23523       label = 9;
   23524       break;
   23525     }
   23526    case 9:
   23527     $n1_0 = $or_cond21 ? $call19 : $call20;
   23528     $cmp35 = ($11 | 0) <= ($n1_0 | 0);
   23529     $phitmp = $cmp35 & 1;
   23530     $rval_0 = $phitmp;
   23531     label = 10;
   23532     break;
   23533    case 10:
   23534     _agxbfree($xb);
   23535     STACKTOP = __stackBase__;
   23536     return $rval_0 | 0;
   23537   }
   23538   return 0;
   23539 }
   23540 function _layer_index($gvc, $str, $all) {
   23541   $gvc = $gvc | 0;
   23542   $str = $str | 0;
   23543   $all = $all | 0;
   23544   var $job1 = 0, $0 = 0, $1 = 0, $cmp = 0, $call = 0, $tobool = 0, $call4 = 0, $tobool5 = 0, $call7 = 0, $layerIDs = 0, $2 = 0, $tobool9 = 0, $numLayers = 0, $3 = 0, $cmp1113 = 0, $4 = 0, $5 = 0, $i_014 = 0, $arrayidx = 0, $6 = 0, $7 = 0, $cmp16 = 0, $call21 = 0, $tobool22 = 0, $inc = 0, $8 = 0, $cmp11 = 0, $retval_0 = 0, label = 0;
   23545   label = 2;
   23546   while (1) switch (label | 0) {
   23547    case 2:
   23548     $job1 = $gvc + 124 | 0;
   23549     $0 = HEAP32[$job1 >> 2] | 0;
   23550     $1 = HEAP8[$str] | 0;
   23551     $cmp = $1 << 24 >> 24 == 97;
   23552     if ($cmp) {
   23553       label = 3;
   23554       break;
   23555     } else {
   23556       label = 4;
   23557       break;
   23558     }
   23559    case 3:
   23560     $call = _strcmp($str | 0, 162624) | 0;
   23561     $tobool = ($call | 0) == 0;
   23562     if ($tobool) {
   23563       $retval_0 = $all;
   23564       label = 12;
   23565       break;
   23566     } else {
   23567       label = 4;
   23568       break;
   23569     }
   23570    case 4:
   23571     $call4 = _is_natural_number($str) | 0;
   23572     $tobool5 = $call4 << 24 >> 24 == 0;
   23573     if ($tobool5) {
   23574       label = 6;
   23575       break;
   23576     } else {
   23577       label = 5;
   23578       break;
   23579     }
   23580    case 5:
   23581     $call7 = _atoi($str | 0) | 0;
   23582     $retval_0 = $call7;
   23583     label = 12;
   23584     break;
   23585    case 6:
   23586     $layerIDs = $gvc + 280 | 0;
   23587     $2 = HEAP32[$layerIDs >> 2] | 0;
   23588     $tobool9 = ($2 | 0) == 0;
   23589     if ($tobool9) {
   23590       $retval_0 = -1;
   23591       label = 12;
   23592       break;
   23593     } else {
   23594       label = 7;
   23595       break;
   23596     }
   23597    case 7:
   23598     $numLayers = $0 + 156 | 0;
   23599     $3 = HEAP32[$numLayers >> 2] | 0;
   23600     $cmp1113 = ($3 | 0) < 1;
   23601     if ($cmp1113) {
   23602       $retval_0 = -1;
   23603       label = 12;
   23604       break;
   23605     } else {
   23606       label = 8;
   23607       break;
   23608     }
   23609    case 8:
   23610     $4 = HEAP8[$str] | 0;
   23611     $5 = HEAP32[$layerIDs >> 2] | 0;
   23612     $i_014 = 1;
   23613     label = 9;
   23614     break;
   23615    case 9:
   23616     $arrayidx = $5 + ($i_014 << 2) | 0;
   23617     $6 = HEAP32[$arrayidx >> 2] | 0;
   23618     $7 = HEAP8[$6] | 0;
   23619     $cmp16 = $4 << 24 >> 24 == $7 << 24 >> 24;
   23620     if ($cmp16) {
   23621       label = 10;
   23622       break;
   23623     } else {
   23624       label = 11;
   23625       break;
   23626     }
   23627    case 10:
   23628     $call21 = _strcmp($str | 0, $6 | 0) | 0;
   23629     $tobool22 = ($call21 | 0) == 0;
   23630     if ($tobool22) {
   23631       $retval_0 = $i_014;
   23632       label = 12;
   23633       break;
   23634     } else {
   23635       label = 11;
   23636       break;
   23637     }
   23638    case 11:
   23639     $inc = $i_014 + 1 | 0;
   23640     $8 = HEAP32[$numLayers >> 2] | 0;
   23641     $cmp11 = ($inc | 0) > ($8 | 0);
   23642     if ($cmp11) {
   23643       $retval_0 = -1;
   23644       label = 12;
   23645       break;
   23646     } else {
   23647       $i_014 = $inc;
   23648       label = 9;
   23649       break;
   23650     }
   23651    case 12:
   23652     return $retval_0 | 0;
   23653   }
   23654   return 0;
   23655 }
   23656 function _node_in_layer($job, $g, $n) {
   23657   $job = $job | 0;
   23658   $g = $g | 0;
   23659   $n = $n | 0;
   23660   var $numLayers = 0, $0 = 0, $cmp = 0, $1 = 0, $2 = 0, $call = 0, $call1 = 0, $tobool = 0, $3 = 0, $tobool4 = 0, $4 = 0, $5 = 0, $call7 = 0, $cmp8 = 0, $call11 = 0, $tobool1212 = 0, $e_0_in13 = 0, $6 = 0, $7 = 0, $call13 = 0, $8 = 0, $cmp15 = 0, $call17 = 0, $tobool19 = 0, $call22 = 0, $tobool12 = 0, $retval_0 = 0, label = 0;
   23661   label = 2;
   23662   while (1) switch (label | 0) {
   23663    case 2:
   23664     $numLayers = $job + 156 | 0;
   23665     $0 = HEAP32[$numLayers >> 2] | 0;
   23666     $cmp = ($0 | 0) < 2;
   23667     if ($cmp) {
   23668       $retval_0 = 1;
   23669       label = 10;
   23670       break;
   23671     } else {
   23672       label = 3;
   23673       break;
   23674     }
   23675    case 3:
   23676     $1 = $n | 0;
   23677     $2 = HEAP32[41586] | 0;
   23678     $call = _late_string($1, $2, 136112) | 0;
   23679     $call1 = _selectedlayer($job, $call) | 0;
   23680     $tobool = $call1 << 24 >> 24 == 0;
   23681     if ($tobool) {
   23682       label = 4;
   23683       break;
   23684     } else {
   23685       $retval_0 = 1;
   23686       label = 10;
   23687       break;
   23688     }
   23689    case 4:
   23690     $3 = HEAP8[$call] | 0;
   23691     $tobool4 = $3 << 24 >> 24 == 0;
   23692     if ($tobool4) {
   23693       label = 5;
   23694       break;
   23695     } else {
   23696       $retval_0 = 0;
   23697       label = 10;
   23698       break;
   23699     }
   23700    case 5:
   23701     $4 = $g;
   23702     $5 = $n;
   23703     $call7 = _agfstedge($4, $5) | 0;
   23704     $cmp8 = ($call7 | 0) == 0;
   23705     if ($cmp8) {
   23706       $retval_0 = 1;
   23707       label = 10;
   23708       break;
   23709     } else {
   23710       label = 6;
   23711       break;
   23712     }
   23713    case 6:
   23714     $call11 = _agfstedge($4, $5) | 0;
   23715     $tobool1212 = ($call11 | 0) == 0;
   23716     if ($tobool1212) {
   23717       $retval_0 = 0;
   23718       label = 10;
   23719       break;
   23720     } else {
   23721       $e_0_in13 = $call11;
   23722       label = 7;
   23723       break;
   23724     }
   23725    case 7:
   23726     $6 = $e_0_in13 | 0;
   23727     $7 = HEAP32[41920] | 0;
   23728     $call13 = _late_string($6, $7, 136112) | 0;
   23729     $8 = HEAP8[$call13] | 0;
   23730     $cmp15 = $8 << 24 >> 24 == 0;
   23731     if ($cmp15) {
   23732       $retval_0 = 1;
   23733       label = 10;
   23734       break;
   23735     } else {
   23736       label = 8;
   23737       break;
   23738     }
   23739    case 8:
   23740     $call17 = _selectedlayer($job, $call13) | 0;
   23741     $tobool19 = $call17 << 24 >> 24 == 0;
   23742     if ($tobool19) {
   23743       label = 9;
   23744       break;
   23745     } else {
   23746       $retval_0 = 1;
   23747       label = 10;
   23748       break;
   23749     }
   23750    case 9:
   23751     $call22 = _agnxtedge($4, $e_0_in13, $5) | 0;
   23752     $tobool12 = ($call22 | 0) == 0;
   23753     if ($tobool12) {
   23754       $retval_0 = 0;
   23755       label = 10;
   23756       break;
   23757     } else {
   23758       $e_0_in13 = $call22;
   23759       label = 7;
   23760       break;
   23761     }
   23762    case 10:
   23763     return $retval_0 | 0;
   23764   }
   23765   return 0;
   23766 }
   23767 function _node_in_box($n, $b) {
   23768   $n = $n | 0;
   23769   $b = $b | 0;
   23770   var $conv = 0, tempParam = 0, __stackBase__ = 0;
   23771   __stackBase__ = STACKTOP;
   23772   tempParam = $b;
   23773   $b = STACKTOP;
   23774   STACKTOP = STACKTOP + 32 | 0;
   23775   _memcpy($b, tempParam, 32);
   23776   $conv = _boxf_overlap($n + 64 | 0, $b) & 255;
   23777   STACKTOP = __stackBase__;
   23778   return $conv | 0;
   23779 }
   23780 function _isRect($p) {
   23781   $p = $p | 0;
   23782   var $sides = 0, $0 = 0, $cmp = 0, $orientation = 0, $1 = 0.0, $cmp1 = 0, $add = 0.0, $sub = 0.0, $add_sink = 0.0, $conv = 0, $rem = 0, $cmp5 = 0, $distortion = 0, $2 = 0.0, $cmp8 = 0, $skew = 0, $3 = 0.0, $cmp10 = 0, $phitmp = 0, $4 = 0, label = 0;
   23783   label = 2;
   23784   while (1) switch (label | 0) {
   23785    case 2:
   23786     $sides = $p + 8 | 0;
   23787     $0 = HEAP32[$sides >> 2] | 0;
   23788     $cmp = ($0 | 0) == 4;
   23789     if ($cmp) {
   23790       label = 3;
   23791       break;
   23792     } else {
   23793       $4 = 0;
   23794       label = 9;
   23795       break;
   23796     }
   23797    case 3:
   23798     $orientation = $p + 16 | 0;
   23799     $1 = +HEAPF64[$orientation >> 3];
   23800     $cmp1 = $1 < 0.0;
   23801     if ($cmp1) {
   23802       label = 5;
   23803       break;
   23804     } else {
   23805       label = 4;
   23806       break;
   23807     }
   23808    case 4:
   23809     $add = $1 + .5;
   23810     $add_sink = $add;
   23811     label = 6;
   23812     break;
   23813    case 5:
   23814     $sub = $1 + -.5;
   23815     $add_sink = $sub;
   23816     label = 6;
   23817     break;
   23818    case 6:
   23819     $conv = ~~$add_sink;
   23820     $rem = ($conv | 0) % 90;
   23821     $cmp5 = ($rem | 0) == 0;
   23822     if ($cmp5) {
   23823       label = 7;
   23824       break;
   23825     } else {
   23826       $4 = 0;
   23827       label = 9;
   23828       break;
   23829     }
   23830    case 7:
   23831     $distortion = $p + 24 | 0;
   23832     $2 = +HEAPF64[$distortion >> 3];
   23833     $cmp8 = $2 == 0.0;
   23834     if ($cmp8) {
   23835       label = 8;
   23836       break;
   23837     } else {
   23838       $4 = 0;
   23839       label = 9;
   23840       break;
   23841     }
   23842    case 8:
   23843     $skew = $p + 32 | 0;
   23844     $3 = +HEAPF64[$skew >> 3];
   23845     $cmp10 = $3 == 0.0;
   23846     $phitmp = $cmp10 & 1;
   23847     $4 = $phitmp;
   23848     label = 9;
   23849     break;
   23850    case 9:
   23851     return $4 | 0;
   23852   }
   23853   return 0;
   23854 }
   23855 function _add_point($agg_result, $p, $q) {
   23856   $agg_result = $agg_result | 0;
   23857   $p = $p | 0;
   23858   $q = $q | 0;
   23859   var $add4 = 0, tempParam = 0, __stackBase__ = 0;
   23860   __stackBase__ = STACKTOP;
   23861   tempParam = $p;
   23862   $p = STACKTOP;
   23863   STACKTOP = STACKTOP + 8 | 0;
   23864   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   23865   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   23866   tempParam = $q;
   23867   $q = STACKTOP;
   23868   STACKTOP = STACKTOP + 8 | 0;
   23869   HEAP32[$q >> 2] = HEAP32[tempParam >> 2] | 0;
   23870   HEAP32[$q + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   23871   $add4 = (HEAP32[$q + 4 >> 2] | 0) + (HEAP32[$p + 4 >> 2] | 0) | 0;
   23872   HEAP32[$agg_result >> 2] = (HEAP32[$q >> 2] | 0) + (HEAP32[$p >> 2] | 0) | 0;
   23873   HEAP32[$agg_result + 4 >> 2] = $add4;
   23874   STACKTOP = __stackBase__;
   23875   return;
   23876 }
   23877 function _emit_begin_node($job, $n) {
   23878   $job = $job | 0;
   23879   $n = $n | 0;
   23880   var $flags1 = 0, $0 = 0, $call = 0, $type = 0, $1 = 0, $n_c = 0, $emit_state = 0, $and = 0, $tobool = 0, $graph = 0, $2 = 0, $odim = 0, $3 = 0, $cmp = 0, $pos = 0, $4 = 0, $arrayidx = 0, $5 = 0.0, $mul = 0.0, $cmp7 = 0, $add = 0.0, $sub = 0.0, $add_sink = 0.0, $conv13 = 0, $conv19 = 0.0, $z = 0, $z20 = 0, $label = 0, $6 = 0, $7 = 0, $and23 = 0, $tobool24 = 0, $url = 0, $8 = 0, $tobool25 = 0, $9 = 0, $10 = 0, $11 = 0, $_mask = 0, $tobool26 = 0, $call28 = 0, $coord_sroa_0_0__idx = 0, $coord_sroa_0_0_copyload = 0.0, $coord_sroa_1_8__idx21 = 0, $coord_sroa_1_8_copyload = 0.0, $call31 = 0, $shape_info = 0, $12 = 0, $13 = 0, $call39 = 0, $tobool41 = 0, $peripheries43 = 0, $14 = 0, $15 = 0, $16 = 0, $phitmp = 0, $rect_0 = 0, $tobool50 = 0, $or_cond138 = 0, $and54 = 0, $tobool55 = 0, $sides57 = 0, $17 = 0, $18 = 0, $cmp58 = 0, $_ = 0, $peripheries64 = 0, $19 = 0, $20 = 0, $cmp65 = 0, $peripheries_0 = 0, $vertices71 = 0, $21 = 0, $22 = 0, $call72 = 0, $tobool73 = 0, $call75 = 0, $nump_0 = 0, $nump_0_off = 0, $23 = 0, $_nump_0 = 0, $24 = 0, $25 = 0, $26 = 0, $url_map_shape = 0, $call91 = 0, $27 = 0, $lw = 0, $28 = 0.0, $sub93 = 0.0, $x95 = 0, $ht = 0, $29 = 0.0, $div = 0.0, $sub97 = 0.0, $y99 = 0, $30 = 0, $31 = 0.0, $add103 = 0.0, $arrayidx104 = 0, $x105 = 0, $32 = 0.0, $div109 = 0.0, $add110 = 0.0, $y112 = 0, $33 = 0, $34 = 0, $cmp115 = 0, $skew = 0, $35 = 0, $36 = 0.0, $cmp118 = 0, $distortion = 0, $37 = 0, $38 = 0.0, $cmp121 = 0, $regular = 0, $39 = 0, $tobool124 = 0, $url_map_shape126 = 0, $call128 = 0, $40 = 0, $x131 = 0, $y134 = 0, $41 = 0, $mul136 = 0, $sub137 = 0, $x139 = 0, $42 = 0.0, $add140 = 0.0, $arrayidx141 = 0, $x142 = 0, $y147 = 0, $43 = 0.0, $add148 = 0.0, $y150 = 0, $44 = 0, $mul153 = 0, $sub154 = 0, $x156 = 0, $45 = 0.0, $y160 = 0, $46 = 0.0, $call161 = 0, $cmp162144 = 0, $i_0145 = 0, $x166 = 0, $47 = 0.0, $add167 = 0.0, $y170 = 0, $48 = 0.0, $add171 = 0.0, $inc = 0, $cmp162 = 0, $sub174 = 0, $49 = 0, $mul176 = 0, $url_map_shape177 = 0, $50 = 0, $cmp179 = 0, $div183 = 0, $mul184 = 0, $call185 = 0, $51 = 0, $cmp187150 = 0, $i_1152 = 0, $j_0151 = 0, $add191 = 0, $x193 = 0, $52 = 0.0, $add194 = 0.0, $x196 = 0, $y200 = 0, $53 = 0.0, $add201 = 0.0, $y203 = 0, $add205 = 0, $inc206 = 0, $cmp187 = 0, $mul209 = 0, $call210 = 0, $54 = 0, $cmp212147 = 0, $i_2148 = 0, $add216 = 0, $x218 = 0, $55 = 0.0, $add219 = 0.0, $x221 = 0, $y225 = 0, $56 = 0.0, $add226 = 0.0, $y228 = 0, $inc230 = 0, $cmp212 = 0, $url_map_shape236 = 0, $call238 = 0, $57 = 0, $lw241 = 0, $58 = 0.0, $sub242 = 0.0, $x244 = 0, $ht247 = 0, $59 = 0.0, $div248 = 0.0, $sub249 = 0.0, $y251 = 0, $60 = 0, $rw = 0, $61 = 0.0, $add254 = 0.0, $arrayidx255 = 0, $x256 = 0, $62 = 0.0, $div260 = 0.0, $add261 = 0.0, $y263 = 0, $63 = 0, $nump_2 = 0, $p_0 = 0, $and265 = 0, $tobool266 = 0, $call268 = 0, $url_map_p = 0, $url_map_n = 0, $call271 = 0, label = 0;
   23881   label = 2;
   23882   while (1) switch (label | 0) {
   23883    case 2:
   23884     $flags1 = $job + 152 | 0;
   23885     $0 = HEAP32[$flags1 >> 2] | 0;
   23886     $call = _push_obj_state($job) | 0;
   23887     $type = $call + 4 | 0;
   23888     HEAP32[$type >> 2] = 2;
   23889     $1 = $call + 8 | 0;
   23890     $n_c = $n;
   23891     HEAP32[$1 >> 2] = $n_c;
   23892     $emit_state = $call + 12 | 0;
   23893     HEAP32[$emit_state >> 2] = 8;
   23894     $and = $0 & 16777216;
   23895     $tobool = ($and | 0) == 0;
   23896     if ($tobool) {
   23897       label = 9;
   23898       break;
   23899     } else {
   23900       label = 3;
   23901       break;
   23902     }
   23903    case 3:
   23904     $graph = $n + 20 | 0;
   23905     $2 = HEAP32[$graph >> 2] | 0;
   23906     $odim = $2 + 210 | 0;
   23907     $3 = HEAP16[$odim >> 1] | 0;
   23908     $cmp = ($3 & 65535) > 2;
   23909     if ($cmp) {
   23910       label = 4;
   23911       break;
   23912     } else {
   23913       label = 8;
   23914       break;
   23915     }
   23916    case 4:
   23917     $pos = $n + 148 | 0;
   23918     $4 = HEAP32[$pos >> 2] | 0;
   23919     $arrayidx = $4 + 16 | 0;
   23920     $5 = +HEAPF64[$arrayidx >> 3];
   23921     $mul = $5 * 72.0;
   23922     $cmp7 = $mul < 0.0;
   23923     if ($cmp7) {
   23924       label = 6;
   23925       break;
   23926     } else {
   23927       label = 5;
   23928       break;
   23929     }
   23930    case 5:
   23931     $add = $mul + .5;
   23932     $add_sink = $add;
   23933     label = 7;
   23934     break;
   23935    case 6:
   23936     $sub = $mul + -.5;
   23937     $add_sink = $sub;
   23938     label = 7;
   23939     break;
   23940    case 7:
   23941     $conv13 = ~~$add_sink;
   23942     $conv19 = +($conv13 | 0);
   23943     $z = $call + 120 | 0;
   23944     HEAPF64[$z >> 3] = $conv19;
   23945     label = 9;
   23946     break;
   23947    case 8:
   23948     $z20 = $call + 120 | 0;
   23949     HEAPF64[$z20 >> 3] = 0.0;
   23950     label = 9;
   23951     break;
   23952    case 9:
   23953     $label = $n + 120 | 0;
   23954     $6 = HEAP32[$label >> 2] | 0;
   23955     $7 = $n | 0;
   23956     _initObjMapData($job, $6, $7);
   23957     $and23 = $0 & 4259840;
   23958     $tobool24 = ($and23 | 0) == 0;
   23959     if ($tobool24) {
   23960       label = 37;
   23961       break;
   23962     } else {
   23963       label = 10;
   23964       break;
   23965     }
   23966    case 10:
   23967     $url = $call + 160 | 0;
   23968     $8 = HEAP32[$url >> 2] | 0;
   23969     $tobool25 = ($8 | 0) == 0;
   23970     if ($tobool25) {
   23971       label = 11;
   23972       break;
   23973     } else {
   23974       label = 12;
   23975       break;
   23976     }
   23977    case 11:
   23978     $9 = $call + 212 | 0;
   23979     $10 = $9;
   23980     $11 = HEAP32[$10 >> 2] | 0;
   23981     $_mask = $11 & 1;
   23982     $tobool26 = ($_mask | 0) == 0;
   23983     if ($tobool26) {
   23984       label = 37;
   23985       break;
   23986     } else {
   23987       label = 12;
   23988       break;
   23989     }
   23990    case 12:
   23991     $call28 = _shapeOf($n) | 0;
   23992     $coord_sroa_0_0__idx = $n + 32 | 0;
   23993     $coord_sroa_0_0_copyload = +HEAPF64[$coord_sroa_0_0__idx >> 3];
   23994     $coord_sroa_1_8__idx21 = $n + 40 | 0;
   23995     $coord_sroa_1_8_copyload = +HEAPF64[$coord_sroa_1_8__idx21 >> 3];
   23996     $call31 = _ifFilled($n) | 0;
   23997     if (($call28 | 0) == 3 | ($call28 | 0) == 1) {
   23998       label = 13;
   23999       break;
   24000     } else {
   24001       label = 33;
   24002       break;
   24003     }
   24004    case 13:
   24005     $shape_info = $n + 28 | 0;
   24006     $12 = HEAP32[$shape_info >> 2] | 0;
   24007     $13 = $12;
   24008     $call39 = _isRect($13) | 0;
   24009     $tobool41 = $call39 << 24 >> 24 == 0;
   24010     if ($tobool41) {
   24011       $rect_0 = 1;
   24012       label = 15;
   24013       break;
   24014     } else {
   24015       label = 14;
   24016       break;
   24017     }
   24018    case 14:
   24019     $peripheries43 = $12 + 4 | 0;
   24020     $14 = $peripheries43;
   24021     $15 = HEAP32[$14 >> 2] | 0;
   24022     $16 = $15 | $call31;
   24023     $phitmp = ($16 | 0) == 0;
   24024     $rect_0 = $phitmp;
   24025     label = 15;
   24026     break;
   24027    case 15:
   24028     $tobool50 = ($12 | 0) != 0;
   24029     $or_cond138 = $tobool50 & $rect_0;
   24030     if ($or_cond138) {
   24031       label = 16;
   24032       break;
   24033     } else {
   24034       label = 33;
   24035       break;
   24036     }
   24037    case 16:
   24038     $and54 = $0 & 524288;
   24039     $tobool55 = ($and54 | 0) == 0;
   24040     if ($tobool55) {
   24041       label = 33;
   24042       break;
   24043     } else {
   24044       label = 17;
   24045       break;
   24046     }
   24047    case 17:
   24048     $sides57 = $12 + 8 | 0;
   24049     $17 = $sides57;
   24050     $18 = HEAP32[$17 >> 2] | 0;
   24051     $cmp58 = ($18 | 0) < 3;
   24052     $_ = $cmp58 ? 1 : $18;
   24053     $peripheries64 = $12 + 4 | 0;
   24054     $19 = $peripheries64;
   24055     $20 = HEAP32[$19 >> 2] | 0;
   24056     $cmp65 = ($20 | 0) > 1;
   24057     $peripheries_0 = $cmp65 ? $20 : 1;
   24058     $vertices71 = $12 + 44 | 0;
   24059     $21 = $vertices71;
   24060     $22 = HEAP32[$21 >> 2] | 0;
   24061     $call72 = _agget($7, 162160) | 0;
   24062     $tobool73 = ($call72 | 0) == 0;
   24063     if ($tobool73) {
   24064       $nump_0 = 0;
   24065       label = 19;
   24066       break;
   24067     } else {
   24068       label = 18;
   24069       break;
   24070     }
   24071    case 18:
   24072     $call75 = _atoi($call72 | 0) | 0;
   24073     $nump_0 = $call75;
   24074     label = 19;
   24075     break;
   24076    case 19:
   24077     $nump_0_off = $nump_0 - 4 | 0;
   24078     $23 = $nump_0_off >>> 0 > 56;
   24079     $_nump_0 = $23 ? 20 : $nump_0;
   24080     $24 = HEAP32[$19 >> 2] | 0;
   24081     $25 = $24 | $call31;
   24082     $26 = ($25 | 0) == 0;
   24083     if ($26) {
   24084       label = 20;
   24085       break;
   24086     } else {
   24087       label = 21;
   24088       break;
   24089     }
   24090    case 20:
   24091     $url_map_shape = $call + 216 | 0;
   24092     HEAP32[$url_map_shape >> 2] = 0;
   24093     $call91 = _zmalloc(32) | 0;
   24094     $27 = $call91;
   24095     $lw = $n + 104 | 0;
   24096     $28 = +HEAPF64[$lw >> 3];
   24097     $sub93 = $coord_sroa_0_0_copyload - $28;
   24098     $x95 = $call91;
   24099     HEAPF64[$x95 >> 3] = $sub93;
   24100     $ht = $n + 96 | 0;
   24101     $29 = +HEAPF64[$ht >> 3];
   24102     $div = $29 * .5;
   24103     $sub97 = $coord_sroa_1_8_copyload - $div;
   24104     $y99 = $call91 + 8 | 0;
   24105     $30 = $y99;
   24106     HEAPF64[$30 >> 3] = $sub97;
   24107     $31 = +HEAPF64[$lw >> 3];
   24108     $add103 = $coord_sroa_0_0_copyload + $31;
   24109     $arrayidx104 = $call91 + 16 | 0;
   24110     $x105 = $arrayidx104;
   24111     HEAPF64[$x105 >> 3] = $add103;
   24112     $32 = +HEAPF64[$ht >> 3];
   24113     $div109 = $32 * .5;
   24114     $add110 = $coord_sroa_1_8_copyload + $div109;
   24115     $y112 = $call91 + 24 | 0;
   24116     $33 = $y112;
   24117     HEAPF64[$33 >> 3] = $add110;
   24118     $p_0 = $27;
   24119     $nump_2 = 2;
   24120     label = 34;
   24121     break;
   24122    case 21:
   24123     $34 = HEAP32[$17 >> 2] | 0;
   24124     $cmp115 = ($34 | 0) < 3;
   24125     if ($cmp115) {
   24126       label = 22;
   24127       break;
   24128     } else {
   24129       label = 28;
   24130       break;
   24131     }
   24132    case 22:
   24133     $skew = $12 + 32 | 0;
   24134     $35 = $skew;
   24135     $36 = +HEAPF64[$35 >> 3];
   24136     $cmp118 = $36 == 0.0;
   24137     if ($cmp118) {
   24138       label = 23;
   24139       break;
   24140     } else {
   24141       label = 28;
   24142       break;
   24143     }
   24144    case 23:
   24145     $distortion = $12 + 24 | 0;
   24146     $37 = $distortion;
   24147     $38 = +HEAPF64[$37 >> 3];
   24148     $cmp121 = $38 == 0.0;
   24149     if ($cmp121) {
   24150       label = 24;
   24151       break;
   24152     } else {
   24153       label = 28;
   24154       break;
   24155     }
   24156    case 24:
   24157     $regular = $12;
   24158     $39 = HEAP32[$regular >> 2] | 0;
   24159     $tobool124 = ($39 | 0) == 0;
   24160     $url_map_shape126 = $call + 216 | 0;
   24161     if ($tobool124) {
   24162       label = 26;
   24163       break;
   24164     } else {
   24165       label = 25;
   24166       break;
   24167     }
   24168    case 25:
   24169     HEAP32[$url_map_shape126 >> 2] = 1;
   24170     $call128 = _zmalloc(32) | 0;
   24171     $40 = $call128;
   24172     $x131 = $call128;
   24173     HEAPF64[$x131 >> 3] = $coord_sroa_0_0_copyload;
   24174     $y134 = $call128 + 8 | 0;
   24175     $41 = $y134;
   24176     HEAPF64[$41 >> 3] = $coord_sroa_1_8_copyload;
   24177     $mul136 = $peripheries_0 << 1;
   24178     $sub137 = $mul136 - 1 | 0;
   24179     $x139 = $22 + ($sub137 << 4) | 0;
   24180     $42 = +HEAPF64[$x139 >> 3];
   24181     $add140 = $coord_sroa_0_0_copyload + $42;
   24182     $arrayidx141 = $call128 + 16 | 0;
   24183     $x142 = $arrayidx141;
   24184     HEAPF64[$x142 >> 3] = $add140;
   24185     $y147 = $22 + ($sub137 << 4) + 8 | 0;
   24186     $43 = +HEAPF64[$y147 >> 3];
   24187     $add148 = $coord_sroa_1_8_copyload + $43;
   24188     $y150 = $call128 + 24 | 0;
   24189     $44 = $y150;
   24190     HEAPF64[$44 >> 3] = $add148;
   24191     $p_0 = $40;
   24192     $nump_2 = 2;
   24193     label = 34;
   24194     break;
   24195    case 26:
   24196     HEAP32[$url_map_shape126 >> 2] = 2;
   24197     $mul153 = $peripheries_0 << 1;
   24198     $sub154 = $mul153 - 1 | 0;
   24199     $x156 = $22 + ($sub154 << 4) | 0;
   24200     $45 = +HEAPF64[$x156 >> 3];
   24201     $y160 = $22 + ($sub154 << 4) + 8 | 0;
   24202     $46 = +HEAPF64[$y160 >> 3];
   24203     $call161 = _pEllipse($45, $46, $_nump_0) | 0;
   24204     $cmp162144 = ($_nump_0 | 0) > 0;
   24205     if ($cmp162144) {
   24206       $i_0145 = 0;
   24207       label = 27;
   24208       break;
   24209     } else {
   24210       $p_0 = $call161;
   24211       $nump_2 = $_nump_0;
   24212       label = 34;
   24213       break;
   24214     }
   24215    case 27:
   24216     $x166 = $call161 + ($i_0145 << 4) | 0;
   24217     $47 = +HEAPF64[$x166 >> 3];
   24218     $add167 = $coord_sroa_0_0_copyload + $47;
   24219     HEAPF64[$x166 >> 3] = $add167;
   24220     $y170 = $call161 + ($i_0145 << 4) + 8 | 0;
   24221     $48 = +HEAPF64[$y170 >> 3];
   24222     $add171 = $coord_sroa_1_8_copyload + $48;
   24223     HEAPF64[$y170 >> 3] = $add171;
   24224     $inc = $i_0145 + 1 | 0;
   24225     $cmp162 = ($inc | 0) < ($_nump_0 | 0);
   24226     if ($cmp162) {
   24227       $i_0145 = $inc;
   24228       label = 27;
   24229       break;
   24230     } else {
   24231       $p_0 = $call161;
   24232       $nump_2 = $_nump_0;
   24233       label = 34;
   24234       break;
   24235     }
   24236    case 28:
   24237     $sub174 = $peripheries_0 - 1 | 0;
   24238     $49 = HEAP32[$17 >> 2] | 0;
   24239     $mul176 = Math_imul($49, $sub174);
   24240     $url_map_shape177 = $call + 216 | 0;
   24241     HEAP32[$url_map_shape177 >> 2] = 2;
   24242     $50 = HEAP32[$17 >> 2] | 0;
   24243     $cmp179 = ($50 | 0) < ($_nump_0 | 0);
   24244     if ($cmp179) {
   24245       label = 31;
   24246       break;
   24247     } else {
   24248       label = 29;
   24249       break;
   24250     }
   24251    case 29:
   24252     $div183 = ($50 | 0) / ($_nump_0 | 0) & -1;
   24253     $mul184 = $_nump_0 << 4;
   24254     $call185 = _zmalloc($mul184) | 0;
   24255     $51 = $call185;
   24256     $cmp187150 = ($_nump_0 | 0) > 0;
   24257     if ($cmp187150) {
   24258       $j_0151 = 0;
   24259       $i_1152 = 0;
   24260       label = 30;
   24261       break;
   24262     } else {
   24263       $p_0 = $51;
   24264       $nump_2 = $_nump_0;
   24265       label = 34;
   24266       break;
   24267     }
   24268    case 30:
   24269     $add191 = $i_1152 + $mul176 | 0;
   24270     $x193 = $22 + ($add191 << 4) | 0;
   24271     $52 = +HEAPF64[$x193 >> 3];
   24272     $add194 = $coord_sroa_0_0_copyload + $52;
   24273     $x196 = $51 + ($j_0151 << 4) | 0;
   24274     HEAPF64[$x196 >> 3] = $add194;
   24275     $y200 = $22 + ($add191 << 4) + 8 | 0;
   24276     $53 = +HEAPF64[$y200 >> 3];
   24277     $add201 = $coord_sroa_1_8_copyload + $53;
   24278     $y203 = $51 + ($j_0151 << 4) + 8 | 0;
   24279     HEAPF64[$y203 >> 3] = $add201;
   24280     $add205 = $i_1152 + $div183 | 0;
   24281     $inc206 = $j_0151 + 1 | 0;
   24282     $cmp187 = ($inc206 | 0) < ($_nump_0 | 0);
   24283     if ($cmp187) {
   24284       $j_0151 = $inc206;
   24285       $i_1152 = $add205;
   24286       label = 30;
   24287       break;
   24288     } else {
   24289       $p_0 = $51;
   24290       $nump_2 = $_nump_0;
   24291       label = 34;
   24292       break;
   24293     }
   24294    case 31:
   24295     $mul209 = $_ << 4;
   24296     $call210 = _zmalloc($mul209) | 0;
   24297     $54 = $call210;
   24298     $cmp212147 = ($_ | 0) > 0;
   24299     if ($cmp212147) {
   24300       $i_2148 = 0;
   24301       label = 32;
   24302       break;
   24303     } else {
   24304       $p_0 = $54;
   24305       $nump_2 = $_;
   24306       label = 34;
   24307       break;
   24308     }
   24309    case 32:
   24310     $add216 = $i_2148 + $mul176 | 0;
   24311     $x218 = $22 + ($add216 << 4) | 0;
   24312     $55 = +HEAPF64[$x218 >> 3];
   24313     $add219 = $coord_sroa_0_0_copyload + $55;
   24314     $x221 = $54 + ($i_2148 << 4) | 0;
   24315     HEAPF64[$x221 >> 3] = $add219;
   24316     $y225 = $22 + ($add216 << 4) + 8 | 0;
   24317     $56 = +HEAPF64[$y225 >> 3];
   24318     $add226 = $coord_sroa_1_8_copyload + $56;
   24319     $y228 = $54 + ($i_2148 << 4) + 8 | 0;
   24320     HEAPF64[$y228 >> 3] = $add226;
   24321     $inc230 = $i_2148 + 1 | 0;
   24322     $cmp212 = ($inc230 | 0) < ($_ | 0);
   24323     if ($cmp212) {
   24324       $i_2148 = $inc230;
   24325       label = 32;
   24326       break;
   24327     } else {
   24328       $p_0 = $54;
   24329       $nump_2 = $_;
   24330       label = 34;
   24331       break;
   24332     }
   24333    case 33:
   24334     $url_map_shape236 = $call + 216 | 0;
   24335     HEAP32[$url_map_shape236 >> 2] = 0;
   24336     $call238 = _zmalloc(32) | 0;
   24337     $57 = $call238;
   24338     $lw241 = $n + 104 | 0;
   24339     $58 = +HEAPF64[$lw241 >> 3];
   24340     $sub242 = $coord_sroa_0_0_copyload - $58;
   24341     $x244 = $call238;
   24342     HEAPF64[$x244 >> 3] = $sub242;
   24343     $ht247 = $n + 96 | 0;
   24344     $59 = +HEAPF64[$ht247 >> 3];
   24345     $div248 = $59 * .5;
   24346     $sub249 = $coord_sroa_1_8_copyload - $div248;
   24347     $y251 = $call238 + 8 | 0;
   24348     $60 = $y251;
   24349     HEAPF64[$60 >> 3] = $sub249;
   24350     $rw = $n + 112 | 0;
   24351     $61 = +HEAPF64[$rw >> 3];
   24352     $add254 = $coord_sroa_0_0_copyload + $61;
   24353     $arrayidx255 = $call238 + 16 | 0;
   24354     $x256 = $arrayidx255;
   24355     HEAPF64[$x256 >> 3] = $add254;
   24356     $62 = +HEAPF64[$ht247 >> 3];
   24357     $div260 = $62 * .5;
   24358     $add261 = $coord_sroa_1_8_copyload + $div260;
   24359     $y263 = $call238 + 24 | 0;
   24360     $63 = $y263;
   24361     HEAPF64[$63 >> 3] = $add261;
   24362     $p_0 = $57;
   24363     $nump_2 = 2;
   24364     label = 34;
   24365     break;
   24366    case 34:
   24367     $and265 = $0 & 8192;
   24368     $tobool266 = ($and265 | 0) == 0;
   24369     if ($tobool266) {
   24370       label = 35;
   24371       break;
   24372     } else {
   24373       label = 36;
   24374       break;
   24375     }
   24376    case 35:
   24377     $call268 = _gvrender_ptf_A($job, $p_0, $p_0, $nump_2) | 0;
   24378     label = 36;
   24379     break;
   24380    case 36:
   24381     $url_map_p = $call + 224 | 0;
   24382     HEAP32[$url_map_p >> 2] = $p_0;
   24383     $url_map_n = $call + 220 | 0;
   24384     HEAP32[$url_map_n >> 2] = $nump_2;
   24385     label = 37;
   24386     break;
   24387    case 37:
   24388     $call271 = _agget($7, 133704) | 0;
   24389     _setColorScheme($call271);
   24390     _gvrender_begin_node($job, 0);
   24391     return;
   24392   }
   24393 }
   24394 function _emit_end_node($job) {
   24395   $job = $job | 0;
   24396   _gvrender_end_node($job);
   24397   _pop_obj_state($job);
   24398   return;
   24399 }
   24400 function _initObjMapData($job, $lab, $gobj) {
   24401   $job = $job | 0;
   24402   $lab = $lab | 0;
   24403   $gobj = $gobj | 0;
   24404   var $buf = 0, $xb = 0, $call = 0, $call1 = 0, $call2 = 0, $arraydecay = 0, $tobool = 0, $text = 0, $0 = 0, $lbl_0 = 0, $tobool3 = 0, $1 = 0, $tobool4 = 0, $call6 = 0, $url_0 = 0, $call8 = 0, $call9 = 0, label = 0, __stackBase__ = 0;
   24405   __stackBase__ = STACKTOP;
   24406   STACKTOP = STACKTOP + 144 | 0;
   24407   label = 2;
   24408   while (1) switch (label | 0) {
   24409    case 2:
   24410     $buf = __stackBase__ | 0;
   24411     $xb = __stackBase__ + 128 | 0;
   24412     $call = _agget($gobj, 95856) | 0;
   24413     $call1 = _agget($gobj, 90704) | 0;
   24414     $call2 = _agget($gobj, 92456) | 0;
   24415     $arraydecay = $buf | 0;
   24416     _agxbinit($xb, 128, $arraydecay);
   24417     $tobool = ($lab | 0) == 0;
   24418     if ($tobool) {
   24419       $lbl_0 = 0;
   24420       label = 4;
   24421       break;
   24422     } else {
   24423       label = 3;
   24424       break;
   24425     }
   24426    case 3:
   24427     $text = $lab | 0;
   24428     $0 = HEAP32[$text >> 2] | 0;
   24429     $lbl_0 = $0;
   24430     label = 4;
   24431     break;
   24432    case 4:
   24433     $tobool3 = ($call | 0) == 0;
   24434     if ($tobool3) {
   24435       label = 6;
   24436       break;
   24437     } else {
   24438       label = 5;
   24439       break;
   24440     }
   24441    case 5:
   24442     $1 = HEAP8[$call] | 0;
   24443     $tobool4 = $1 << 24 >> 24 == 0;
   24444     if ($tobool4) {
   24445       label = 6;
   24446       break;
   24447     } else {
   24448       $url_0 = $call;
   24449       label = 7;
   24450       break;
   24451     }
   24452    case 6:
   24453     $call6 = _agget($gobj, 95472) | 0;
   24454     $url_0 = $call6;
   24455     label = 7;
   24456     break;
   24457    case 7:
   24458     $call8 = _getObjId($job, $gobj, $xb) | 0;
   24459     $call9 = _initMapData($job, $lbl_0, $url_0, $call1, $call2, $call8, $gobj) | 0;
   24460     _agxbfree($xb);
   24461     STACKTOP = __stackBase__;
   24462     return;
   24463   }
   24464 }
   24465 function _ifFilled($n) {
   24466   $n = $n | 0;
   24467   var $0 = 0, $1 = 0, $call = 0, $2 = 0, $tobool = 0, $call1 = 0, $3 = 0, $tobool23 = 0, $4 = 0, $r_05 = 0, $pp_04 = 0, $call3 = 0, $cmp = 0, $_r_0 = 0, $incdec_ptr = 0, $5 = 0, $tobool2 = 0, $r_2 = 0, label = 0;
   24468   label = 2;
   24469   while (1) switch (label | 0) {
   24470    case 2:
   24471     $0 = $n | 0;
   24472     $1 = HEAP32[41560] | 0;
   24473     $call = _late_nnstring($0, $1, 136112) | 0;
   24474     $2 = HEAP8[$call] | 0;
   24475     $tobool = $2 << 24 >> 24 == 0;
   24476     if ($tobool) {
   24477       $r_2 = 0;
   24478       label = 5;
   24479       break;
   24480     } else {
   24481       label = 3;
   24482       break;
   24483     }
   24484    case 3:
   24485     $call1 = _parse_style($call) | 0;
   24486     $3 = HEAP32[1820] | 0;
   24487     $tobool23 = ($3 | 0) == 0;
   24488     if ($tobool23) {
   24489       $r_2 = 0;
   24490       label = 5;
   24491       break;
   24492     } else {
   24493       $pp_04 = 7280;
   24494       $r_05 = 0;
   24495       $4 = $3;
   24496       label = 4;
   24497       break;
   24498     }
   24499    case 4:
   24500     $call3 = _strcmp($4 | 0, 161792) | 0;
   24501     $cmp = ($call3 | 0) == 0;
   24502     $_r_0 = $cmp ? 1 : $r_05;
   24503     $incdec_ptr = $pp_04 + 4 | 0;
   24504     $5 = HEAP32[$incdec_ptr >> 2] | 0;
   24505     $tobool2 = ($5 | 0) == 0;
   24506     if ($tobool2) {
   24507       $r_2 = $_r_0;
   24508       label = 5;
   24509       break;
   24510     } else {
   24511       $pp_04 = $incdec_ptr;
   24512       $r_05 = $_r_0;
   24513       $4 = $5;
   24514       label = 4;
   24515       break;
   24516     }
   24517    case 5:
   24518     return $r_2 | 0;
   24519   }
   24520   return 0;
   24521 }
   24522 function _pEllipse($a, $b, $np) {
   24523   $a = +$a;
   24524   $b = +$b;
   24525   $np = $np | 0;
   24526   var $conv = 0.0, $div = 0.0, $mul = 0, $call = 0, $0 = 0, $cmp10 = 0, $theta_012 = 0.0, $i_011 = 0, $call2 = 0.0, $mul3 = 0.0, $x = 0, $call4 = 0.0, $mul5 = 0.0, $y = 0, $add = 0.0, $inc = 0, $cmp = 0, label = 0;
   24527   label = 2;
   24528   while (1) switch (label | 0) {
   24529    case 2:
   24530     $conv = +($np | 0);
   24531     $div = 6.283185307179586 / $conv;
   24532     $mul = $np << 4;
   24533     $call = _zmalloc($mul) | 0;
   24534     $0 = $call;
   24535     $cmp10 = ($np | 0) > 0;
   24536     if ($cmp10) {
   24537       $i_011 = 0;
   24538       $theta_012 = 0.0;
   24539       label = 3;
   24540       break;
   24541     } else {
   24542       label = 4;
   24543       break;
   24544     }
   24545    case 3:
   24546     $call2 = +Math_cos(+$theta_012);
   24547     $mul3 = $call2 * $a;
   24548     $x = $0 + ($i_011 << 4) | 0;
   24549     HEAPF64[$x >> 3] = $mul3;
   24550     $call4 = +Math_sin(+$theta_012);
   24551     $mul5 = $call4 * $b;
   24552     $y = $0 + ($i_011 << 4) + 8 | 0;
   24553     HEAPF64[$y >> 3] = $mul5;
   24554     $add = $div + $theta_012;
   24555     $inc = $i_011 + 1 | 0;
   24556     $cmp = ($inc | 0) < ($np | 0);
   24557     if ($cmp) {
   24558       $i_011 = $inc;
   24559       $theta_012 = $add;
   24560       label = 3;
   24561       break;
   24562     } else {
   24563       label = 4;
   24564       break;
   24565     }
   24566    case 4:
   24567     return $0 | 0;
   24568   }
   24569   return 0;
   24570 }
   24571 function _free_string_entry($dict, $key, $disc) {
   24572   $dict = $dict | 0;
   24573   $key = $key | 0;
   24574   $disc = $disc | 0;
   24575   _agstrfree($key);
   24576   return;
   24577 }
   24578 function _setup_page($job) {
   24579   $job = $job | 0;
   24580   var $pagesArrayElem = 0, $tmpcast = 0, $pagesArraySize = 0, $tmpcast1 = 0, $tmp = 0, $tmp3 = 0, $pagesArrayElem1 = 0, $0 = 0, $ld$0$0 = 0, $1$0 = 0, $ld$1$1 = 0, $1$1 = 0, $st$2$0 = 0, $st$3$1 = 0, $pagesArraySize2 = 0, $2 = 0, $ld$4$0 = 0, $3$0 = 0, $ld$5$1 = 0, $3$1 = 0, $st$6$0 = 0, $st$7$1 = 0, $rotation = 0, $4 = 0, $tobool = 0, $tmpcast3 = 0, $tmpcast2 = 0, $ld$8$0 = 0, $5$0 = 0, $ld$9$1 = 0, $5$1 = 0, $st$10$0 = 0, $st$11$1 = 0, $ld$12$0 = 0, $6$0 = 0, $ld$13$1 = 0, $6$1 = 0, $st$14$0 = 0, $st$15$1 = 0, $x = 0, $7 = 0, $conv = 0.0, $x4 = 0, $8 = 0.0, $mul = 0.0, $x5 = 0, $9 = 0.0, $sub = 0.0, $x6 = 0, $y = 0, $10 = 0, $conv7 = 0.0, $y9 = 0, $11 = 0.0, $mul10 = 0.0, $y12 = 0, $12 = 0.0, $sub13 = 0.0, $y16 = 0, $13 = 0.0, $14 = 0.0, $add = 0.0, $x23 = 0, $15 = 0.0, $16 = 0.0, $add29 = 0.0, $y32 = 0, $common = 0, $17 = 0, $viewNum = 0, $18 = 0, $cmp = 0, $boundingBox = 0, $pageBoundingBox = 0, $19 = 0, $20 = 0, $x37 = 0, $21 = 0, $x40 = 0, $22 = 0, $cmp41 = 0, $_ = 0, $y54 = 0, $23 = 0, $y57 = 0, $24 = 0, $cmp58 = 0, $cond69 = 0, $x75 = 0, $25 = 0, $x78 = 0, $26 = 0, $cmp79 = 0, $_4 = 0, $y96 = 0, $27 = 0, $y99 = 0, $28 = 0, $cmp100 = 0, $cond111 = 0, $flags = 0, $29 = 0, $and = 0, $tobool116 = 0, $x118 = 0, $30 = 0.0, $x119 = 0, $31 = 0.0, $div = 0.0, $sub120 = 0.0, $x122 = 0, $y124 = 0, $32 = 0.0, $y126 = 0, $33 = 0.0, $div127 = 0.0, $sub128 = 0.0, $y131 = 0, $34 = 0.0, $35 = 0.0, $div136 = 0.0, $add137 = 0.0, $x140 = 0, $36 = 0.0, $37 = 0.0, $div145 = 0.0, $add146 = 0.0, $y149 = 0, $38 = 0.0, $39 = 0, $conv156 = 0.0, $x157 = 0, $40 = 0, $conv158 = 0.0, $div159 = 0.0, $sub160 = 0.0, $mul161 = 0.0, $add162 = 0.0, $x165 = 0, $y167 = 0, $41 = 0.0, $42 = 0.0, $43 = 0, $conv171 = 0.0, $y172 = 0, $44 = 0, $conv173 = 0.0, $div174 = 0.0, $sub175 = 0.0, $mul176 = 0.0, $add177 = 0.0, $sub178 = 0.0, $y181 = 0, $45 = 0.0, $46 = 0.0, $add187 = 0.0, $add188 = 0.0, $x191 = 0, $47 = 0.0, $48 = 0.0, $add197 = 0.0, $add198 = 0.0, $y201 = 0, $49 = 0, $tobool204 = 0, $clip206 = 0, $y208 = 0, $50 = 0.0, $sub209 = 0.0, $LL210 = 0, $y211 = 0, $51 = 0.0, $zoom = 0, $52 = 0.0, $div212 = 0.0, $sub213 = 0.0, $translation = 0, $y214 = 0, $53 = 0, $and216 = 0, $54 = 0, $55 = 0, $56 = 0, $x222 = 0, $57 = 0.0, $sub223 = 0.0, $x226 = 0, $58 = 0.0, $59 = 0.0, $div228 = 0.0, $sub229 = 0.0, $x231 = 0, $x235 = 0, $60 = 0.0, $x239 = 0, $61 = 0.0, $62 = 0.0, $div241 = 0.0, $add242 = 0.0, $x244 = 0, $x249 = 0, $63 = 0.0, $x253 = 0, $64 = 0.0, $zoom254 = 0, $65 = 0.0, $div255 = 0.0, $add256 = 0.0, $x258 = 0, $66 = 0, $and260 = 0, $67 = 0, $68 = 0, $69 = 0, $y267 = 0, $70 = 0.0, $sub268 = 0.0, $y271 = 0, $71 = 0.0, $72 = 0.0, $div273 = 0.0, $sub274 = 0.0, $y276 = 0, $y280 = 0, $73 = 0.0, $y284 = 0, $74 = 0.0, $75 = 0.0, $div286 = 0.0, $add287 = 0.0, $y289 = 0, label = 0, __stackBase__ = 0;
   24581   __stackBase__ = STACKTOP;
   24582   STACKTOP = STACKTOP + 8 | 0;
   24583   label = 2;
   24584   while (1) switch (label | 0) {
   24585    case 2:
   24586     $pagesArrayElem = __stackBase__ | 0;
   24587     $tmpcast = $pagesArrayElem;
   24588     $pagesArraySize = STACKTOP;
   24589     STACKTOP = STACKTOP + 8 | 0;
   24590     $tmpcast1 = $pagesArraySize;
   24591     $tmp = STACKTOP;
   24592     STACKTOP = STACKTOP + 8 | 0;
   24593     $tmp3 = STACKTOP;
   24594     STACKTOP = STACKTOP + 8 | 0;
   24595     $pagesArrayElem1 = $job + 196 | 0;
   24596     $0 = $pagesArrayElem1;
   24597     $ld$0$0 = $0 | 0;
   24598     $1$0 = HEAP32[$ld$0$0 >> 2] | 0;
   24599     $ld$1$1 = $0 + 4 | 0;
   24600     $1$1 = HEAP32[$ld$1$1 >> 2] | 0;
   24601     $st$2$0 = $pagesArrayElem | 0;
   24602     HEAP32[$st$2$0 >> 2] = $1$0;
   24603     $st$3$1 = $pagesArrayElem + 4 | 0;
   24604     HEAP32[$st$3$1 >> 2] = $1$1;
   24605     $pagesArraySize2 = $job + 164 | 0;
   24606     $2 = $pagesArraySize2;
   24607     $ld$4$0 = $2 | 0;
   24608     $3$0 = HEAP32[$ld$4$0 >> 2] | 0;
   24609     $ld$5$1 = $2 + 4 | 0;
   24610     $3$1 = HEAP32[$ld$5$1 >> 2] | 0;
   24611     $st$6$0 = $pagesArraySize | 0;
   24612     HEAP32[$st$6$0 >> 2] = $3$0;
   24613     $st$7$1 = $pagesArraySize + 4 | 0;
   24614     HEAP32[$st$7$1 >> 2] = $3$1;
   24615     $rotation = $job + 360 | 0;
   24616     $4 = HEAP32[$rotation >> 2] | 0;
   24617     $tobool = ($4 | 0) == 0;
   24618     if ($tobool) {
   24619       label = 4;
   24620       break;
   24621     } else {
   24622       label = 3;
   24623       break;
   24624     }
   24625    case 3:
   24626     $tmpcast3 = $tmp3;
   24627     $tmpcast2 = $tmp;
   24628     _exch_xy($tmpcast2, $tmpcast);
   24629     $ld$8$0 = $tmp | 0;
   24630     $5$0 = HEAP32[$ld$8$0 >> 2] | 0;
   24631     $ld$9$1 = $tmp + 4 | 0;
   24632     $5$1 = HEAP32[$ld$9$1 >> 2] | 0;
   24633     $st$10$0 = $pagesArrayElem | 0;
   24634     HEAP32[$st$10$0 >> 2] = $5$0;
   24635     $st$11$1 = $pagesArrayElem + 4 | 0;
   24636     HEAP32[$st$11$1 >> 2] = $5$1;
   24637     _exch_xy($tmpcast3, $tmpcast1);
   24638     $ld$12$0 = $tmp3 | 0;
   24639     $6$0 = HEAP32[$ld$12$0 >> 2] | 0;
   24640     $ld$13$1 = $tmp3 + 4 | 0;
   24641     $6$1 = HEAP32[$ld$13$1 >> 2] | 0;
   24642     $st$14$0 = $pagesArraySize | 0;
   24643     HEAP32[$st$14$0 >> 2] = $6$0;
   24644     $st$15$1 = $pagesArraySize + 4 | 0;
   24645     HEAP32[$st$15$1 >> 2] = $6$1;
   24646     label = 4;
   24647     break;
   24648    case 4:
   24649     $x = $pagesArrayElem;
   24650     $7 = HEAP32[$x >> 2] | 0;
   24651     $conv = +($7 | 0);
   24652     $x4 = $job + 320 | 0;
   24653     $8 = +HEAPF64[$x4 >> 3];
   24654     $mul = $conv * $8;
   24655     $x5 = $job + 240 | 0;
   24656     $9 = +HEAPF64[$x5 >> 3];
   24657     $sub = $mul - $9;
   24658     $x6 = $job + 288 | 0;
   24659     HEAPF64[$x6 >> 3] = $sub;
   24660     $y = $tmpcast + 4 | 0;
   24661     $10 = HEAP32[$y >> 2] | 0;
   24662     $conv7 = +($10 | 0);
   24663     $y9 = $job + 328 | 0;
   24664     $11 = +HEAPF64[$y9 >> 3];
   24665     $mul10 = $conv7 * $11;
   24666     $y12 = $job + 248 | 0;
   24667     $12 = +HEAPF64[$y12 >> 3];
   24668     $sub13 = $mul10 - $12;
   24669     $y16 = $job + 296 | 0;
   24670     HEAPF64[$y16 >> 3] = $sub13;
   24671     $13 = +HEAPF64[$x6 >> 3];
   24672     $14 = +HEAPF64[$x4 >> 3];
   24673     $add = $13 + $14;
   24674     $x23 = $job + 304 | 0;
   24675     HEAPF64[$x23 >> 3] = $add;
   24676     $15 = +HEAPF64[$y16 >> 3];
   24677     $16 = +HEAPF64[$y9 >> 3];
   24678     $add29 = $15 + $16;
   24679     $y32 = $job + 312 | 0;
   24680     HEAPF64[$y32 >> 3] = $add29;
   24681     $common = $job + 12 | 0;
   24682     $17 = HEAP32[$common >> 2] | 0;
   24683     $viewNum = $17 + 28 | 0;
   24684     $18 = HEAP32[$viewNum >> 2] | 0;
   24685     $cmp = ($18 | 0) == 0;
   24686     $boundingBox = $job + 472 | 0;
   24687     if ($cmp) {
   24688       label = 5;
   24689       break;
   24690     } else {
   24691       label = 6;
   24692       break;
   24693     }
   24694    case 5:
   24695     $pageBoundingBox = $job + 456 | 0;
   24696     $19 = $boundingBox;
   24697     $20 = $pageBoundingBox;
   24698     HEAP32[$19 >> 2] = HEAP32[$20 >> 2] | 0;
   24699     HEAP32[$19 + 4 >> 2] = HEAP32[$20 + 4 >> 2] | 0;
   24700     HEAP32[$19 + 8 >> 2] = HEAP32[$20 + 8 >> 2] | 0;
   24701     HEAP32[$19 + 12 >> 2] = HEAP32[$20 + 12 >> 2] | 0;
   24702     label = 7;
   24703     break;
   24704    case 6:
   24705     $x37 = $boundingBox | 0;
   24706     $21 = HEAP32[$x37 >> 2] | 0;
   24707     $x40 = $job + 456 | 0;
   24708     $22 = HEAP32[$x40 >> 2] | 0;
   24709     $cmp41 = ($21 | 0) < ($22 | 0);
   24710     $_ = $cmp41 ? $21 : $22;
   24711     HEAP32[$x37 >> 2] = $_;
   24712     $y54 = $job + 476 | 0;
   24713     $23 = HEAP32[$y54 >> 2] | 0;
   24714     $y57 = $job + 460 | 0;
   24715     $24 = HEAP32[$y57 >> 2] | 0;
   24716     $cmp58 = ($23 | 0) < ($24 | 0);
   24717     $cond69 = $cmp58 ? $23 : $24;
   24718     HEAP32[$y54 >> 2] = $cond69;
   24719     $x75 = $job + 480 | 0;
   24720     $25 = HEAP32[$x75 >> 2] | 0;
   24721     $x78 = $job + 464 | 0;
   24722     $26 = HEAP32[$x78 >> 2] | 0;
   24723     $cmp79 = ($25 | 0) > ($26 | 0);
   24724     $_4 = $cmp79 ? $25 : $26;
   24725     HEAP32[$x75 >> 2] = $_4;
   24726     $y96 = $job + 484 | 0;
   24727     $27 = HEAP32[$y96 >> 2] | 0;
   24728     $y99 = $job + 468 | 0;
   24729     $28 = HEAP32[$y99 >> 2] | 0;
   24730     $cmp100 = ($27 | 0) > ($28 | 0);
   24731     $cond111 = $cmp100 ? $27 : $28;
   24732     HEAP32[$y96 >> 2] = $cond111;
   24733     label = 7;
   24734     break;
   24735    case 7:
   24736     $flags = $job + 152 | 0;
   24737     $29 = HEAP32[$flags >> 2] | 0;
   24738     $and = $29 & 128;
   24739     $tobool116 = ($and | 0) == 0;
   24740     $x118 = $job + 336 | 0;
   24741     $30 = +HEAPF64[$x118 >> 3];
   24742     if ($tobool116) {
   24743       label = 9;
   24744       break;
   24745     } else {
   24746       label = 8;
   24747       break;
   24748     }
   24749    case 8:
   24750     $x119 = $job + 368 | 0;
   24751     $31 = +HEAPF64[$x119 >> 3];
   24752     $div = $31 * .5;
   24753     $sub120 = $30 - $div;
   24754     $x122 = $job + 256 | 0;
   24755     HEAPF64[$x122 >> 3] = $sub120;
   24756     $y124 = $job + 344 | 0;
   24757     $32 = +HEAPF64[$y124 >> 3];
   24758     $y126 = $job + 376 | 0;
   24759     $33 = +HEAPF64[$y126 >> 3];
   24760     $div127 = $33 * .5;
   24761     $sub128 = $32 - $div127;
   24762     $y131 = $job + 264 | 0;
   24763     HEAPF64[$y131 >> 3] = $sub128;
   24764     $34 = +HEAPF64[$x118 >> 3];
   24765     $35 = +HEAPF64[$x119 >> 3];
   24766     $div136 = $35 * .5;
   24767     $add137 = $34 + $div136;
   24768     $x140 = $job + 272 | 0;
   24769     HEAPF64[$x140 >> 3] = $add137;
   24770     $36 = +HEAPF64[$y124 >> 3];
   24771     $37 = +HEAPF64[$y126 >> 3];
   24772     $div145 = $37 * .5;
   24773     $add146 = $36 + $div145;
   24774     $y149 = $job + 280 | 0;
   24775     HEAPF64[$y149 >> 3] = $add146;
   24776     label = 10;
   24777     break;
   24778    case 9:
   24779     $38 = +HEAPF64[$x4 >> 3];
   24780     $39 = HEAP32[$x >> 2] | 0;
   24781     $conv156 = +($39 | 0);
   24782     $x157 = $pagesArraySize;
   24783     $40 = HEAP32[$x157 >> 2] | 0;
   24784     $conv158 = +($40 | 0);
   24785     $div159 = $conv158 * .5;
   24786     $sub160 = $conv156 - $div159;
   24787     $mul161 = $38 * $sub160;
   24788     $add162 = $30 + $mul161;
   24789     $x165 = $job + 256 | 0;
   24790     HEAPF64[$x165 >> 3] = $add162;
   24791     $y167 = $job + 344 | 0;
   24792     $41 = +HEAPF64[$y167 >> 3];
   24793     $42 = +HEAPF64[$y9 >> 3];
   24794     $43 = HEAP32[$y >> 2] | 0;
   24795     $conv171 = +($43 | 0);
   24796     $y172 = $tmpcast1 + 4 | 0;
   24797     $44 = HEAP32[$y172 >> 2] | 0;
   24798     $conv173 = +($44 | 0);
   24799     $div174 = $conv173 * .5;
   24800     $sub175 = $conv171 - $div174;
   24801     $mul176 = $42 * $sub175;
   24802     $add177 = $41 + $mul176;
   24803     $sub178 = $add177 + -1.0;
   24804     $y181 = $job + 264 | 0;
   24805     HEAPF64[$y181 >> 3] = $sub178;
   24806     $45 = +HEAPF64[$x165 >> 3];
   24807     $46 = +HEAPF64[$x4 >> 3];
   24808     $add187 = $45 + $46;
   24809     $add188 = $add187 + 1.0;
   24810     $x191 = $job + 272 | 0;
   24811     HEAPF64[$x191 >> 3] = $add188;
   24812     $47 = +HEAPF64[$y181 >> 3];
   24813     $48 = +HEAPF64[$y9 >> 3];
   24814     $add197 = $47 + $48;
   24815     $add198 = $add197 + 1.0;
   24816     $y201 = $job + 280 | 0;
   24817     HEAPF64[$y201 >> 3] = $add198;
   24818     label = 10;
   24819     break;
   24820    case 10:
   24821     $49 = HEAP32[$rotation >> 2] | 0;
   24822     $tobool204 = ($49 | 0) == 0;
   24823     $clip206 = $job + 256 | 0;
   24824     if ($tobool204) {
   24825       label = 14;
   24826       break;
   24827     } else {
   24828       label = 11;
   24829       break;
   24830     }
   24831    case 11:
   24832     $y208 = $job + 280 | 0;
   24833     $50 = +HEAPF64[$y208 >> 3];
   24834     $sub209 = -0.0 - $50;
   24835     $LL210 = $job + 384 | 0;
   24836     $y211 = $job + 392 | 0;
   24837     $51 = +HEAPF64[$y211 >> 3];
   24838     $zoom = $job + 352 | 0;
   24839     $52 = +HEAPF64[$zoom >> 3];
   24840     $div212 = $51 / $52;
   24841     $sub213 = $sub209 - $div212;
   24842     $translation = $job + 504 | 0;
   24843     $y214 = $job + 512 | 0;
   24844     HEAPF64[$y214 >> 3] = $sub213;
   24845     $53 = HEAP32[$flags >> 2] | 0;
   24846     $and216 = $53 & 4096;
   24847     $54 = HEAP32[41182] | 0;
   24848     $55 = $and216 | $54;
   24849     $56 = ($55 | 0) == 0;
   24850     if ($56) {
   24851       label = 13;
   24852       break;
   24853     } else {
   24854       label = 12;
   24855       break;
   24856     }
   24857    case 12:
   24858     $x222 = $job + 272 | 0;
   24859     $57 = +HEAPF64[$x222 >> 3];
   24860     $sub223 = -0.0 - $57;
   24861     $x226 = $LL210 | 0;
   24862     $58 = +HEAPF64[$x226 >> 3];
   24863     $59 = +HEAPF64[$zoom >> 3];
   24864     $div228 = $58 / $59;
   24865     $sub229 = $sub223 - $div228;
   24866     $x231 = $translation | 0;
   24867     HEAPF64[$x231 >> 3] = $sub229;
   24868     label = 17;
   24869     break;
   24870    case 13:
   24871     $x235 = $clip206 | 0;
   24872     $60 = +HEAPF64[$x235 >> 3];
   24873     $x239 = $LL210 | 0;
   24874     $61 = +HEAPF64[$x239 >> 3];
   24875     $62 = +HEAPF64[$zoom >> 3];
   24876     $div241 = $61 / $62;
   24877     $add242 = $div241 - $60;
   24878     $x244 = $translation | 0;
   24879     HEAPF64[$x244 >> 3] = $add242;
   24880     label = 17;
   24881     break;
   24882    case 14:
   24883     $x249 = $clip206 | 0;
   24884     $63 = +HEAPF64[$x249 >> 3];
   24885     $x253 = $job + 384 | 0;
   24886     $64 = +HEAPF64[$x253 >> 3];
   24887     $zoom254 = $job + 352 | 0;
   24888     $65 = +HEAPF64[$zoom254 >> 3];
   24889     $div255 = $64 / $65;
   24890     $add256 = $div255 - $63;
   24891     $x258 = $job + 504 | 0;
   24892     HEAPF64[$x258 >> 3] = $add256;
   24893     $66 = HEAP32[$flags >> 2] | 0;
   24894     $and260 = $66 & 4096;
   24895     $67 = HEAP32[41182] | 0;
   24896     $68 = $and260 | $67;
   24897     $69 = ($68 | 0) == 0;
   24898     if ($69) {
   24899       label = 16;
   24900       break;
   24901     } else {
   24902       label = 15;
   24903       break;
   24904     }
   24905    case 15:
   24906     $y267 = $job + 280 | 0;
   24907     $70 = +HEAPF64[$y267 >> 3];
   24908     $sub268 = -0.0 - $70;
   24909     $y271 = $job + 392 | 0;
   24910     $71 = +HEAPF64[$y271 >> 3];
   24911     $72 = +HEAPF64[$zoom254 >> 3];
   24912     $div273 = $71 / $72;
   24913     $sub274 = $sub268 - $div273;
   24914     $y276 = $job + 512 | 0;
   24915     HEAPF64[$y276 >> 3] = $sub274;
   24916     label = 17;
   24917     break;
   24918    case 16:
   24919     $y280 = $job + 264 | 0;
   24920     $73 = +HEAPF64[$y280 >> 3];
   24921     $y284 = $job + 392 | 0;
   24922     $74 = +HEAPF64[$y284 >> 3];
   24923     $75 = +HEAPF64[$zoom254 >> 3];
   24924     $div286 = $74 / $75;
   24925     $add287 = $div286 - $73;
   24926     $y289 = $job + 512 | 0;
   24927     HEAPF64[$y289 >> 3] = $add287;
   24928     label = 17;
   24929     break;
   24930    case 17:
   24931     STACKTOP = __stackBase__;
   24932     return;
   24933   }
   24934 }
   24935 function _emit_background($job, $g) {
   24936   $job = $job | 0;
   24937   $g = $g | 0;
   24938   var $0 = 0, $call = 0, $tobool = 0, $1 = 0, $tobool1 = 0, $_call = 0, $_ = 0, $str_0 = 0, $dfltColor_0 = 0, $flags = 0, $2 = 0, $and = 0, $tobool2 = 0, $3 = 0, $cmp = 0, $call8 = 0, $tobool9 = 0, $_str_0 = 0, $str_1 = 0, $4 = 0, $and13 = 0, $tobool14 = 0, $5 = 0, $cmp18 = 0, $call21 = 0, $tobool22 = 0, $6 = 0, $and24 = 0, $tobool25 = 0, $tobool27 = 0, $or_cond = 0, $clip = 0, $drawing = 0, $7 = 0, $xdots = 0, $8 = 0, $tobool30 = 0, $9 = 0, label = 0;
   24939   label = 2;
   24940   while (1) switch (label | 0) {
   24941    case 2:
   24942     $0 = $g | 0;
   24943     $call = _agget($0, 118488) | 0;
   24944     $tobool = ($call | 0) == 0;
   24945     if ($tobool) {
   24946       $dfltColor_0 = 1;
   24947       $str_0 = 160560;
   24948       label = 4;
   24949       break;
   24950     } else {
   24951       label = 3;
   24952       break;
   24953     }
   24954    case 3:
   24955     $1 = HEAP8[$call] | 0;
   24956     $tobool1 = $1 << 24 >> 24 == 0;
   24957     $_call = $tobool1 ? 160560 : $call;
   24958     $_ = $tobool1 & 1;
   24959     $dfltColor_0 = $_;
   24960     $str_0 = $_call;
   24961     label = 4;
   24962     break;
   24963    case 4:
   24964     $flags = $job + 152 | 0;
   24965     $2 = HEAP32[$flags >> 2] | 0;
   24966     $and = $2 & 256;
   24967     $tobool2 = ($and | 0) == 0;
   24968     if ($tobool2) {
   24969       label = 5;
   24970       break;
   24971     } else {
   24972       $str_1 = $str_0;
   24973       label = 7;
   24974       break;
   24975     }
   24976    case 5:
   24977     $3 = HEAP8[$str_0] | 0;
   24978     $cmp = $3 << 24 >> 24 == 116;
   24979     if ($cmp) {
   24980       label = 6;
   24981       break;
   24982     } else {
   24983       $str_1 = $str_0;
   24984       label = 7;
   24985       break;
   24986     }
   24987    case 6:
   24988     $call8 = _strcmp($str_0 | 0, 160216) | 0;
   24989     $tobool9 = ($call8 | 0) == 0;
   24990     $_str_0 = $tobool9 ? 160560 : $str_0;
   24991     $str_1 = $_str_0;
   24992     label = 7;
   24993     break;
   24994    case 7:
   24995     $4 = HEAP32[$flags >> 2] | 0;
   24996     $and13 = $4 & 256;
   24997     $tobool14 = ($and13 | 0) == 0;
   24998     if ($tobool14) {
   24999       label = 10;
   25000       break;
   25001     } else {
   25002       label = 8;
   25003       break;
   25004     }
   25005    case 8:
   25006     $5 = HEAP8[$str_1] | 0;
   25007     $cmp18 = $5 << 24 >> 24 == 116;
   25008     if ($cmp18) {
   25009       label = 9;
   25010       break;
   25011     } else {
   25012       label = 10;
   25013       break;
   25014     }
   25015    case 9:
   25016     $call21 = _strcmp($str_1 | 0, 160216) | 0;
   25017     $tobool22 = ($call21 | 0) == 0;
   25018     if ($tobool22) {
   25019       label = 12;
   25020       break;
   25021     } else {
   25022       label = 10;
   25023       break;
   25024     }
   25025    case 10:
   25026     $6 = HEAP32[$flags >> 2] | 0;
   25027     $and24 = $6 & 33554432;
   25028     $tobool25 = ($and24 | 0) == 0;
   25029     $tobool27 = ($dfltColor_0 | 0) == 0;
   25030     $or_cond = $tobool25 | $tobool27;
   25031     if ($or_cond) {
   25032       label = 11;
   25033       break;
   25034     } else {
   25035       label = 12;
   25036       break;
   25037     }
   25038    case 11:
   25039     _gvrender_set_fillcolor($job, $str_1);
   25040     _gvrender_set_pencolor($job, $str_1);
   25041     $clip = $job + 256 | 0;
   25042     _gvrender_box($job, $clip, 1);
   25043     label = 12;
   25044     break;
   25045    case 12:
   25046     $drawing = $g + 48 | 0;
   25047     $7 = HEAP32[$drawing >> 2] | 0;
   25048     $xdots = $7 + 88 | 0;
   25049     $8 = HEAP32[$xdots >> 2] | 0;
   25050     $tobool30 = ($8 | 0) == 0;
   25051     if ($tobool30) {
   25052       label = 14;
   25053       break;
   25054     } else {
   25055       label = 13;
   25056       break;
   25057     }
   25058    case 13:
   25059     $9 = $8;
   25060     _emit_xdot($job, $9);
   25061     label = 14;
   25062     break;
   25063    case 14:
   25064     return;
   25065   }
   25066 }
   25067 function _enqueue($q, $n) {
   25068   $q = $q | 0;
   25069   $n = $n | 0;
   25070   var $tail = 0, $0 = 0, $incdec_ptr = 0, $1 = 0, $limit = 0, $2 = 0, $cmp = 0, $store = 0, $3 = 0, label = 0;
   25071   label = 2;
   25072   while (1) switch (label | 0) {
   25073    case 2:
   25074     $tail = $q + 12 | 0;
   25075     $0 = HEAP32[$tail >> 2] | 0;
   25076     $incdec_ptr = $0 + 4 | 0;
   25077     HEAP32[$tail >> 2] = $incdec_ptr;
   25078     HEAP32[$0 >> 2] = $n;
   25079     $1 = HEAP32[$tail >> 2] | 0;
   25080     $limit = $q + 4 | 0;
   25081     $2 = HEAP32[$limit >> 2] | 0;
   25082     $cmp = $1 >>> 0 < $2 >>> 0;
   25083     if ($cmp) {
   25084       label = 4;
   25085       break;
   25086     } else {
   25087       label = 3;
   25088       break;
   25089     }
   25090    case 3:
   25091     $store = $q | 0;
   25092     $3 = HEAP32[$store >> 2] | 0;
   25093     HEAP32[$tail >> 2] = $3;
   25094     label = 4;
   25095     break;
   25096    case 4:
   25097     return;
   25098   }
   25099 }
   25100 function _dequeue($q) {
   25101   $q = $q | 0;
   25102   var $head = 0, $0 = 0, $tail = 0, $1 = 0, $cmp = 0, $incdec_ptr = 0, $2 = 0, $limit = 0, $3 = 0, $cmp3 = 0, $store = 0, $4 = 0, $n_0 = 0, label = 0;
   25103   label = 2;
   25104   while (1) switch (label | 0) {
   25105    case 2:
   25106     $head = $q + 8 | 0;
   25107     $0 = HEAP32[$head >> 2] | 0;
   25108     $tail = $q + 12 | 0;
   25109     $1 = HEAP32[$tail >> 2] | 0;
   25110     $cmp = ($0 | 0) == ($1 | 0);
   25111     if ($cmp) {
   25112       $n_0 = 0;
   25113       label = 5;
   25114       break;
   25115     } else {
   25116       label = 3;
   25117       break;
   25118     }
   25119    case 3:
   25120     $incdec_ptr = $0 + 4 | 0;
   25121     HEAP32[$head >> 2] = $incdec_ptr;
   25122     $2 = HEAP32[$0 >> 2] | 0;
   25123     $limit = $q + 4 | 0;
   25124     $3 = HEAP32[$limit >> 2] | 0;
   25125     $cmp3 = $incdec_ptr >>> 0 < $3 >>> 0;
   25126     if ($cmp3) {
   25127       $n_0 = $2;
   25128       label = 5;
   25129       break;
   25130     } else {
   25131       label = 4;
   25132       break;
   25133     }
   25134    case 4:
   25135     $store = $q | 0;
   25136     $4 = HEAP32[$store >> 2] | 0;
   25137     HEAP32[$head >> 2] = $4;
   25138     $n_0 = $2;
   25139     label = 5;
   25140     break;
   25141    case 5:
   25142     return $n_0 | 0;
   25143   }
   25144   return 0;
   25145 }
   25146 function _UF_find($n) {
   25147   $n = $n | 0;
   25148   var $UF_parent7 = 0, $0 = 0, $cmp8 = 0, $not_tobool9 = 0, $_cmp10 = 0, $1 = 0, $UF_parent11 = 0, $UF_parent6 = 0, $2 = 0, $tobool7 = 0, $3 = 0, $UF_parent = 0, $4 = 0, $cmp = 0, $not_tobool = 0, $_cmp = 0, $n_addr_0_lcssa = 0, label = 0;
   25149   label = 2;
   25150   while (1) switch (label | 0) {
   25151    case 2:
   25152     $UF_parent7 = $n + 224 | 0;
   25153     $0 = HEAP32[$UF_parent7 >> 2] | 0;
   25154     $cmp8 = ($0 | 0) != ($n | 0);
   25155     $not_tobool9 = ($0 | 0) != 0;
   25156     $_cmp10 = $cmp8 & $not_tobool9;
   25157     if ($_cmp10) {
   25158       $UF_parent11 = $UF_parent7;
   25159       $1 = $0;
   25160       label = 3;
   25161       break;
   25162     } else {
   25163       $n_addr_0_lcssa = $n;
   25164       label = 6;
   25165       break;
   25166     }
   25167    case 3:
   25168     $UF_parent6 = $1 + 224 | 0;
   25169     $2 = HEAP32[$UF_parent6 >> 2] | 0;
   25170     $tobool7 = ($2 | 0) == 0;
   25171     if ($tobool7) {
   25172       label = 5;
   25173       break;
   25174     } else {
   25175       label = 4;
   25176       break;
   25177     }
   25178    case 4:
   25179     HEAP32[$UF_parent11 >> 2] = $2;
   25180     label = 5;
   25181     break;
   25182    case 5:
   25183     $3 = HEAP32[$UF_parent11 >> 2] | 0;
   25184     $UF_parent = $3 + 224 | 0;
   25185     $4 = HEAP32[$UF_parent >> 2] | 0;
   25186     $cmp = ($4 | 0) != ($3 | 0);
   25187     $not_tobool = ($4 | 0) != 0;
   25188     $_cmp = $cmp & $not_tobool;
   25189     if ($_cmp) {
   25190       $UF_parent11 = $UF_parent;
   25191       $1 = $4;
   25192       label = 3;
   25193       break;
   25194     } else {
   25195       $n_addr_0_lcssa = $3;
   25196       label = 6;
   25197       break;
   25198     }
   25199    case 6:
   25200     return $n_addr_0_lcssa | 0;
   25201   }
   25202   return 0;
   25203 }
   25204 function _UF_singleton($u) {
   25205   $u = $u | 0;
   25206   HEAP32[$u + 220 >> 2] = 1;
   25207   HEAP32[$u + 224 >> 2] = 0;
   25208   HEAP8[$u + 165 | 0] = 0;
   25209   return;
   25210 }
   25211 function _emit_view($job, $g, $flags) {
   25212   $job = $job | 0;
   25213   $g = $g | 0;
   25214   $flags = $flags | 0;
   25215   var $gvc1 = 0, $0 = 0, $viewNum = 0, $1 = 0, $inc = 0, $and = 0, $tobool = 0, $and2 = 0, $tobool3 = 0, $2 = 0, $call = 0, $tobool5111 = 0, $n_0_in112 = 0, $n_0 = 0, $call6 = 0, $tobool5 = 0, $call7 = 0, $tobool9109 = 0, $n_1_in110 = 0, $call11 = 0, $tobool13107 = 0, $e_0_in108 = 0, $e_0 = 0, $call16 = 0, $tobool13 = 0, $call19 = 0, $tobool9 = 0, $and21 = 0, $tobool22 = 0, $3 = 0, $call24 = 0, $tobool26105 = 0, $n_2_in106 = 0, $call28 = 0, $tobool30103 = 0, $e_1_in104 = 0, $e_1 = 0, $call33 = 0, $tobool30 = 0, $call36 = 0, $tobool26 = 0, $call38 = 0, $tobool40101 = 0, $n_3_in102 = 0, $n_3 = 0, $call43 = 0, $tobool40 = 0, $and46 = 0, $tobool47 = 0, $4 = 0, $call49 = 0, $tobool5198 = 0, $n_4100_in = 0, $n_4100 = 0, $call53 = 0, $tobool54 = 0, $call58 = 0, $tobool51 = 0, $call60 = 0, $tobool6295 = 0, $n_5_in96 = 0, $call64 = 0, $tobool6692 = 0, $e_294_in = 0, $e_294 = 0, $call68 = 0, $tobool69 = 0, $call73 = 0, $tobool66 = 0, $call76 = 0, $tobool62 = 0, $5 = 0, $call79 = 0, $tobool8189 = 0, $n_6_in90 = 0, $n_6 = 0, $call83 = 0, $tobool8587 = 0, $e_3_in88 = 0, $e_3 = 0, $head = 0, $6 = 0, $7 = 0, $call88 = 0, $tobool85 = 0, $call91 = 0, $tobool81 = 0, label = 0;
   25216   label = 2;
   25217   while (1) switch (label | 0) {
   25218    case 2:
   25219     $gvc1 = $job | 0;
   25220     $0 = HEAP32[$gvc1 >> 2] | 0;
   25221     $viewNum = $0 + 28 | 0;
   25222     $1 = HEAP32[$viewNum >> 2] | 0;
   25223     $inc = $1 + 1 | 0;
   25224     HEAP32[$viewNum >> 2] = $inc;
   25225     $and = $flags & 4;
   25226     $tobool = ($and | 0) != 0;
   25227     if ($tobool) {
   25228       label = 4;
   25229       break;
   25230     } else {
   25231       label = 3;
   25232       break;
   25233     }
   25234    case 3:
   25235     _emit_clusters($job, $g, $flags);
   25236     label = 4;
   25237     break;
   25238    case 4:
   25239     $and2 = $flags & 1;
   25240     $tobool3 = ($and2 | 0) == 0;
   25241     if ($tobool3) {
   25242       label = 12;
   25243       break;
   25244     } else {
   25245       label = 5;
   25246       break;
   25247     }
   25248    case 5:
   25249     _gvrender_begin_nodes($job);
   25250     $2 = $g;
   25251     $call = _agfstnode($2) | 0;
   25252     $tobool5111 = ($call | 0) == 0;
   25253     if ($tobool5111) {
   25254       label = 7;
   25255       break;
   25256     } else {
   25257       $n_0_in112 = $call;
   25258       label = 6;
   25259       break;
   25260     }
   25261    case 6:
   25262     $n_0 = $n_0_in112;
   25263     _emit_node($job, $n_0);
   25264     $call6 = _agnxtnode($2, $n_0_in112) | 0;
   25265     $tobool5 = ($call6 | 0) == 0;
   25266     if ($tobool5) {
   25267       label = 7;
   25268       break;
   25269     } else {
   25270       $n_0_in112 = $call6;
   25271       label = 6;
   25272       break;
   25273     }
   25274    case 7:
   25275     _gvrender_end_nodes($job);
   25276     _gvrender_begin_edges($job);
   25277     $call7 = _agfstnode($2) | 0;
   25278     $tobool9109 = ($call7 | 0) == 0;
   25279     if ($tobool9109) {
   25280       label = 11;
   25281       break;
   25282     } else {
   25283       $n_1_in110 = $call7;
   25284       label = 8;
   25285       break;
   25286     }
   25287    case 8:
   25288     $call11 = _agfstout($2, $n_1_in110) | 0;
   25289     $tobool13107 = ($call11 | 0) == 0;
   25290     if ($tobool13107) {
   25291       label = 10;
   25292       break;
   25293     } else {
   25294       $e_0_in108 = $call11;
   25295       label = 9;
   25296       break;
   25297     }
   25298    case 9:
   25299     $e_0 = $e_0_in108;
   25300     _emit_edge($job, $e_0);
   25301     $call16 = _agnxtout($2, $e_0_in108) | 0;
   25302     $tobool13 = ($call16 | 0) == 0;
   25303     if ($tobool13) {
   25304       label = 10;
   25305       break;
   25306     } else {
   25307       $e_0_in108 = $call16;
   25308       label = 9;
   25309       break;
   25310     }
   25311    case 10:
   25312     $call19 = _agnxtnode($2, $n_1_in110) | 0;
   25313     $tobool9 = ($call19 | 0) == 0;
   25314     if ($tobool9) {
   25315       label = 11;
   25316       break;
   25317     } else {
   25318       $n_1_in110 = $call19;
   25319       label = 8;
   25320       break;
   25321     }
   25322    case 11:
   25323     _gvrender_end_edges($job);
   25324     label = 36;
   25325     break;
   25326    case 12:
   25327     $and21 = $flags & 16;
   25328     $tobool22 = ($and21 | 0) == 0;
   25329     if ($tobool22) {
   25330       label = 20;
   25331       break;
   25332     } else {
   25333       label = 13;
   25334       break;
   25335     }
   25336    case 13:
   25337     _gvrender_begin_edges($job);
   25338     $3 = $g;
   25339     $call24 = _agfstnode($3) | 0;
   25340     $tobool26105 = ($call24 | 0) == 0;
   25341     if ($tobool26105) {
   25342       label = 17;
   25343       break;
   25344     } else {
   25345       $n_2_in106 = $call24;
   25346       label = 14;
   25347       break;
   25348     }
   25349    case 14:
   25350     $call28 = _agfstout($3, $n_2_in106) | 0;
   25351     $tobool30103 = ($call28 | 0) == 0;
   25352     if ($tobool30103) {
   25353       label = 16;
   25354       break;
   25355     } else {
   25356       $e_1_in104 = $call28;
   25357       label = 15;
   25358       break;
   25359     }
   25360    case 15:
   25361     $e_1 = $e_1_in104;
   25362     _emit_edge($job, $e_1);
   25363     $call33 = _agnxtout($3, $e_1_in104) | 0;
   25364     $tobool30 = ($call33 | 0) == 0;
   25365     if ($tobool30) {
   25366       label = 16;
   25367       break;
   25368     } else {
   25369       $e_1_in104 = $call33;
   25370       label = 15;
   25371       break;
   25372     }
   25373    case 16:
   25374     $call36 = _agnxtnode($3, $n_2_in106) | 0;
   25375     $tobool26 = ($call36 | 0) == 0;
   25376     if ($tobool26) {
   25377       label = 17;
   25378       break;
   25379     } else {
   25380       $n_2_in106 = $call36;
   25381       label = 14;
   25382       break;
   25383     }
   25384    case 17:
   25385     _gvrender_end_edges($job);
   25386     _gvrender_begin_nodes($job);
   25387     $call38 = _agfstnode($3) | 0;
   25388     $tobool40101 = ($call38 | 0) == 0;
   25389     if ($tobool40101) {
   25390       label = 19;
   25391       break;
   25392     } else {
   25393       $n_3_in102 = $call38;
   25394       label = 18;
   25395       break;
   25396     }
   25397    case 18:
   25398     $n_3 = $n_3_in102;
   25399     _emit_node($job, $n_3);
   25400     $call43 = _agnxtnode($3, $n_3_in102) | 0;
   25401     $tobool40 = ($call43 | 0) == 0;
   25402     if ($tobool40) {
   25403       label = 19;
   25404       break;
   25405     } else {
   25406       $n_3_in102 = $call43;
   25407       label = 18;
   25408       break;
   25409     }
   25410    case 19:
   25411     _gvrender_end_nodes($job);
   25412     label = 36;
   25413     break;
   25414    case 20:
   25415     $and46 = $flags & 8;
   25416     $tobool47 = ($and46 | 0) == 0;
   25417     if ($tobool47) {
   25418       label = 32;
   25419       break;
   25420     } else {
   25421       label = 21;
   25422       break;
   25423     }
   25424    case 21:
   25425     _gvrender_begin_nodes($job);
   25426     $4 = $g;
   25427     $call49 = _agfstnode($4) | 0;
   25428     $tobool5198 = ($call49 | 0) == 0;
   25429     if ($tobool5198) {
   25430       label = 25;
   25431       break;
   25432     } else {
   25433       $n_4100_in = $call49;
   25434       label = 22;
   25435       break;
   25436     }
   25437    case 22:
   25438     $n_4100 = $n_4100_in;
   25439     $call53 = _write_node_test($g, $n_4100) | 0;
   25440     $tobool54 = $call53 << 24 >> 24 == 0;
   25441     if ($tobool54) {
   25442       label = 24;
   25443       break;
   25444     } else {
   25445       label = 23;
   25446       break;
   25447     }
   25448    case 23:
   25449     _emit_node($job, $n_4100);
   25450     label = 24;
   25451     break;
   25452    case 24:
   25453     $call58 = _agnxtnode($4, $n_4100_in) | 0;
   25454     $tobool51 = ($call58 | 0) == 0;
   25455     if ($tobool51) {
   25456       label = 25;
   25457       break;
   25458     } else {
   25459       $n_4100_in = $call58;
   25460       label = 22;
   25461       break;
   25462     }
   25463    case 25:
   25464     _gvrender_end_nodes($job);
   25465     _gvrender_begin_edges($job);
   25466     $call60 = _agfstnode($4) | 0;
   25467     $tobool6295 = ($call60 | 0) == 0;
   25468     if ($tobool6295) {
   25469       label = 31;
   25470       break;
   25471     } else {
   25472       $n_5_in96 = $call60;
   25473       label = 26;
   25474       break;
   25475     }
   25476    case 26:
   25477     $call64 = _agfstout($4, $n_5_in96) | 0;
   25478     $tobool6692 = ($call64 | 0) == 0;
   25479     if ($tobool6692) {
   25480       label = 30;
   25481       break;
   25482     } else {
   25483       $e_294_in = $call64;
   25484       label = 27;
   25485       break;
   25486     }
   25487    case 27:
   25488     $e_294 = $e_294_in;
   25489     $call68 = _write_edge_test($g, $e_294) | 0;
   25490     $tobool69 = $call68 << 24 >> 24 == 0;
   25491     if ($tobool69) {
   25492       label = 29;
   25493       break;
   25494     } else {
   25495       label = 28;
   25496       break;
   25497     }
   25498    case 28:
   25499     _emit_edge($job, $e_294);
   25500     label = 29;
   25501     break;
   25502    case 29:
   25503     $call73 = _agnxtout($4, $e_294_in) | 0;
   25504     $tobool66 = ($call73 | 0) == 0;
   25505     if ($tobool66) {
   25506       label = 30;
   25507       break;
   25508     } else {
   25509       $e_294_in = $call73;
   25510       label = 27;
   25511       break;
   25512     }
   25513    case 30:
   25514     $call76 = _agnxtnode($4, $n_5_in96) | 0;
   25515     $tobool62 = ($call76 | 0) == 0;
   25516     if ($tobool62) {
   25517       label = 31;
   25518       break;
   25519     } else {
   25520       $n_5_in96 = $call76;
   25521       label = 26;
   25522       break;
   25523     }
   25524    case 31:
   25525     _gvrender_end_edges($job);
   25526     label = 36;
   25527     break;
   25528    case 32:
   25529     $5 = $g;
   25530     $call79 = _agfstnode($5) | 0;
   25531     $tobool8189 = ($call79 | 0) == 0;
   25532     if ($tobool8189) {
   25533       label = 36;
   25534       break;
   25535     } else {
   25536       $n_6_in90 = $call79;
   25537       label = 33;
   25538       break;
   25539     }
   25540    case 33:
   25541     $n_6 = $n_6_in90;
   25542     _emit_node($job, $n_6);
   25543     $call83 = _agfstout($5, $n_6_in90) | 0;
   25544     $tobool8587 = ($call83 | 0) == 0;
   25545     if ($tobool8587) {
   25546       label = 35;
   25547       break;
   25548     } else {
   25549       $e_3_in88 = $call83;
   25550       label = 34;
   25551       break;
   25552     }
   25553    case 34:
   25554     $e_3 = $e_3_in88;
   25555     $head = $e_3_in88 + 12 | 0;
   25556     $6 = HEAP32[$head >> 2] | 0;
   25557     $7 = $6;
   25558     _emit_node($job, $7);
   25559     _emit_edge($job, $e_3);
   25560     $call88 = _agnxtout($5, $e_3_in88) | 0;
   25561     $tobool85 = ($call88 | 0) == 0;
   25562     if ($tobool85) {
   25563       label = 35;
   25564       break;
   25565     } else {
   25566       $e_3_in88 = $call88;
   25567       label = 34;
   25568       break;
   25569     }
   25570    case 35:
   25571     $call91 = _agnxtnode($5, $n_6_in90) | 0;
   25572     $tobool81 = ($call91 | 0) == 0;
   25573     if ($tobool81) {
   25574       label = 36;
   25575       break;
   25576     } else {
   25577       $n_6_in90 = $call91;
   25578       label = 33;
   25579       break;
   25580     }
   25581    case 36:
   25582     if ($tobool) {
   25583       label = 37;
   25584       break;
   25585     } else {
   25586       label = 38;
   25587       break;
   25588     }
   25589    case 37:
   25590     _emit_clusters($job, $g, $flags);
   25591     label = 38;
   25592     break;
   25593    case 38:
   25594     return;
   25595   }
   25596 }
   25597 function _write_node_test($g, $n) {
   25598   $g = $g | 0;
   25599   $n = $n | 0;
   25600   var $clust = 0, $n_cluster = 0, $0 = 0, $c_0 = 0, $1 = 0, $cmp = 0, $2 = 0, $arrayidx = 0, $3 = 0, $4 = 0, $call = 0, $tobool = 0, $inc = 0, $retval_0 = 0, label = 0;
   25601   label = 2;
   25602   while (1) switch (label | 0) {
   25603    case 2:
   25604     $clust = $g + 216 | 0;
   25605     $n_cluster = $g + 212 | 0;
   25606     $0 = $n | 0;
   25607     $c_0 = 1;
   25608     label = 3;
   25609     break;
   25610    case 3:
   25611     $1 = HEAP32[$n_cluster >> 2] | 0;
   25612     $cmp = ($c_0 | 0) > ($1 | 0);
   25613     if ($cmp) {
   25614       $retval_0 = 1;
   25615       label = 5;
   25616       break;
   25617     } else {
   25618       label = 4;
   25619       break;
   25620     }
   25621    case 4:
   25622     $2 = HEAP32[$clust >> 2] | 0;
   25623     $arrayidx = $2 + ($c_0 << 2) | 0;
   25624     $3 = HEAP32[$arrayidx >> 2] | 0;
   25625     $4 = $3;
   25626     $call = _agcontains($4, $0) | 0;
   25627     $tobool = ($call | 0) == 0;
   25628     $inc = $c_0 + 1 | 0;
   25629     if ($tobool) {
   25630       $c_0 = $inc;
   25631       label = 3;
   25632       break;
   25633     } else {
   25634       $retval_0 = 0;
   25635       label = 5;
   25636       break;
   25637     }
   25638    case 5:
   25639     return $retval_0 | 0;
   25640   }
   25641   return 0;
   25642 }
   25643 function _write_edge_test($g, $e) {
   25644   $g = $g | 0;
   25645   $e = $e | 0;
   25646   var $clust = 0, $n_cluster = 0, $0 = 0, $c_0 = 0, $1 = 0, $cmp = 0, $2 = 0, $arrayidx = 0, $3 = 0, $4 = 0, $call = 0, $tobool = 0, $inc = 0, $retval_0 = 0, label = 0;
   25647   label = 2;
   25648   while (1) switch (label | 0) {
   25649    case 2:
   25650     $clust = $g + 216 | 0;
   25651     $n_cluster = $g + 212 | 0;
   25652     $0 = $e | 0;
   25653     $c_0 = 1;
   25654     label = 3;
   25655     break;
   25656    case 3:
   25657     $1 = HEAP32[$n_cluster >> 2] | 0;
   25658     $cmp = ($c_0 | 0) > ($1 | 0);
   25659     if ($cmp) {
   25660       $retval_0 = 1;
   25661       label = 5;
   25662       break;
   25663     } else {
   25664       label = 4;
   25665       break;
   25666     }
   25667    case 4:
   25668     $2 = HEAP32[$clust >> 2] | 0;
   25669     $arrayidx = $2 + ($c_0 << 2) | 0;
   25670     $3 = HEAP32[$arrayidx >> 2] | 0;
   25671     $4 = $3;
   25672     $call = _agcontains($4, $0) | 0;
   25673     $tobool = ($call | 0) == 0;
   25674     $inc = $c_0 + 1 | 0;
   25675     if ($tobool) {
   25676       $c_0 = $inc;
   25677       label = 3;
   25678       break;
   25679     } else {
   25680       $retval_0 = 0;
   25681       label = 5;
   25682       break;
   25683     }
   25684    case 5:
   25685     return $retval_0 | 0;
   25686   }
   25687   return 0;
   25688 }
   25689 function _emit_xdot($job, $xd) {
   25690   $job = $job | 0;
   25691   $xd = $xd | 0;
   25692   var $ptsize = 0, $call = 0, $0 = 0, $cnt = 0, $1 = 0, $cmp64 = 0, $ops = 0, $2 = 0, $3 = 0, $clip = 0, $clip35 = 0, $clip59 = 0, $clip83 = 0, $clip102 = 0, $styles_070 = 0, $i_069 = 0, $op_067 = 0, $image_warn_066 = 0, $pts_065 = 0, $kind = 0, $4 = 0, $bb = 0, $call2 = 0, $tobool = 0, $x = 0, $5 = 0.0, $w = 0, $6 = 0, $7 = 0.0, $sub = 0.0, $x7 = 0, $8 = 0, $9 = 0.0, $10 = 0, $11 = 0.0, $sub14 = 0.0, $y16 = 0, $12 = 0.0, $x22 = 0, $13 = 0.0, $y28 = 0, $14 = 0, $cmp31 = 0, $conv32 = 0, $bb34 = 0, $call36 = 0, $tobool37 = 0, $u40 = 0, $polygon = 0, $pts41 = 0, $15 = 0, $cnt45 = 0, $16 = 0, $call46 = 0, $17 = 0, $18 = 0, $cmp53 = 0, $conv55 = 0, $bb58 = 0, $call60 = 0, $tobool61 = 0, $u64 = 0, $bezier = 0, $pts65 = 0, $19 = 0, $cnt69 = 0, $20 = 0, $call70 = 0, $21 = 0, $22 = 0, $cmp77 = 0, $conv79 = 0, $bb82 = 0, $call84 = 0, $tobool85 = 0, $u88 = 0, $polyline = 0, $pts89 = 0, $23 = 0, $cnt93 = 0, $24 = 0, $call94 = 0, $25 = 0, $bb101 = 0, $call103 = 0, $tobool104 = 0, $x108 = 0, $26 = 0.0, $x110 = 0, $y114 = 0, $27 = 0.0, $y116 = 0, $para = 0, $28 = 0, $u121 = 0, $color = 0, $29 = 0, $u124 = 0, $color125 = 0, $30 = 0, $u134 = 0, $style = 0, $31 = 0, $call135 = 0, $tobool137 = 0, $call139 = 0, $pts_1 = 0, $image_warn_1 = 0, $styles_1 = 0, $incdec_ptr = 0, $inc = 0, $32 = 0, $cmp = 0, $tobool141 = 0, $gvc = 0, $33 = 0, $defaultlinestyle = 0, $34 = 0, $pts_0_lcssa73 = 0, $35 = 0, label = 0, __stackBase__ = 0;
   25693   __stackBase__ = STACKTOP;
   25694   STACKTOP = STACKTOP + 8 | 0;
   25695   label = 2;
   25696   while (1) switch (label | 0) {
   25697    case 2:
   25698     $ptsize = __stackBase__ | 0;
   25699     HEAP32[$ptsize >> 2] = 1e3;
   25700     $call = _gmalloc(16e3) | 0;
   25701     $0 = $call;
   25702     $cnt = $xd | 0;
   25703     $1 = HEAP32[$cnt >> 2] | 0;
   25704     $cmp64 = ($1 | 0) > 0;
   25705     if ($cmp64) {
   25706       label = 3;
   25707       break;
   25708     } else {
   25709       $pts_0_lcssa73 = $0;
   25710       label = 23;
   25711       break;
   25712     }
   25713    case 3:
   25714     $ops = $xd + 8 | 0;
   25715     $2 = HEAP32[$ops >> 2] | 0;
   25716     $3 = $2;
   25717     $clip = $job + 256 | 0;
   25718     $clip35 = $job + 256 | 0;
   25719     $clip59 = $job + 256 | 0;
   25720     $clip83 = $job + 256 | 0;
   25721     $clip102 = $job + 256 | 0;
   25722     $pts_065 = $0;
   25723     $image_warn_066 = 1;
   25724     $op_067 = $3;
   25725     $i_069 = 0;
   25726     $styles_070 = 0;
   25727     label = 4;
   25728     break;
   25729    case 4:
   25730     $kind = $op_067 | 0;
   25731     $4 = HEAP32[$kind >> 2] | 0;
   25732     if (($4 | 0) == 0 | ($4 | 0) == 1) {
   25733       label = 5;
   25734       break;
   25735     } else if (($4 | 0) == 2 | ($4 | 0) == 3) {
   25736       label = 7;
   25737       break;
   25738     } else if (($4 | 0) == 4 | ($4 | 0) == 5) {
   25739       label = 9;
   25740       break;
   25741     } else if (($4 | 0) == 6) {
   25742       label = 11;
   25743       break;
   25744     } else if (($4 | 0) == 7) {
   25745       label = 13;
   25746       break;
   25747     } else if (($4 | 0) == 8) {
   25748       label = 15;
   25749       break;
   25750     } else if (($4 | 0) == 9) {
   25751       label = 16;
   25752       break;
   25753     } else if (($4 | 0) == 11) {
   25754       label = 17;
   25755       break;
   25756     } else if (($4 | 0) == 12) {
   25757       label = 18;
   25758       break;
   25759     } else {
   25760       $styles_1 = $styles_070;
   25761       $image_warn_1 = $image_warn_066;
   25762       $pts_1 = $pts_065;
   25763       label = 20;
   25764       break;
   25765     }
   25766    case 5:
   25767     $bb = $op_067 + 56 | 0;
   25768     $call2 = _boxf_overlap($bb, $clip) | 0;
   25769     $tobool = ($call2 | 0) == 0;
   25770     if ($tobool) {
   25771       $styles_1 = $styles_070;
   25772       $image_warn_1 = $image_warn_066;
   25773       $pts_1 = $pts_065;
   25774       label = 20;
   25775       break;
   25776     } else {
   25777       label = 6;
   25778       break;
   25779     }
   25780    case 6:
   25781     $x = $op_067 + 8 | 0;
   25782     $5 = +HEAPF64[$x >> 3];
   25783     $w = $op_067 + 24 | 0;
   25784     $6 = $w;
   25785     $7 = +HEAPF64[$6 >> 3];
   25786     $sub = $5 - $7;
   25787     $x7 = $pts_065 | 0;
   25788     HEAPF64[$x7 >> 3] = $sub;
   25789     $8 = $op_067 + 16 | 0;
   25790     $9 = +HEAPF64[$8 >> 3];
   25791     $10 = $op_067 + 32 | 0;
   25792     $11 = +HEAPF64[$10 >> 3];
   25793     $sub14 = $9 - $11;
   25794     $y16 = $pts_065 + 8 | 0;
   25795     HEAPF64[$y16 >> 3] = $sub14;
   25796     $12 = +HEAPF64[$6 >> 3];
   25797     $x22 = $pts_065 + 16 | 0;
   25798     HEAPF64[$x22 >> 3] = $12;
   25799     $13 = +HEAPF64[$10 >> 3];
   25800     $y28 = $pts_065 + 24 | 0;
   25801     HEAPF64[$y28 >> 3] = $13;
   25802     $14 = HEAP32[$kind >> 2] | 0;
   25803     $cmp31 = ($14 | 0) == 0;
   25804     $conv32 = $cmp31 & 1;
   25805     _gvrender_ellipse($job, $pts_065, 0, $conv32);
   25806     $styles_1 = $styles_070;
   25807     $image_warn_1 = $image_warn_066;
   25808     $pts_1 = $pts_065;
   25809     label = 20;
   25810     break;
   25811    case 7:
   25812     $bb34 = $op_067 + 56 | 0;
   25813     $call36 = _boxf_overlap($bb34, $clip35) | 0;
   25814     $tobool37 = ($call36 | 0) == 0;
   25815     if ($tobool37) {
   25816       $styles_1 = $styles_070;
   25817       $image_warn_1 = $image_warn_066;
   25818       $pts_1 = $pts_065;
   25819       label = 20;
   25820       break;
   25821     } else {
   25822       label = 8;
   25823       break;
   25824     }
   25825    case 8:
   25826     $u40 = $op_067 + 8 | 0;
   25827     $polygon = $u40;
   25828     $pts41 = $polygon + 4 | 0;
   25829     $15 = HEAP32[$pts41 >> 2] | 0;
   25830     $cnt45 = $u40;
   25831     $16 = HEAP32[$cnt45 >> 2] | 0;
   25832     $call46 = _copyPts($pts_065, $ptsize, $15, $16) | 0;
   25833     $17 = HEAP32[$cnt45 >> 2] | 0;
   25834     $18 = HEAP32[$kind >> 2] | 0;
   25835     $cmp53 = ($18 | 0) == 2;
   25836     $conv55 = $cmp53 & 1;
   25837     _gvrender_polygon($job, $call46, $17, $conv55);
   25838     $styles_1 = $styles_070;
   25839     $image_warn_1 = $image_warn_066;
   25840     $pts_1 = $call46;
   25841     label = 20;
   25842     break;
   25843    case 9:
   25844     $bb58 = $op_067 + 56 | 0;
   25845     $call60 = _boxf_overlap($bb58, $clip59) | 0;
   25846     $tobool61 = ($call60 | 0) == 0;
   25847     if ($tobool61) {
   25848       $styles_1 = $styles_070;
   25849       $image_warn_1 = $image_warn_066;
   25850       $pts_1 = $pts_065;
   25851       label = 20;
   25852       break;
   25853     } else {
   25854       label = 10;
   25855       break;
   25856     }
   25857    case 10:
   25858     $u64 = $op_067 + 8 | 0;
   25859     $bezier = $u64;
   25860     $pts65 = $bezier + 4 | 0;
   25861     $19 = HEAP32[$pts65 >> 2] | 0;
   25862     $cnt69 = $u64;
   25863     $20 = HEAP32[$cnt69 >> 2] | 0;
   25864     $call70 = _copyPts($pts_065, $ptsize, $19, $20) | 0;
   25865     $21 = HEAP32[$cnt69 >> 2] | 0;
   25866     $22 = HEAP32[$kind >> 2] | 0;
   25867     $cmp77 = ($22 | 0) == 4;
   25868     $conv79 = $cmp77 & 1;
   25869     _gvrender_beziercurve($job, $call70, $21, 0, 0, $conv79);
   25870     $styles_1 = $styles_070;
   25871     $image_warn_1 = $image_warn_066;
   25872     $pts_1 = $call70;
   25873     label = 20;
   25874     break;
   25875    case 11:
   25876     $bb82 = $op_067 + 56 | 0;
   25877     $call84 = _boxf_overlap($bb82, $clip83) | 0;
   25878     $tobool85 = ($call84 | 0) == 0;
   25879     if ($tobool85) {
   25880       $styles_1 = $styles_070;
   25881       $image_warn_1 = $image_warn_066;
   25882       $pts_1 = $pts_065;
   25883       label = 20;
   25884       break;
   25885     } else {
   25886       label = 12;
   25887       break;
   25888     }
   25889    case 12:
   25890     $u88 = $op_067 + 8 | 0;
   25891     $polyline = $u88;
   25892     $pts89 = $polyline + 4 | 0;
   25893     $23 = HEAP32[$pts89 >> 2] | 0;
   25894     $cnt93 = $u88;
   25895     $24 = HEAP32[$cnt93 >> 2] | 0;
   25896     $call94 = _copyPts($pts_065, $ptsize, $23, $24) | 0;
   25897     $25 = HEAP32[$cnt93 >> 2] | 0;
   25898     _gvrender_polyline($job, $call94, $25);
   25899     $styles_1 = $styles_070;
   25900     $image_warn_1 = $image_warn_066;
   25901     $pts_1 = $call94;
   25902     label = 20;
   25903     break;
   25904    case 13:
   25905     $bb101 = $op_067 + 56 | 0;
   25906     $call103 = _boxf_overlap($bb101, $clip102) | 0;
   25907     $tobool104 = ($call103 | 0) == 0;
   25908     if ($tobool104) {
   25909       $styles_1 = $styles_070;
   25910       $image_warn_1 = $image_warn_066;
   25911       $pts_1 = $pts_065;
   25912       label = 20;
   25913       break;
   25914     } else {
   25915       label = 14;
   25916       break;
   25917     }
   25918    case 14:
   25919     $x108 = $op_067 + 8 | 0;
   25920     $26 = +HEAPF64[$x108 >> 3];
   25921     $x110 = $pts_065 | 0;
   25922     HEAPF64[$x110 >> 3] = $26;
   25923     $y114 = $op_067 + 16 | 0;
   25924     $27 = +HEAPF64[$y114 >> 3];
   25925     $y116 = $pts_065 + 8 | 0;
   25926     HEAPF64[$y116 >> 3] = $27;
   25927     $para = $op_067 + 88 | 0;
   25928     $28 = HEAP32[$para >> 2] | 0;
   25929     _gvrender_textpara($job, $pts_065, $28);
   25930     $styles_1 = $styles_070;
   25931     $image_warn_1 = $image_warn_066;
   25932     $pts_1 = $pts_065;
   25933     label = 20;
   25934     break;
   25935    case 15:
   25936     $u121 = $op_067 + 8 | 0;
   25937     $color = $u121;
   25938     $29 = HEAP32[$color >> 2] | 0;
   25939     _gvrender_set_fillcolor($job, $29);
   25940     $styles_1 = $styles_070;
   25941     $image_warn_1 = $image_warn_066;
   25942     $pts_1 = $pts_065;
   25943     label = 20;
   25944     break;
   25945    case 16:
   25946     $u124 = $op_067 + 8 | 0;
   25947     $color125 = $u124;
   25948     $30 = HEAP32[$color125 >> 2] | 0;
   25949     _gvrender_set_pencolor($job, $30);
   25950     $styles_1 = $styles_070;
   25951     $image_warn_1 = $image_warn_066;
   25952     $pts_1 = $pts_065;
   25953     label = 20;
   25954     break;
   25955    case 17:
   25956     $u134 = $op_067 + 8 | 0;
   25957     $style = $u134;
   25958     $31 = HEAP32[$style >> 2] | 0;
   25959     $call135 = _parse_style($31) | 0;
   25960     _gvrender_set_style($job, 7280);
   25961     $styles_1 = 7280;
   25962     $image_warn_1 = $image_warn_066;
   25963     $pts_1 = $pts_065;
   25964     label = 20;
   25965     break;
   25966    case 18:
   25967     $tobool137 = ($image_warn_066 | 0) == 0;
   25968     if ($tobool137) {
   25969       $styles_1 = $styles_070;
   25970       $image_warn_1 = 0;
   25971       $pts_1 = $pts_065;
   25972       label = 20;
   25973       break;
   25974     } else {
   25975       label = 19;
   25976       break;
   25977     }
   25978    case 19:
   25979     $call139 = _agerr(0, 159856, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0) | 0;
   25980     $styles_1 = $styles_070;
   25981     $image_warn_1 = 0;
   25982     $pts_1 = $pts_065;
   25983     label = 20;
   25984     break;
   25985    case 20:
   25986     $incdec_ptr = $op_067 + 96 | 0;
   25987     $inc = $i_069 + 1 | 0;
   25988     $32 = HEAP32[$cnt >> 2] | 0;
   25989     $cmp = ($inc | 0) < ($32 | 0);
   25990     if ($cmp) {
   25991       $pts_065 = $pts_1;
   25992       $image_warn_066 = $image_warn_1;
   25993       $op_067 = $incdec_ptr;
   25994       $i_069 = $inc;
   25995       $styles_070 = $styles_1;
   25996       label = 4;
   25997       break;
   25998     } else {
   25999       label = 21;
   26000       break;
   26001     }
   26002    case 21:
   26003     $tobool141 = ($styles_1 | 0) == 0;
   26004     if ($tobool141) {
   26005       $pts_0_lcssa73 = $pts_1;
   26006       label = 23;
   26007       break;
   26008     } else {
   26009       label = 22;
   26010       break;
   26011     }
   26012    case 22:
   26013     $gvc = $job | 0;
   26014     $33 = HEAP32[$gvc >> 2] | 0;
   26015     $defaultlinestyle = $33 + 304 | 0;
   26016     $34 = HEAP32[$defaultlinestyle >> 2] | 0;
   26017     _gvrender_set_style($job, $34);
   26018     $pts_0_lcssa73 = $pts_1;
   26019     label = 23;
   26020     break;
   26021    case 23:
   26022     $35 = $pts_0_lcssa73;
   26023     _free($35);
   26024     STACKTOP = __stackBase__;
   26025     return;
   26026   }
   26027 }
   26028 function _copyPts($pts, $ptsize, $inpts, $numpts) {
   26029   $pts = $pts | 0;
   26030   $ptsize = $ptsize | 0;
   26031   $inpts = $inpts | 0;
   26032   $numpts = $numpts | 0;
   26033   var $0 = 0, $cmp = 0, $mul = 0, $cmp1 = 0, $mul_numpts = 0, $1 = 0, $mul3 = 0, $call = 0, $2 = 0, $pts_addr_0 = 0, $cmp418 = 0, $i_019 = 0, $x = 0, $3 = 0.0, $x6 = 0, $y = 0, $4 = 0.0, $y9 = 0, $inc = 0, $cmp4 = 0, label = 0;
   26034   label = 2;
   26035   while (1) switch (label | 0) {
   26036    case 2:
   26037     $0 = HEAP32[$ptsize >> 2] | 0;
   26038     $cmp = ($0 | 0) < ($numpts | 0);
   26039     if ($cmp) {
   26040       label = 3;
   26041       break;
   26042     } else {
   26043       $pts_addr_0 = $pts;
   26044       label = 4;
   26045       break;
   26046     }
   26047    case 3:
   26048     $mul = $0 << 1;
   26049     $cmp1 = ($mul | 0) > ($numpts | 0);
   26050     $mul_numpts = $cmp1 ? $mul : $numpts;
   26051     $1 = $pts;
   26052     $mul3 = $mul_numpts << 4;
   26053     $call = _grealloc($1, $mul3) | 0;
   26054     $2 = $call;
   26055     HEAP32[$ptsize >> 2] = $mul_numpts;
   26056     $pts_addr_0 = $2;
   26057     label = 4;
   26058     break;
   26059    case 4:
   26060     $cmp418 = ($numpts | 0) > 0;
   26061     if ($cmp418) {
   26062       $i_019 = 0;
   26063       label = 5;
   26064       break;
   26065     } else {
   26066       label = 6;
   26067       break;
   26068     }
   26069    case 5:
   26070     $x = $inpts + ($i_019 * 24 & -1) | 0;
   26071     $3 = +HEAPF64[$x >> 3];
   26072     $x6 = $pts_addr_0 + ($i_019 << 4) | 0;
   26073     HEAPF64[$x6 >> 3] = $3;
   26074     $y = $inpts + ($i_019 * 24 & -1) + 8 | 0;
   26075     $4 = +HEAPF64[$y >> 3];
   26076     $y9 = $pts_addr_0 + ($i_019 << 4) + 8 | 0;
   26077     HEAPF64[$y9 >> 3] = $4;
   26078     $inc = $i_019 + 1 | 0;
   26079     $cmp4 = ($inc | 0) < ($numpts | 0);
   26080     if ($cmp4) {
   26081       $i_019 = $inc;
   26082       label = 5;
   26083       break;
   26084     } else {
   26085       label = 6;
   26086       break;
   26087     }
   26088    case 6:
   26089     return $pts_addr_0 | 0;
   26090   }
   26091   return 0;
   26092 }
   26093 function _emit_cluster_colors($job, $g) {
   26094   $job = $job | 0;
   26095   $g = $g | 0;
   26096   var $n_cluster = 0, $0 = 0, $cmp15 = 0, $clust = 0, $c_016 = 0, $1 = 0, $arrayidx = 0, $2 = 0, $3 = 0, $call = 0, $cmp2 = 0, $4 = 0, $tobool = 0, $call4 = 0, $cmp5 = 0, $5 = 0, $tobool10 = 0, $call13 = 0, $cmp14 = 0, $6 = 0, $tobool19 = 0, $inc = 0, $7 = 0, $cmp = 0, label = 0;
   26097   label = 2;
   26098   while (1) switch (label | 0) {
   26099    case 2:
   26100     $n_cluster = $g + 212 | 0;
   26101     $0 = HEAP32[$n_cluster >> 2] | 0;
   26102     $cmp15 = ($0 | 0) < 1;
   26103     if ($cmp15) {
   26104       label = 14;
   26105       break;
   26106     } else {
   26107       label = 3;
   26108       break;
   26109     }
   26110    case 3:
   26111     $clust = $g + 216 | 0;
   26112     $c_016 = 1;
   26113     label = 4;
   26114     break;
   26115    case 4:
   26116     $1 = HEAP32[$clust >> 2] | 0;
   26117     $arrayidx = $1 + ($c_016 << 2) | 0;
   26118     $2 = HEAP32[$arrayidx >> 2] | 0;
   26119     _emit_cluster_colors($job, $2);
   26120     $3 = $2 | 0;
   26121     $call = _agget($3, 120080) | 0;
   26122     $cmp2 = ($call | 0) == 0;
   26123     if ($cmp2) {
   26124       label = 7;
   26125       break;
   26126     } else {
   26127       label = 5;
   26128       break;
   26129     }
   26130    case 5:
   26131     $4 = HEAP8[$call] | 0;
   26132     $tobool = $4 << 24 >> 24 == 0;
   26133     if ($tobool) {
   26134       label = 7;
   26135       break;
   26136     } else {
   26137       label = 6;
   26138       break;
   26139     }
   26140    case 6:
   26141     _gvrender_set_pencolor($job, $call);
   26142     label = 7;
   26143     break;
   26144    case 7:
   26145     $call4 = _agget($3, 118936) | 0;
   26146     $cmp5 = ($call4 | 0) == 0;
   26147     if ($cmp5) {
   26148       label = 10;
   26149       break;
   26150     } else {
   26151       label = 8;
   26152       break;
   26153     }
   26154    case 8:
   26155     $5 = HEAP8[$call4] | 0;
   26156     $tobool10 = $5 << 24 >> 24 == 0;
   26157     if ($tobool10) {
   26158       label = 10;
   26159       break;
   26160     } else {
   26161       label = 9;
   26162       break;
   26163     }
   26164    case 9:
   26165     _gvrender_set_fillcolor($job, $call4);
   26166     label = 10;
   26167     break;
   26168    case 10:
   26169     $call13 = _agget($3, 159088) | 0;
   26170     $cmp14 = ($call13 | 0) == 0;
   26171     if ($cmp14) {
   26172       label = 13;
   26173       break;
   26174     } else {
   26175       label = 11;
   26176       break;
   26177     }
   26178    case 11:
   26179     $6 = HEAP8[$call13] | 0;
   26180     $tobool19 = $6 << 24 >> 24 == 0;
   26181     if ($tobool19) {
   26182       label = 13;
   26183       break;
   26184     } else {
   26185       label = 12;
   26186       break;
   26187     }
   26188    case 12:
   26189     _gvrender_set_pencolor($job, $call13);
   26190     label = 13;
   26191     break;
   26192    case 13:
   26193     $inc = $c_016 + 1 | 0;
   26194     $7 = HEAP32[$n_cluster >> 2] | 0;
   26195     $cmp = ($inc | 0) > ($7 | 0);
   26196     if ($cmp) {
   26197       label = 14;
   26198       break;
   26199     } else {
   26200       $c_016 = $inc;
   26201       label = 4;
   26202       break;
   26203     }
   26204    case 14:
   26205     return;
   26206   }
   26207 }
   26208 function _new_queue($sz) {
   26209   $sz = $sz | 0;
   26210   var $call = 0, $_sz = 0, $1 = 0, $store = 0;
   26211   $call = _zmalloc(16) | 0;
   26212   $_sz = ($sz | 0) < 2 ? 2 : $sz;
   26213   $1 = _zmalloc($_sz << 2) | 0;
   26214   $store = $call;
   26215   HEAP32[$store >> 2] = $1;
   26216   HEAP32[$call + 12 >> 2] = $1;
   26217   HEAP32[$call + 8 >> 2] = $1;
   26218   HEAP32[$call + 4 >> 2] = (HEAP32[$store >> 2] | 0) + ($_sz << 2) | 0;
   26219   return $call | 0;
   26220 }
   26221 function _free_queue($q) {
   26222   $q = $q | 0;
   26223   _free(HEAP32[$q >> 2] | 0);
   26224   _free($q);
   26225   return;
   26226 }
   26227 function _late_int($obj, $attr, $def, $low) {
   26228   $obj = $obj | 0;
   26229   $attr = $attr | 0;
   26230   $def = $def | 0;
   26231   $low = $low | 0;
   26232   var $cmp = 0, $index = 0, $0 = 0, $call = 0, $tobool = 0, $1 = 0, $cmp1 = 0, $call5 = 0, $cmp6 = 0, $low_call5 = 0, $retval_0 = 0, label = 0;
   26233   label = 2;
   26234   while (1) switch (label | 0) {
   26235    case 2:
   26236     $cmp = ($attr | 0) == 0;
   26237     if ($cmp) {
   26238       $retval_0 = $def;
   26239       label = 6;
   26240       break;
   26241     } else {
   26242       label = 3;
   26243       break;
   26244     }
   26245    case 3:
   26246     $index = $attr + 8 | 0;
   26247     $0 = HEAP32[$index >> 2] | 0;
   26248     $call = _agxget($obj, $0) | 0;
   26249     $tobool = ($call | 0) == 0;
   26250     if ($tobool) {
   26251       $retval_0 = $def;
   26252       label = 6;
   26253       break;
   26254     } else {
   26255       label = 4;
   26256       break;
   26257     }
   26258    case 4:
   26259     $1 = HEAP8[$call] | 0;
   26260     $cmp1 = $1 << 24 >> 24 == 0;
   26261     if ($cmp1) {
   26262       $retval_0 = $def;
   26263       label = 6;
   26264       break;
   26265     } else {
   26266       label = 5;
   26267       break;
   26268     }
   26269    case 5:
   26270     $call5 = _atoi($call | 0) | 0;
   26271     $cmp6 = ($call5 | 0) < ($low | 0);
   26272     $low_call5 = $cmp6 ? $low : $call5;
   26273     $retval_0 = $low_call5;
   26274     label = 6;
   26275     break;
   26276    case 6:
   26277     return $retval_0 | 0;
   26278   }
   26279   return 0;
   26280 }
   26281 function _late_double($obj, $attr, $def, $low) {
   26282   $obj = $obj | 0;
   26283   $attr = $attr | 0;
   26284   $def = +$def;
   26285   $low = +$low;
   26286   var $tobool = 0, $tobool1 = 0, $or_cond = 0, $index = 0, $0 = 0, $call = 0, $tobool2 = 0, $1 = 0, $cmp = 0, $call7 = 0.0, $cmp8 = 0, $rv_0 = 0.0, $retval_0 = 0.0, label = 0;
   26287   label = 2;
   26288   while (1) switch (label | 0) {
   26289    case 2:
   26290     $tobool = ($attr | 0) == 0;
   26291     $tobool1 = ($obj | 0) == 0;
   26292     $or_cond = $tobool | $tobool1;
   26293     if ($or_cond) {
   26294       $retval_0 = $def;
   26295       label = 6;
   26296       break;
   26297     } else {
   26298       label = 3;
   26299       break;
   26300     }
   26301    case 3:
   26302     $index = $attr + 8 | 0;
   26303     $0 = HEAP32[$index >> 2] | 0;
   26304     $call = _agxget($obj, $0) | 0;
   26305     $tobool2 = ($call | 0) == 0;
   26306     if ($tobool2) {
   26307       $retval_0 = $def;
   26308       label = 6;
   26309       break;
   26310     } else {
   26311       label = 4;
   26312       break;
   26313     }
   26314    case 4:
   26315     $1 = HEAP8[$call] | 0;
   26316     $cmp = $1 << 24 >> 24 == 0;
   26317     if ($cmp) {
   26318       $retval_0 = $def;
   26319       label = 6;
   26320       break;
   26321     } else {
   26322       label = 5;
   26323       break;
   26324     }
   26325    case 5:
   26326     $call7 = +_atof($call);
   26327     $cmp8 = $call7 < $low;
   26328     $rv_0 = $cmp8 ? $low : $call7;
   26329     $retval_0 = $rv_0;
   26330     label = 6;
   26331     break;
   26332    case 6:
   26333     return +$retval_0;
   26334   }
   26335   return 0.0;
   26336 }
   26337 function _late_string($obj, $attr, $def) {
   26338   $obj = $obj | 0;
   26339   $attr = $attr | 0;
   26340   $def = $def | 0;
   26341   var $tobool = 0, $tobool1 = 0, $or_cond = 0, $index = 0, $0 = 0, $call = 0, $retval_0 = 0, label = 0;
   26342   label = 2;
   26343   while (1) switch (label | 0) {
   26344    case 2:
   26345     $tobool = ($attr | 0) == 0;
   26346     $tobool1 = ($obj | 0) == 0;
   26347     $or_cond = $tobool | $tobool1;
   26348     if ($or_cond) {
   26349       $retval_0 = $def;
   26350       label = 4;
   26351       break;
   26352     } else {
   26353       label = 3;
   26354       break;
   26355     }
   26356    case 3:
   26357     $index = $attr + 8 | 0;
   26358     $0 = HEAP32[$index >> 2] | 0;
   26359     $call = _agxget($obj, $0) | 0;
   26360     $retval_0 = $call;
   26361     label = 4;
   26362     break;
   26363    case 4:
   26364     return $retval_0 | 0;
   26365   }
   26366   return 0;
   26367 }
   26368 function _late_nnstring($obj, $attr, $def) {
   26369   $obj = $obj | 0;
   26370   $attr = $attr | 0;
   26371   $def = $def | 0;
   26372   var $call = 0, $tobool = 0, $0 = 0, $cmp = 0, $rv_0 = 0, label = 0;
   26373   label = 2;
   26374   while (1) switch (label | 0) {
   26375    case 2:
   26376     $call = _late_string($obj, $attr, $def) | 0;
   26377     $tobool = ($call | 0) == 0;
   26378     if ($tobool) {
   26379       label = 4;
   26380       break;
   26381     } else {
   26382       label = 3;
   26383       break;
   26384     }
   26385    case 3:
   26386     $0 = HEAP8[$call] | 0;
   26387     $cmp = $0 << 24 >> 24 == 0;
   26388     if ($cmp) {
   26389       label = 4;
   26390       break;
   26391     } else {
   26392       $rv_0 = $call;
   26393       label = 5;
   26394       break;
   26395     }
   26396    case 4:
   26397     $rv_0 = $def;
   26398     label = 5;
   26399     break;
   26400    case 5:
   26401     return $rv_0 | 0;
   26402   }
   26403   return 0;
   26404 }
   26405 function _mapbool($p) {
   26406   $p = $p | 0;
   26407   return _mapBool($p, 0) | 0;
   26408 }
   26409 function _UF_union($u, $v) {
   26410   $u = $u | 0;
   26411   $v = $v | 0;
   26412   var $cmp = 0, $UF_parent = 0, $0 = 0, $cmp2 = 0, $UF_size = 0, $call = 0, $u_addr_0 = 0, $UF_parent9 = 0, $1 = 0, $cmp10 = 0, $UF_size15 = 0, $call17 = 0, $v_addr_0 = 0, $id = 0, $2 = 0, $id19 = 0, $3 = 0, $cmp20 = 0, $UF_parent23 = 0, $UF_size25 = 0, $4 = 0, $UF_size27 = 0, $5 = 0, $add = 0, $UF_parent30 = 0, $UF_size32 = 0, $6 = 0, $UF_size34 = 0, $7 = 0, $add35 = 0, $retval_0 = 0, label = 0;
   26413   label = 2;
   26414   while (1) switch (label | 0) {
   26415    case 2:
   26416     $cmp = ($u | 0) == ($v | 0);
   26417     if ($cmp) {
   26418       $retval_0 = $u;
   26419       label = 12;
   26420       break;
   26421     } else {
   26422       label = 3;
   26423       break;
   26424     }
   26425    case 3:
   26426     $UF_parent = $u + 224 | 0;
   26427     $0 = HEAP32[$UF_parent >> 2] | 0;
   26428     $cmp2 = ($0 | 0) == 0;
   26429     if ($cmp2) {
   26430       label = 4;
   26431       break;
   26432     } else {
   26433       label = 5;
   26434       break;
   26435     }
   26436    case 4:
   26437     HEAP32[$UF_parent >> 2] = $u;
   26438     $UF_size = $u + 220 | 0;
   26439     HEAP32[$UF_size >> 2] = 1;
   26440     $u_addr_0 = $u;
   26441     label = 6;
   26442     break;
   26443    case 5:
   26444     $call = _UF_find($u) | 0;
   26445     $u_addr_0 = $call;
   26446     label = 6;
   26447     break;
   26448    case 6:
   26449     $UF_parent9 = $v + 224 | 0;
   26450     $1 = HEAP32[$UF_parent9 >> 2] | 0;
   26451     $cmp10 = ($1 | 0) == 0;
   26452     if ($cmp10) {
   26453       label = 7;
   26454       break;
   26455     } else {
   26456       label = 8;
   26457       break;
   26458     }
   26459    case 7:
   26460     HEAP32[$UF_parent9 >> 2] = $v;
   26461     $UF_size15 = $v + 220 | 0;
   26462     HEAP32[$UF_size15 >> 2] = 1;
   26463     $v_addr_0 = $v;
   26464     label = 9;
   26465     break;
   26466    case 8:
   26467     $call17 = _UF_find($v) | 0;
   26468     $v_addr_0 = $call17;
   26469     label = 9;
   26470     break;
   26471    case 9:
   26472     $id = $u_addr_0 + 16 | 0;
   26473     $2 = HEAP32[$id >> 2] | 0;
   26474     $id19 = $v_addr_0 + 16 | 0;
   26475     $3 = HEAP32[$id19 >> 2] | 0;
   26476     $cmp20 = ($2 | 0) > ($3 | 0);
   26477     if ($cmp20) {
   26478       label = 10;
   26479       break;
   26480     } else {
   26481       label = 11;
   26482       break;
   26483     }
   26484    case 10:
   26485     $UF_parent23 = $u_addr_0 + 224 | 0;
   26486     HEAP32[$UF_parent23 >> 2] = $v_addr_0;
   26487     $UF_size25 = $u_addr_0 + 220 | 0;
   26488     $4 = HEAP32[$UF_size25 >> 2] | 0;
   26489     $UF_size27 = $v_addr_0 + 220 | 0;
   26490     $5 = HEAP32[$UF_size27 >> 2] | 0;
   26491     $add = $5 + $4 | 0;
   26492     HEAP32[$UF_size27 >> 2] = $add;
   26493     $retval_0 = $v_addr_0;
   26494     label = 12;
   26495     break;
   26496    case 11:
   26497     $UF_parent30 = $v_addr_0 + 224 | 0;
   26498     HEAP32[$UF_parent30 >> 2] = $u_addr_0;
   26499     $UF_size32 = $v_addr_0 + 220 | 0;
   26500     $6 = HEAP32[$UF_size32 >> 2] | 0;
   26501     $UF_size34 = $u_addr_0 + 220 | 0;
   26502     $7 = HEAP32[$UF_size34 >> 2] | 0;
   26503     $add35 = $7 + $6 | 0;
   26504     HEAP32[$UF_size34 >> 2] = $add35;
   26505     $retval_0 = $u_addr_0;
   26506     label = 12;
   26507     break;
   26508    case 12:
   26509     return $retval_0 | 0;
   26510   }
   26511   return 0;
   26512 }
   26513 function _UF_setname($u, $v) {
   26514   $u = $u | 0;
   26515   $v = $v | 0;
   26516   var $call = 0, $cmp = 0, $UF_parent = 0, $UF_size = 0, $0 = 0, $UF_size4 = 0, $1 = 0, $add = 0, label = 0;
   26517   label = 2;
   26518   while (1) switch (label | 0) {
   26519    case 2:
   26520     $call = _UF_find($u) | 0;
   26521     $cmp = ($call | 0) == ($u | 0);
   26522     if ($cmp) {
   26523       label = 4;
   26524       break;
   26525     } else {
   26526       label = 3;
   26527       break;
   26528     }
   26529    case 3:
   26530     ___assert_func(158664, 198, 164680, 130200);
   26531    case 4:
   26532     $UF_parent = $u + 224 | 0;
   26533     HEAP32[$UF_parent >> 2] = $v;
   26534     $UF_size = $u + 220 | 0;
   26535     $0 = HEAP32[$UF_size >> 2] | 0;
   26536     $UF_size4 = $v + 220 | 0;
   26537     $1 = HEAP32[$UF_size4 >> 2] | 0;
   26538     $add = $1 + $0 | 0;
   26539     HEAP32[$UF_size4 >> 2] = $add;
   26540     return;
   26541   }
   26542 }
   26543 function _Bezier($agg_result, $V, $degree, $t, $Left, $Right) {
   26544   $agg_result = $agg_result | 0;
   26545   $V = $V | 0;
   26546   $degree = $degree | 0;
   26547   $t = +$t;
   26548   $Left = $Left | 0;
   26549   $Right = $Right | 0;
   26550   var $Vtemp = 0, $cmp49 = 0, $cmp446 = 0, $sub9 = 0.0, $j_050 = 0, $arrayidx1 = 0, $arrayidx2 = 0, $0 = 0, $1 = 0, $inc = 0, $cmp = 0, $i_047 = 0, $sub = 0, $cmp744 = 0, $sub10 = 0, $j_145 = 0, $x = 0, $2 = 0.0, $mul = 0.0, $add = 0, $x16 = 0, $3 = 0.0, $mul17 = 0.0, $add18 = 0.0, $x21 = 0, $y = 0, $4 = 0.0, $mul26 = 0.0, $y31 = 0, $5 = 0.0, $mul32 = 0.0, $add33 = 0.0, $y36 = 0, $cmp7 = 0, $inc41 = 0, $cmp4 = 0, $cmp43 = 0, $cmp4542 = 0, $or_cond = 0, $j_243 = 0, $arrayidx47 = 0, $arrayidx49 = 0, $6 = 0, $7 = 0, $inc51 = 0, $cmp45 = 0, $cmp53 = 0, $cmp5640 = 0, $or_cond52 = 0, $j_341 = 0, $arrayidx58 = 0, $sub59 = 0, $arrayidx61 = 0, $8 = 0, $9 = 0, $inc63 = 0, $cmp56 = 0, $arrayidx67 = 0, $10 = 0, $11 = 0, label = 0, __stackBase__ = 0;
   26551   __stackBase__ = STACKTOP;
   26552   STACKTOP = STACKTOP + 576 | 0;
   26553   label = 2;
   26554   while (1) switch (label | 0) {
   26555    case 2:
   26556     $Vtemp = __stackBase__ | 0;
   26557     $cmp49 = ($degree | 0) < 0;
   26558     if ($cmp49) {
   26559       label = 10;
   26560       break;
   26561     } else {
   26562       $j_050 = 0;
   26563       label = 5;
   26564       break;
   26565     }
   26566    case 3:
   26567     $cmp446 = ($degree | 0) < 1;
   26568     if ($cmp446) {
   26569       label = 10;
   26570       break;
   26571     } else {
   26572       label = 4;
   26573       break;
   26574     }
   26575    case 4:
   26576     $sub9 = 1.0 - $t;
   26577     $i_047 = 1;
   26578     label = 6;
   26579     break;
   26580    case 5:
   26581     $arrayidx1 = $Vtemp + ($j_050 << 4) | 0;
   26582     $arrayidx2 = $V + ($j_050 << 4) | 0;
   26583     $0 = $arrayidx1;
   26584     $1 = $arrayidx2;
   26585     HEAP32[$0 >> 2] = HEAP32[$1 >> 2] | 0;
   26586     HEAP32[$0 + 4 >> 2] = HEAP32[$1 + 4 >> 2] | 0;
   26587     HEAP32[$0 + 8 >> 2] = HEAP32[$1 + 8 >> 2] | 0;
   26588     HEAP32[$0 + 12 >> 2] = HEAP32[$1 + 12 >> 2] | 0;
   26589     $inc = $j_050 + 1 | 0;
   26590     $cmp = ($inc | 0) > ($degree | 0);
   26591     if ($cmp) {
   26592       label = 3;
   26593       break;
   26594     } else {
   26595       $j_050 = $inc;
   26596       label = 5;
   26597       break;
   26598     }
   26599    case 6:
   26600     $sub = $degree - $i_047 | 0;
   26601     $cmp744 = ($sub | 0) < 0;
   26602     if ($cmp744) {
   26603       label = 9;
   26604       break;
   26605     } else {
   26606       label = 7;
   26607       break;
   26608     }
   26609    case 7:
   26610     $sub10 = $i_047 - 1 | 0;
   26611     $j_145 = 0;
   26612     label = 8;
   26613     break;
   26614    case 8:
   26615     $x = $Vtemp + ($sub10 * 96 & -1) + ($j_145 << 4) | 0;
   26616     $2 = +HEAPF64[$x >> 3];
   26617     $mul = $sub9 * $2;
   26618     $add = $j_145 + 1 | 0;
   26619     $x16 = $Vtemp + ($sub10 * 96 & -1) + ($add << 4) | 0;
   26620     $3 = +HEAPF64[$x16 >> 3];
   26621     $mul17 = $3 * $t;
   26622     $add18 = $mul + $mul17;
   26623     $x21 = $Vtemp + ($i_047 * 96 & -1) + ($j_145 << 4) | 0;
   26624     HEAPF64[$x21 >> 3] = $add18;
   26625     $y = $Vtemp + ($sub10 * 96 & -1) + ($j_145 << 4) + 8 | 0;
   26626     $4 = +HEAPF64[$y >> 3];
   26627     $mul26 = $sub9 * $4;
   26628     $y31 = $Vtemp + ($sub10 * 96 & -1) + ($add << 4) + 8 | 0;
   26629     $5 = +HEAPF64[$y31 >> 3];
   26630     $mul32 = $5 * $t;
   26631     $add33 = $mul26 + $mul32;
   26632     $y36 = $Vtemp + ($i_047 * 96 & -1) + ($j_145 << 4) + 8 | 0;
   26633     HEAPF64[$y36 >> 3] = $add33;
   26634     $cmp7 = ($add | 0) > ($sub | 0);
   26635     if ($cmp7) {
   26636       label = 9;
   26637       break;
   26638     } else {
   26639       $j_145 = $add;
   26640       label = 8;
   26641       break;
   26642     }
   26643    case 9:
   26644     $inc41 = $i_047 + 1 | 0;
   26645     $cmp4 = ($inc41 | 0) > ($degree | 0);
   26646     if ($cmp4) {
   26647       label = 10;
   26648       break;
   26649     } else {
   26650       $i_047 = $inc41;
   26651       label = 6;
   26652       break;
   26653     }
   26654    case 10:
   26655     $cmp43 = ($Left | 0) == 0;
   26656     $cmp4542 = ($degree | 0) < 0;
   26657     $or_cond = $cmp43 | $cmp4542;
   26658     if ($or_cond) {
   26659       label = 12;
   26660       break;
   26661     } else {
   26662       $j_243 = 0;
   26663       label = 11;
   26664       break;
   26665     }
   26666    case 11:
   26667     $arrayidx47 = $Left + ($j_243 << 4) | 0;
   26668     $arrayidx49 = $Vtemp + ($j_243 * 96 & -1) | 0;
   26669     $6 = $arrayidx47;
   26670     $7 = $arrayidx49;
   26671     HEAP32[$6 >> 2] = HEAP32[$7 >> 2] | 0;
   26672     HEAP32[$6 + 4 >> 2] = HEAP32[$7 + 4 >> 2] | 0;
   26673     HEAP32[$6 + 8 >> 2] = HEAP32[$7 + 8 >> 2] | 0;
   26674     HEAP32[$6 + 12 >> 2] = HEAP32[$7 + 12 >> 2] | 0;
   26675     $inc51 = $j_243 + 1 | 0;
   26676     $cmp45 = ($inc51 | 0) > ($degree | 0);
   26677     if ($cmp45) {
   26678       label = 12;
   26679       break;
   26680     } else {
   26681       $j_243 = $inc51;
   26682       label = 11;
   26683       break;
   26684     }
   26685    case 12:
   26686     $cmp53 = ($Right | 0) == 0;
   26687     $cmp5640 = ($degree | 0) < 0;
   26688     $or_cond52 = $cmp53 | $cmp5640;
   26689     if ($or_cond52) {
   26690       label = 14;
   26691       break;
   26692     } else {
   26693       $j_341 = 0;
   26694       label = 13;
   26695       break;
   26696     }
   26697    case 13:
   26698     $arrayidx58 = $Right + ($j_341 << 4) | 0;
   26699     $sub59 = $degree - $j_341 | 0;
   26700     $arrayidx61 = $Vtemp + ($sub59 * 96 & -1) + ($j_341 << 4) | 0;
   26701     $8 = $arrayidx58;
   26702     $9 = $arrayidx61;
   26703     HEAP32[$8 >> 2] = HEAP32[$9 >> 2] | 0;
   26704     HEAP32[$8 + 4 >> 2] = HEAP32[$9 + 4 >> 2] | 0;
   26705     HEAP32[$8 + 8 >> 2] = HEAP32[$9 + 8 >> 2] | 0;
   26706     HEAP32[$8 + 12 >> 2] = HEAP32[$9 + 12 >> 2] | 0;
   26707     $inc63 = $j_341 + 1 | 0;
   26708     $cmp56 = ($inc63 | 0) > ($degree | 0);
   26709     if ($cmp56) {
   26710       label = 14;
   26711       break;
   26712     } else {
   26713       $j_341 = $inc63;
   26714       label = 13;
   26715       break;
   26716     }
   26717    case 14:
   26718     $arrayidx67 = $Vtemp + ($degree * 96 & -1) | 0;
   26719     $10 = $agg_result;
   26720     $11 = $arrayidx67;
   26721     HEAP32[$10 >> 2] = HEAP32[$11 >> 2] | 0;
   26722     HEAP32[$10 + 4 >> 2] = HEAP32[$11 + 4 >> 2] | 0;
   26723     HEAP32[$10 + 8 >> 2] = HEAP32[$11 + 8 >> 2] | 0;
   26724     HEAP32[$10 + 12 >> 2] = HEAP32[$11 + 12 >> 2] | 0;
   26725     STACKTOP = __stackBase__;
   26726     return;
   26727   }
   26728 }
   26729 function _Fgets($fp) {
   26730   $fp = $fp | 0;
   26731   var $len_0 = 0, $0 = 0, $sub = 0, $cmp = 0, $add = 0, $1 = 0, $call = 0, $2 = 0, $add_ptr = 0, $3 = 0, $sub1 = 0, $call2 = 0, $cmp3 = 0, $call6 = 0, $add7 = 0, $sub8 = 0, $4 = 0, $arrayidx = 0, $5 = 0, $cmp9 = 0, $len_1 = 0, $cmp11 = 0, $6 = 0, $retval_0 = 0, label = 0;
   26732   label = 2;
   26733   while (1) switch (label | 0) {
   26734    case 2:
   26735     $len_0 = 0;
   26736     label = 3;
   26737     break;
   26738    case 3:
   26739     $0 = HEAP32[41888] | 0;
   26740     $sub = $0 - $len_0 | 0;
   26741     $cmp = ($sub | 0) < 1024;
   26742     if ($cmp) {
   26743       label = 4;
   26744       break;
   26745     } else {
   26746       label = 5;
   26747       break;
   26748     }
   26749    case 4:
   26750     $add = $0 + 1024 | 0;
   26751     HEAP32[41888] = $add;
   26752     $1 = HEAP32[41886] | 0;
   26753     $call = _grealloc($1, $add) | 0;
   26754     HEAP32[41886] = $call;
   26755     label = 5;
   26756     break;
   26757    case 5:
   26758     $2 = HEAP32[41886] | 0;
   26759     $add_ptr = $2 + $len_0 | 0;
   26760     $3 = HEAP32[41888] | 0;
   26761     $sub1 = $3 - $len_0 | 0;
   26762     $call2 = _fgets($add_ptr | 0, $sub1 | 0, $fp | 0) | 0;
   26763     $cmp3 = ($call2 | 0) == 0;
   26764     if ($cmp3) {
   26765       $len_1 = $len_0;
   26766       label = 7;
   26767       break;
   26768     } else {
   26769       label = 6;
   26770       break;
   26771     }
   26772    case 6:
   26773     $call6 = _strlen($call2 | 0) | 0;
   26774     $add7 = $call6 + $len_0 | 0;
   26775     $sub8 = $add7 - 1 | 0;
   26776     $4 = HEAP32[41886] | 0;
   26777     $arrayidx = $4 + $sub8 | 0;
   26778     $5 = HEAP8[$arrayidx] | 0;
   26779     $cmp9 = $5 << 24 >> 24 == 10;
   26780     if ($cmp9) {
   26781       $len_1 = $add7;
   26782       label = 7;
   26783       break;
   26784     } else {
   26785       $len_0 = $add7;
   26786       label = 3;
   26787       break;
   26788     }
   26789    case 7:
   26790     $cmp11 = ($len_1 | 0) > 0;
   26791     $6 = HEAP32[41886] | 0;
   26792     $retval_0 = $cmp11 ? $6 : 0;
   26793     return $retval_0 | 0;
   26794   }
   26795   return 0;
   26796 }
   26797 function _safefile($filename) {
   26798   $filename = $filename | 0;
   26799   var $tobool = 0, $0 = 0, $tobool1 = 0, $1 = 0, $tobool2 = 0, $2 = 0, $tobool4 = 0, $_b21 = 0, $call = 0, $_b22 = 0, $call12 = 0, $call13 = 0, $tobool1423 = 0, $3 = 0, $4 = 0, $dir_025 = 0, $cnt_024 = 0, $tobool15 = 0, $5 = 0, $add = 0, $mul = 0, $call16 = 0, $add17 = 0, $mul18 = 0, $call19 = 0, $call16_sink = 0, $6 = 0, $inc = 0, $arrayidx20 = 0, $7 = 0, $call21 = 0, $cmp = 0, $_call21 = 0, $call27 = 0, $tobool14 = 0, $8 = 0, $_lcssa = 0, $cnt_0_lcssa = 0, $arrayidx28 = 0, $call30 = 0, $tobool31 = 0, $incdec_ptr = 0, $filename_incdec_ptr = 0, $call34 = 0, $tobool35 = 0, $incdec_ptr37 = 0, $str_1 = 0, $call39 = 0, $tobool40 = 0, $incdec_ptr42 = 0, $str_1_incdec_ptr42 = 0, $_b = 0, $cmp45 = 0, $or_cond = 0, $9 = 0, $call48 = 0, $10 = 0, $11 = 0, $call50 = 0, $add51 = 0, $add52 = 0, $call53 = 0, $12 = 0, $dp_0 = 0, $13 = 0, $tobool55 = 0, $14 = 0, $call57 = 0, $15 = 0, $call58 = 0, $cmp59 = 0, $incdec_ptr64 = 0, $16 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   26800   __stackBase__ = STACKTOP;
   26801   label = 2;
   26802   while (1) switch (label | 0) {
   26803    case 2:
   26804     $tobool = ($filename | 0) == 0;
   26805     if ($tobool) {
   26806       $retval_0 = 0;
   26807       label = 21;
   26808       break;
   26809     } else {
   26810       label = 3;
   26811       break;
   26812     }
   26813    case 3:
   26814     $0 = HEAP8[$filename] | 0;
   26815     $tobool1 = $0 << 24 >> 24 == 0;
   26816     if ($tobool1) {
   26817       $retval_0 = 0;
   26818       label = 21;
   26819       break;
   26820     } else {
   26821       label = 4;
   26822       break;
   26823     }
   26824    case 4:
   26825     $1 = HEAP32[41772] | 0;
   26826     $tobool2 = ($1 | 0) == 0;
   26827     if ($tobool2) {
   26828       $retval_0 = $filename;
   26829       label = 21;
   26830       break;
   26831     } else {
   26832       label = 5;
   26833       break;
   26834     }
   26835    case 5:
   26836     $2 = HEAP32[41788] | 0;
   26837     $tobool4 = ($2 | 0) == 0;
   26838     if ($tobool4) {
   26839       label = 6;
   26840       break;
   26841     } else {
   26842       label = 8;
   26843       break;
   26844     }
   26845    case 6:
   26846     $_b21 = HEAP8[4248] | 0;
   26847     if ($_b21) {
   26848       $retval_0 = 0;
   26849       label = 21;
   26850       break;
   26851     } else {
   26852       label = 7;
   26853       break;
   26854     }
   26855    case 7:
   26856     $call = _agerr(0, 118280, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $1, tempInt) | 0) | 0;
   26857     HEAP8[4248] = 1;
   26858     $retval_0 = 0;
   26859     label = 21;
   26860     break;
   26861    case 8:
   26862     $_b22 = HEAP8[4264] | 0;
   26863     if ($_b22) {
   26864       label = 15;
   26865       break;
   26866     } else {
   26867       label = 9;
   26868       break;
   26869     }
   26870    case 9:
   26871     $call12 = _strdup($2 | 0) | 0;
   26872     $call13 = _strtok($call12 | 0, 113024) | 0;
   26873     $tobool1423 = ($call13 | 0) == 0;
   26874     $3 = HEAP32[1068] | 0;
   26875     if ($tobool1423) {
   26876       $cnt_0_lcssa = 0;
   26877       $_lcssa = $3;
   26878       label = 14;
   26879       break;
   26880     } else {
   26881       $cnt_024 = 0;
   26882       $dir_025 = $call13;
   26883       $4 = $3;
   26884       label = 10;
   26885       break;
   26886     }
   26887    case 10:
   26888     $tobool15 = ($4 | 0) == 0;
   26889     if ($tobool15) {
   26890       label = 12;
   26891       break;
   26892     } else {
   26893       label = 11;
   26894       break;
   26895     }
   26896    case 11:
   26897     $5 = $4;
   26898     $add = $cnt_024 << 2;
   26899     $mul = $add + 8 | 0;
   26900     $call16 = _grealloc($5, $mul) | 0;
   26901     $call16_sink = $call16;
   26902     label = 13;
   26903     break;
   26904    case 12:
   26905     $add17 = $cnt_024 << 2;
   26906     $mul18 = $add17 + 8 | 0;
   26907     $call19 = _gmalloc($mul18) | 0;
   26908     $call16_sink = $call19;
   26909     label = 13;
   26910     break;
   26911    case 13:
   26912     $6 = $call16_sink;
   26913     HEAP32[1068] = $6;
   26914     $inc = $cnt_024 + 1 | 0;
   26915     $arrayidx20 = $6 + ($cnt_024 << 2) | 0;
   26916     HEAP32[$arrayidx20 >> 2] = $dir_025;
   26917     $7 = HEAP32[1064] | 0;
   26918     $call21 = _strlen($dir_025 | 0) | 0;
   26919     $cmp = $7 >>> 0 > $call21 >>> 0;
   26920     $_call21 = $cmp ? $7 : $call21;
   26921     HEAP32[1064] = $_call21;
   26922     $call27 = _strtok(0, 113024) | 0;
   26923     $tobool14 = ($call27 | 0) == 0;
   26924     $8 = HEAP32[1068] | 0;
   26925     if ($tobool14) {
   26926       $cnt_0_lcssa = $inc;
   26927       $_lcssa = $8;
   26928       label = 14;
   26929       break;
   26930     } else {
   26931       $cnt_024 = $inc;
   26932       $dir_025 = $call27;
   26933       $4 = $8;
   26934       label = 10;
   26935       break;
   26936     }
   26937    case 14:
   26938     $arrayidx28 = $_lcssa + ($cnt_0_lcssa << 2) | 0;
   26939     HEAP32[$arrayidx28 >> 2] = 0;
   26940     HEAP8[4264] = 1;
   26941     label = 15;
   26942     break;
   26943    case 15:
   26944     $call30 = _strrchr($filename | 0, 47) | 0;
   26945     $tobool31 = ($call30 | 0) == 0;
   26946     $incdec_ptr = $call30 + 1 | 0;
   26947     $filename_incdec_ptr = $tobool31 ? $filename : $incdec_ptr;
   26948     $call34 = _strrchr($filename_incdec_ptr | 0, 92) | 0;
   26949     $tobool35 = ($call34 | 0) == 0;
   26950     $incdec_ptr37 = $call34 + 1 | 0;
   26951     $str_1 = $tobool35 ? $filename_incdec_ptr : $incdec_ptr37;
   26952     $call39 = _strrchr($str_1 | 0, 58) | 0;
   26953     $tobool40 = ($call39 | 0) == 0;
   26954     $incdec_ptr42 = $call39 + 1 | 0;
   26955     $str_1_incdec_ptr42 = $tobool40 ? $str_1 : $incdec_ptr42;
   26956     $_b = HEAP8[4248] | 0;
   26957     $cmp45 = ($str_1_incdec_ptr42 | 0) == ($filename | 0);
   26958     $or_cond = $_b | $cmp45;
   26959     if ($or_cond) {
   26960       label = 17;
   26961       break;
   26962     } else {
   26963       label = 16;
   26964       break;
   26965     }
   26966    case 16:
   26967     $9 = HEAP32[41788] | 0;
   26968     $call48 = _agerr(0, 108488, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $filename, HEAP32[tempInt + 8 >> 2] = $9, tempInt) | 0) | 0;
   26969     HEAP8[4248] = 1;
   26970     label = 17;
   26971     break;
   26972    case 17:
   26973     $10 = HEAP32[1060] | 0;
   26974     $11 = HEAP32[1064] | 0;
   26975     $call50 = _strlen($str_1_incdec_ptr42 | 0) | 0;
   26976     $add51 = $11 + 2 | 0;
   26977     $add52 = $add51 + $call50 | 0;
   26978     $call53 = _realloc($10, $add52) | 0;
   26979     HEAP32[1060] = $call53;
   26980     $12 = HEAP32[1068] | 0;
   26981     $dp_0 = $12;
   26982     label = 18;
   26983     break;
   26984    case 18:
   26985     $13 = HEAP32[$dp_0 >> 2] | 0;
   26986     $tobool55 = ($13 | 0) == 0;
   26987     if ($tobool55) {
   26988       $retval_0 = 0;
   26989       label = 21;
   26990       break;
   26991     } else {
   26992       label = 19;
   26993       break;
   26994     }
   26995    case 19:
   26996     $14 = HEAP32[1060] | 0;
   26997     $call57 = _sprintf($14 | 0, 104552, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = $13, HEAP32[tempInt + 8 >> 2] = 100320, HEAP32[tempInt + 16 >> 2] = $str_1_incdec_ptr42, tempInt) | 0) | 0;
   26998     $15 = HEAP32[1060] | 0;
   26999     $call58 = _access($15 | 0, 4) | 0;
   27000     $cmp59 = ($call58 | 0) == 0;
   27001     $incdec_ptr64 = $dp_0 + 4 | 0;
   27002     if ($cmp59) {
   27003       label = 20;
   27004       break;
   27005     } else {
   27006       $dp_0 = $incdec_ptr64;
   27007       label = 18;
   27008       break;
   27009     }
   27010    case 20:
   27011     $16 = HEAP32[1060] | 0;
   27012     $retval_0 = $16;
   27013     label = 21;
   27014     break;
   27015    case 21:
   27016     STACKTOP = __stackBase__;
   27017     return $retval_0 | 0;
   27018   }
   27019   return 0;
   27020 }
   27021 function _maptoken($p, $name, $val) {
   27022   $p = $p | 0;
   27023   $name = $name | 0;
   27024   $val = $val | 0;
   27025   var $0 = 0, $cmp6 = 0, $tobool = 0, $1 = 0, $i_07 = 0, $2 = 0, $3 = 0, $cmp2 = 0, $call = 0, $tobool5 = 0, $inc = 0, $arrayidx = 0, $4 = 0, $cmp = 0, $i_0_lcssa = 0, $arrayidx6 = 0, $5 = 0, label = 0;
   27026   label = 2;
   27027   while (1) switch (label | 0) {
   27028    case 2:
   27029     $0 = HEAP32[$name >> 2] | 0;
   27030     $cmp6 = ($0 | 0) == 0;
   27031     if ($cmp6) {
   27032       $i_0_lcssa = 0;
   27033       label = 8;
   27034       break;
   27035     } else {
   27036       label = 3;
   27037       break;
   27038     }
   27039    case 3:
   27040     $tobool = ($p | 0) == 0;
   27041     $i_07 = 0;
   27042     $1 = $0;
   27043     label = 4;
   27044     break;
   27045    case 4:
   27046     if ($tobool) {
   27047       label = 7;
   27048       break;
   27049     } else {
   27050       label = 5;
   27051       break;
   27052     }
   27053    case 5:
   27054     $2 = HEAP8[$p] | 0;
   27055     $3 = HEAP8[$1] | 0;
   27056     $cmp2 = $2 << 24 >> 24 == $3 << 24 >> 24;
   27057     if ($cmp2) {
   27058       label = 6;
   27059       break;
   27060     } else {
   27061       label = 7;
   27062       break;
   27063     }
   27064    case 6:
   27065     $call = _strcmp($p | 0, $1 | 0) | 0;
   27066     $tobool5 = ($call | 0) == 0;
   27067     if ($tobool5) {
   27068       $i_0_lcssa = $i_07;
   27069       label = 8;
   27070       break;
   27071     } else {
   27072       label = 7;
   27073       break;
   27074     }
   27075    case 7:
   27076     $inc = $i_07 + 1 | 0;
   27077     $arrayidx = $name + ($inc << 2) | 0;
   27078     $4 = HEAP32[$arrayidx >> 2] | 0;
   27079     $cmp = ($4 | 0) == 0;
   27080     if ($cmp) {
   27081       $i_0_lcssa = $inc;
   27082       label = 8;
   27083       break;
   27084     } else {
   27085       $i_07 = $inc;
   27086       $1 = $4;
   27087       label = 4;
   27088       break;
   27089     }
   27090    case 8:
   27091     $arrayidx6 = $val + ($i_0_lcssa << 2) | 0;
   27092     $5 = HEAP32[$arrayidx6 >> 2] | 0;
   27093     return $5 | 0;
   27094   }
   27095   return 0;
   27096 }
   27097 function _mapBool($p, $dflt) {
   27098   $p = $p | 0;
   27099   $dflt = $dflt | 0;
   27100   var $tobool = 0, $0 = 0, $cmp = 0, $call = 0, $tobool2 = 0, $call5 = 0, $tobool6 = 0, $call9 = 0, $tobool10 = 0, $call13 = 0, $tobool14 = 0, $1 = 0, $conv17 = 0, $isdigittmp = 0, $isdigit = 0, $call21 = 0, $conv22 = 0, $retval_0 = 0, label = 0;
   27101   label = 2;
   27102   while (1) switch (label | 0) {
   27103    case 2:
   27104     $tobool = ($p | 0) == 0;
   27105     if ($tobool) {
   27106       $retval_0 = $dflt;
   27107       label = 10;
   27108       break;
   27109     } else {
   27110       label = 3;
   27111       break;
   27112     }
   27113    case 3:
   27114     $0 = HEAP8[$p] | 0;
   27115     $cmp = $0 << 24 >> 24 == 0;
   27116     if ($cmp) {
   27117       $retval_0 = $dflt;
   27118       label = 10;
   27119       break;
   27120     } else {
   27121       label = 4;
   27122       break;
   27123     }
   27124    case 4:
   27125     $call = _strcasecmp($p, 96120) | 0;
   27126     $tobool2 = ($call | 0) == 0;
   27127     if ($tobool2) {
   27128       $retval_0 = 0;
   27129       label = 10;
   27130       break;
   27131     } else {
   27132       label = 5;
   27133       break;
   27134     }
   27135    case 5:
   27136     $call5 = _strcasecmp($p, 92720) | 0;
   27137     $tobool6 = ($call5 | 0) == 0;
   27138     if ($tobool6) {
   27139       $retval_0 = 0;
   27140       label = 10;
   27141       break;
   27142     } else {
   27143       label = 6;
   27144       break;
   27145     }
   27146    case 6:
   27147     $call9 = _strcasecmp($p, 162824) | 0;
   27148     $tobool10 = ($call9 | 0) == 0;
   27149     if ($tobool10) {
   27150       $retval_0 = 1;
   27151       label = 10;
   27152       break;
   27153     } else {
   27154       label = 7;
   27155       break;
   27156     }
   27157    case 7:
   27158     $call13 = _strcasecmp($p, 159408) | 0;
   27159     $tobool14 = ($call13 | 0) == 0;
   27160     if ($tobool14) {
   27161       $retval_0 = 1;
   27162       label = 10;
   27163       break;
   27164     } else {
   27165       label = 8;
   27166       break;
   27167     }
   27168    case 8:
   27169     $1 = HEAP8[$p] | 0;
   27170     $conv17 = $1 << 24 >> 24;
   27171     $isdigittmp = $conv17 - 48 | 0;
   27172     $isdigit = $isdigittmp >>> 0 < 10;
   27173     if ($isdigit) {
   27174       label = 9;
   27175       break;
   27176     } else {
   27177       $retval_0 = $dflt;
   27178       label = 10;
   27179       break;
   27180     }
   27181    case 9:
   27182     $call21 = _atoi($p | 0) | 0;
   27183     $conv22 = $call21 & 255;
   27184     $retval_0 = $conv22;
   27185     label = 10;
   27186     break;
   27187    case 10:
   27188     return $retval_0 | 0;
   27189   }
   27190   return 0;
   27191 }
   27192 function _strcasecmp($s1, $s2) {
   27193   $s1 = $s1 | 0;
   27194   $s2 = $s2 | 0;
   27195   var $0 = 0, $cmp6 = 0, $1 = 0, $s2_addr_08 = 0, $s1_addr_07 = 0, $conv2 = 0, $call = 0, $2 = 0, $conv3 = 0, $call4 = 0, $cmp5 = 0, $incdec_ptr = 0, $incdec_ptr7 = 0, $3 = 0, $cmp = 0, $s2_addr_0_lcssa = 0, $s1_addr_0_lcssa = 0, $4 = 0, $conv8 = 0, $call9 = 0, $5 = 0, $conv10 = 0, $call11 = 0, $sub = 0, label = 0;
   27196   label = 2;
   27197   while (1) switch (label | 0) {
   27198    case 2:
   27199     $0 = HEAP8[$s1] | 0;
   27200     $cmp6 = $0 << 24 >> 24 == 0;
   27201     if ($cmp6) {
   27202       $s1_addr_0_lcssa = $s1;
   27203       $s2_addr_0_lcssa = $s2;
   27204       label = 5;
   27205       break;
   27206     } else {
   27207       $s1_addr_07 = $s1;
   27208       $s2_addr_08 = $s2;
   27209       $1 = $0;
   27210       label = 3;
   27211       break;
   27212     }
   27213    case 3:
   27214     $conv2 = $1 & 255;
   27215     $call = _tolower($conv2 | 0) | 0;
   27216     $2 = HEAP8[$s2_addr_08] | 0;
   27217     $conv3 = $2 & 255;
   27218     $call4 = _tolower($conv3 | 0) | 0;
   27219     $cmp5 = ($call | 0) == ($call4 | 0);
   27220     if ($cmp5) {
   27221       label = 4;
   27222       break;
   27223     } else {
   27224       $s1_addr_0_lcssa = $s1_addr_07;
   27225       $s2_addr_0_lcssa = $s2_addr_08;
   27226       label = 5;
   27227       break;
   27228     }
   27229    case 4:
   27230     $incdec_ptr = $s1_addr_07 + 1 | 0;
   27231     $incdec_ptr7 = $s2_addr_08 + 1 | 0;
   27232     $3 = HEAP8[$incdec_ptr] | 0;
   27233     $cmp = $3 << 24 >> 24 == 0;
   27234     if ($cmp) {
   27235       $s1_addr_0_lcssa = $incdec_ptr;
   27236       $s2_addr_0_lcssa = $incdec_ptr7;
   27237       label = 5;
   27238       break;
   27239     } else {
   27240       $s1_addr_07 = $incdec_ptr;
   27241       $s2_addr_08 = $incdec_ptr7;
   27242       $1 = $3;
   27243       label = 3;
   27244       break;
   27245     }
   27246    case 5:
   27247     $4 = HEAP8[$s1_addr_0_lcssa] | 0;
   27248     $conv8 = $4 & 255;
   27249     $call9 = _tolower($conv8 | 0) | 0;
   27250     $5 = HEAP8[$s2_addr_0_lcssa] | 0;
   27251     $conv10 = $5 & 255;
   27252     $call11 = _tolower($conv10 | 0) | 0;
   27253     $sub = $call9 - $call11 | 0;
   27254     return $sub | 0;
   27255   }
   27256   return 0;
   27257 }
   27258 function _dotneato_closest($agg_result, $spl, $pt) {
   27259   $agg_result = $agg_result | 0;
   27260   $spl = $spl | 0;
   27261   $pt = $pt | 0;
   27262   var $c = 0, $tmp = 0, $size = 0, $0 = 0, $cmp74 = 0, $list = 0, $1 = 0, $2 = 0, $3 = 0, $x12 = 0, $4 = 0.0, $y17 = 0, $5 = 0.0, $6 = 0, $i_078 = 0, $besti_077 = 0, $bestj_076 = 0, $bestdist2_075 = 0.0, $bz_sroa_0_0__idx6 = 0, $bz_sroa_0_0_copyload7 = 0, $bz_sroa_1_4__idx9 = 0, $bz_sroa_1_4_copyload10 = 0, $cmp365 = 0, $j_069 = 0, $besti_168 = 0, $bestj_167 = 0, $bestdist2_166 = 0.0, $x = 0, $7 = 0.0, $y = 0, $8 = 0.0, $sub = 0.0, $mul = 0.0, $sub18 = 0.0, $mul22 = 0.0, $add = 0.0, $cmp23 = 0, $cmp24 = 0, $or_cond = 0, $bestdist2_2 = 0.0, $bestj_2 = 0, $besti_2 = 0, $inc = 0, $cmp3 = 0, $besti_1_lcssa = 0, $bestj_1_lcssa = 0, $bestdist2_1_lcssa = 0.0, $inc26 = 0, $cmp = 0, $_lcssa73 = 0, $_lcssa = 0, $besti_0_lcssa = 0, $bestj_0_lcssa = 0, $bz_sroa_0_0__idx = 0, $bz_sroa_0_0_copyload = 0, $div = 0, $not_cmp31 = 0, $dec = 0, $j_1 = 0, $x40 = 0, $9 = 0.0, $x42 = 0, $y46 = 0, $10 = 0.0, $y48 = 0, $add37_1 = 0, $x40_1 = 0, $11 = 0.0, $x42_1 = 0, $y46_1 = 0, $12 = 0.0, $y48_1 = 0, $add37_2 = 0, $x40_2 = 0, $13 = 0.0, $x42_2 = 0, $y46_2 = 0, $14 = 0.0, $y48_2 = 0, $add37_3 = 0, $x40_3 = 0, $15 = 0.0, $x42_3 = 0, $y46_3 = 0, $16 = 0.0, $y48_3 = 0, $arrayidx52 = 0, $x53 = 0, $17 = 0.0, $x54 = 0, $18 = 0.0, $sub55 = 0.0, $mul60 = 0.0, $y62 = 0, $19 = 0.0, $y63 = 0, $20 = 0.0, $sub64 = 0.0, $mul69 = 0.0, $add70 = 0.0, $x72 = 0, $21 = 0.0, $sub74 = 0.0, $mul79 = 0.0, $y81 = 0, $22 = 0.0, $sub83 = 0.0, $mul88 = 0.0, $add89 = 0.0, $pt2_sroa_0_0__idx17 = 0, $pt2_sroa_0_0_copyload1851 = 0.0, $pt2_sroa_1_8__idx23 = 0, $pt2_sroa_1_8_copyload2452 = 0.0, $sub9253 = 0.0, $call54 = 0.0, $cmp9355 = 0, $23 = 0.0, $24 = 0.0, $pt2_sroa_1_8_copyload2462 = 0.0, $pt2_sroa_0_0_copyload1861 = 0.0, $div9160 = 0.0, $high_059 = 0.0, $low_058 = 0.0, $dhigh2_057 = 0.0, $dlow2_056 = 0.0, $sub96 = 0.0, $call97 = 0.0, $cmp98 = 0, $cmp101 = 0, $sub105 = 0.0, $mul109 = 0.0, $sub112 = 0.0, $mul116 = 0.0, $add117 = 0.0, $dlow2_0_add117 = 0.0, $add117_dhigh2_0 = 0.0, $low_0_div91 = 0.0, $div91_high_0 = 0.0, $add90 = 0.0, $div91 = 0.0, $pt2_sroa_0_0_copyload18 = 0.0, $pt2_sroa_1_8_copyload24 = 0.0, $sub92 = 0.0, $call = 0.0, $cmp93 = 0, $pt2_sroa_1_8_copyload24_lcssa = 0.0, $pt2_sroa_0_0_copyload18_lcssa = 0.0, $pt2_sroa_0_0__idx = 0, $pt2_sroa_1_8__idx19 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   27263   __stackBase__ = STACKTOP;
   27264   STACKTOP = STACKTOP + 80 | 0;
   27265   tempParam = $pt;
   27266   $pt = STACKTOP;
   27267   STACKTOP = STACKTOP + 16 | 0;
   27268   HEAP32[$pt >> 2] = HEAP32[tempParam >> 2] | 0;
   27269   HEAP32[$pt + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   27270   HEAP32[$pt + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   27271   HEAP32[$pt + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   27272   label = 2;
   27273   while (1) switch (label | 0) {
   27274    case 2:
   27275     $c = __stackBase__ | 0;
   27276     $tmp = __stackBase__ + 64 | 0;
   27277     $size = $spl + 4 | 0;
   27278     $0 = HEAP32[$size >> 2] | 0;
   27279     $cmp74 = ($0 | 0) > 0;
   27280     $list = $spl | 0;
   27281     $1 = HEAP32[$list >> 2] | 0;
   27282     if ($cmp74) {
   27283       label = 3;
   27284       break;
   27285     } else {
   27286       $bestj_0_lcssa = -1;
   27287       $besti_0_lcssa = -1;
   27288       $_lcssa = $0;
   27289       $_lcssa73 = $1;
   27290       label = 7;
   27291       break;
   27292     }
   27293    case 3:
   27294     $2 = HEAP32[$size >> 2] | 0;
   27295     $3 = HEAP32[$list >> 2] | 0;
   27296     $x12 = $pt | 0;
   27297     $4 = +HEAPF64[$x12 >> 3];
   27298     $y17 = $pt + 8 | 0;
   27299     $5 = +HEAPF64[$y17 >> 3];
   27300     $bestdist2_075 = 1.0e+38;
   27301     $bestj_076 = -1;
   27302     $besti_077 = -1;
   27303     $i_078 = 0;
   27304     $6 = $1;
   27305     label = 4;
   27306     break;
   27307    case 4:
   27308     $bz_sroa_0_0__idx6 = $6 + ($i_078 * 48 & -1) | 0;
   27309     $bz_sroa_0_0_copyload7 = HEAP32[$bz_sroa_0_0__idx6 >> 2] | 0;
   27310     $bz_sroa_1_4__idx9 = $6 + ($i_078 * 48 & -1) + 4 | 0;
   27311     $bz_sroa_1_4_copyload10 = HEAP32[$bz_sroa_1_4__idx9 >> 2] | 0;
   27312     $cmp365 = ($bz_sroa_1_4_copyload10 | 0) > 0;
   27313     if ($cmp365) {
   27314       $bestdist2_166 = $bestdist2_075;
   27315       $bestj_167 = $bestj_076;
   27316       $besti_168 = $besti_077;
   27317       $j_069 = 0;
   27318       label = 5;
   27319       break;
   27320     } else {
   27321       $bestdist2_1_lcssa = $bestdist2_075;
   27322       $bestj_1_lcssa = $bestj_076;
   27323       $besti_1_lcssa = $besti_077;
   27324       label = 6;
   27325       break;
   27326     }
   27327    case 5:
   27328     $x = $bz_sroa_0_0_copyload7 + ($j_069 << 4) | 0;
   27329     $7 = +HEAPF64[$x >> 3];
   27330     $y = $bz_sroa_0_0_copyload7 + ($j_069 << 4) + 8 | 0;
   27331     $8 = +HEAPF64[$y >> 3];
   27332     $sub = $7 - $4;
   27333     $mul = $sub * $sub;
   27334     $sub18 = $8 - $5;
   27335     $mul22 = $sub18 * $sub18;
   27336     $add = $mul + $mul22;
   27337     $cmp23 = ($bestj_167 | 0) == -1;
   27338     $cmp24 = $add < $bestdist2_166;
   27339     $or_cond = $cmp23 | $cmp24;
   27340     $bestdist2_2 = $or_cond ? $add : $bestdist2_166;
   27341     $bestj_2 = $or_cond ? $j_069 : $bestj_167;
   27342     $besti_2 = $or_cond ? $i_078 : $besti_168;
   27343     $inc = $j_069 + 1 | 0;
   27344     $cmp3 = ($inc | 0) < ($bz_sroa_1_4_copyload10 | 0);
   27345     if ($cmp3) {
   27346       $bestdist2_166 = $bestdist2_2;
   27347       $bestj_167 = $bestj_2;
   27348       $besti_168 = $besti_2;
   27349       $j_069 = $inc;
   27350       label = 5;
   27351       break;
   27352     } else {
   27353       $bestdist2_1_lcssa = $bestdist2_2;
   27354       $bestj_1_lcssa = $bestj_2;
   27355       $besti_1_lcssa = $besti_2;
   27356       label = 6;
   27357       break;
   27358     }
   27359    case 6:
   27360     $inc26 = $i_078 + 1 | 0;
   27361     $cmp = ($inc26 | 0) < ($2 | 0);
   27362     if ($cmp) {
   27363       $bestdist2_075 = $bestdist2_1_lcssa;
   27364       $bestj_076 = $bestj_1_lcssa;
   27365       $besti_077 = $besti_1_lcssa;
   27366       $i_078 = $inc26;
   27367       $6 = $3;
   27368       label = 4;
   27369       break;
   27370     } else {
   27371       $bestj_0_lcssa = $bestj_1_lcssa;
   27372       $besti_0_lcssa = $besti_1_lcssa;
   27373       $_lcssa = $2;
   27374       $_lcssa73 = $3;
   27375       label = 7;
   27376       break;
   27377     }
   27378    case 7:
   27379     $bz_sroa_0_0__idx = $_lcssa73 + ($besti_0_lcssa * 48 & -1) | 0;
   27380     $bz_sroa_0_0_copyload = HEAP32[$bz_sroa_0_0__idx >> 2] | 0;
   27381     $div = ($bestj_0_lcssa | 0) / 3 & -1;
   27382     $not_cmp31 = ($div | 0) >= ($_lcssa | 0);
   27383     $dec = $not_cmp31 << 31 >> 31;
   27384     $j_1 = $dec + $div | 0;
   27385     $x40 = $bz_sroa_0_0_copyload + ($j_1 << 4) | 0;
   27386     $9 = +HEAPF64[$x40 >> 3];
   27387     $x42 = $c | 0;
   27388     HEAPF64[$x42 >> 3] = $9;
   27389     $y46 = $bz_sroa_0_0_copyload + ($j_1 << 4) + 8 | 0;
   27390     $10 = +HEAPF64[$y46 >> 3];
   27391     $y48 = $c + 8 | 0;
   27392     HEAPF64[$y48 >> 3] = $10;
   27393     $add37_1 = $j_1 + 1 | 0;
   27394     $x40_1 = $bz_sroa_0_0_copyload + ($add37_1 << 4) | 0;
   27395     $11 = +HEAPF64[$x40_1 >> 3];
   27396     $x42_1 = $c + 16 | 0;
   27397     HEAPF64[$x42_1 >> 3] = $11;
   27398     $y46_1 = $bz_sroa_0_0_copyload + ($add37_1 << 4) + 8 | 0;
   27399     $12 = +HEAPF64[$y46_1 >> 3];
   27400     $y48_1 = $c + 24 | 0;
   27401     HEAPF64[$y48_1 >> 3] = $12;
   27402     $add37_2 = $j_1 + 2 | 0;
   27403     $x40_2 = $bz_sroa_0_0_copyload + ($add37_2 << 4) | 0;
   27404     $13 = +HEAPF64[$x40_2 >> 3];
   27405     $x42_2 = $c + 32 | 0;
   27406     HEAPF64[$x42_2 >> 3] = $13;
   27407     $y46_2 = $bz_sroa_0_0_copyload + ($add37_2 << 4) + 8 | 0;
   27408     $14 = +HEAPF64[$y46_2 >> 3];
   27409     $y48_2 = $c + 40 | 0;
   27410     HEAPF64[$y48_2 >> 3] = $14;
   27411     $add37_3 = $j_1 + 3 | 0;
   27412     $x40_3 = $bz_sroa_0_0_copyload + ($add37_3 << 4) | 0;
   27413     $15 = +HEAPF64[$x40_3 >> 3];
   27414     $x42_3 = $c + 48 | 0;
   27415     HEAPF64[$x42_3 >> 3] = $15;
   27416     $y46_3 = $bz_sroa_0_0_copyload + ($add37_3 << 4) + 8 | 0;
   27417     $16 = +HEAPF64[$y46_3 >> 3];
   27418     $y48_3 = $c + 56 | 0;
   27419     HEAPF64[$y48_3 >> 3] = $16;
   27420     $arrayidx52 = $c | 0;
   27421     $x53 = $c | 0;
   27422     $17 = +HEAPF64[$x53 >> 3];
   27423     $x54 = $pt | 0;
   27424     $18 = +HEAPF64[$x54 >> 3];
   27425     $sub55 = $17 - $18;
   27426     $mul60 = $sub55 * $sub55;
   27427     $y62 = $c + 8 | 0;
   27428     $19 = +HEAPF64[$y62 >> 3];
   27429     $y63 = $pt + 8 | 0;
   27430     $20 = +HEAPF64[$y63 >> 3];
   27431     $sub64 = $19 - $20;
   27432     $mul69 = $sub64 * $sub64;
   27433     $add70 = $mul60 + $mul69;
   27434     $x72 = $c + 48 | 0;
   27435     $21 = +HEAPF64[$x72 >> 3];
   27436     $sub74 = $21 - $18;
   27437     $mul79 = $sub74 * $sub74;
   27438     $y81 = $c + 56 | 0;
   27439     $22 = +HEAPF64[$y81 >> 3];
   27440     $sub83 = $22 - $20;
   27441     $mul88 = $sub83 * $sub83;
   27442     $add89 = $mul79 + $mul88;
   27443     _Bezier($tmp, $arrayidx52, 3, .5, 0, 0);
   27444     $pt2_sroa_0_0__idx17 = $tmp | 0;
   27445     $pt2_sroa_0_0_copyload1851 = +HEAPF64[$pt2_sroa_0_0__idx17 >> 3];
   27446     $pt2_sroa_1_8__idx23 = $tmp + 8 | 0;
   27447     $pt2_sroa_1_8_copyload2452 = +HEAPF64[$pt2_sroa_1_8__idx23 >> 3];
   27448     $sub9253 = $add70 - $add89;
   27449     $call54 = +Math_abs(+$sub9253);
   27450     $cmp9355 = $call54 < 1.0;
   27451     if ($cmp9355) {
   27452       $pt2_sroa_0_0_copyload18_lcssa = $pt2_sroa_0_0_copyload1851;
   27453       $pt2_sroa_1_8_copyload24_lcssa = $pt2_sroa_1_8_copyload2452;
   27454       label = 11;
   27455       break;
   27456     } else {
   27457       label = 8;
   27458       break;
   27459     }
   27460    case 8:
   27461     $23 = +HEAPF64[$x54 >> 3];
   27462     $24 = +HEAPF64[$y63 >> 3];
   27463     $dlow2_056 = $add70;
   27464     $dhigh2_057 = $add89;
   27465     $low_058 = 0.0;
   27466     $high_059 = 1.0;
   27467     $div9160 = .5;
   27468     $pt2_sroa_0_0_copyload1861 = $pt2_sroa_0_0_copyload1851;
   27469     $pt2_sroa_1_8_copyload2462 = $pt2_sroa_1_8_copyload2452;
   27470     label = 9;
   27471     break;
   27472    case 9:
   27473     $sub96 = $high_059 - $low_058;
   27474     $call97 = +Math_abs(+$sub96);
   27475     $cmp98 = $call97 < 1.0e-5;
   27476     if ($cmp98) {
   27477       $pt2_sroa_0_0_copyload18_lcssa = $pt2_sroa_0_0_copyload1861;
   27478       $pt2_sroa_1_8_copyload24_lcssa = $pt2_sroa_1_8_copyload2462;
   27479       label = 11;
   27480       break;
   27481     } else {
   27482       label = 10;
   27483       break;
   27484     }
   27485    case 10:
   27486     $cmp101 = $dlow2_056 < $dhigh2_057;
   27487     $sub105 = $pt2_sroa_0_0_copyload1861 - $23;
   27488     $mul109 = $sub105 * $sub105;
   27489     $sub112 = $pt2_sroa_1_8_copyload2462 - $24;
   27490     $mul116 = $sub112 * $sub112;
   27491     $add117 = $mul109 + $mul116;
   27492     $dlow2_0_add117 = $cmp101 ? $dlow2_056 : $add117;
   27493     $add117_dhigh2_0 = $cmp101 ? $add117 : $dhigh2_057;
   27494     $low_0_div91 = $cmp101 ? $low_058 : $div9160;
   27495     $div91_high_0 = $cmp101 ? $div9160 : $high_059;
   27496     $add90 = $low_0_div91 + $div91_high_0;
   27497     $div91 = $add90 * .5;
   27498     _Bezier($tmp, $arrayidx52, 3, $div91, 0, 0);
   27499     $pt2_sroa_0_0_copyload18 = +HEAPF64[$pt2_sroa_0_0__idx17 >> 3];
   27500     $pt2_sroa_1_8_copyload24 = +HEAPF64[$pt2_sroa_1_8__idx23 >> 3];
   27501     $sub92 = $dlow2_0_add117 - $add117_dhigh2_0;
   27502     $call = +Math_abs(+$sub92);
   27503     $cmp93 = $call < 1.0;
   27504     if ($cmp93) {
   27505       $pt2_sroa_0_0_copyload18_lcssa = $pt2_sroa_0_0_copyload18;
   27506       $pt2_sroa_1_8_copyload24_lcssa = $pt2_sroa_1_8_copyload24;
   27507       label = 11;
   27508       break;
   27509     } else {
   27510       $dlow2_056 = $dlow2_0_add117;
   27511       $dhigh2_057 = $add117_dhigh2_0;
   27512       $low_058 = $low_0_div91;
   27513       $high_059 = $div91_high_0;
   27514       $div9160 = $div91;
   27515       $pt2_sroa_0_0_copyload1861 = $pt2_sroa_0_0_copyload18;
   27516       $pt2_sroa_1_8_copyload2462 = $pt2_sroa_1_8_copyload24;
   27517       label = 9;
   27518       break;
   27519     }
   27520    case 11:
   27521     $pt2_sroa_0_0__idx = $agg_result | 0;
   27522     HEAPF64[$pt2_sroa_0_0__idx >> 3] = $pt2_sroa_0_0_copyload18_lcssa;
   27523     $pt2_sroa_1_8__idx19 = $agg_result + 8 | 0;
   27524     HEAPF64[$pt2_sroa_1_8__idx19 >> 3] = $pt2_sroa_1_8_copyload24_lcssa;
   27525     STACKTOP = __stackBase__;
   27526     return;
   27527   }
   27528 }
   27529 function _common_init_node($n) {
   27530   $n = $n | 0;
   27531   var $0 = 0, $1 = 0, $call = 0.0, $width = 0, $2 = 0, $call1 = 0.0, $height = 0, $3 = 0, $call3 = 0, $call4 = 0, $shape = 0, $4 = 0, $index = 0, $5 = 0, $call6 = 0, $6 = 0, $call7 = 0.0, $7 = 0, $call8 = 0, $8 = 0, $call9 = 0, $call10 = 0, $tobool = 0, $cond = 0, $call11 = 0, $cmp = 0, $cond12 = 0, $or = 0, $call16 = 0, $label = 0, $9 = 0, $tobool18 = 0, $index19 = 0, $10 = 0, $call20 = 0, $tobool21 = 0, $11 = 0, $tobool23 = 0, $call24 = 0, $tobool25 = 0, $cond26 = 0, $call30 = 0, $xlabel = 0, $graph = 0, $12 = 0, $has_labels = 0, $13 = 0, $or34 = 0, $14 = 0, $call36 = 0, $conv37 = 0, $showboxes = 0, $15 = 0, $fns = 0, $16 = 0, $initfn = 0, $17 = 0, label = 0;
   27532   label = 2;
   27533   while (1) switch (label | 0) {
   27534    case 2:
   27535     $0 = $n | 0;
   27536     $1 = HEAP32[41552] | 0;
   27537     $call = +_late_double($0, $1, .75, .01);
   27538     $width = $n + 48 | 0;
   27539     HEAPF64[$width >> 3] = $call;
   27540     $2 = HEAP32[41592] | 0;
   27541     $call1 = +_late_double($0, $2, .5, .02);
   27542     $height = $n + 56 | 0;
   27543     HEAPF64[$height >> 3] = $call1;
   27544     $3 = HEAP32[41568] | 0;
   27545     $call3 = _late_nnstring($0, $3, 152400) | 0;
   27546     $call4 = _bind_shape($call3, $n) | 0;
   27547     $shape = $n + 24 | 0;
   27548     HEAP32[$shape >> 2] = $call4;
   27549     $4 = HEAP32[41588] | 0;
   27550     $index = $4 + 8 | 0;
   27551     $5 = HEAP32[$index >> 2] | 0;
   27552     $call6 = _agxget($0, $5) | 0;
   27553     $6 = HEAP32[41596] | 0;
   27554     $call7 = +_late_double($0, $6, 14.0, 1.0);
   27555     $7 = HEAP32[41598] | 0;
   27556     $call8 = _late_nnstring($0, $7, 149008) | 0;
   27557     $8 = HEAP32[41600] | 0;
   27558     $call9 = _late_nnstring($0, $8, 146208) | 0;
   27559     $call10 = _aghtmlstr($call6) | 0;
   27560     $tobool = ($call10 | 0) != 0;
   27561     $cond = $tobool ? 2 : 0;
   27562     $call11 = _shapeOf($n) | 0;
   27563     $cmp = ($call11 | 0) == 2;
   27564     $cond12 = $cmp ? 4 : 0;
   27565     $or = $cond12 | $cond;
   27566     $call16 = _make_label($0, $call6, $or, $call7, $call8, $call9) | 0;
   27567     $label = $n + 120 | 0;
   27568     HEAP32[$label >> 2] = $call16;
   27569     $9 = HEAP32[41550] | 0;
   27570     $tobool18 = ($9 | 0) == 0;
   27571     if ($tobool18) {
   27572       label = 6;
   27573       break;
   27574     } else {
   27575       label = 3;
   27576       break;
   27577     }
   27578    case 3:
   27579     $index19 = $9 + 8 | 0;
   27580     $10 = HEAP32[$index19 >> 2] | 0;
   27581     $call20 = _agxget($0, $10) | 0;
   27582     $tobool21 = ($call20 | 0) == 0;
   27583     if ($tobool21) {
   27584       label = 6;
   27585       break;
   27586     } else {
   27587       label = 4;
   27588       break;
   27589     }
   27590    case 4:
   27591     $11 = HEAP8[$call20] | 0;
   27592     $tobool23 = $11 << 24 >> 24 == 0;
   27593     if ($tobool23) {
   27594       label = 6;
   27595       break;
   27596     } else {
   27597       label = 5;
   27598       break;
   27599     }
   27600    case 5:
   27601     $call24 = _aghtmlstr($call20) | 0;
   27602     $tobool25 = ($call24 | 0) != 0;
   27603     $cond26 = $tobool25 ? 2 : 0;
   27604     $call30 = _make_label($0, $call20, $cond26, $call7, $call8, $call9) | 0;
   27605     $xlabel = $n + 124 | 0;
   27606     HEAP32[$xlabel >> 2] = $call30;
   27607     $graph = $n + 20 | 0;
   27608     $12 = HEAP32[$graph >> 2] | 0;
   27609     $has_labels = $12 + 153 | 0;
   27610     $13 = HEAP8[$has_labels] | 0;
   27611     $or34 = $13 | 16;
   27612     HEAP8[$has_labels] = $or34;
   27613     label = 6;
   27614     break;
   27615    case 6:
   27616     $14 = HEAP32[41566] | 0;
   27617     $call36 = _late_int($0, $14, 0, 0) | 0;
   27618     $conv37 = $call36 & 255;
   27619     $showboxes = $n + 160 | 0;
   27620     HEAP8[$showboxes] = $conv37;
   27621     $15 = HEAP32[$shape >> 2] | 0;
   27622     $fns = $15 + 4 | 0;
   27623     $16 = HEAP32[$fns >> 2] | 0;
   27624     $initfn = $16 | 0;
   27625     $17 = HEAP32[$initfn >> 2] | 0;
   27626     FUNCTION_TABLE_vi[$17 & 1023]($n);
   27627     return;
   27628   }
   27629 }
   27630 function _common_init_edge($e) {
   27631   $e = $e | 0;
   27632   var $fi = 0, $lfi = 0, $tmp = 0, $tmp132 = 0, $tail = 0, $0 = 0, $graph = 0, $1 = 0, $fontname = 0, $fontname1 = 0, $2 = 0, $tobool = 0, $3 = 0, $index = 0, $4 = 0, $call = 0, $tobool2 = 0, $5 = 0, $tobool4 = 0, $call5 = 0, $tobool6 = 0, $cond = 0, $fontsize = 0, $6 = 0.0, $7 = 0, $fontcolor = 0, $8 = 0, $call8 = 0, $label = 0, $has_labels = 0, $9 = 0, $or = 0, $10 = 0, $call12 = 0, $call13 = 0, $label_ontop = 0, $r_0 = 0, $11 = 0, $tobool15 = 0, $12 = 0, $index17 = 0, $13 = 0, $call18 = 0, $tobool19 = 0, $14 = 0, $tobool23 = 0, $15 = 0, $tobool26 = 0, $call29 = 0, $tobool30 = 0, $cond31 = 0, $fontsize32 = 0, $16 = 0.0, $17 = 0, $fontcolor34 = 0, $18 = 0, $call35 = 0, $xlabel = 0, $has_labels38 = 0, $19 = 0, $or40 = 0, $20 = 0, $tobool43 = 0, $21 = 0, $index45 = 0, $22 = 0, $call46 = 0, $tobool47 = 0, $23 = 0, $tobool51 = 0, $call53 = 0, $tobool54 = 0, $cond55 = 0, $fontsize56 = 0, $24 = 0.0, $25 = 0, $fontcolor58 = 0, $26 = 0, $call59 = 0, $head_label = 0, $has_labels62 = 0, $27 = 0, $or64 = 0, $28 = 0, $tobool67 = 0, $29 = 0, $index69 = 0, $30 = 0, $call70 = 0, $tobool71 = 0, $31 = 0, $tobool75 = 0, $32 = 0, $tobool78 = 0, $call81 = 0, $tobool82 = 0, $cond83 = 0, $fontsize84 = 0, $33 = 0.0, $34 = 0, $fontcolor86 = 0, $35 = 0, $call87 = 0, $tail_label = 0, $has_labels90 = 0, $36 = 0, $or92 = 0, $37 = 0, $call95 = 0, $tobool96 = 0, $38 = 0, $tobool100 = 0, $39 = 0, $has_port = 0, $tail_port = 0, $40 = 0, $shape = 0, $41 = 0, $fns = 0, $42 = 0, $portfn = 0, $43 = 0, $44 = 0, $45 = 0, $46 = 0, $call109 = 0, $tobool110 = 0, $clip = 0, $call115 = 0, $tobool116 = 0, $47 = 0, $tobool120 = 0, $head = 0, $48 = 0, $has_port123 = 0, $head_port = 0, $head126 = 0, $49 = 0, $shape128 = 0, $50 = 0, $fns129 = 0, $51 = 0, $portfn130 = 0, $52 = 0, $53 = 0, $54 = 0, $55 = 0, $call133 = 0, $tobool134 = 0, $clip138 = 0, label = 0, __stackBase__ = 0;
   27633   __stackBase__ = STACKTOP;
   27634   STACKTOP = STACKTOP + 112 | 0;
   27635   label = 2;
   27636   while (1) switch (label | 0) {
   27637    case 2:
   27638     $fi = __stackBase__ | 0;
   27639     $lfi = __stackBase__ + 16 | 0;
   27640     $tmp = __stackBase__ + 32 | 0;
   27641     $tmp132 = __stackBase__ + 72 | 0;
   27642     $tail = $e + 16 | 0;
   27643     $0 = HEAP32[$tail >> 2] | 0;
   27644     $graph = $0 + 20 | 0;
   27645     $1 = HEAP32[$graph >> 2] | 0;
   27646     $fontname = $fi + 8 | 0;
   27647     HEAP32[$fontname >> 2] = 0;
   27648     $fontname1 = $lfi + 8 | 0;
   27649     HEAP32[$fontname1 >> 2] = 0;
   27650     $2 = HEAP32[41934] | 0;
   27651     $tobool = ($2 | 0) == 0;
   27652     if ($tobool) {
   27653       $r_0 = 0;
   27654       label = 6;
   27655       break;
   27656     } else {
   27657       label = 3;
   27658       break;
   27659     }
   27660    case 3:
   27661     $3 = $e | 0;
   27662     $index = $2 + 8 | 0;
   27663     $4 = HEAP32[$index >> 2] | 0;
   27664     $call = _agxget($3, $4) | 0;
   27665     $tobool2 = ($call | 0) == 0;
   27666     if ($tobool2) {
   27667       $r_0 = 0;
   27668       label = 6;
   27669       break;
   27670     } else {
   27671       label = 4;
   27672       break;
   27673     }
   27674    case 4:
   27675     $5 = HEAP8[$call] | 0;
   27676     $tobool4 = $5 << 24 >> 24 == 0;
   27677     if ($tobool4) {
   27678       $r_0 = 0;
   27679       label = 6;
   27680       break;
   27681     } else {
   27682       label = 5;
   27683       break;
   27684     }
   27685    case 5:
   27686     _initFontEdgeAttr($e, $fi);
   27687     $call5 = _aghtmlstr($call) | 0;
   27688     $tobool6 = ($call5 | 0) != 0;
   27689     $cond = $tobool6 ? 2 : 0;
   27690     $fontsize = $fi | 0;
   27691     $6 = +HEAPF64[$fontsize >> 3];
   27692     $7 = HEAP32[$fontname >> 2] | 0;
   27693     $fontcolor = $fi + 12 | 0;
   27694     $8 = HEAP32[$fontcolor >> 2] | 0;
   27695     $call8 = _make_label($3, $call, $cond, $6, $7, $8) | 0;
   27696     $label = $e + 112 | 0;
   27697     HEAP32[$label >> 2] = $call8;
   27698     $has_labels = $1 + 153 | 0;
   27699     $9 = HEAP8[$has_labels] | 0;
   27700     $or = $9 | 1;
   27701     HEAP8[$has_labels] = $or;
   27702     $10 = HEAP32[41932] | 0;
   27703     $call12 = _late_string($3, $10, 96120) | 0;
   27704     $call13 = _mapbool($call12) | 0;
   27705     $label_ontop = $e + 130 | 0;
   27706     HEAP8[$label_ontop] = $call13;
   27707     $r_0 = 1;
   27708     label = 6;
   27709     break;
   27710    case 6:
   27711     $11 = HEAP32[41892] | 0;
   27712     $tobool15 = ($11 | 0) == 0;
   27713     if ($tobool15) {
   27714       label = 12;
   27715       break;
   27716     } else {
   27717       label = 7;
   27718       break;
   27719     }
   27720    case 7:
   27721     $12 = $e | 0;
   27722     $index17 = $11 + 8 | 0;
   27723     $13 = HEAP32[$index17 >> 2] | 0;
   27724     $call18 = _agxget($12, $13) | 0;
   27725     $tobool19 = ($call18 | 0) == 0;
   27726     if ($tobool19) {
   27727       label = 12;
   27728       break;
   27729     } else {
   27730       label = 8;
   27731       break;
   27732     }
   27733    case 8:
   27734     $14 = HEAP8[$call18] | 0;
   27735     $tobool23 = $14 << 24 >> 24 == 0;
   27736     if ($tobool23) {
   27737       label = 12;
   27738       break;
   27739     } else {
   27740       label = 9;
   27741       break;
   27742     }
   27743    case 9:
   27744     $15 = HEAP32[$fontname >> 2] | 0;
   27745     $tobool26 = ($15 | 0) == 0;
   27746     if ($tobool26) {
   27747       label = 10;
   27748       break;
   27749     } else {
   27750       label = 11;
   27751       break;
   27752     }
   27753    case 10:
   27754     _initFontEdgeAttr($e, $fi);
   27755     label = 11;
   27756     break;
   27757    case 11:
   27758     $call29 = _aghtmlstr($call18) | 0;
   27759     $tobool30 = ($call29 | 0) != 0;
   27760     $cond31 = $tobool30 ? 2 : 0;
   27761     $fontsize32 = $fi | 0;
   27762     $16 = +HEAPF64[$fontsize32 >> 3];
   27763     $17 = HEAP32[$fontname >> 2] | 0;
   27764     $fontcolor34 = $fi + 12 | 0;
   27765     $18 = HEAP32[$fontcolor34 >> 2] | 0;
   27766     $call35 = _make_label($12, $call18, $cond31, $16, $17, $18) | 0;
   27767     $xlabel = $e + 124 | 0;
   27768     HEAP32[$xlabel >> 2] = $call35;
   27769     $has_labels38 = $1 + 153 | 0;
   27770     $19 = HEAP8[$has_labels38] | 0;
   27771     $or40 = $19 | 32;
   27772     HEAP8[$has_labels38] = $or40;
   27773     label = 12;
   27774     break;
   27775    case 12:
   27776     $20 = HEAP32[41936] | 0;
   27777     $tobool43 = ($20 | 0) == 0;
   27778     if ($tobool43) {
   27779       label = 16;
   27780       break;
   27781     } else {
   27782       label = 13;
   27783       break;
   27784     }
   27785    case 13:
   27786     $21 = $e | 0;
   27787     $index45 = $20 + 8 | 0;
   27788     $22 = HEAP32[$index45 >> 2] | 0;
   27789     $call46 = _agxget($21, $22) | 0;
   27790     $tobool47 = ($call46 | 0) == 0;
   27791     if ($tobool47) {
   27792       label = 16;
   27793       break;
   27794     } else {
   27795       label = 14;
   27796       break;
   27797     }
   27798    case 14:
   27799     $23 = HEAP8[$call46] | 0;
   27800     $tobool51 = $23 << 24 >> 24 == 0;
   27801     if ($tobool51) {
   27802       label = 16;
   27803       break;
   27804     } else {
   27805       label = 15;
   27806       break;
   27807     }
   27808    case 15:
   27809     _initFontLabelEdgeAttr($e, $fi, $lfi);
   27810     $call53 = _aghtmlstr($call46) | 0;
   27811     $tobool54 = ($call53 | 0) != 0;
   27812     $cond55 = $tobool54 ? 2 : 0;
   27813     $fontsize56 = $lfi | 0;
   27814     $24 = +HEAPF64[$fontsize56 >> 3];
   27815     $25 = HEAP32[$fontname1 >> 2] | 0;
   27816     $fontcolor58 = $lfi + 12 | 0;
   27817     $26 = HEAP32[$fontcolor58 >> 2] | 0;
   27818     $call59 = _make_label($21, $call46, $cond55, $24, $25, $26) | 0;
   27819     $head_label = $e + 116 | 0;
   27820     HEAP32[$head_label >> 2] = $call59;
   27821     $has_labels62 = $1 + 153 | 0;
   27822     $27 = HEAP8[$has_labels62] | 0;
   27823     $or64 = $27 | 2;
   27824     HEAP8[$has_labels62] = $or64;
   27825     label = 16;
   27826     break;
   27827    case 16:
   27828     $28 = HEAP32[41900] | 0;
   27829     $tobool67 = ($28 | 0) == 0;
   27830     if ($tobool67) {
   27831       label = 22;
   27832       break;
   27833     } else {
   27834       label = 17;
   27835       break;
   27836     }
   27837    case 17:
   27838     $29 = $e | 0;
   27839     $index69 = $28 + 8 | 0;
   27840     $30 = HEAP32[$index69 >> 2] | 0;
   27841     $call70 = _agxget($29, $30) | 0;
   27842     $tobool71 = ($call70 | 0) == 0;
   27843     if ($tobool71) {
   27844       label = 22;
   27845       break;
   27846     } else {
   27847       label = 18;
   27848       break;
   27849     }
   27850    case 18:
   27851     $31 = HEAP8[$call70] | 0;
   27852     $tobool75 = $31 << 24 >> 24 == 0;
   27853     if ($tobool75) {
   27854       label = 22;
   27855       break;
   27856     } else {
   27857       label = 19;
   27858       break;
   27859     }
   27860    case 19:
   27861     $32 = HEAP32[$fontname1 >> 2] | 0;
   27862     $tobool78 = ($32 | 0) == 0;
   27863     if ($tobool78) {
   27864       label = 20;
   27865       break;
   27866     } else {
   27867       label = 21;
   27868       break;
   27869     }
   27870    case 20:
   27871     _initFontLabelEdgeAttr($e, $fi, $lfi);
   27872     label = 21;
   27873     break;
   27874    case 21:
   27875     $call81 = _aghtmlstr($call70) | 0;
   27876     $tobool82 = ($call81 | 0) != 0;
   27877     $cond83 = $tobool82 ? 2 : 0;
   27878     $fontsize84 = $lfi | 0;
   27879     $33 = +HEAPF64[$fontsize84 >> 3];
   27880     $34 = HEAP32[$fontname1 >> 2] | 0;
   27881     $fontcolor86 = $lfi + 12 | 0;
   27882     $35 = HEAP32[$fontcolor86 >> 2] | 0;
   27883     $call87 = _make_label($29, $call70, $cond83, $33, $34, $35) | 0;
   27884     $tail_label = $e + 120 | 0;
   27885     HEAP32[$tail_label >> 2] = $call87;
   27886     $has_labels90 = $1 + 153 | 0;
   27887     $36 = HEAP8[$has_labels90] | 0;
   27888     $or92 = $36 | 4;
   27889     HEAP8[$has_labels90] = $or92;
   27890     label = 22;
   27891     break;
   27892    case 22:
   27893     $37 = $e | 0;
   27894     $call95 = _agget($37, 143224) | 0;
   27895     $tobool96 = ($call95 | 0) == 0;
   27896     if ($tobool96) {
   27897       label = 25;
   27898       break;
   27899     } else {
   27900       label = 23;
   27901       break;
   27902     }
   27903    case 23:
   27904     $38 = HEAP8[$call95] | 0;
   27905     $tobool100 = $38 << 24 >> 24 == 0;
   27906     if ($tobool100) {
   27907       label = 25;
   27908       break;
   27909     } else {
   27910       label = 24;
   27911       break;
   27912     }
   27913    case 24:
   27914     $39 = HEAP32[$tail >> 2] | 0;
   27915     $has_port = $39 + 161 | 0;
   27916     HEAP8[$has_port] = 1;
   27917     label = 25;
   27918     break;
   27919    case 25:
   27920     $tail_port = $e + 32 | 0;
   27921     $40 = HEAP32[$tail >> 2] | 0;
   27922     $shape = $40 + 24 | 0;
   27923     $41 = HEAP32[$shape >> 2] | 0;
   27924     $fns = $41 + 4 | 0;
   27925     $42 = HEAP32[$fns >> 2] | 0;
   27926     $portfn = $42 + 8 | 0;
   27927     $43 = HEAP32[$portfn >> 2] | 0;
   27928     _chkPort($tmp, $43, $40, $call95);
   27929     $44 = $tail_port;
   27930     $45 = $tmp;
   27931     HEAP32[$44 >> 2] = HEAP32[$45 >> 2] | 0;
   27932     HEAP32[$44 + 4 >> 2] = HEAP32[$45 + 4 >> 2] | 0;
   27933     HEAP32[$44 + 8 >> 2] = HEAP32[$45 + 8 >> 2] | 0;
   27934     HEAP32[$44 + 12 >> 2] = HEAP32[$45 + 12 >> 2] | 0;
   27935     HEAP32[$44 + 16 >> 2] = HEAP32[$45 + 16 >> 2] | 0;
   27936     HEAP32[$44 + 20 >> 2] = HEAP32[$45 + 20 >> 2] | 0;
   27937     HEAP32[$44 + 24 >> 2] = HEAP32[$45 + 24 >> 2] | 0;
   27938     HEAP32[$44 + 28 >> 2] = HEAP32[$45 + 28 >> 2] | 0;
   27939     HEAP32[$44 + 32 >> 2] = HEAP32[$45 + 32 >> 2] | 0;
   27940     HEAP32[$44 + 36 >> 2] = HEAP32[$45 + 36 >> 2] | 0;
   27941     $46 = HEAP32[41902] | 0;
   27942     $call109 = _noClip($e, $46) | 0;
   27943     $tobool110 = $call109 << 24 >> 24 == 0;
   27944     if ($tobool110) {
   27945       label = 27;
   27946       break;
   27947     } else {
   27948       label = 26;
   27949       break;
   27950     }
   27951    case 26:
   27952     $clip = $e + 62 | 0;
   27953     HEAP8[$clip] = 0;
   27954     label = 27;
   27955     break;
   27956    case 27:
   27957     $call115 = _agget($37, 139592) | 0;
   27958     $tobool116 = ($call115 | 0) == 0;
   27959     if ($tobool116) {
   27960       label = 30;
   27961       break;
   27962     } else {
   27963       label = 28;
   27964       break;
   27965     }
   27966    case 28:
   27967     $47 = HEAP8[$call115] | 0;
   27968     $tobool120 = $47 << 24 >> 24 == 0;
   27969     if ($tobool120) {
   27970       label = 30;
   27971       break;
   27972     } else {
   27973       label = 29;
   27974       break;
   27975     }
   27976    case 29:
   27977     $head = $e + 12 | 0;
   27978     $48 = HEAP32[$head >> 2] | 0;
   27979     $has_port123 = $48 + 161 | 0;
   27980     HEAP8[$has_port123] = 1;
   27981     label = 30;
   27982     break;
   27983    case 30:
   27984     $head_port = $e + 72 | 0;
   27985     $head126 = $e + 12 | 0;
   27986     $49 = HEAP32[$head126 >> 2] | 0;
   27987     $shape128 = $49 + 24 | 0;
   27988     $50 = HEAP32[$shape128 >> 2] | 0;
   27989     $fns129 = $50 + 4 | 0;
   27990     $51 = HEAP32[$fns129 >> 2] | 0;
   27991     $portfn130 = $51 + 8 | 0;
   27992     $52 = HEAP32[$portfn130 >> 2] | 0;
   27993     _chkPort($tmp132, $52, $49, $call115);
   27994     $53 = $head_port;
   27995     $54 = $tmp132;
   27996     HEAP32[$53 >> 2] = HEAP32[$54 >> 2] | 0;
   27997     HEAP32[$53 + 4 >> 2] = HEAP32[$54 + 4 >> 2] | 0;
   27998     HEAP32[$53 + 8 >> 2] = HEAP32[$54 + 8 >> 2] | 0;
   27999     HEAP32[$53 + 12 >> 2] = HEAP32[$54 + 12 >> 2] | 0;
   28000     HEAP32[$53 + 16 >> 2] = HEAP32[$54 + 16 >> 2] | 0;
   28001     HEAP32[$53 + 20 >> 2] = HEAP32[$54 + 20 >> 2] | 0;
   28002     HEAP32[$53 + 24 >> 2] = HEAP32[$54 + 24 >> 2] | 0;
   28003     HEAP32[$53 + 28 >> 2] = HEAP32[$54 + 28 >> 2] | 0;
   28004     HEAP32[$53 + 32 >> 2] = HEAP32[$54 + 32 >> 2] | 0;
   28005     HEAP32[$53 + 36 >> 2] = HEAP32[$54 + 36 >> 2] | 0;
   28006     $55 = HEAP32[41938] | 0;
   28007     $call133 = _noClip($e, $55) | 0;
   28008     $tobool134 = $call133 << 24 >> 24 == 0;
   28009     if ($tobool134) {
   28010       label = 32;
   28011       break;
   28012     } else {
   28013       label = 31;
   28014       break;
   28015     }
   28016    case 31:
   28017     $clip138 = $e + 102 | 0;
   28018     HEAP8[$clip138] = 0;
   28019     label = 32;
   28020     break;
   28021    case 32:
   28022     STACKTOP = __stackBase__;
   28023     return $r_0 | 0;
   28024   }
   28025   return 0;
   28026 }
   28027 function _initFontEdgeAttr($e, $fi) {
   28028   $e = $e | 0;
   28029   $fi = $fi | 0;
   28030   var $0 = 0;
   28031   $0 = $e | 0;
   28032   HEAPF64[$fi >> 3] = +_late_double($0, HEAP32[41940] | 0, 14.0, 1.0);
   28033   HEAP32[$fi + 8 >> 2] = _late_nnstring($0, HEAP32[41942] | 0, 149008) | 0;
   28034   HEAP32[$fi + 12 >> 2] = _late_nnstring($0, HEAP32[41944] | 0, 146208) | 0;
   28035   return;
   28036 }
   28037 function _sub_pointf($agg_result, $p, $q) {
   28038   $agg_result = $agg_result | 0;
   28039   $p = $p | 0;
   28040   $q = $q | 0;
   28041   var $sub4 = 0.0, tempParam = 0, __stackBase__ = 0;
   28042   __stackBase__ = STACKTOP;
   28043   tempParam = $p;
   28044   $p = STACKTOP;
   28045   STACKTOP = STACKTOP + 16 | 0;
   28046   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   28047   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   28048   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   28049   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   28050   tempParam = $q;
   28051   $q = STACKTOP;
   28052   STACKTOP = STACKTOP + 16 | 0;
   28053   HEAP32[$q >> 2] = HEAP32[tempParam >> 2] | 0;
   28054   HEAP32[$q + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   28055   HEAP32[$q + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   28056   HEAP32[$q + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   28057   $sub4 = +HEAPF64[$p + 8 >> 3] - +HEAPF64[$q + 8 >> 3];
   28058   HEAPF64[$agg_result >> 3] = +HEAPF64[$p >> 3] - +HEAPF64[$q >> 3];
   28059   HEAPF64[$agg_result + 8 >> 3] = $sub4;
   28060   STACKTOP = __stackBase__;
   28061   return;
   28062 }
   28063 function _add_pointf($agg_result, $p, $q) {
   28064   $agg_result = $agg_result | 0;
   28065   $p = $p | 0;
   28066   $q = $q | 0;
   28067   var $add4 = 0.0, tempParam = 0, __stackBase__ = 0;
   28068   __stackBase__ = STACKTOP;
   28069   tempParam = $p;
   28070   $p = STACKTOP;
   28071   STACKTOP = STACKTOP + 16 | 0;
   28072   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   28073   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   28074   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   28075   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   28076   tempParam = $q;
   28077   $q = STACKTOP;
   28078   STACKTOP = STACKTOP + 16 | 0;
   28079   HEAP32[$q >> 2] = HEAP32[tempParam >> 2] | 0;
   28080   HEAP32[$q + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   28081   HEAP32[$q + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   28082   HEAP32[$q + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   28083   $add4 = +HEAPF64[$p + 8 >> 3] + +HEAPF64[$q + 8 >> 3];
   28084   HEAPF64[$agg_result >> 3] = +HEAPF64[$p >> 3] + +HEAPF64[$q >> 3];
   28085   HEAPF64[$agg_result + 8 >> 3] = $add4;
   28086   STACKTOP = __stackBase__;
   28087   return;
   28088 }
   28089 function _initFontLabelEdgeAttr($e, $fi, $lfi) {
   28090   $e = $e | 0;
   28091   $fi = $fi | 0;
   28092   $lfi = $lfi | 0;
   28093   var $fontname = 0, $0 = 0, $tobool = 0, $1 = 0, $2 = 0, $fontsize = 0, $3 = 0.0, $call = 0.0, $fontsize1 = 0, $4 = 0, $5 = 0, $call3 = 0, $fontname4 = 0, $6 = 0, $fontcolor = 0, $7 = 0, $call5 = 0, $fontcolor6 = 0, label = 0;
   28094   label = 2;
   28095   while (1) switch (label | 0) {
   28096    case 2:
   28097     $fontname = $fi + 8 | 0;
   28098     $0 = HEAP32[$fontname >> 2] | 0;
   28099     $tobool = ($0 | 0) == 0;
   28100     if ($tobool) {
   28101       label = 3;
   28102       break;
   28103     } else {
   28104       label = 4;
   28105       break;
   28106     }
   28107    case 3:
   28108     _initFontEdgeAttr($e, $fi);
   28109     label = 4;
   28110     break;
   28111    case 4:
   28112     $1 = $e | 0;
   28113     $2 = HEAP32[41922] | 0;
   28114     $fontsize = $fi | 0;
   28115     $3 = +HEAPF64[$fontsize >> 3];
   28116     $call = +_late_double($1, $2, $3, 1.0);
   28117     $fontsize1 = $lfi | 0;
   28118     HEAPF64[$fontsize1 >> 3] = $call;
   28119     $4 = HEAP32[41924] | 0;
   28120     $5 = HEAP32[$fontname >> 2] | 0;
   28121     $call3 = _late_nnstring($1, $4, $5) | 0;
   28122     $fontname4 = $lfi + 8 | 0;
   28123     HEAP32[$fontname4 >> 2] = $call3;
   28124     $6 = HEAP32[41926] | 0;
   28125     $fontcolor = $fi + 12 | 0;
   28126     $7 = HEAP32[$fontcolor >> 2] | 0;
   28127     $call5 = _late_nnstring($1, $6, $7) | 0;
   28128     $fontcolor6 = $lfi + 12 | 0;
   28129     HEAP32[$fontcolor6 >> 2] = $call5;
   28130     return;
   28131   }
   28132 }
   28133 function _chkPort($agg_result, $pf, $n, $s) {
   28134   $agg_result = $agg_result | 0;
   28135   $pf = $pf | 0;
   28136   $n = $n | 0;
   28137   $s = $s | 0;
   28138   var $pt_sroa_0 = 0, $tmp = 0, $tmp2 = 0, $call = 0, $tobool = 0, $add_ptr = 0, $pt_sroa_0_0__cast7 = 0, $pt_sroa_0_0_idx8 = 0, $pt_sroa_0_0__cast5 = 0, $pt_sroa_0_0_idx6 = 0, $pt_sroa_0_0__cast = 0, $pt_sroa_0_0_idx = 0, $pt_sroa_1_36__idx9 = 0, label = 0, __stackBase__ = 0;
   28139   __stackBase__ = STACKTOP;
   28140   STACKTOP = STACKTOP + 120 | 0;
   28141   label = 2;
   28142   while (1) switch (label | 0) {
   28143    case 2:
   28144     $pt_sroa_0 = __stackBase__ | 0;
   28145     $tmp = __stackBase__ + 40 | 0;
   28146     $tmp2 = __stackBase__ + 80 | 0;
   28147     $call = _strchr($s | 0, 58) | 0;
   28148     $tobool = ($call | 0) == 0;
   28149     if ($tobool) {
   28150       label = 4;
   28151       break;
   28152     } else {
   28153       label = 3;
   28154       break;
   28155     }
   28156    case 3:
   28157     HEAP8[$call] = 0;
   28158     $add_ptr = $call + 1 | 0;
   28159     FUNCTION_TABLE_viiii[$pf & 1023]($tmp, $n, $s, $add_ptr);
   28160     $pt_sroa_0_0__cast7 = $tmp;
   28161     $pt_sroa_0_0_idx8 = $pt_sroa_0 | 0;
   28162     HEAP32[$pt_sroa_0_0_idx8 >> 2] = HEAP32[$pt_sroa_0_0__cast7 >> 2] | 0;
   28163     HEAP32[$pt_sroa_0_0_idx8 + 4 >> 2] = HEAP32[$pt_sroa_0_0__cast7 + 4 >> 2] | 0;
   28164     HEAP32[$pt_sroa_0_0_idx8 + 8 >> 2] = HEAP32[$pt_sroa_0_0__cast7 + 8 >> 2] | 0;
   28165     HEAP32[$pt_sroa_0_0_idx8 + 12 >> 2] = HEAP32[$pt_sroa_0_0__cast7 + 12 >> 2] | 0;
   28166     HEAP32[$pt_sroa_0_0_idx8 + 16 >> 2] = HEAP32[$pt_sroa_0_0__cast7 + 16 >> 2] | 0;
   28167     HEAP32[$pt_sroa_0_0_idx8 + 20 >> 2] = HEAP32[$pt_sroa_0_0__cast7 + 20 >> 2] | 0;
   28168     HEAP32[$pt_sroa_0_0_idx8 + 24 >> 2] = HEAP32[$pt_sroa_0_0__cast7 + 24 >> 2] | 0;
   28169     HEAP32[$pt_sroa_0_0_idx8 + 28 >> 2] = HEAP32[$pt_sroa_0_0__cast7 + 28 >> 2] | 0;
   28170     HEAP32[$pt_sroa_0_0_idx8 + 32 >> 2] = HEAP32[$pt_sroa_0_0__cast7 + 32 >> 2] | 0;
   28171     HEAP8[$call] = 58;
   28172     label = 5;
   28173     break;
   28174    case 4:
   28175     FUNCTION_TABLE_viiii[$pf & 1023]($tmp2, $n, $s, 0);
   28176     $pt_sroa_0_0__cast5 = $tmp2;
   28177     $pt_sroa_0_0_idx6 = $pt_sroa_0 | 0;
   28178     HEAP32[$pt_sroa_0_0_idx6 >> 2] = HEAP32[$pt_sroa_0_0__cast5 >> 2] | 0;
   28179     HEAP32[$pt_sroa_0_0_idx6 + 4 >> 2] = HEAP32[$pt_sroa_0_0__cast5 + 4 >> 2] | 0;
   28180     HEAP32[$pt_sroa_0_0_idx6 + 8 >> 2] = HEAP32[$pt_sroa_0_0__cast5 + 8 >> 2] | 0;
   28181     HEAP32[$pt_sroa_0_0_idx6 + 12 >> 2] = HEAP32[$pt_sroa_0_0__cast5 + 12 >> 2] | 0;
   28182     HEAP32[$pt_sroa_0_0_idx6 + 16 >> 2] = HEAP32[$pt_sroa_0_0__cast5 + 16 >> 2] | 0;
   28183     HEAP32[$pt_sroa_0_0_idx6 + 20 >> 2] = HEAP32[$pt_sroa_0_0__cast5 + 20 >> 2] | 0;
   28184     HEAP32[$pt_sroa_0_0_idx6 + 24 >> 2] = HEAP32[$pt_sroa_0_0__cast5 + 24 >> 2] | 0;
   28185     HEAP32[$pt_sroa_0_0_idx6 + 28 >> 2] = HEAP32[$pt_sroa_0_0__cast5 + 28 >> 2] | 0;
   28186     HEAP32[$pt_sroa_0_0_idx6 + 32 >> 2] = HEAP32[$pt_sroa_0_0__cast5 + 32 >> 2] | 0;
   28187     label = 5;
   28188     break;
   28189    case 5:
   28190     $pt_sroa_0_0__cast = $agg_result;
   28191     $pt_sroa_0_0_idx = $pt_sroa_0 | 0;
   28192     HEAP32[$pt_sroa_0_0__cast >> 2] = HEAP32[$pt_sroa_0_0_idx >> 2] | 0;
   28193     HEAP32[$pt_sroa_0_0__cast + 4 >> 2] = HEAP32[$pt_sroa_0_0_idx + 4 >> 2] | 0;
   28194     HEAP32[$pt_sroa_0_0__cast + 8 >> 2] = HEAP32[$pt_sroa_0_0_idx + 8 >> 2] | 0;
   28195     HEAP32[$pt_sroa_0_0__cast + 12 >> 2] = HEAP32[$pt_sroa_0_0_idx + 12 >> 2] | 0;
   28196     HEAP32[$pt_sroa_0_0__cast + 16 >> 2] = HEAP32[$pt_sroa_0_0_idx + 16 >> 2] | 0;
   28197     HEAP32[$pt_sroa_0_0__cast + 20 >> 2] = HEAP32[$pt_sroa_0_0_idx + 20 >> 2] | 0;
   28198     HEAP32[$pt_sroa_0_0__cast + 24 >> 2] = HEAP32[$pt_sroa_0_0_idx + 24 >> 2] | 0;
   28199     HEAP32[$pt_sroa_0_0__cast + 28 >> 2] = HEAP32[$pt_sroa_0_0_idx + 28 >> 2] | 0;
   28200     HEAP32[$pt_sroa_0_0__cast + 32 >> 2] = HEAP32[$pt_sroa_0_0_idx + 32 >> 2] | 0;
   28201     $pt_sroa_1_36__idx9 = $agg_result + 36 | 0;
   28202     HEAP32[$pt_sroa_1_36__idx9 >> 2] = $s;
   28203     STACKTOP = __stackBase__;
   28204     return;
   28205   }
   28206 }
   28207 function _noClip($e, $sym) {
   28208   $e = $e | 0;
   28209   $sym = $sym | 0;
   28210   var $tobool = 0, $0 = 0, $index = 0, $1 = 0, $call = 0, $tobool1 = 0, $2 = 0, $tobool2 = 0, $call4 = 0, $lnot = 0, $conv6 = 0, $rv_0 = 0, label = 0;
   28211   label = 2;
   28212   while (1) switch (label | 0) {
   28213    case 2:
   28214     $tobool = ($sym | 0) == 0;
   28215     if ($tobool) {
   28216       $rv_0 = 0;
   28217       label = 6;
   28218       break;
   28219     } else {
   28220       label = 3;
   28221       break;
   28222     }
   28223    case 3:
   28224     $0 = $e | 0;
   28225     $index = $sym + 8 | 0;
   28226     $1 = HEAP32[$index >> 2] | 0;
   28227     $call = _agxget($0, $1) | 0;
   28228     $tobool1 = ($call | 0) == 0;
   28229     if ($tobool1) {
   28230       $rv_0 = 0;
   28231       label = 6;
   28232       break;
   28233     } else {
   28234       label = 4;
   28235       break;
   28236     }
   28237    case 4:
   28238     $2 = HEAP8[$call] | 0;
   28239     $tobool2 = $2 << 24 >> 24 == 0;
   28240     if ($tobool2) {
   28241       $rv_0 = 0;
   28242       label = 6;
   28243       break;
   28244     } else {
   28245       label = 5;
   28246       break;
   28247     }
   28248    case 5:
   28249     $call4 = _mapbool($call) | 0;
   28250     $lnot = $call4 << 24 >> 24 == 0;
   28251     $conv6 = $lnot & 1;
   28252     $rv_0 = $conv6;
   28253     label = 6;
   28254     break;
   28255    case 6:
   28256     return $rv_0 | 0;
   28257   }
   28258   return 0;
   28259 }
   28260 function _updateBB($g, $lp) {
   28261   $g = $g | 0;
   28262   $lp = $lp | 0;
   28263   var $tmp = 0, $bb = 0, $1 = 0, $2 = 0, __stackBase__ = 0;
   28264   __stackBase__ = STACKTOP;
   28265   STACKTOP = STACKTOP + 32 | 0;
   28266   $tmp = __stackBase__ | 0;
   28267   $bb = $g + 56 | 0;
   28268   _addLabelBB($tmp, $bb, $lp, HEAP32[$g + 156 >> 2] & 1);
   28269   $1 = $bb;
   28270   $2 = $tmp;
   28271   HEAP32[$1 >> 2] = HEAP32[$2 >> 2] | 0;
   28272   HEAP32[$1 + 4 >> 2] = HEAP32[$2 + 4 >> 2] | 0;
   28273   HEAP32[$1 + 8 >> 2] = HEAP32[$2 + 8 >> 2] | 0;
   28274   HEAP32[$1 + 12 >> 2] = HEAP32[$2 + 12 >> 2] | 0;
   28275   HEAP32[$1 + 16 >> 2] = HEAP32[$2 + 16 >> 2] | 0;
   28276   HEAP32[$1 + 20 >> 2] = HEAP32[$2 + 20 >> 2] | 0;
   28277   HEAP32[$1 + 24 >> 2] = HEAP32[$2 + 24 >> 2] | 0;
   28278   HEAP32[$1 + 28 >> 2] = HEAP32[$2 + 28 >> 2] | 0;
   28279   STACKTOP = __stackBase__;
   28280   return;
   28281 }
   28282 function _addLabelBB($agg_result, $bb, $lp, $flipxy) {
   28283   $agg_result = $agg_result | 0;
   28284   $bb = $bb | 0;
   28285   $lp = $lp | 0;
   28286   $flipxy = $flipxy | 0;
   28287   var $p_sroa_0_0__idx = 0, $p_sroa_0_0_copyload = 0.0, $p_sroa_1_8__idx9 = 0, $p_sroa_1_8_copyload = 0.0, $tobool = 0, $x = 0, $0 = 0.0, $y5 = 0, $1 = 0.0, $_ = 0.0, $_15 = 0.0, $div = 0.0, $sub = 0.0, $add = 0.0, $x9 = 0, $2 = 0.0, $cmp = 0, $x14 = 0, $3 = 0.0, $cmp15 = 0, $div21 = 0.0, $sub22 = 0.0, $add25 = 0.0, $y27 = 0, $4 = 0.0, $cmp28 = 0, $y34 = 0, $5 = 0.0, $cmp35 = 0, $6 = 0, $7 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   28288   __stackBase__ = STACKTOP;
   28289   tempParam = $bb;
   28290   $bb = STACKTOP;
   28291   STACKTOP = STACKTOP + 32 | 0;
   28292   _memcpy($bb, tempParam, 32);
   28293   label = 2;
   28294   while (1) switch (label | 0) {
   28295    case 2:
   28296     $p_sroa_0_0__idx = $lp + 56 | 0;
   28297     $p_sroa_0_0_copyload = +HEAPF64[$p_sroa_0_0__idx >> 3];
   28298     $p_sroa_1_8__idx9 = $lp + 64 | 0;
   28299     $p_sroa_1_8_copyload = +HEAPF64[$p_sroa_1_8__idx9 >> 3];
   28300     $tobool = $flipxy << 24 >> 24 == 0;
   28301     $x = $lp + 24 | 0;
   28302     $0 = +HEAPF64[$x >> 3];
   28303     $y5 = $lp + 32 | 0;
   28304     $1 = +HEAPF64[$y5 >> 3];
   28305     $_ = $tobool ? $1 : $0;
   28306     $_15 = $tobool ? $0 : $1;
   28307     $div = $_15 * .5;
   28308     $sub = $p_sroa_0_0_copyload - $div;
   28309     $add = $p_sroa_0_0_copyload + $div;
   28310     $x9 = $bb | 0;
   28311     $2 = +HEAPF64[$x9 >> 3];
   28312     $cmp = $sub < $2;
   28313     if ($cmp) {
   28314       label = 3;
   28315       break;
   28316     } else {
   28317       label = 4;
   28318       break;
   28319     }
   28320    case 3:
   28321     HEAPF64[$x9 >> 3] = $sub;
   28322     label = 4;
   28323     break;
   28324    case 4:
   28325     $x14 = $bb + 16 | 0;
   28326     $3 = +HEAPF64[$x14 >> 3];
   28327     $cmp15 = $add > $3;
   28328     if ($cmp15) {
   28329       label = 5;
   28330       break;
   28331     } else {
   28332       label = 6;
   28333       break;
   28334     }
   28335    case 5:
   28336     HEAPF64[$x14 >> 3] = $add;
   28337     label = 6;
   28338     break;
   28339    case 6:
   28340     $div21 = $_ * .5;
   28341     $sub22 = $p_sroa_1_8_copyload - $div21;
   28342     $add25 = $p_sroa_1_8_copyload + $div21;
   28343     $y27 = $bb + 8 | 0;
   28344     $4 = +HEAPF64[$y27 >> 3];
   28345     $cmp28 = $sub22 < $4;
   28346     if ($cmp28) {
   28347       label = 7;
   28348       break;
   28349     } else {
   28350       label = 8;
   28351       break;
   28352     }
   28353    case 7:
   28354     HEAPF64[$y27 >> 3] = $sub22;
   28355     label = 8;
   28356     break;
   28357    case 8:
   28358     $y34 = $bb + 24 | 0;
   28359     $5 = +HEAPF64[$y34 >> 3];
   28360     $cmp35 = $add25 > $5;
   28361     if ($cmp35) {
   28362       label = 9;
   28363       break;
   28364     } else {
   28365       label = 10;
   28366       break;
   28367     }
   28368    case 9:
   28369     HEAPF64[$y34 >> 3] = $add25;
   28370     label = 10;
   28371     break;
   28372    case 10:
   28373     $6 = $agg_result;
   28374     $7 = $bb;
   28375     HEAP32[$6 >> 2] = HEAP32[$7 >> 2] | 0;
   28376     HEAP32[$6 + 4 >> 2] = HEAP32[$7 + 4 >> 2] | 0;
   28377     HEAP32[$6 + 8 >> 2] = HEAP32[$7 + 8 >> 2] | 0;
   28378     HEAP32[$6 + 12 >> 2] = HEAP32[$7 + 12 >> 2] | 0;
   28379     HEAP32[$6 + 16 >> 2] = HEAP32[$7 + 16 >> 2] | 0;
   28380     HEAP32[$6 + 20 >> 2] = HEAP32[$7 + 20 >> 2] | 0;
   28381     HEAP32[$6 + 24 >> 2] = HEAP32[$7 + 24 >> 2] | 0;
   28382     HEAP32[$6 + 28 >> 2] = HEAP32[$7 + 28 >> 2] | 0;
   28383     STACKTOP = __stackBase__;
   28384     return;
   28385   }
   28386 }
   28387 function _strncasecmp($s1, $s2, $n) {
   28388   $s1 = $s1 | 0;
   28389   $s2 = $s2 | 0;
   28390   $n = $n | 0;
   28391   var $cmp = 0, $dec15_in = 0, $s2_addr_014 = 0, $s1_addr_013 = 0, $dec15 = 0, $0 = 0, $conv = 0, $call = 0, $1 = 0, $conv2 = 0, $call3 = 0, $cmp4 = 0, $cmp6 = 0, $2 = 0, $cmp9 = 0, $3 = 0, $cmp13 = 0, $incdec_ptr = 0, $incdec_ptr17 = 0, $cmp1 = 0, $s2_addr_0_lcssa = 0, $s1_addr_0_lcssa = 0, $4 = 0, $conv18 = 0, $call19 = 0, $5 = 0, $conv20 = 0, $call21 = 0, $sub = 0, $retval_0 = 0, label = 0;
   28392   label = 2;
   28393   while (1) switch (label | 0) {
   28394    case 2:
   28395     $cmp = ($n | 0) == 0;
   28396     if ($cmp) {
   28397       $retval_0 = 0;
   28398       label = 9;
   28399       break;
   28400     } else {
   28401       $s1_addr_013 = $s1;
   28402       $s2_addr_014 = $s2;
   28403       $dec15_in = $n;
   28404       label = 3;
   28405       break;
   28406     }
   28407    case 3:
   28408     $dec15 = $dec15_in - 1 | 0;
   28409     $0 = HEAP8[$s1_addr_013] | 0;
   28410     $conv = $0 & 255;
   28411     $call = _tolower($conv | 0) | 0;
   28412     $1 = HEAP8[$s2_addr_014] | 0;
   28413     $conv2 = $1 & 255;
   28414     $call3 = _tolower($conv2 | 0) | 0;
   28415     $cmp4 = ($call | 0) == ($call3 | 0);
   28416     if ($cmp4) {
   28417       label = 4;
   28418       break;
   28419     } else {
   28420       $s1_addr_0_lcssa = $s1_addr_013;
   28421       $s2_addr_0_lcssa = $s2_addr_014;
   28422       label = 8;
   28423       break;
   28424     }
   28425    case 4:
   28426     $cmp6 = ($dec15 | 0) == 0;
   28427     if ($cmp6) {
   28428       $retval_0 = 0;
   28429       label = 9;
   28430       break;
   28431     } else {
   28432       label = 5;
   28433       break;
   28434     }
   28435    case 5:
   28436     $2 = HEAP8[$s1_addr_013] | 0;
   28437     $cmp9 = $2 << 24 >> 24 == 0;
   28438     if ($cmp9) {
   28439       $retval_0 = 0;
   28440       label = 9;
   28441       break;
   28442     } else {
   28443       label = 6;
   28444       break;
   28445     }
   28446    case 6:
   28447     $3 = HEAP8[$s2_addr_014] | 0;
   28448     $cmp13 = $3 << 24 >> 24 == 0;
   28449     if ($cmp13) {
   28450       $retval_0 = 0;
   28451       label = 9;
   28452       break;
   28453     } else {
   28454       label = 7;
   28455       break;
   28456     }
   28457    case 7:
   28458     $incdec_ptr = $s1_addr_013 + 1 | 0;
   28459     $incdec_ptr17 = $s2_addr_014 + 1 | 0;
   28460     $cmp1 = ($dec15 | 0) == 0;
   28461     if ($cmp1) {
   28462       $s1_addr_0_lcssa = $incdec_ptr;
   28463       $s2_addr_0_lcssa = $incdec_ptr17;
   28464       label = 8;
   28465       break;
   28466     } else {
   28467       $s1_addr_013 = $incdec_ptr;
   28468       $s2_addr_014 = $incdec_ptr17;
   28469       $dec15_in = $dec15;
   28470       label = 3;
   28471       break;
   28472     }
   28473    case 8:
   28474     $4 = HEAP8[$s1_addr_0_lcssa] | 0;
   28475     $conv18 = $4 & 255;
   28476     $call19 = _tolower($conv18 | 0) | 0;
   28477     $5 = HEAP8[$s2_addr_0_lcssa] | 0;
   28478     $conv20 = $5 & 255;
   28479     $call21 = _tolower($conv20 | 0) | 0;
   28480     $sub = $call19 - $call21 | 0;
   28481     $retval_0 = $sub;
   28482     label = 9;
   28483     break;
   28484    case 9:
   28485     return $retval_0 | 0;
   28486   }
   28487   return 0;
   28488 }
   28489 function _undoClusterEdges($g) {
   28490   $g = $g | 0;
   28491   var $0 = 0, $call = 0, $1 = 0, $2 = 0, $call1 = 0, $tobool20 = 0, $n_0_in21 = 0, $call2 = 0, $tobool418 = 0, $e_0_in19 = 0, $e_0 = 0, $call6 = 0, $tobool4 = 0, $call8 = 0, $tobool = 0, $3 = 0, $call10 = 0, $tobool1216 = 0, $n_1_in17 = 0, $4 = 0, $call15 = 0, $tobool12 = 0, label = 0;
   28492   label = 2;
   28493   while (1) switch (label | 0) {
   28494    case 2:
   28495     $0 = $g;
   28496     $call = _agsubg($0, 132056) | 0;
   28497     $1 = $call;
   28498     $2 = $g;
   28499     $call1 = _agfstnode($2) | 0;
   28500     $tobool20 = ($call1 | 0) == 0;
   28501     if ($tobool20) {
   28502       label = 6;
   28503       break;
   28504     } else {
   28505       $n_0_in21 = $call1;
   28506       label = 3;
   28507       break;
   28508     }
   28509    case 3:
   28510     $call2 = _agfstout($2, $n_0_in21) | 0;
   28511     $tobool418 = ($call2 | 0) == 0;
   28512     if ($tobool418) {
   28513       label = 5;
   28514       break;
   28515     } else {
   28516       $e_0_in19 = $call2;
   28517       label = 4;
   28518       break;
   28519     }
   28520    case 4:
   28521     $e_0 = $e_0_in19;
   28522     _undoCompound($e_0, $1);
   28523     $call6 = _agnxtout($2, $e_0_in19) | 0;
   28524     $tobool4 = ($call6 | 0) == 0;
   28525     if ($tobool4) {
   28526       label = 5;
   28527       break;
   28528     } else {
   28529       $e_0_in19 = $call6;
   28530       label = 4;
   28531       break;
   28532     }
   28533    case 5:
   28534     $call8 = _agnxtnode($2, $n_0_in21) | 0;
   28535     $tobool = ($call8 | 0) == 0;
   28536     if ($tobool) {
   28537       label = 6;
   28538       break;
   28539     } else {
   28540       $n_0_in21 = $call8;
   28541       label = 3;
   28542       break;
   28543     }
   28544    case 6:
   28545     $3 = $call;
   28546     $call10 = _agfstnode($3) | 0;
   28547     $tobool1216 = ($call10 | 0) == 0;
   28548     if ($tobool1216) {
   28549       label = 8;
   28550       break;
   28551     } else {
   28552       $n_1_in17 = $call10;
   28553       label = 7;
   28554       break;
   28555     }
   28556    case 7:
   28557     $4 = $n_1_in17 | 0;
   28558     _agdelete($0, $4);
   28559     $call15 = _agnxtnode($3, $n_1_in17) | 0;
   28560     $tobool12 = ($call15 | 0) == 0;
   28561     if ($tobool12) {
   28562       label = 8;
   28563       break;
   28564     } else {
   28565       $n_1_in17 = $call15;
   28566       label = 7;
   28567       break;
   28568     }
   28569    case 8:
   28570     _agclose($call);
   28571     return;
   28572   }
   28573 }
   28574 function _undoCompound($e, $clg) {
   28575   $e = $e | 0;
   28576   $clg = $clg | 0;
   28577   var $tail = 0, $0 = 0, $head = 0, $1 = 0, $clustnode = 0, $2 = 0, $tobool = 0, $clustnode2 = 0, $3 = 0, $tobool4 = 0, $call = 0, $call5 = 0, $call6 = 0, label = 0;
   28578   label = 2;
   28579   while (1) switch (label | 0) {
   28580    case 2:
   28581     $tail = $e + 16 | 0;
   28582     $0 = HEAP32[$tail >> 2] | 0;
   28583     $head = $e + 12 | 0;
   28584     $1 = HEAP32[$head >> 2] | 0;
   28585     $clustnode = $0 + 134 | 0;
   28586     $2 = HEAP8[$clustnode] | 0;
   28587     $tobool = $2 << 24 >> 24 == 0;
   28588     if ($tobool) {
   28589       label = 3;
   28590       break;
   28591     } else {
   28592       label = 4;
   28593       break;
   28594     }
   28595    case 3:
   28596     $clustnode2 = $1 + 134 | 0;
   28597     $3 = HEAP8[$clustnode2] | 0;
   28598     $tobool4 = $3 << 24 >> 24 == 0;
   28599     if ($tobool4) {
   28600       label = 5;
   28601       break;
   28602     } else {
   28603       label = 4;
   28604       break;
   28605     }
   28606    case 4:
   28607     $call = _mapN($0, $clg) | 0;
   28608     $call5 = _mapN($1, $clg) | 0;
   28609     $call6 = _cloneEdge($e, $call, $call5) | 0;
   28610     label = 5;
   28611     break;
   28612    case 5:
   28613     return;
   28614   }
   28615 }
   28616 function _safe_dcl($g, $obj, $name, $def, $fun) {
   28617   $g = $g | 0;
   28618   $obj = $obj | 0;
   28619   $name = $name | 0;
   28620   $def = $def | 0;
   28621   $fun = $fun | 0;
   28622   var $call = 0, $cmp = 0, $call1 = 0, $a_0 = 0, label = 0;
   28623   label = 2;
   28624   while (1) switch (label | 0) {
   28625    case 2:
   28626     $call = _agfindattr($obj, $name) | 0;
   28627     $cmp = ($call | 0) == 0;
   28628     if ($cmp) {
   28629       label = 3;
   28630       break;
   28631     } else {
   28632       $a_0 = $call;
   28633       label = 4;
   28634       break;
   28635     }
   28636    case 3:
   28637     $call1 = FUNCTION_TABLE_iiii[$fun & 1023]($g, $name, $def) | 0;
   28638     $a_0 = $call1;
   28639     label = 4;
   28640     break;
   28641    case 4:
   28642     return $a_0 | 0;
   28643   }
   28644   return 0;
   28645 }
   28646 function _scanEntity($t, $xb) {
   28647   $t = $t | 0;
   28648   $xb = $xb | 0;
   28649   var $key = 0, $buf = 0, $call = 0, $ptr = 0, $0 = 0, $eptr = 0, $1 = 0, $cmp = 0, $call1 = 0, $2 = 0, $incdec_ptr = 0, $tobool = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $sub_ptr_sub_off = 0, $3 = 0, $arraydecay = 0, $call7 = 0, $arrayidx = 0, $name = 0, $4 = 0, $call9 = 0, $tobool10 = 0, $value = 0, $5 = 0, $6 = 0, $call14 = 0, $7 = 0, $8 = 0, $cmp17 = 0, $call19 = 0, $9 = 0, $incdec_ptr24 = 0, $call26 = 0, $10 = 0, $11 = 0, $cmp29 = 0, $call31 = 0, $12 = 0, $incdec_ptr36 = 0, $add_ptr = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   28650   __stackBase__ = STACKTOP;
   28651   STACKTOP = STACKTOP + 24 | 0;
   28652   label = 2;
   28653   while (1) switch (label | 0) {
   28654    case 2:
   28655     $key = __stackBase__ | 0;
   28656     $buf = __stackBase__ + 8 | 0;
   28657     $call = _strchr($t | 0, 59) | 0;
   28658     $ptr = $xb + 4 | 0;
   28659     $0 = HEAP32[$ptr >> 2] | 0;
   28660     $eptr = $xb + 8 | 0;
   28661     $1 = HEAP32[$eptr >> 2] | 0;
   28662     $cmp = $0 >>> 0 < $1 >>> 0;
   28663     if ($cmp) {
   28664       label = 4;
   28665       break;
   28666     } else {
   28667       label = 3;
   28668       break;
   28669     }
   28670    case 3:
   28671     $call1 = _agxbmore($xb, 1) | 0;
   28672     label = 4;
   28673     break;
   28674    case 4:
   28675     $2 = HEAP32[$ptr >> 2] | 0;
   28676     $incdec_ptr = $2 + 1 | 0;
   28677     HEAP32[$ptr >> 2] = $incdec_ptr;
   28678     HEAP8[$2] = 38;
   28679     $tobool = ($call | 0) == 0;
   28680     if ($tobool) {
   28681       $retval_0 = $t;
   28682       label = 12;
   28683       break;
   28684     } else {
   28685       label = 5;
   28686       break;
   28687     }
   28688    case 5:
   28689     $sub_ptr_lhs_cast = $call;
   28690     $sub_ptr_rhs_cast = $t;
   28691     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   28692     $sub_ptr_sub_off = $sub_ptr_sub - 2 | 0;
   28693     $3 = $sub_ptr_sub_off >>> 0 > 6;
   28694     if ($3) {
   28695       $retval_0 = $t;
   28696       label = 12;
   28697       break;
   28698     } else {
   28699       label = 6;
   28700       break;
   28701     }
   28702    case 6:
   28703     $arraydecay = $buf | 0;
   28704     $call7 = _strncpy($arraydecay | 0, $t | 0, $sub_ptr_sub | 0) | 0;
   28705     $arrayidx = $buf + $sub_ptr_sub | 0;
   28706     HEAP8[$arrayidx] = 0;
   28707     $name = $key | 0;
   28708     HEAP32[$name >> 2] = $arraydecay;
   28709     $4 = $key;
   28710     $call9 = _bsearch($4 | 0, 18184, 252, 8, 176) | 0;
   28711     $tobool10 = ($call9 | 0) == 0;
   28712     if ($tobool10) {
   28713       $retval_0 = $t;
   28714       label = 12;
   28715       break;
   28716     } else {
   28717       label = 7;
   28718       break;
   28719     }
   28720    case 7:
   28721     $value = $call9 + 4 | 0;
   28722     $5 = $value;
   28723     $6 = HEAP32[$5 >> 2] | 0;
   28724     $call14 = _sprintf($arraydecay | 0, 130264, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $6, tempInt) | 0) | 0;
   28725     $7 = HEAP32[$ptr >> 2] | 0;
   28726     $8 = HEAP32[$eptr >> 2] | 0;
   28727     $cmp17 = $7 >>> 0 < $8 >>> 0;
   28728     if ($cmp17) {
   28729       label = 9;
   28730       break;
   28731     } else {
   28732       label = 8;
   28733       break;
   28734     }
   28735    case 8:
   28736     $call19 = _agxbmore($xb, 1) | 0;
   28737     label = 9;
   28738     break;
   28739    case 9:
   28740     $9 = HEAP32[$ptr >> 2] | 0;
   28741     $incdec_ptr24 = $9 + 1 | 0;
   28742     HEAP32[$ptr >> 2] = $incdec_ptr24;
   28743     HEAP8[$9] = 35;
   28744     $call26 = _agxbput($xb, $arraydecay) | 0;
   28745     $10 = HEAP32[$ptr >> 2] | 0;
   28746     $11 = HEAP32[$eptr >> 2] | 0;
   28747     $cmp29 = $10 >>> 0 < $11 >>> 0;
   28748     if ($cmp29) {
   28749       label = 11;
   28750       break;
   28751     } else {
   28752       label = 10;
   28753       break;
   28754     }
   28755    case 10:
   28756     $call31 = _agxbmore($xb, 1) | 0;
   28757     label = 11;
   28758     break;
   28759    case 11:
   28760     $12 = HEAP32[$ptr >> 2] | 0;
   28761     $incdec_ptr36 = $12 + 1 | 0;
   28762     HEAP32[$ptr >> 2] = $incdec_ptr36;
   28763     HEAP8[$12] = 59;
   28764     $add_ptr = $call + 1 | 0;
   28765     $retval_0 = $add_ptr;
   28766     label = 12;
   28767     break;
   28768    case 12:
   28769     STACKTOP = __stackBase__;
   28770     return $retval_0 | 0;
   28771   }
   28772   return 0;
   28773 }
   28774 function _comp_entities($e1, $e2) {
   28775   $e1 = $e1 | 0;
   28776   $e2 = $e2 | 0;
   28777   return _strcmp(HEAP32[$e1 >> 2] | 0, HEAP32[$e2 >> 2] | 0) | 0;
   28778 }
   28779 function _htmlEntityUTF8($s) {
   28780   $s = $s | 0;
   28781   var $s_addr = 0, $xb = 0, $buf = 0, $arraydecay = 0, $0 = 0, $incdec_ptr17 = 0, $1 = 0, $tobool18 = 0, $ptr = 0, $eptr = 0, $ptr142 = 0, $eptr143 = 0, $ptr78 = 0, $eptr79 = 0, $ptr108 = 0, $eptr109 = 0, $2 = 0, $incdec_ptr19 = 0, $3 = 0, $cmp = 0, $cmp3 = 0, $call = 0, $tobool6 = 0, $cmp8 = 0, $conv11 = 0, $cmp12 = 0, $4 = 0, $5 = 0, $cmp15 = 0, $call17 = 0, $shr = 0, $or = 0, $conv20 = 0, $6 = 0, $incdec_ptr22 = 0, $and = 0, $or24 = 0, $conv25 = 0, $call32 = 0, $shr36 = 0, $or39 = 0, $conv40 = 0, $7 = 0, $incdec_ptr42 = 0, $8 = 0, $9 = 0, $cmp46 = 0, $call49 = 0, $shr53 = 0, $conv56 = 0, $or57 = 0, $conv58 = 0, $10 = 0, $incdec_ptr60 = 0, $and62 = 0, $or63 = 0, $conv64 = 0, $cmp70 = 0, $11 = 0, $and74 = 0, $cmp75 = 0, $12 = 0, $13 = 0, $cmp80 = 0, $call83 = 0, $14 = 0, $incdec_ptr88 = 0, $15 = 0, $incdec_ptr90 = 0, $16 = 0, $call92 = 0, $cmp96 = 0, $17 = 0, $and100 = 0, $cmp101 = 0, $arrayidx = 0, $18 = 0, $and104 = 0, $cmp105 = 0, $19 = 0, $20 = 0, $cmp110 = 0, $call113 = 0, $21 = 0, $incdec_ptr118 = 0, $22 = 0, $incdec_ptr120 = 0, $23 = 0, $24 = 0, $25 = 0, $cmp123 = 0, $call126 = 0, $26 = 0, $incdec_ptr131 = 0, $27 = 0, $incdec_ptr133 = 0, $28 = 0, $call135 = 0, $call138 = 0, $c_0 = 0, $29 = 0, $30 = 0, $cmp144 = 0, $call147 = 0, $31 = 0, $incdec_ptr152 = 0, $32 = 0, $incdec_ptr = 0, $33 = 0, $tobool = 0, $ptr154 = 0, $34 = 0, $eptr155 = 0, $35 = 0, $cmp156 = 0, $call159 = 0, $36 = 0, $buf165 = 0, $37 = 0, $call167 = 0, label = 0, __stackBase__ = 0;
   28782   __stackBase__ = STACKTOP;
   28783   STACKTOP = STACKTOP + 1048 | 0;
   28784   label = 2;
   28785   while (1) switch (label | 0) {
   28786    case 2:
   28787     $s_addr = __stackBase__ | 0;
   28788     $xb = __stackBase__ + 8 | 0;
   28789     $buf = __stackBase__ + 24 | 0;
   28790     HEAP32[$s_addr >> 2] = $s;
   28791     $arraydecay = $buf | 0;
   28792     _agxbinit($xb, 1024, $arraydecay);
   28793     $0 = HEAP32[$s_addr >> 2] | 0;
   28794     $incdec_ptr17 = $0 + 1 | 0;
   28795     HEAP32[$s_addr >> 2] = $incdec_ptr17;
   28796     $1 = HEAP8[$0] | 0;
   28797     $tobool18 = $1 << 24 >> 24 == 0;
   28798     if ($tobool18) {
   28799       label = 37;
   28800       break;
   28801     } else {
   28802       label = 3;
   28803       break;
   28804     }
   28805    case 3:
   28806     $ptr = $xb + 4 | 0;
   28807     $eptr = $xb + 8 | 0;
   28808     $ptr142 = $xb + 4 | 0;
   28809     $eptr143 = $xb + 8 | 0;
   28810     $ptr78 = $xb + 4 | 0;
   28811     $eptr79 = $xb + 8 | 0;
   28812     $ptr108 = $xb + 4 | 0;
   28813     $eptr109 = $xb + 8 | 0;
   28814     $3 = $0;
   28815     $incdec_ptr19 = $incdec_ptr17;
   28816     $2 = $1;
   28817     label = 4;
   28818     break;
   28819    case 4:
   28820     $cmp = ($2 & 255) < 192;
   28821     if ($cmp) {
   28822       label = 5;
   28823       break;
   28824     } else {
   28825       label = 18;
   28826       break;
   28827     }
   28828    case 5:
   28829     $cmp3 = $2 << 24 >> 24 == 38;
   28830     if ($cmp3) {
   28831       label = 6;
   28832       break;
   28833     } else {
   28834       $c_0 = $2;
   28835       label = 34;
   28836       break;
   28837     }
   28838    case 6:
   28839     $call = _htmlEntity($s_addr) | 0;
   28840     $tobool6 = ($call | 0) == 0;
   28841     if ($tobool6) {
   28842       $c_0 = 38;
   28843       label = 34;
   28844       break;
   28845     } else {
   28846       label = 7;
   28847       break;
   28848     }
   28849    case 7:
   28850     $cmp8 = $call >>> 0 < 127;
   28851     if ($cmp8) {
   28852       label = 8;
   28853       break;
   28854     } else {
   28855       label = 9;
   28856       break;
   28857     }
   28858    case 8:
   28859     $conv11 = $call & 255;
   28860     $c_0 = $conv11;
   28861     label = 34;
   28862     break;
   28863    case 9:
   28864     $cmp12 = $call >>> 0 < 2047;
   28865     $4 = HEAP32[$ptr >> 2] | 0;
   28866     $5 = HEAP32[$eptr >> 2] | 0;
   28867     $cmp15 = $4 >>> 0 >= $5 >>> 0;
   28868     if ($cmp12) {
   28869       label = 10;
   28870       break;
   28871     } else {
   28872       label = 13;
   28873       break;
   28874     }
   28875    case 10:
   28876     if ($cmp15) {
   28877       label = 11;
   28878       break;
   28879     } else {
   28880       label = 12;
   28881       break;
   28882     }
   28883    case 11:
   28884     $call17 = _agxbmore($xb, 1) | 0;
   28885     label = 12;
   28886     break;
   28887    case 12:
   28888     $shr = $call >>> 6;
   28889     $or = $shr | 192;
   28890     $conv20 = $or & 255;
   28891     $6 = HEAP32[$ptr >> 2] | 0;
   28892     $incdec_ptr22 = $6 + 1 | 0;
   28893     HEAP32[$ptr >> 2] = $incdec_ptr22;
   28894     HEAP8[$6] = $conv20;
   28895     $and = $call & 63;
   28896     $or24 = $and | 128;
   28897     $conv25 = $or24 & 255;
   28898     $c_0 = $conv25;
   28899     label = 34;
   28900     break;
   28901    case 13:
   28902     if ($cmp15) {
   28903       label = 14;
   28904       break;
   28905     } else {
   28906       label = 15;
   28907       break;
   28908     }
   28909    case 14:
   28910     $call32 = _agxbmore($xb, 1) | 0;
   28911     label = 15;
   28912     break;
   28913    case 15:
   28914     $shr36 = $call >>> 12;
   28915     $or39 = $shr36 | 224;
   28916     $conv40 = $or39 & 255;
   28917     $7 = HEAP32[$ptr >> 2] | 0;
   28918     $incdec_ptr42 = $7 + 1 | 0;
   28919     HEAP32[$ptr >> 2] = $incdec_ptr42;
   28920     HEAP8[$7] = $conv40;
   28921     $8 = HEAP32[$ptr >> 2] | 0;
   28922     $9 = HEAP32[$eptr >> 2] | 0;
   28923     $cmp46 = $8 >>> 0 < $9 >>> 0;
   28924     if ($cmp46) {
   28925       label = 17;
   28926       break;
   28927     } else {
   28928       label = 16;
   28929       break;
   28930     }
   28931    case 16:
   28932     $call49 = _agxbmore($xb, 1) | 0;
   28933     label = 17;
   28934     break;
   28935    case 17:
   28936     $shr53 = $call >>> 6;
   28937     $conv56 = $shr53 & 63;
   28938     $or57 = $conv56 | 128;
   28939     $conv58 = $or57 & 255;
   28940     $10 = HEAP32[$ptr >> 2] | 0;
   28941     $incdec_ptr60 = $10 + 1 | 0;
   28942     HEAP32[$ptr >> 2] = $incdec_ptr60;
   28943     HEAP8[$10] = $conv58;
   28944     $and62 = $call & 63;
   28945     $or63 = $and62 | 128;
   28946     $conv64 = $or63 & 255;
   28947     $c_0 = $conv64;
   28948     label = 34;
   28949     break;
   28950    case 18:
   28951     $cmp70 = ($2 & 255) < 224;
   28952     if ($cmp70) {
   28953       label = 19;
   28954       break;
   28955     } else {
   28956       label = 24;
   28957       break;
   28958     }
   28959    case 19:
   28960     $11 = HEAP8[$incdec_ptr19] | 0;
   28961     $and74 = $11 & -64;
   28962     $cmp75 = $and74 << 24 >> 24 == -128;
   28963     if ($cmp75) {
   28964       label = 20;
   28965       break;
   28966     } else {
   28967       label = 23;
   28968       break;
   28969     }
   28970    case 20:
   28971     $12 = HEAP32[$ptr78 >> 2] | 0;
   28972     $13 = HEAP32[$eptr79 >> 2] | 0;
   28973     $cmp80 = $12 >>> 0 < $13 >>> 0;
   28974     if ($cmp80) {
   28975       label = 22;
   28976       break;
   28977     } else {
   28978       label = 21;
   28979       break;
   28980     }
   28981    case 21:
   28982     $call83 = _agxbmore($xb, 1) | 0;
   28983     label = 22;
   28984     break;
   28985    case 22:
   28986     $14 = HEAP32[$ptr78 >> 2] | 0;
   28987     $incdec_ptr88 = $14 + 1 | 0;
   28988     HEAP32[$ptr78 >> 2] = $incdec_ptr88;
   28989     HEAP8[$14] = $2;
   28990     $15 = HEAP32[$s_addr >> 2] | 0;
   28991     $incdec_ptr90 = $15 + 1 | 0;
   28992     HEAP32[$s_addr >> 2] = $incdec_ptr90;
   28993     $16 = HEAP8[$15] | 0;
   28994     $c_0 = $16;
   28995     label = 34;
   28996     break;
   28997    case 23:
   28998     $call92 = _agerr(1, 128248, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0) | 0;
   28999     _exit(1);
   29000     return 0;
   29001    case 24:
   29002     $cmp96 = ($2 & 255) < 240;
   29003     if ($cmp96) {
   29004       label = 25;
   29005       break;
   29006     } else {
   29007       label = 33;
   29008       break;
   29009     }
   29010    case 25:
   29011     $17 = HEAP8[$incdec_ptr19] | 0;
   29012     $and100 = $17 & -64;
   29013     $cmp101 = $and100 << 24 >> 24 == -128;
   29014     if ($cmp101) {
   29015       label = 26;
   29016       break;
   29017     } else {
   29018       label = 32;
   29019       break;
   29020     }
   29021    case 26:
   29022     $arrayidx = $3 + 2 | 0;
   29023     $18 = HEAP8[$arrayidx] | 0;
   29024     $and104 = $18 & -64;
   29025     $cmp105 = $and104 << 24 >> 24 == -128;
   29026     if ($cmp105) {
   29027       label = 27;
   29028       break;
   29029     } else {
   29030       label = 32;
   29031       break;
   29032     }
   29033    case 27:
   29034     $19 = HEAP32[$ptr108 >> 2] | 0;
   29035     $20 = HEAP32[$eptr109 >> 2] | 0;
   29036     $cmp110 = $19 >>> 0 < $20 >>> 0;
   29037     if ($cmp110) {
   29038       label = 29;
   29039       break;
   29040     } else {
   29041       label = 28;
   29042       break;
   29043     }
   29044    case 28:
   29045     $call113 = _agxbmore($xb, 1) | 0;
   29046     label = 29;
   29047     break;
   29048    case 29:
   29049     $21 = HEAP32[$ptr108 >> 2] | 0;
   29050     $incdec_ptr118 = $21 + 1 | 0;
   29051     HEAP32[$ptr108 >> 2] = $incdec_ptr118;
   29052     HEAP8[$21] = $2;
   29053     $22 = HEAP32[$s_addr >> 2] | 0;
   29054     $incdec_ptr120 = $22 + 1 | 0;
   29055     HEAP32[$s_addr >> 2] = $incdec_ptr120;
   29056     $23 = HEAP8[$22] | 0;
   29057     $24 = HEAP32[$ptr108 >> 2] | 0;
   29058     $25 = HEAP32[$eptr109 >> 2] | 0;
   29059     $cmp123 = $24 >>> 0 < $25 >>> 0;
   29060     if ($cmp123) {
   29061       label = 31;
   29062       break;
   29063     } else {
   29064       label = 30;
   29065       break;
   29066     }
   29067    case 30:
   29068     $call126 = _agxbmore($xb, 1) | 0;
   29069     label = 31;
   29070     break;
   29071    case 31:
   29072     $26 = HEAP32[$ptr108 >> 2] | 0;
   29073     $incdec_ptr131 = $26 + 1 | 0;
   29074     HEAP32[$ptr108 >> 2] = $incdec_ptr131;
   29075     HEAP8[$26] = $23;
   29076     $27 = HEAP32[$s_addr >> 2] | 0;
   29077     $incdec_ptr133 = $27 + 1 | 0;
   29078     HEAP32[$s_addr >> 2] = $incdec_ptr133;
   29079     $28 = HEAP8[$27] | 0;
   29080     $c_0 = $28;
   29081     label = 34;
   29082     break;
   29083    case 32:
   29084     $call135 = _agerr(1, 125632, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0) | 0;
   29085     _exit(1);
   29086     return 0;
   29087    case 33:
   29088     $call138 = _agerr(1, 123560, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0) | 0;
   29089     _exit(1);
   29090     return 0;
   29091    case 34:
   29092     $29 = HEAP32[$ptr142 >> 2] | 0;
   29093     $30 = HEAP32[$eptr143 >> 2] | 0;
   29094     $cmp144 = $29 >>> 0 < $30 >>> 0;
   29095     if ($cmp144) {
   29096       label = 36;
   29097       break;
   29098     } else {
   29099       label = 35;
   29100       break;
   29101     }
   29102    case 35:
   29103     $call147 = _agxbmore($xb, 1) | 0;
   29104     label = 36;
   29105     break;
   29106    case 36:
   29107     $31 = HEAP32[$ptr142 >> 2] | 0;
   29108     $incdec_ptr152 = $31 + 1 | 0;
   29109     HEAP32[$ptr142 >> 2] = $incdec_ptr152;
   29110     HEAP8[$31] = $c_0;
   29111     $32 = HEAP32[$s_addr >> 2] | 0;
   29112     $incdec_ptr = $32 + 1 | 0;
   29113     HEAP32[$s_addr >> 2] = $incdec_ptr;
   29114     $33 = HEAP8[$32] | 0;
   29115     $tobool = $33 << 24 >> 24 == 0;
   29116     if ($tobool) {
   29117       label = 37;
   29118       break;
   29119     } else {
   29120       $3 = $32;
   29121       $incdec_ptr19 = $incdec_ptr;
   29122       $2 = $33;
   29123       label = 4;
   29124       break;
   29125     }
   29126    case 37:
   29127     $ptr154 = $xb + 4 | 0;
   29128     $34 = HEAP32[$ptr154 >> 2] | 0;
   29129     $eptr155 = $xb + 8 | 0;
   29130     $35 = HEAP32[$eptr155 >> 2] | 0;
   29131     $cmp156 = $34 >>> 0 < $35 >>> 0;
   29132     if ($cmp156) {
   29133       label = 39;
   29134       break;
   29135     } else {
   29136       label = 38;
   29137       break;
   29138     }
   29139    case 38:
   29140     $call159 = _agxbmore($xb, 1) | 0;
   29141     label = 39;
   29142     break;
   29143    case 39:
   29144     $36 = HEAP32[$ptr154 >> 2] | 0;
   29145     HEAP8[$36] = 0;
   29146     $buf165 = $xb | 0;
   29147     $37 = HEAP32[$buf165 >> 2] | 0;
   29148     HEAP32[$ptr154 >> 2] = $37;
   29149     $call167 = _strdup($37 | 0) | 0;
   29150     _agxbfree($xb);
   29151     STACKTOP = __stackBase__;
   29152     return $call167 | 0;
   29153   }
   29154   return 0;
   29155 }
   29156 function _mid_pointf($agg_result, $p, $q) {
   29157   $agg_result = $agg_result | 0;
   29158   $p = $p | 0;
   29159   $q = $q | 0;
   29160   var $div5 = 0.0, tempParam = 0, __stackBase__ = 0;
   29161   __stackBase__ = STACKTOP;
   29162   tempParam = $p;
   29163   $p = STACKTOP;
   29164   STACKTOP = STACKTOP + 16 | 0;
   29165   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   29166   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   29167   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   29168   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   29169   tempParam = $q;
   29170   $q = STACKTOP;
   29171   STACKTOP = STACKTOP + 16 | 0;
   29172   HEAP32[$q >> 2] = HEAP32[tempParam >> 2] | 0;
   29173   HEAP32[$q + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   29174   HEAP32[$q + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   29175   HEAP32[$q + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   29176   $div5 = (+HEAPF64[$p + 8 >> 3] + +HEAPF64[$q + 8 >> 3]) * .5;
   29177   HEAPF64[$agg_result >> 3] = (+HEAPF64[$p >> 3] + +HEAPF64[$q >> 3]) * .5;
   29178   HEAPF64[$agg_result + 8 >> 3] = $div5;
   29179   STACKTOP = __stackBase__;
   29180   return;
   29181 }
   29182 function _boxf_overlap246($b0, $b1) {
   29183   $b0 = $b0 | 0;
   29184   $b1 = $b1 | 0;
   29185   var $x = 0, $0 = 0.0, $x1 = 0, $1 = 0.0, $cmp = 0, $x3 = 0, $2 = 0.0, $x5 = 0, $3 = 0.0, $cmp6 = 0, $y = 0, $4 = 0.0, $y10 = 0, $5 = 0.0, $cmp11 = 0, $y13 = 0, $6 = 0.0, $y15 = 0, $7 = 0.0, $cmp16 = 0, $phitmp = 0, $8 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   29186   __stackBase__ = STACKTOP;
   29187   tempParam = $b0;
   29188   $b0 = STACKTOP;
   29189   STACKTOP = STACKTOP + 32 | 0;
   29190   _memcpy($b0, tempParam, 32);
   29191   tempParam = $b1;
   29192   $b1 = STACKTOP;
   29193   STACKTOP = STACKTOP + 32 | 0;
   29194   _memcpy($b1, tempParam, 32);
   29195   label = 2;
   29196   while (1) switch (label | 0) {
   29197    case 2:
   29198     $x = $b0 + 16 | 0;
   29199     $0 = +HEAPF64[$x >> 3];
   29200     $x1 = $b1 | 0;
   29201     $1 = +HEAPF64[$x1 >> 3];
   29202     $cmp = $0 < $1;
   29203     if ($cmp) {
   29204       $8 = 0;
   29205       label = 6;
   29206       break;
   29207     } else {
   29208       label = 3;
   29209       break;
   29210     }
   29211    case 3:
   29212     $x3 = $b1 + 16 | 0;
   29213     $2 = +HEAPF64[$x3 >> 3];
   29214     $x5 = $b0 | 0;
   29215     $3 = +HEAPF64[$x5 >> 3];
   29216     $cmp6 = $2 < $3;
   29217     if ($cmp6) {
   29218       $8 = 0;
   29219       label = 6;
   29220       break;
   29221     } else {
   29222       label = 4;
   29223       break;
   29224     }
   29225    case 4:
   29226     $y = $b0 + 24 | 0;
   29227     $4 = +HEAPF64[$y >> 3];
   29228     $y10 = $b1 + 8 | 0;
   29229     $5 = +HEAPF64[$y10 >> 3];
   29230     $cmp11 = $4 < $5;
   29231     if ($cmp11) {
   29232       $8 = 0;
   29233       label = 6;
   29234       break;
   29235     } else {
   29236       label = 5;
   29237       break;
   29238     }
   29239    case 5:
   29240     $y13 = $b1 + 24 | 0;
   29241     $6 = +HEAPF64[$y13 >> 3];
   29242     $y15 = $b0 + 8 | 0;
   29243     $7 = +HEAPF64[$y15 >> 3];
   29244     $cmp16 = $6 >= $7;
   29245     $phitmp = $cmp16 & 1;
   29246     $8 = $phitmp;
   29247     label = 6;
   29248     break;
   29249    case 6:
   29250     STACKTOP = __stackBase__;
   29251     return $8 | 0;
   29252   }
   29253   return 0;
   29254 }
   29255 function _htmlEntity($s) {
   29256   $s = $s | 0;
   29257   var $key = 0, $entity_name_buf = 0, $0 = 0, $1 = 0, $cmp = 0, $add_ptr = 0, $2 = 0, $add_ptr10 = 0, $3 = 0, $conv11 = 0, $_off44 = 0, $4 = 0, $add = 0, $_off45 = 0, $5 = 0, $add24 = 0, $_off46 = 0, $6 = 0, $sub32 = 0, $byte_1 = 0, $add_ptr10_1 = 0, $7 = 0, $conv11_1 = 0, $_off44_1 = 0, $8 = 0, $add_ptr42 = 0, $9 = 0, $conv43 = 0, $_off = 0, $10 = 0, $add52 = 0, $add_ptr42_1 = 0, $11 = 0, $conv43_1 = 0, $_off_1 = 0, $12 = 0, $byte_3 = 0, $i_2 = 0, $n_2 = 0, $cmp59 = 0, $add_ptr63 = 0, $arraydecay = 0, $name = 0, $13 = 0, $p_055_lcssa58 = 0, $i_356_lcssa57 = 0, $14 = 0, $call = 0, $tobool = 0, $value = 0, $15 = 0, $16 = 0, $add_ptr82 = 0, $incdec_ptr86 = 0, $add_ptr71_1 = 0, $17 = 0, $str_0 = 0, $n_3 = 0, $mul50_1 = 0, $sub51_1 = 0, $add52_1 = 0, $add_ptr42_2 = 0, $18 = 0, $conv43_2 = 0, $_off_2 = 0, $19 = 0, $mul50_2 = 0, $sub51_2 = 0, $add52_2 = 0, $add_ptr42_3 = 0, $20 = 0, $conv43_3 = 0, $_off_3 = 0, $21 = 0, $mul50_3 = 0, $sub51_3 = 0, $add52_3 = 0, $add_ptr42_4 = 0, $22 = 0, $conv43_4 = 0, $_off_4 = 0, $23 = 0, $mul50_4 = 0, $sub51_4 = 0, $add52_4 = 0, $add_ptr42_5 = 0, $24 = 0, $conv43_5 = 0, $_off_5 = 0, $25 = 0, $mul50_5 = 0, $sub51_5 = 0, $add52_5 = 0, $add_ptr42_6 = 0, $26 = 0, $conv43_6 = 0, $_off_6 = 0, $27 = 0, $mul50_6 = 0, $sub51_6 = 0, $add52_6 = 0, $_off45_1 = 0, $28 = 0, $_off46_1 = 0, $29 = 0, $sub32_1 = 0, $add24_1 = 0, $add_1 = 0, $byte_1_1 = 0, $mul_1 = 0, $add36_1 = 0, $add_ptr10_2 = 0, $30 = 0, $conv11_2 = 0, $_off44_2 = 0, $31 = 0, $_off45_2 = 0, $32 = 0, $_off46_2 = 0, $33 = 0, $sub32_2 = 0, $add24_2 = 0, $add_2 = 0, $byte_1_2 = 0, $mul_2 = 0, $add36_2 = 0, $add_ptr10_3 = 0, $34 = 0, $conv11_3 = 0, $_off44_3 = 0, $35 = 0, $_off45_3 = 0, $36 = 0, $_off46_3 = 0, $37 = 0, $sub32_3 = 0, $add24_3 = 0, $add_3 = 0, $byte_1_3 = 0, $mul_3 = 0, $add36_3 = 0, $add_ptr10_4 = 0, $38 = 0, $conv11_4 = 0, $_off44_4 = 0, $39 = 0, $_off45_4 = 0, $40 = 0, $_off46_4 = 0, $41 = 0, $sub32_4 = 0, $add24_4 = 0, $add_4 = 0, $byte_1_4 = 0, $mul_4 = 0, $add36_4 = 0, $add_ptr10_5 = 0, $42 = 0, $conv11_5 = 0, $_off44_5 = 0, $43 = 0, $_off45_5 = 0, $44 = 0, $_off46_5 = 0, $45 = 0, $sub32_5 = 0, $add24_5 = 0, $add_5 = 0, $byte_1_5 = 0, $mul_5 = 0, $add36_5 = 0, $incdec_ptr86_1 = 0, $add_ptr71_2 = 0, $46 = 0, $incdec_ptr86_2 = 0, $add_ptr71_3 = 0, $47 = 0, $incdec_ptr86_3 = 0, $add_ptr71_4 = 0, $48 = 0, $incdec_ptr86_4 = 0, $add_ptr71_5 = 0, $49 = 0, $incdec_ptr86_5 = 0, $add_ptr71_6 = 0, $50 = 0, $incdec_ptr86_6 = 0, $add_ptr71_7 = 0, $51 = 0, label = 0, __stackBase__ = 0;
   29258   __stackBase__ = STACKTOP;
   29259   STACKTOP = STACKTOP + 24 | 0;
   29260   label = 2;
   29261   while (1) switch (label | 0) {
   29262    case 2:
   29263     $key = __stackBase__ | 0;
   29264     $entity_name_buf = __stackBase__ + 8 | 0;
   29265     $0 = HEAP32[$s >> 2] | 0;
   29266     $1 = HEAP8[$0] | 0;
   29267     $cmp = $1 << 24 >> 24 == 35;
   29268     if ($cmp) {
   29269       label = 3;
   29270       break;
   29271     } else {
   29272       label = 15;
   29273       break;
   29274     }
   29275    case 3:
   29276     $add_ptr = $0 + 1 | 0;
   29277     $2 = HEAP8[$add_ptr] | 0;
   29278     if (($2 << 24 >> 24 | 0) == 120 | ($2 << 24 >> 24 | 0) == 88) {
   29279       label = 4;
   29280       break;
   29281     } else {
   29282       label = 11;
   29283       break;
   29284     }
   29285    case 4:
   29286     $add_ptr10 = $0 + 2 | 0;
   29287     $3 = HEAP8[$add_ptr10] | 0;
   29288     $conv11 = $3 & 255;
   29289     $_off44 = $3 - 65 & 255;
   29290     $4 = ($_off44 & 255) < 6;
   29291     if ($4) {
   29292       label = 5;
   29293       break;
   29294     } else {
   29295       label = 6;
   29296       break;
   29297     }
   29298    case 5:
   29299     $add = $conv11 - 55 | 0;
   29300     $byte_1 = $add;
   29301     label = 10;
   29302     break;
   29303    case 6:
   29304     $_off45 = $3 - 97 & 255;
   29305     $5 = ($_off45 & 255) < 6;
   29306     if ($5) {
   29307       label = 7;
   29308       break;
   29309     } else {
   29310       label = 8;
   29311       break;
   29312     }
   29313    case 7:
   29314     $add24 = $conv11 - 87 | 0;
   29315     $byte_1 = $add24;
   29316     label = 10;
   29317     break;
   29318    case 8:
   29319     $_off46 = $3 - 48 & 255;
   29320     $6 = ($_off46 & 255) < 10;
   29321     if ($6) {
   29322       label = 9;
   29323       break;
   29324     } else {
   29325       $n_2 = 0;
   29326       $i_2 = 3;
   29327       $byte_3 = $conv11;
   29328       label = 13;
   29329       break;
   29330     }
   29331    case 9:
   29332     $sub32 = $conv11 - 48 | 0;
   29333     $byte_1 = $sub32;
   29334     label = 10;
   29335     break;
   29336    case 10:
   29337     $add_ptr10_1 = $0 + 3 | 0;
   29338     $7 = HEAP8[$add_ptr10_1] | 0;
   29339     $conv11_1 = $7 & 255;
   29340     $_off44_1 = $7 - 65 & 255;
   29341     $8 = ($_off44_1 & 255) < 6;
   29342     if ($8) {
   29343       label = 30;
   29344       break;
   29345     } else {
   29346       label = 26;
   29347       break;
   29348     }
   29349    case 11:
   29350     $add_ptr42 = $0 + 1 | 0;
   29351     $9 = HEAP8[$add_ptr42] | 0;
   29352     $conv43 = $9 & 255;
   29353     $_off = $9 - 48 & 255;
   29354     $10 = ($_off & 255) < 10;
   29355     if ($10) {
   29356       label = 12;
   29357       break;
   29358     } else {
   29359       $n_2 = 0;
   29360       $i_2 = 2;
   29361       $byte_3 = $conv43;
   29362       label = 13;
   29363       break;
   29364     }
   29365    case 12:
   29366     $add52 = $conv43 - 48 | 0;
   29367     $add_ptr42_1 = $0 + 2 | 0;
   29368     $11 = HEAP8[$add_ptr42_1] | 0;
   29369     $conv43_1 = $11 & 255;
   29370     $_off_1 = $11 - 48 & 255;
   29371     $12 = ($_off_1 & 255) < 10;
   29372     if ($12) {
   29373       label = 20;
   29374       break;
   29375     } else {
   29376       $n_2 = $add52;
   29377       $i_2 = 3;
   29378       $byte_3 = $conv43_1;
   29379       label = 13;
   29380       break;
   29381     }
   29382    case 13:
   29383     $cmp59 = ($byte_3 | 0) == 59;
   29384     if ($cmp59) {
   29385       label = 14;
   29386       break;
   29387     } else {
   29388       $n_3 = 0;
   29389       $str_0 = $0;
   29390       label = 19;
   29391       break;
   29392     }
   29393    case 14:
   29394     $add_ptr63 = $0 + $i_2 | 0;
   29395     $n_3 = $n_2;
   29396     $str_0 = $add_ptr63;
   29397     label = 19;
   29398     break;
   29399    case 15:
   29400     $arraydecay = $entity_name_buf | 0;
   29401     $name = $key | 0;
   29402     HEAP32[$name >> 2] = $arraydecay;
   29403     $13 = HEAP8[$0] | 0;
   29404     if (($13 << 24 >> 24 | 0) == 59) {
   29405       $i_356_lcssa57 = 1;
   29406       $p_055_lcssa58 = $arraydecay;
   29407       label = 16;
   29408       break;
   29409     } else if (($13 << 24 >> 24 | 0) == 0) {
   29410       $n_3 = 0;
   29411       $str_0 = $0;
   29412       label = 19;
   29413       break;
   29414     } else {
   29415       label = 18;
   29416       break;
   29417     }
   29418    case 16:
   29419     HEAP8[$p_055_lcssa58] = 0;
   29420     $14 = $key;
   29421     $call = _bsearch($14 | 0, 18184, 252, 8, 176) | 0;
   29422     $tobool = ($call | 0) == 0;
   29423     if ($tobool) {
   29424       $n_3 = 0;
   29425       $str_0 = $0;
   29426       label = 19;
   29427       break;
   29428     } else {
   29429       label = 17;
   29430       break;
   29431     }
   29432    case 17:
   29433     $value = $call + 4 | 0;
   29434     $15 = $value;
   29435     $16 = HEAP32[$15 >> 2] | 0;
   29436     $add_ptr82 = $0 + $i_356_lcssa57 | 0;
   29437     $n_3 = $16;
   29438     $str_0 = $add_ptr82;
   29439     label = 19;
   29440     break;
   29441    case 18:
   29442     $incdec_ptr86 = $entity_name_buf + 1 | 0;
   29443     HEAP8[$arraydecay] = $13;
   29444     $add_ptr71_1 = $0 + 1 | 0;
   29445     $17 = HEAP8[$add_ptr71_1] | 0;
   29446     if (($17 << 24 >> 24 | 0) == 59) {
   29447       $i_356_lcssa57 = 2;
   29448       $p_055_lcssa58 = $incdec_ptr86;
   29449       label = 16;
   29450       break;
   29451     } else if (($17 << 24 >> 24 | 0) == 0) {
   29452       $n_3 = 0;
   29453       $str_0 = $0;
   29454       label = 19;
   29455       break;
   29456     } else {
   29457       label = 56;
   29458       break;
   29459     }
   29460    case 19:
   29461     HEAP32[$s >> 2] = $str_0;
   29462     STACKTOP = __stackBase__;
   29463     return $n_3 | 0;
   29464    case 20:
   29465     $mul50_1 = $add52 * 10 & -1;
   29466     $sub51_1 = $mul50_1 - 48 | 0;
   29467     $add52_1 = $sub51_1 + $conv43_1 | 0;
   29468     $add_ptr42_2 = $0 + 3 | 0;
   29469     $18 = HEAP8[$add_ptr42_2] | 0;
   29470     $conv43_2 = $18 & 255;
   29471     $_off_2 = $18 - 48 & 255;
   29472     $19 = ($_off_2 & 255) < 10;
   29473     if ($19) {
   29474       label = 21;
   29475       break;
   29476     } else {
   29477       $n_2 = $add52_1;
   29478       $i_2 = 4;
   29479       $byte_3 = $conv43_2;
   29480       label = 13;
   29481       break;
   29482     }
   29483    case 21:
   29484     $mul50_2 = $add52_1 * 10 & -1;
   29485     $sub51_2 = $mul50_2 - 48 | 0;
   29486     $add52_2 = $sub51_2 + $conv43_2 | 0;
   29487     $add_ptr42_3 = $0 + 4 | 0;
   29488     $20 = HEAP8[$add_ptr42_3] | 0;
   29489     $conv43_3 = $20 & 255;
   29490     $_off_3 = $20 - 48 & 255;
   29491     $21 = ($_off_3 & 255) < 10;
   29492     if ($21) {
   29493       label = 22;
   29494       break;
   29495     } else {
   29496       $n_2 = $add52_2;
   29497       $i_2 = 5;
   29498       $byte_3 = $conv43_3;
   29499       label = 13;
   29500       break;
   29501     }
   29502    case 22:
   29503     $mul50_3 = $add52_2 * 10 & -1;
   29504     $sub51_3 = $mul50_3 - 48 | 0;
   29505     $add52_3 = $sub51_3 + $conv43_3 | 0;
   29506     $add_ptr42_4 = $0 + 5 | 0;
   29507     $22 = HEAP8[$add_ptr42_4] | 0;
   29508     $conv43_4 = $22 & 255;
   29509     $_off_4 = $22 - 48 & 255;
   29510     $23 = ($_off_4 & 255) < 10;
   29511     if ($23) {
   29512       label = 23;
   29513       break;
   29514     } else {
   29515       $n_2 = $add52_3;
   29516       $i_2 = 6;
   29517       $byte_3 = $conv43_4;
   29518       label = 13;
   29519       break;
   29520     }
   29521    case 23:
   29522     $mul50_4 = $add52_3 * 10 & -1;
   29523     $sub51_4 = $mul50_4 - 48 | 0;
   29524     $add52_4 = $sub51_4 + $conv43_4 | 0;
   29525     $add_ptr42_5 = $0 + 6 | 0;
   29526     $24 = HEAP8[$add_ptr42_5] | 0;
   29527     $conv43_5 = $24 & 255;
   29528     $_off_5 = $24 - 48 & 255;
   29529     $25 = ($_off_5 & 255) < 10;
   29530     if ($25) {
   29531       label = 24;
   29532       break;
   29533     } else {
   29534       $n_2 = $add52_4;
   29535       $i_2 = 7;
   29536       $byte_3 = $conv43_5;
   29537       label = 13;
   29538       break;
   29539     }
   29540    case 24:
   29541     $mul50_5 = $add52_4 * 10 & -1;
   29542     $sub51_5 = $mul50_5 - 48 | 0;
   29543     $add52_5 = $sub51_5 + $conv43_5 | 0;
   29544     $add_ptr42_6 = $0 + 7 | 0;
   29545     $26 = HEAP8[$add_ptr42_6] | 0;
   29546     $conv43_6 = $26 & 255;
   29547     $_off_6 = $26 - 48 & 255;
   29548     $27 = ($_off_6 & 255) < 10;
   29549     if ($27) {
   29550       label = 25;
   29551       break;
   29552     } else {
   29553       $n_2 = $add52_5;
   29554       $i_2 = 8;
   29555       $byte_3 = $conv43_6;
   29556       label = 13;
   29557       break;
   29558     }
   29559    case 25:
   29560     $mul50_6 = $add52_5 * 10 & -1;
   29561     $sub51_6 = $mul50_6 - 48 | 0;
   29562     $add52_6 = $sub51_6 + $conv43_6 | 0;
   29563     $n_2 = $add52_6;
   29564     $i_2 = 9;
   29565     $byte_3 = $conv43_6;
   29566     label = 13;
   29567     break;
   29568    case 26:
   29569     $_off45_1 = $7 - 97 & 255;
   29570     $28 = ($_off45_1 & 255) < 6;
   29571     if ($28) {
   29572       label = 29;
   29573       break;
   29574     } else {
   29575       label = 27;
   29576       break;
   29577     }
   29578    case 27:
   29579     $_off46_1 = $7 - 48 & 255;
   29580     $29 = ($_off46_1 & 255) < 10;
   29581     if ($29) {
   29582       label = 28;
   29583       break;
   29584     } else {
   29585       $n_2 = $byte_1;
   29586       $i_2 = 4;
   29587       $byte_3 = $conv11_1;
   29588       label = 13;
   29589       break;
   29590     }
   29591    case 28:
   29592     $sub32_1 = $conv11_1 - 48 | 0;
   29593     $byte_1_1 = $sub32_1;
   29594     label = 31;
   29595     break;
   29596    case 29:
   29597     $add24_1 = $conv11_1 - 87 | 0;
   29598     $byte_1_1 = $add24_1;
   29599     label = 31;
   29600     break;
   29601    case 30:
   29602     $add_1 = $conv11_1 - 55 | 0;
   29603     $byte_1_1 = $add_1;
   29604     label = 31;
   29605     break;
   29606    case 31:
   29607     $mul_1 = $byte_1 << 4;
   29608     $add36_1 = $byte_1_1 + $mul_1 | 0;
   29609     $add_ptr10_2 = $0 + 4 | 0;
   29610     $30 = HEAP8[$add_ptr10_2] | 0;
   29611     $conv11_2 = $30 & 255;
   29612     $_off44_2 = $30 - 65 & 255;
   29613     $31 = ($_off44_2 & 255) < 6;
   29614     if ($31) {
   29615       label = 36;
   29616       break;
   29617     } else {
   29618       label = 32;
   29619       break;
   29620     }
   29621    case 32:
   29622     $_off45_2 = $30 - 97 & 255;
   29623     $32 = ($_off45_2 & 255) < 6;
   29624     if ($32) {
   29625       label = 35;
   29626       break;
   29627     } else {
   29628       label = 33;
   29629       break;
   29630     }
   29631    case 33:
   29632     $_off46_2 = $30 - 48 & 255;
   29633     $33 = ($_off46_2 & 255) < 10;
   29634     if ($33) {
   29635       label = 34;
   29636       break;
   29637     } else {
   29638       $n_2 = $add36_1;
   29639       $i_2 = 5;
   29640       $byte_3 = $conv11_2;
   29641       label = 13;
   29642       break;
   29643     }
   29644    case 34:
   29645     $sub32_2 = $conv11_2 - 48 | 0;
   29646     $byte_1_2 = $sub32_2;
   29647     label = 37;
   29648     break;
   29649    case 35:
   29650     $add24_2 = $conv11_2 - 87 | 0;
   29651     $byte_1_2 = $add24_2;
   29652     label = 37;
   29653     break;
   29654    case 36:
   29655     $add_2 = $conv11_2 - 55 | 0;
   29656     $byte_1_2 = $add_2;
   29657     label = 37;
   29658     break;
   29659    case 37:
   29660     $mul_2 = $add36_1 << 4;
   29661     $add36_2 = $byte_1_2 + $mul_2 | 0;
   29662     $add_ptr10_3 = $0 + 5 | 0;
   29663     $34 = HEAP8[$add_ptr10_3] | 0;
   29664     $conv11_3 = $34 & 255;
   29665     $_off44_3 = $34 - 65 & 255;
   29666     $35 = ($_off44_3 & 255) < 6;
   29667     if ($35) {
   29668       label = 42;
   29669       break;
   29670     } else {
   29671       label = 38;
   29672       break;
   29673     }
   29674    case 38:
   29675     $_off45_3 = $34 - 97 & 255;
   29676     $36 = ($_off45_3 & 255) < 6;
   29677     if ($36) {
   29678       label = 41;
   29679       break;
   29680     } else {
   29681       label = 39;
   29682       break;
   29683     }
   29684    case 39:
   29685     $_off46_3 = $34 - 48 & 255;
   29686     $37 = ($_off46_3 & 255) < 10;
   29687     if ($37) {
   29688       label = 40;
   29689       break;
   29690     } else {
   29691       $n_2 = $add36_2;
   29692       $i_2 = 6;
   29693       $byte_3 = $conv11_3;
   29694       label = 13;
   29695       break;
   29696     }
   29697    case 40:
   29698     $sub32_3 = $conv11_3 - 48 | 0;
   29699     $byte_1_3 = $sub32_3;
   29700     label = 43;
   29701     break;
   29702    case 41:
   29703     $add24_3 = $conv11_3 - 87 | 0;
   29704     $byte_1_3 = $add24_3;
   29705     label = 43;
   29706     break;
   29707    case 42:
   29708     $add_3 = $conv11_3 - 55 | 0;
   29709     $byte_1_3 = $add_3;
   29710     label = 43;
   29711     break;
   29712    case 43:
   29713     $mul_3 = $add36_2 << 4;
   29714     $add36_3 = $byte_1_3 + $mul_3 | 0;
   29715     $add_ptr10_4 = $0 + 6 | 0;
   29716     $38 = HEAP8[$add_ptr10_4] | 0;
   29717     $conv11_4 = $38 & 255;
   29718     $_off44_4 = $38 - 65 & 255;
   29719     $39 = ($_off44_4 & 255) < 6;
   29720     if ($39) {
   29721       label = 48;
   29722       break;
   29723     } else {
   29724       label = 44;
   29725       break;
   29726     }
   29727    case 44:
   29728     $_off45_4 = $38 - 97 & 255;
   29729     $40 = ($_off45_4 & 255) < 6;
   29730     if ($40) {
   29731       label = 47;
   29732       break;
   29733     } else {
   29734       label = 45;
   29735       break;
   29736     }
   29737    case 45:
   29738     $_off46_4 = $38 - 48 & 255;
   29739     $41 = ($_off46_4 & 255) < 10;
   29740     if ($41) {
   29741       label = 46;
   29742       break;
   29743     } else {
   29744       $n_2 = $add36_3;
   29745       $i_2 = 7;
   29746       $byte_3 = $conv11_4;
   29747       label = 13;
   29748       break;
   29749     }
   29750    case 46:
   29751     $sub32_4 = $conv11_4 - 48 | 0;
   29752     $byte_1_4 = $sub32_4;
   29753     label = 49;
   29754     break;
   29755    case 47:
   29756     $add24_4 = $conv11_4 - 87 | 0;
   29757     $byte_1_4 = $add24_4;
   29758     label = 49;
   29759     break;
   29760    case 48:
   29761     $add_4 = $conv11_4 - 55 | 0;
   29762     $byte_1_4 = $add_4;
   29763     label = 49;
   29764     break;
   29765    case 49:
   29766     $mul_4 = $add36_3 << 4;
   29767     $add36_4 = $byte_1_4 + $mul_4 | 0;
   29768     $add_ptr10_5 = $0 + 7 | 0;
   29769     $42 = HEAP8[$add_ptr10_5] | 0;
   29770     $conv11_5 = $42 & 255;
   29771     $_off44_5 = $42 - 65 & 255;
   29772     $43 = ($_off44_5 & 255) < 6;
   29773     if ($43) {
   29774       label = 54;
   29775       break;
   29776     } else {
   29777       label = 50;
   29778       break;
   29779     }
   29780    case 50:
   29781     $_off45_5 = $42 - 97 & 255;
   29782     $44 = ($_off45_5 & 255) < 6;
   29783     if ($44) {
   29784       label = 53;
   29785       break;
   29786     } else {
   29787       label = 51;
   29788       break;
   29789     }
   29790    case 51:
   29791     $_off46_5 = $42 - 48 & 255;
   29792     $45 = ($_off46_5 & 255) < 10;
   29793     if ($45) {
   29794       label = 52;
   29795       break;
   29796     } else {
   29797       $n_2 = $add36_4;
   29798       $i_2 = 8;
   29799       $byte_3 = $conv11_5;
   29800       label = 13;
   29801       break;
   29802     }
   29803    case 52:
   29804     $sub32_5 = $conv11_5 - 48 | 0;
   29805     $byte_1_5 = $sub32_5;
   29806     label = 55;
   29807     break;
   29808    case 53:
   29809     $add24_5 = $conv11_5 - 87 | 0;
   29810     $byte_1_5 = $add24_5;
   29811     label = 55;
   29812     break;
   29813    case 54:
   29814     $add_5 = $conv11_5 - 55 | 0;
   29815     $byte_1_5 = $add_5;
   29816     label = 55;
   29817     break;
   29818    case 55:
   29819     $mul_5 = $add36_4 << 4;
   29820     $add36_5 = $byte_1_5 + $mul_5 | 0;
   29821     $n_2 = $add36_5;
   29822     $i_2 = 9;
   29823     $byte_3 = $byte_1_5;
   29824     label = 13;
   29825     break;
   29826    case 56:
   29827     $incdec_ptr86_1 = $entity_name_buf + 2 | 0;
   29828     HEAP8[$incdec_ptr86] = $17;
   29829     $add_ptr71_2 = $0 + 2 | 0;
   29830     $46 = HEAP8[$add_ptr71_2] | 0;
   29831     if (($46 << 24 >> 24 | 0) == 59) {
   29832       $i_356_lcssa57 = 3;
   29833       $p_055_lcssa58 = $incdec_ptr86_1;
   29834       label = 16;
   29835       break;
   29836     } else if (($46 << 24 >> 24 | 0) == 0) {
   29837       $n_3 = 0;
   29838       $str_0 = $0;
   29839       label = 19;
   29840       break;
   29841     } else {
   29842       label = 57;
   29843       break;
   29844     }
   29845    case 57:
   29846     $incdec_ptr86_2 = $entity_name_buf + 3 | 0;
   29847     HEAP8[$incdec_ptr86_1] = $46;
   29848     $add_ptr71_3 = $0 + 3 | 0;
   29849     $47 = HEAP8[$add_ptr71_3] | 0;
   29850     if (($47 << 24 >> 24 | 0) == 59) {
   29851       $i_356_lcssa57 = 4;
   29852       $p_055_lcssa58 = $incdec_ptr86_2;
   29853       label = 16;
   29854       break;
   29855     } else if (($47 << 24 >> 24 | 0) == 0) {
   29856       $n_3 = 0;
   29857       $str_0 = $0;
   29858       label = 19;
   29859       break;
   29860     } else {
   29861       label = 58;
   29862       break;
   29863     }
   29864    case 58:
   29865     $incdec_ptr86_3 = $entity_name_buf + 4 | 0;
   29866     HEAP8[$incdec_ptr86_2] = $47;
   29867     $add_ptr71_4 = $0 + 4 | 0;
   29868     $48 = HEAP8[$add_ptr71_4] | 0;
   29869     if (($48 << 24 >> 24 | 0) == 59) {
   29870       $i_356_lcssa57 = 5;
   29871       $p_055_lcssa58 = $incdec_ptr86_3;
   29872       label = 16;
   29873       break;
   29874     } else if (($48 << 24 >> 24 | 0) == 0) {
   29875       $n_3 = 0;
   29876       $str_0 = $0;
   29877       label = 19;
   29878       break;
   29879     } else {
   29880       label = 59;
   29881       break;
   29882     }
   29883    case 59:
   29884     $incdec_ptr86_4 = $entity_name_buf + 5 | 0;
   29885     HEAP8[$incdec_ptr86_3] = $48;
   29886     $add_ptr71_5 = $0 + 5 | 0;
   29887     $49 = HEAP8[$add_ptr71_5] | 0;
   29888     if (($49 << 24 >> 24 | 0) == 59) {
   29889       $i_356_lcssa57 = 6;
   29890       $p_055_lcssa58 = $incdec_ptr86_4;
   29891       label = 16;
   29892       break;
   29893     } else if (($49 << 24 >> 24 | 0) == 0) {
   29894       $n_3 = 0;
   29895       $str_0 = $0;
   29896       label = 19;
   29897       break;
   29898     } else {
   29899       label = 60;
   29900       break;
   29901     }
   29902    case 60:
   29903     $incdec_ptr86_5 = $entity_name_buf + 6 | 0;
   29904     HEAP8[$incdec_ptr86_4] = $49;
   29905     $add_ptr71_6 = $0 + 6 | 0;
   29906     $50 = HEAP8[$add_ptr71_6] | 0;
   29907     if (($50 << 24 >> 24 | 0) == 59) {
   29908       $i_356_lcssa57 = 7;
   29909       $p_055_lcssa58 = $incdec_ptr86_5;
   29910       label = 16;
   29911       break;
   29912     } else if (($50 << 24 >> 24 | 0) == 0) {
   29913       $n_3 = 0;
   29914       $str_0 = $0;
   29915       label = 19;
   29916       break;
   29917     } else {
   29918       label = 61;
   29919       break;
   29920     }
   29921    case 61:
   29922     $incdec_ptr86_6 = $entity_name_buf + 7 | 0;
   29923     HEAP8[$incdec_ptr86_5] = $50;
   29924     $add_ptr71_7 = $0 + 7 | 0;
   29925     $51 = HEAP8[$add_ptr71_7] | 0;
   29926     if (($51 << 24 >> 24 | 0) == 59) {
   29927       $i_356_lcssa57 = 8;
   29928       $p_055_lcssa58 = $incdec_ptr86_6;
   29929       label = 16;
   29930       break;
   29931     } else if (($51 << 24 >> 24 | 0) == 0) {
   29932       $n_3 = 0;
   29933       $str_0 = $0;
   29934       label = 19;
   29935       break;
   29936     } else {
   29937       label = 62;
   29938       break;
   29939     }
   29940    case 62:
   29941     HEAP8[$incdec_ptr86_6] = $51;
   29942     $n_3 = 0;
   29943     $str_0 = $0;
   29944     label = 19;
   29945     break;
   29946   }
   29947   return 0;
   29948 }
   29949 function _latin1ToUTF8($s) {
   29950   $s = $s | 0;
   29951   var $s_addr = 0, $xb = 0, $buf = 0, $arraydecay = 0, $0 = 0, $incdec_ptr10 = 0, $1 = 0, $tobool11 = 0, $ptr = 0, $eptr = 0, $ptr18 = 0, $eptr19 = 0, $2 = 0, $conv = 0, $cmp = 0, $call = 0, $tobool2 = 0, $_call = 0, $v_0 = 0, $cmp5 = 0, $3 = 0, $4 = 0, $cmp8 = 0, $call10 = 0, $conv11 = 0, $5 = 0, $incdec_ptr13 = 0, $cmp15 = 0, $6 = 0, $7 = 0, $cmp20 = 0, $call23 = 0, $shr = 0, $or = 0, $conv29 = 0, $8 = 0, $incdec_ptr31 = 0, $9 = 0, $10 = 0, $cmp35 = 0, $call38 = 0, $conv43 = 0, $or44 = 0, $conv45 = 0, $11 = 0, $incdec_ptr47 = 0, $12 = 0, $incdec_ptr = 0, $13 = 0, $tobool = 0, $call55 = 0, $shr59 = 0, $or62 = 0, $conv63 = 0, $14 = 0, $incdec_ptr65 = 0, $15 = 0, $16 = 0, $cmp69 = 0, $call72 = 0, $shr76 = 0, $conv79 = 0, $or80 = 0, $conv81 = 0, $17 = 0, $incdec_ptr83 = 0, $18 = 0, $19 = 0, $cmp87 = 0, $call90 = 0, $conv96 = 0, $or97 = 0, $conv98 = 0, $20 = 0, $incdec_ptr100 = 0, $ptr104 = 0, $21 = 0, $eptr105 = 0, $22 = 0, $cmp106 = 0, $call109 = 0, $23 = 0, $buf115 = 0, $24 = 0, $call117 = 0, label = 0, __stackBase__ = 0;
   29952   __stackBase__ = STACKTOP;
   29953   STACKTOP = STACKTOP + 1048 | 0;
   29954   label = 2;
   29955   while (1) switch (label | 0) {
   29956    case 2:
   29957     $s_addr = __stackBase__ | 0;
   29958     $xb = __stackBase__ + 8 | 0;
   29959     $buf = __stackBase__ + 24 | 0;
   29960     HEAP32[$s_addr >> 2] = $s;
   29961     $arraydecay = $buf | 0;
   29962     _agxbinit($xb, 1024, $arraydecay);
   29963     $0 = HEAP32[$s_addr >> 2] | 0;
   29964     $incdec_ptr10 = $0 + 1 | 0;
   29965     HEAP32[$s_addr >> 2] = $incdec_ptr10;
   29966     $1 = HEAP8[$0] | 0;
   29967     $tobool11 = $1 << 24 >> 24 == 0;
   29968     if ($tobool11) {
   29969       label = 24;
   29970       break;
   29971     } else {
   29972       label = 3;
   29973       break;
   29974     }
   29975    case 3:
   29976     $ptr = $xb + 4 | 0;
   29977     $eptr = $xb + 8 | 0;
   29978     $ptr18 = $xb + 4 | 0;
   29979     $eptr19 = $xb + 8 | 0;
   29980     $2 = $1;
   29981     label = 4;
   29982     break;
   29983    case 4:
   29984     $conv = $2 & 255;
   29985     $cmp = $2 << 24 >> 24 == 38;
   29986     if ($cmp) {
   29987       label = 5;
   29988       break;
   29989     } else {
   29990       $v_0 = $conv;
   29991       label = 6;
   29992       break;
   29993     }
   29994    case 5:
   29995     $call = _htmlEntity($s_addr) | 0;
   29996     $tobool2 = ($call | 0) == 0;
   29997     $_call = $tobool2 ? 38 : $call;
   29998     $v_0 = $_call;
   29999     label = 6;
   30000     break;
   30001    case 6:
   30002     $cmp5 = $v_0 >>> 0 < 127;
   30003     if ($cmp5) {
   30004       label = 7;
   30005       break;
   30006     } else {
   30007       label = 10;
   30008       break;
   30009     }
   30010    case 7:
   30011     $3 = HEAP32[$ptr >> 2] | 0;
   30012     $4 = HEAP32[$eptr >> 2] | 0;
   30013     $cmp8 = $3 >>> 0 < $4 >>> 0;
   30014     if ($cmp8) {
   30015       label = 9;
   30016       break;
   30017     } else {
   30018       label = 8;
   30019       break;
   30020     }
   30021    case 8:
   30022     $call10 = _agxbmore($xb, 1) | 0;
   30023     label = 9;
   30024     break;
   30025    case 9:
   30026     $conv11 = $v_0 & 255;
   30027     $5 = HEAP32[$ptr >> 2] | 0;
   30028     $incdec_ptr13 = $5 + 1 | 0;
   30029     HEAP32[$ptr >> 2] = $incdec_ptr13;
   30030     HEAP8[$5] = $conv11;
   30031     label = 16;
   30032     break;
   30033    case 10:
   30034     $cmp15 = $v_0 >>> 0 < 2047;
   30035     $6 = HEAP32[$ptr18 >> 2] | 0;
   30036     $7 = HEAP32[$eptr19 >> 2] | 0;
   30037     $cmp20 = $6 >>> 0 >= $7 >>> 0;
   30038     if ($cmp15) {
   30039       label = 11;
   30040       break;
   30041     } else {
   30042       label = 17;
   30043       break;
   30044     }
   30045    case 11:
   30046     if ($cmp20) {
   30047       label = 12;
   30048       break;
   30049     } else {
   30050       label = 13;
   30051       break;
   30052     }
   30053    case 12:
   30054     $call23 = _agxbmore($xb, 1) | 0;
   30055     label = 13;
   30056     break;
   30057    case 13:
   30058     $shr = $v_0 >>> 6;
   30059     $or = $shr | 192;
   30060     $conv29 = $or & 255;
   30061     $8 = HEAP32[$ptr18 >> 2] | 0;
   30062     $incdec_ptr31 = $8 + 1 | 0;
   30063     HEAP32[$ptr18 >> 2] = $incdec_ptr31;
   30064     HEAP8[$8] = $conv29;
   30065     $9 = HEAP32[$ptr18 >> 2] | 0;
   30066     $10 = HEAP32[$eptr19 >> 2] | 0;
   30067     $cmp35 = $9 >>> 0 < $10 >>> 0;
   30068     if ($cmp35) {
   30069       label = 15;
   30070       break;
   30071     } else {
   30072       label = 14;
   30073       break;
   30074     }
   30075    case 14:
   30076     $call38 = _agxbmore($xb, 1) | 0;
   30077     label = 15;
   30078     break;
   30079    case 15:
   30080     $conv43 = $v_0 & 63;
   30081     $or44 = $conv43 | 128;
   30082     $conv45 = $or44 & 255;
   30083     $11 = HEAP32[$ptr18 >> 2] | 0;
   30084     $incdec_ptr47 = $11 + 1 | 0;
   30085     HEAP32[$ptr18 >> 2] = $incdec_ptr47;
   30086     HEAP8[$11] = $conv45;
   30087     label = 16;
   30088     break;
   30089    case 16:
   30090     $12 = HEAP32[$s_addr >> 2] | 0;
   30091     $incdec_ptr = $12 + 1 | 0;
   30092     HEAP32[$s_addr >> 2] = $incdec_ptr;
   30093     $13 = HEAP8[$12] | 0;
   30094     $tobool = $13 << 24 >> 24 == 0;
   30095     if ($tobool) {
   30096       label = 24;
   30097       break;
   30098     } else {
   30099       $2 = $13;
   30100       label = 4;
   30101       break;
   30102     }
   30103    case 17:
   30104     if ($cmp20) {
   30105       label = 18;
   30106       break;
   30107     } else {
   30108       label = 19;
   30109       break;
   30110     }
   30111    case 18:
   30112     $call55 = _agxbmore($xb, 1) | 0;
   30113     label = 19;
   30114     break;
   30115    case 19:
   30116     $shr59 = $v_0 >>> 12;
   30117     $or62 = $shr59 | 224;
   30118     $conv63 = $or62 & 255;
   30119     $14 = HEAP32[$ptr18 >> 2] | 0;
   30120     $incdec_ptr65 = $14 + 1 | 0;
   30121     HEAP32[$ptr18 >> 2] = $incdec_ptr65;
   30122     HEAP8[$14] = $conv63;
   30123     $15 = HEAP32[$ptr18 >> 2] | 0;
   30124     $16 = HEAP32[$eptr19 >> 2] | 0;
   30125     $cmp69 = $15 >>> 0 < $16 >>> 0;
   30126     if ($cmp69) {
   30127       label = 21;
   30128       break;
   30129     } else {
   30130       label = 20;
   30131       break;
   30132     }
   30133    case 20:
   30134     $call72 = _agxbmore($xb, 1) | 0;
   30135     label = 21;
   30136     break;
   30137    case 21:
   30138     $shr76 = $v_0 >>> 6;
   30139     $conv79 = $shr76 & 63;
   30140     $or80 = $conv79 | 128;
   30141     $conv81 = $or80 & 255;
   30142     $17 = HEAP32[$ptr18 >> 2] | 0;
   30143     $incdec_ptr83 = $17 + 1 | 0;
   30144     HEAP32[$ptr18 >> 2] = $incdec_ptr83;
   30145     HEAP8[$17] = $conv81;
   30146     $18 = HEAP32[$ptr18 >> 2] | 0;
   30147     $19 = HEAP32[$eptr19 >> 2] | 0;
   30148     $cmp87 = $18 >>> 0 < $19 >>> 0;
   30149     if ($cmp87) {
   30150       label = 23;
   30151       break;
   30152     } else {
   30153       label = 22;
   30154       break;
   30155     }
   30156    case 22:
   30157     $call90 = _agxbmore($xb, 1) | 0;
   30158     label = 23;
   30159     break;
   30160    case 23:
   30161     $conv96 = $v_0 & 63;
   30162     $or97 = $conv96 | 128;
   30163     $conv98 = $or97 & 255;
   30164     $20 = HEAP32[$ptr18 >> 2] | 0;
   30165     $incdec_ptr100 = $20 + 1 | 0;
   30166     HEAP32[$ptr18 >> 2] = $incdec_ptr100;
   30167     HEAP8[$20] = $conv98;
   30168     label = 16;
   30169     break;
   30170    case 24:
   30171     $ptr104 = $xb + 4 | 0;
   30172     $21 = HEAP32[$ptr104 >> 2] | 0;
   30173     $eptr105 = $xb + 8 | 0;
   30174     $22 = HEAP32[$eptr105 >> 2] | 0;
   30175     $cmp106 = $21 >>> 0 < $22 >>> 0;
   30176     if ($cmp106) {
   30177       label = 26;
   30178       break;
   30179     } else {
   30180       label = 25;
   30181       break;
   30182     }
   30183    case 25:
   30184     $call109 = _agxbmore($xb, 1) | 0;
   30185     label = 26;
   30186     break;
   30187    case 26:
   30188     $23 = HEAP32[$ptr104 >> 2] | 0;
   30189     HEAP8[$23] = 0;
   30190     $buf115 = $xb | 0;
   30191     $24 = HEAP32[$buf115 >> 2] | 0;
   30192     HEAP32[$ptr104 >> 2] = $24;
   30193     $call117 = _strdup($24 | 0) | 0;
   30194     _agxbfree($xb);
   30195     STACKTOP = __stackBase__;
   30196     return $call117 | 0;
   30197   }
   30198   return 0;
   30199 }
   30200 function _utf8ToLatin1($s) {
   30201   $s = $s | 0;
   30202   var $xb = 0, $buf = 0, $arraydecay = 0, $0 = 0, $tobool7 = 0, $ptr = 0, $eptr = 0, $ptr14 = 0, $eptr15 = 0, $1 = 0, $s_pn = 0, $incdec_ptr10 = 0, $cmp = 0, $2 = 0, $3 = 0, $cmp2 = 0, $call = 0, $4 = 0, $incdec_ptr5 = 0, $and = 0, $incdec_ptr9 = 0, $5 = 0, $and12 = 0, $or = 0, $6 = 0, $7 = 0, $cmp16 = 0, $call19 = 0, $8 = 0, $incdec_ptr24 = 0, $s_addr_0_be = 0, $9 = 0, $tobool = 0, $ptr26 = 0, $10 = 0, $eptr27 = 0, $11 = 0, $cmp28 = 0, $call31 = 0, $12 = 0, $buf37 = 0, $13 = 0, $call39 = 0, label = 0, __stackBase__ = 0;
   30203   __stackBase__ = STACKTOP;
   30204   STACKTOP = STACKTOP + 1040 | 0;
   30205   label = 2;
   30206   while (1) switch (label | 0) {
   30207    case 2:
   30208     $xb = __stackBase__ | 0;
   30209     $buf = __stackBase__ + 16 | 0;
   30210     $arraydecay = $buf | 0;
   30211     _agxbinit($xb, 1024, $arraydecay);
   30212     $0 = HEAP8[$s] | 0;
   30213     $tobool7 = $0 << 24 >> 24 == 0;
   30214     if ($tobool7) {
   30215       label = 12;
   30216       break;
   30217     } else {
   30218       label = 3;
   30219       break;
   30220     }
   30221    case 3:
   30222     $ptr = $xb + 4 | 0;
   30223     $eptr = $xb + 8 | 0;
   30224     $ptr14 = $xb + 4 | 0;
   30225     $eptr15 = $xb + 8 | 0;
   30226     $s_pn = $s;
   30227     $1 = $0;
   30228     label = 4;
   30229     break;
   30230    case 4:
   30231     $incdec_ptr10 = $s_pn + 1 | 0;
   30232     $cmp = ($1 & 255) < 127;
   30233     if ($cmp) {
   30234       label = 5;
   30235       break;
   30236     } else {
   30237       label = 8;
   30238       break;
   30239     }
   30240    case 5:
   30241     $2 = HEAP32[$ptr >> 2] | 0;
   30242     $3 = HEAP32[$eptr >> 2] | 0;
   30243     $cmp2 = $2 >>> 0 < $3 >>> 0;
   30244     if ($cmp2) {
   30245       label = 7;
   30246       break;
   30247     } else {
   30248       label = 6;
   30249       break;
   30250     }
   30251    case 6:
   30252     $call = _agxbmore($xb, 1) | 0;
   30253     label = 7;
   30254     break;
   30255    case 7:
   30256     $4 = HEAP32[$ptr >> 2] | 0;
   30257     $incdec_ptr5 = $4 + 1 | 0;
   30258     HEAP32[$ptr >> 2] = $incdec_ptr5;
   30259     HEAP8[$4] = $1;
   30260     $s_addr_0_be = $incdec_ptr10;
   30261     label = 11;
   30262     break;
   30263    case 8:
   30264     $and = $1 << 6;
   30265     $incdec_ptr9 = $s_pn + 2 | 0;
   30266     $5 = HEAP8[$incdec_ptr10] | 0;
   30267     $and12 = $5 & 63;
   30268     $or = $and12 | $and;
   30269     $6 = HEAP32[$ptr14 >> 2] | 0;
   30270     $7 = HEAP32[$eptr15 >> 2] | 0;
   30271     $cmp16 = $6 >>> 0 < $7 >>> 0;
   30272     if ($cmp16) {
   30273       label = 10;
   30274       break;
   30275     } else {
   30276       label = 9;
   30277       break;
   30278     }
   30279    case 9:
   30280     $call19 = _agxbmore($xb, 1) | 0;
   30281     label = 10;
   30282     break;
   30283    case 10:
   30284     $8 = HEAP32[$ptr14 >> 2] | 0;
   30285     $incdec_ptr24 = $8 + 1 | 0;
   30286     HEAP32[$ptr14 >> 2] = $incdec_ptr24;
   30287     HEAP8[$8] = $or;
   30288     $s_addr_0_be = $incdec_ptr9;
   30289     label = 11;
   30290     break;
   30291    case 11:
   30292     $9 = HEAP8[$s_addr_0_be] | 0;
   30293     $tobool = $9 << 24 >> 24 == 0;
   30294     if ($tobool) {
   30295       label = 12;
   30296       break;
   30297     } else {
   30298       $s_pn = $s_addr_0_be;
   30299       $1 = $9;
   30300       label = 4;
   30301       break;
   30302     }
   30303    case 12:
   30304     $ptr26 = $xb + 4 | 0;
   30305     $10 = HEAP32[$ptr26 >> 2] | 0;
   30306     $eptr27 = $xb + 8 | 0;
   30307     $11 = HEAP32[$eptr27 >> 2] | 0;
   30308     $cmp28 = $10 >>> 0 < $11 >>> 0;
   30309     if ($cmp28) {
   30310       label = 14;
   30311       break;
   30312     } else {
   30313       label = 13;
   30314       break;
   30315     }
   30316    case 13:
   30317     $call31 = _agxbmore($xb, 1) | 0;
   30318     label = 14;
   30319     break;
   30320    case 14:
   30321     $12 = HEAP32[$ptr26 >> 2] | 0;
   30322     HEAP8[$12] = 0;
   30323     $buf37 = $xb | 0;
   30324     $13 = HEAP32[$buf37 >> 2] | 0;
   30325     HEAP32[$ptr26 >> 2] = $13;
   30326     $call39 = _strdup($13 | 0) | 0;
   30327     _agxbfree($xb);
   30328     STACKTOP = __stackBase__;
   30329     return $call39 | 0;
   30330   }
   30331   return 0;
   30332 }
   30333 function _overlap_node($n, $b) {
   30334   $n = $n | 0;
   30335   $b = $b | 0;
   30336   var $ictxt = 0, $p = 0, $agg_tmp = 0, $UR = 0, $x = 0, $0 = 0.0, $x1 = 0, $1 = 0.0, $cmp = 0, $x5 = 0, $2 = 0.0, $LL6 = 0, $x7 = 0, $3 = 0.0, $cmp8 = 0, $y = 0, $4 = 0.0, $y14 = 0, $5 = 0.0, $cmp15 = 0, $y20 = 0, $6 = 0.0, $y22 = 0, $7 = 0.0, $cmp23 = 0, $coord = 0, $8 = 0, $n_c = 0, $bp = 0, $shape = 0, $9 = 0, $fns = 0, $10 = 0, $insidefn = 0, $11 = 0, $call = 0, $retval_0 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   30337   __stackBase__ = STACKTOP;
   30338   STACKTOP = STACKTOP + 40 | 0;
   30339   tempParam = $b;
   30340   $b = STACKTOP;
   30341   STACKTOP = STACKTOP + 32 | 0;
   30342   _memcpy($b, tempParam, 32);
   30343   label = 2;
   30344   while (1) switch (label | 0) {
   30345    case 2:
   30346     $ictxt = __stackBase__ | 0;
   30347     $p = __stackBase__ + 8 | 0;
   30348     $agg_tmp = __stackBase__ + 24 | 0;
   30349     $UR = $b + 16 | 0;
   30350     $x = $UR | 0;
   30351     $0 = +HEAPF64[$x >> 3];
   30352     $x1 = $n + 64 | 0;
   30353     $1 = +HEAPF64[$x1 >> 3];
   30354     $cmp = $0 < $1;
   30355     if ($cmp) {
   30356       $retval_0 = 0;
   30357       label = 7;
   30358       break;
   30359     } else {
   30360       label = 3;
   30361       break;
   30362     }
   30363    case 3:
   30364     $x5 = $n + 80 | 0;
   30365     $2 = +HEAPF64[$x5 >> 3];
   30366     $LL6 = $b | 0;
   30367     $x7 = $b | 0;
   30368     $3 = +HEAPF64[$x7 >> 3];
   30369     $cmp8 = $2 < $3;
   30370     if ($cmp8) {
   30371       $retval_0 = 0;
   30372       label = 7;
   30373       break;
   30374     } else {
   30375       label = 4;
   30376       break;
   30377     }
   30378    case 4:
   30379     $y = $b + 24 | 0;
   30380     $4 = +HEAPF64[$y >> 3];
   30381     $y14 = $n + 72 | 0;
   30382     $5 = +HEAPF64[$y14 >> 3];
   30383     $cmp15 = $4 < $5;
   30384     if ($cmp15) {
   30385       $retval_0 = 0;
   30386       label = 7;
   30387       break;
   30388     } else {
   30389       label = 5;
   30390       break;
   30391     }
   30392    case 5:
   30393     $y20 = $n + 88 | 0;
   30394     $6 = +HEAPF64[$y20 >> 3];
   30395     $y22 = $b + 8 | 0;
   30396     $7 = +HEAPF64[$y22 >> 3];
   30397     $cmp23 = $6 < $7;
   30398     if ($cmp23) {
   30399       $retval_0 = 0;
   30400       label = 7;
   30401       break;
   30402     } else {
   30403       label = 6;
   30404       break;
   30405     }
   30406    case 6:
   30407     $coord = $n + 32 | 0;
   30408     _mid_pointf($agg_tmp, $UR, $LL6);
   30409     _sub_pointf($p, $coord, $agg_tmp);
   30410     $8 = $ictxt | 0;
   30411     $n_c = $n;
   30412     HEAP32[$8 >> 2] = $n_c;
   30413     $bp = $ictxt + 4 | 0;
   30414     HEAP32[$bp >> 2] = 0;
   30415     $shape = $n + 24 | 0;
   30416     $9 = HEAP32[$shape >> 2] | 0;
   30417     $fns = $9 + 4 | 0;
   30418     $10 = HEAP32[$fns >> 2] | 0;
   30419     $insidefn = $10 + 12 | 0;
   30420     $11 = HEAP32[$insidefn >> 2] | 0;
   30421     $call = FUNCTION_TABLE_iii[$11 & 1023]($ictxt, $p) | 0;
   30422     $retval_0 = $call;
   30423     label = 7;
   30424     break;
   30425    case 7:
   30426     STACKTOP = __stackBase__;
   30427     return $retval_0 | 0;
   30428   }
   30429   return 0;
   30430 }
   30431 function _overlap_label($lp, $b) {
   30432   $lp = $lp | 0;
   30433   $b = $b | 0;
   30434   var $s = 0, $tmp = 0, $tmp6 = 0, $x = 0, $0 = 0.0, $div = 0.0, $x1 = 0, $y = 0, $1 = 0.0, $div3 = 0.0, $y4 = 0, $pos = 0, $bb_sroa_0_0__idx = 0, $bb_sroa_0_0_copyload = 0.0, $bb_sroa_1_8__idx1 = 0, $bb_sroa_1_8_copyload = 0.0, $bb_sroa_3_24__idx2 = 0, $bb_sroa_3_24_copyload = 0.0, $x8 = 0, $2 = 0.0, $cmp = 0, $bb_sroa_2_16__idx = 0, $bb_sroa_2_16_copyload = 0.0, $x14 = 0, $3 = 0.0, $cmp15 = 0, $y18 = 0, $4 = 0.0, $cmp21 = 0, $y25 = 0, $5 = 0.0, $cmp26 = 0, $phitmp = 0, $6 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   30435   __stackBase__ = STACKTOP;
   30436   STACKTOP = STACKTOP + 48 | 0;
   30437   tempParam = $b;
   30438   $b = STACKTOP;
   30439   STACKTOP = STACKTOP + 32 | 0;
   30440   _memcpy($b, tempParam, 32);
   30441   label = 2;
   30442   while (1) switch (label | 0) {
   30443    case 2:
   30444     $s = __stackBase__ | 0;
   30445     $tmp = __stackBase__ + 16 | 0;
   30446     $tmp6 = __stackBase__ + 32 | 0;
   30447     $x = $lp + 24 | 0;
   30448     $0 = +HEAPF64[$x >> 3];
   30449     $div = $0 * .5;
   30450     $x1 = $s | 0;
   30451     HEAPF64[$x1 >> 3] = $div;
   30452     $y = $lp + 32 | 0;
   30453     $1 = +HEAPF64[$y >> 3];
   30454     $div3 = $1 * .5;
   30455     $y4 = $s + 8 | 0;
   30456     HEAPF64[$y4 >> 3] = $div3;
   30457     $pos = $lp + 56 | 0;
   30458     _sub_pointf($tmp, $pos, $s);
   30459     $bb_sroa_0_0__idx = $tmp | 0;
   30460     $bb_sroa_0_0_copyload = +HEAPF64[$bb_sroa_0_0__idx >> 3];
   30461     $bb_sroa_1_8__idx1 = $tmp + 8 | 0;
   30462     $bb_sroa_1_8_copyload = +HEAPF64[$bb_sroa_1_8__idx1 >> 3];
   30463     _add_pointf($tmp6, $pos, $s);
   30464     $bb_sroa_3_24__idx2 = $tmp6 + 8 | 0;
   30465     $bb_sroa_3_24_copyload = +HEAPF64[$bb_sroa_3_24__idx2 >> 3];
   30466     $x8 = $b + 16 | 0;
   30467     $2 = +HEAPF64[$x8 >> 3];
   30468     $cmp = $2 < $bb_sroa_0_0_copyload;
   30469     if ($cmp) {
   30470       $6 = 0;
   30471       label = 6;
   30472       break;
   30473     } else {
   30474       label = 3;
   30475       break;
   30476     }
   30477    case 3:
   30478     $bb_sroa_2_16__idx = $tmp6 | 0;
   30479     $bb_sroa_2_16_copyload = +HEAPF64[$bb_sroa_2_16__idx >> 3];
   30480     $x14 = $b | 0;
   30481     $3 = +HEAPF64[$x14 >> 3];
   30482     $cmp15 = $bb_sroa_2_16_copyload < $3;
   30483     if ($cmp15) {
   30484       $6 = 0;
   30485       label = 6;
   30486       break;
   30487     } else {
   30488       label = 4;
   30489       break;
   30490     }
   30491    case 4:
   30492     $y18 = $b + 24 | 0;
   30493     $4 = +HEAPF64[$y18 >> 3];
   30494     $cmp21 = $4 < $bb_sroa_1_8_copyload;
   30495     if ($cmp21) {
   30496       $6 = 0;
   30497       label = 6;
   30498       break;
   30499     } else {
   30500       label = 5;
   30501       break;
   30502     }
   30503    case 5:
   30504     $y25 = $b + 8 | 0;
   30505     $5 = +HEAPF64[$y25 >> 3];
   30506     $cmp26 = $bb_sroa_3_24_copyload >= $5;
   30507     $phitmp = $cmp26 & 1;
   30508     $6 = $phitmp;
   30509     label = 6;
   30510     break;
   30511    case 6:
   30512     STACKTOP = __stackBase__;
   30513     return $6 | 0;
   30514   }
   30515   return 0;
   30516 }
   30517 function _overlap_edge($e, $b) {
   30518   $e = $e | 0;
   30519   $b = $b | 0;
   30520   var $spl1 = 0, $0 = 0, $tobool = 0, $bb = 0, $call = 0, $tobool2 = 0, $size = 0, $list = 0, $i_0 = 0, $1 = 0, $cmp = 0, $2 = 0, $arrayidx = 0, $call3 = 0, $tobool4 = 0, $inc = 0, $label = 0, $3 = 0, $tobool8 = 0, $call10 = 0, $tobool11 = 0, $retval_0 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   30521   __stackBase__ = STACKTOP;
   30522   tempParam = $b;
   30523   $b = STACKTOP;
   30524   STACKTOP = STACKTOP + 32 | 0;
   30525   _memcpy($b, tempParam, 32);
   30526   label = 2;
   30527   while (1) switch (label | 0) {
   30528    case 2:
   30529     $spl1 = $e + 24 | 0;
   30530     $0 = HEAP32[$spl1 >> 2] | 0;
   30531     $tobool = ($0 | 0) == 0;
   30532     if ($tobool) {
   30533       label = 7;
   30534       break;
   30535     } else {
   30536       label = 3;
   30537       break;
   30538     }
   30539    case 3:
   30540     $bb = $0 + 8 | 0;
   30541     $call = _boxf_overlap246($bb, $b) | 0;
   30542     $tobool2 = ($call | 0) == 0;
   30543     if ($tobool2) {
   30544       label = 7;
   30545       break;
   30546     } else {
   30547       label = 4;
   30548       break;
   30549     }
   30550    case 4:
   30551     $size = $0 + 4 | 0;
   30552     $list = $0 | 0;
   30553     $i_0 = 0;
   30554     label = 5;
   30555     break;
   30556    case 5:
   30557     $1 = HEAP32[$size >> 2] | 0;
   30558     $cmp = ($i_0 | 0) < ($1 | 0);
   30559     if ($cmp) {
   30560       label = 6;
   30561       break;
   30562     } else {
   30563       label = 7;
   30564       break;
   30565     }
   30566    case 6:
   30567     $2 = HEAP32[$list >> 2] | 0;
   30568     $arrayidx = $2 + ($i_0 * 48 & -1) | 0;
   30569     $call3 = _overlap_bezier($arrayidx, $b) | 0;
   30570     $tobool4 = $call3 << 24 >> 24 == 0;
   30571     $inc = $i_0 + 1 | 0;
   30572     if ($tobool4) {
   30573       $i_0 = $inc;
   30574       label = 5;
   30575       break;
   30576     } else {
   30577       $retval_0 = 1;
   30578       label = 10;
   30579       break;
   30580     }
   30581    case 7:
   30582     $label = $e + 112 | 0;
   30583     $3 = HEAP32[$label >> 2] | 0;
   30584     $tobool8 = ($3 | 0) == 0;
   30585     if ($tobool8) {
   30586       label = 9;
   30587       break;
   30588     } else {
   30589       label = 8;
   30590       break;
   30591     }
   30592    case 8:
   30593     $call10 = _overlap_label($3, $b) | 0;
   30594     $tobool11 = $call10 << 24 >> 24 == 0;
   30595     if ($tobool11) {
   30596       label = 9;
   30597       break;
   30598     } else {
   30599       $retval_0 = 1;
   30600       label = 10;
   30601       break;
   30602     }
   30603    case 9:
   30604     $retval_0 = 0;
   30605     label = 10;
   30606     break;
   30607    case 10:
   30608     STACKTOP = __stackBase__;
   30609     return $retval_0 | 0;
   30610   }
   30611   return 0;
   30612 }
   30613 function _overlap_bezier($bz, $b) {
   30614   $bz = $bz | 0;
   30615   $b = $b | 0;
   30616   var $p = 0, $u = 0, $size = 0, $0 = 0, $tobool = 0, $list = 0, $1 = 0, $2 = 0, $3 = 0, $4 = 0, $cmp3 = 0, $5 = 0, $6 = 0, $7 = 0, $i_04 = 0, $arrayidx3 = 0, $8 = 0, $call = 0, $cmp4 = 0, $inc = 0, $cmp = 0, $sflag = 0, $9 = 0, $tobool5 = 0, $sp = 0, $10 = 0, $call10 = 0, $tobool11 = 0, $eflag = 0, $11 = 0, $tobool15 = 0, $ep = 0, $12 = 0, $sub = 0, $13 = 0, $arrayidx19 = 0, $call21 = 0, $tobool22 = 0, $retval_0 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   30617   __stackBase__ = STACKTOP;
   30618   STACKTOP = STACKTOP + 32 | 0;
   30619   tempParam = $bz;
   30620   $bz = STACKTOP;
   30621   STACKTOP = STACKTOP + 48 | 0;
   30622   _memcpy($bz, tempParam, 48);
   30623   tempParam = $b;
   30624   $b = STACKTOP;
   30625   STACKTOP = STACKTOP + 32 | 0;
   30626   _memcpy($b, tempParam, 32);
   30627   label = 2;
   30628   while (1) switch (label | 0) {
   30629    case 2:
   30630     $p = __stackBase__ | 0;
   30631     $u = __stackBase__ + 16 | 0;
   30632     $size = $bz + 4 | 0;
   30633     $0 = HEAP32[$size >> 2] | 0;
   30634     $tobool = ($0 | 0) == 0;
   30635     if ($tobool) {
   30636       label = 3;
   30637       break;
   30638     } else {
   30639       label = 4;
   30640       break;
   30641     }
   30642    case 3:
   30643     ___assert_func(158664, 1634, 163968, 115032);
   30644     return 0;
   30645    case 4:
   30646     $list = $bz | 0;
   30647     $1 = HEAP32[$list >> 2] | 0;
   30648     $2 = $u;
   30649     $3 = $1;
   30650     HEAP32[$2 >> 2] = HEAP32[$3 >> 2] | 0;
   30651     HEAP32[$2 + 4 >> 2] = HEAP32[$3 + 4 >> 2] | 0;
   30652     HEAP32[$2 + 8 >> 2] = HEAP32[$3 + 8 >> 2] | 0;
   30653     HEAP32[$2 + 12 >> 2] = HEAP32[$3 + 12 >> 2] | 0;
   30654     $4 = HEAP32[$size >> 2] | 0;
   30655     $cmp3 = ($4 | 0) > 1;
   30656     if ($cmp3) {
   30657       label = 5;
   30658       break;
   30659     } else {
   30660       label = 8;
   30661       break;
   30662     }
   30663    case 5:
   30664     $5 = HEAP32[$list >> 2] | 0;
   30665     $6 = $p;
   30666     $7 = HEAP32[$size >> 2] | 0;
   30667     $i_04 = 1;
   30668     label = 6;
   30669     break;
   30670    case 6:
   30671     $arrayidx3 = $5 + ($i_04 << 4) | 0;
   30672     $8 = $arrayidx3;
   30673     HEAP32[$6 >> 2] = HEAP32[$8 >> 2] | 0;
   30674     HEAP32[$6 + 4 >> 2] = HEAP32[$8 + 4 >> 2] | 0;
   30675     HEAP32[$6 + 8 >> 2] = HEAP32[$8 + 8 >> 2] | 0;
   30676     HEAP32[$6 + 12 >> 2] = HEAP32[$8 + 12 >> 2] | 0;
   30677     $call = _lineToBox($p, $u, $b) | 0;
   30678     $cmp4 = ($call | 0) == -1;
   30679     if ($cmp4) {
   30680       label = 7;
   30681       break;
   30682     } else {
   30683       $retval_0 = 1;
   30684       label = 13;
   30685       break;
   30686     }
   30687    case 7:
   30688     HEAP32[$2 >> 2] = HEAP32[$6 >> 2] | 0;
   30689     HEAP32[$2 + 4 >> 2] = HEAP32[$6 + 4 >> 2] | 0;
   30690     HEAP32[$2 + 8 >> 2] = HEAP32[$6 + 8 >> 2] | 0;
   30691     HEAP32[$2 + 12 >> 2] = HEAP32[$6 + 12 >> 2] | 0;
   30692     $inc = $i_04 + 1 | 0;
   30693     $cmp = ($inc | 0) < ($7 | 0);
   30694     if ($cmp) {
   30695       $i_04 = $inc;
   30696       label = 6;
   30697       break;
   30698     } else {
   30699       label = 8;
   30700       break;
   30701     }
   30702    case 8:
   30703     $sflag = $bz + 8 | 0;
   30704     $9 = HEAP32[$sflag >> 2] | 0;
   30705     $tobool5 = ($9 | 0) == 0;
   30706     if ($tobool5) {
   30707       label = 10;
   30708       break;
   30709     } else {
   30710       label = 9;
   30711       break;
   30712     }
   30713    case 9:
   30714     $sp = $bz + 16 | 0;
   30715     $10 = HEAP32[$list >> 2] | 0;
   30716     $call10 = _overlap_arrow($sp, $10, $9, $b) | 0;
   30717     $tobool11 = $call10 << 24 >> 24 == 0;
   30718     if ($tobool11) {
   30719       label = 10;
   30720       break;
   30721     } else {
   30722       $retval_0 = 1;
   30723       label = 13;
   30724       break;
   30725     }
   30726    case 10:
   30727     $eflag = $bz + 12 | 0;
   30728     $11 = HEAP32[$eflag >> 2] | 0;
   30729     $tobool15 = ($11 | 0) == 0;
   30730     if ($tobool15) {
   30731       label = 12;
   30732       break;
   30733     } else {
   30734       label = 11;
   30735       break;
   30736     }
   30737    case 11:
   30738     $ep = $bz + 32 | 0;
   30739     $12 = HEAP32[$size >> 2] | 0;
   30740     $sub = $12 - 1 | 0;
   30741     $13 = HEAP32[$list >> 2] | 0;
   30742     $arrayidx19 = $13 + ($sub << 4) | 0;
   30743     $call21 = _overlap_arrow($ep, $arrayidx19, $11, $b) | 0;
   30744     $tobool22 = $call21 << 24 >> 24 == 0;
   30745     if ($tobool22) {
   30746       label = 12;
   30747       break;
   30748     } else {
   30749       $retval_0 = 1;
   30750       label = 13;
   30751       break;
   30752     }
   30753    case 12:
   30754     $retval_0 = 0;
   30755     label = 13;
   30756     break;
   30757    case 13:
   30758     STACKTOP = __stackBase__;
   30759     return $retval_0 | 0;
   30760   }
   30761   return 0;
   30762 }
   30763 function _gv_nodesize($n, $flip) {
   30764   $n = $n | 0;
   30765   $flip = $flip | 0;
   30766   var $tobool = 0, $height = 0, $0 = 0.0, $mul = 0.0, $div = 0.0, $rw = 0, $lw = 0, $width = 0, $1 = 0.0, $mul4 = 0.0, $ht = 0, $width7 = 0, $2 = 0.0, $mul8 = 0.0, $div9 = 0.0, $rw11 = 0, $lw13 = 0, $height15 = 0, $3 = 0.0, $mul16 = 0.0, $ht18 = 0, label = 0;
   30767   label = 2;
   30768   while (1) switch (label | 0) {
   30769    case 2:
   30770     $tobool = $flip << 24 >> 24 == 0;
   30771     if ($tobool) {
   30772       label = 4;
   30773       break;
   30774     } else {
   30775       label = 3;
   30776       break;
   30777     }
   30778    case 3:
   30779     $height = $n + 56 | 0;
   30780     $0 = +HEAPF64[$height >> 3];
   30781     $mul = $0 * 72.0;
   30782     $div = $mul * .5;
   30783     $rw = $n + 112 | 0;
   30784     HEAPF64[$rw >> 3] = $div;
   30785     $lw = $n + 104 | 0;
   30786     HEAPF64[$lw >> 3] = $div;
   30787     $width = $n + 48 | 0;
   30788     $1 = +HEAPF64[$width >> 3];
   30789     $mul4 = $1 * 72.0;
   30790     $ht = $n + 96 | 0;
   30791     HEAPF64[$ht >> 3] = $mul4;
   30792     label = 5;
   30793     break;
   30794    case 4:
   30795     $width7 = $n + 48 | 0;
   30796     $2 = +HEAPF64[$width7 >> 3];
   30797     $mul8 = $2 * 72.0;
   30798     $div9 = $mul8 * .5;
   30799     $rw11 = $n + 112 | 0;
   30800     HEAPF64[$rw11 >> 3] = $div9;
   30801     $lw13 = $n + 104 | 0;
   30802     HEAPF64[$lw13 >> 3] = $div9;
   30803     $height15 = $n + 56 | 0;
   30804     $3 = +HEAPF64[$height15 >> 3];
   30805     $mul16 = $3 * 72.0;
   30806     $ht18 = $n + 96 | 0;
   30807     HEAPF64[$ht18 >> 3] = $mul16;
   30808     label = 5;
   30809     break;
   30810    case 5:
   30811     return;
   30812   }
   30813 }
   30814 function _edgeType($s, $dflt) {
   30815   $s = $s | 0;
   30816   $dflt = $dflt | 0;
   30817   var $tobool = 0, $0 = 0, $cmp = 0, $conv = 0, $add_ptr = 0, $call = 0, $tobool5 = 0, $_ = 0, $add_ptr9 = 0, $call10 = 0, $tobool11 = 0, $_17 = 0, $add_ptr15 = 0, $call16 = 0, $tobool17 = 0, $_18 = 0, $add_ptr21 = 0, $call22 = 0, $tobool23 = 0, $call27 = 0, $tobool28 = 0, $add_ptr32 = 0, $call33 = 0, $tobool34 = 0, $_19 = 0, $add_ptr38 = 0, $call39 = 0, $tobool40 = 0, $_20 = 0, $add_ptr44 = 0, $call45 = 0, $tobool46 = 0, $_21 = 0, $add_ptr50 = 0, $call51 = 0, $tobool52 = 0, $_22 = 0, $add_ptr56 = 0, $call57 = 0, $tobool58 = 0, $_23 = 0, $et_0 = 0, $tobool61 = 0, $call63 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   30818   __stackBase__ = STACKTOP;
   30819   label = 2;
   30820   while (1) switch (label | 0) {
   30821    case 2:
   30822     $tobool = ($s | 0) == 0;
   30823     if ($tobool) {
   30824       $retval_0 = $dflt;
   30825       label = 18;
   30826       break;
   30827     } else {
   30828       label = 3;
   30829       break;
   30830     }
   30831    case 3:
   30832     $0 = HEAP8[$s] | 0;
   30833     $cmp = $0 << 24 >> 24 == 0;
   30834     if ($cmp) {
   30835       $retval_0 = $dflt;
   30836       label = 18;
   30837       break;
   30838     } else {
   30839       label = 4;
   30840       break;
   30841     }
   30842    case 4:
   30843     $conv = $0 << 24 >> 24;
   30844     if (($conv | 0) == 49 | ($conv | 0) == 50 | ($conv | 0) == 51 | ($conv | 0) == 52 | ($conv | 0) == 53 | ($conv | 0) == 54 | ($conv | 0) == 55 | ($conv | 0) == 56 | ($conv | 0) == 57) {
   30845       label = 5;
   30846       break;
   30847     } else if (($conv | 0) == 99 | ($conv | 0) == 67) {
   30848       label = 6;
   30849       break;
   30850     } else if (($conv | 0) == 102 | ($conv | 0) == 70) {
   30851       label = 7;
   30852       break;
   30853     } else if (($conv | 0) == 108 | ($conv | 0) == 76) {
   30854       label = 8;
   30855       break;
   30856     } else if (($conv | 0) == 110 | ($conv | 0) == 78) {
   30857       label = 9;
   30858       break;
   30859     } else if (($conv | 0) == 111 | ($conv | 0) == 79) {
   30860       label = 11;
   30861       break;
   30862     } else if (($conv | 0) == 112 | ($conv | 0) == 80) {
   30863       label = 12;
   30864       break;
   30865     } else if (($conv | 0) == 115 | ($conv | 0) == 83) {
   30866       label = 13;
   30867       break;
   30868     } else if (($conv | 0) == 116 | ($conv | 0) == 84) {
   30869       label = 14;
   30870       break;
   30871     } else if (($conv | 0) == 121 | ($conv | 0) == 89) {
   30872       label = 15;
   30873       break;
   30874     } else if (($conv | 0) == 48) {
   30875       $retval_0 = 2;
   30876       label = 18;
   30877       break;
   30878     } else {
   30879       label = 17;
   30880       break;
   30881     }
   30882    case 5:
   30883     $retval_0 = 8;
   30884     label = 18;
   30885     break;
   30886    case 6:
   30887     $add_ptr = $s + 1 | 0;
   30888     $call = _strcasecmp($add_ptr, 121872) | 0;
   30889     $tobool5 = ($call | 0) == 0;
   30890     $_ = $tobool5 ? 10 : 0;
   30891     $et_0 = $_;
   30892     label = 16;
   30893     break;
   30894    case 7:
   30895     $add_ptr9 = $s + 1 | 0;
   30896     $call10 = _strcasecmp($add_ptr9, 121344) | 0;
   30897     $tobool11 = ($call10 | 0) == 0;
   30898     $_17 = $tobool11 ? 2 : 0;
   30899     $et_0 = $_17;
   30900     label = 16;
   30901     break;
   30902    case 8:
   30903     $add_ptr15 = $s + 1 | 0;
   30904     $call16 = _strcasecmp($add_ptr15, 120616) | 0;
   30905     $tobool17 = ($call16 | 0) == 0;
   30906     $_18 = $tobool17 ? 2 : 0;
   30907     $et_0 = $_18;
   30908     label = 16;
   30909     break;
   30910    case 9:
   30911     $add_ptr21 = $s + 1 | 0;
   30912     $call22 = _strcasecmp($add_ptr21, 119920) | 0;
   30913     $tobool23 = ($call22 | 0) == 0;
   30914     if ($tobool23) {
   30915       $retval_0 = 0;
   30916       label = 18;
   30917       break;
   30918     } else {
   30919       label = 10;
   30920       break;
   30921     }
   30922    case 10:
   30923     $call27 = _strcasecmp($add_ptr21, 119384) | 0;
   30924     $tobool28 = ($call27 | 0) == 0;
   30925     if ($tobool28) {
   30926       $retval_0 = 2;
   30927       label = 18;
   30928       break;
   30929     } else {
   30930       label = 17;
   30931       break;
   30932     }
   30933    case 11:
   30934     $add_ptr32 = $s + 1 | 0;
   30935     $call33 = _strcasecmp($add_ptr32, 118872) | 0;
   30936     $tobool34 = ($call33 | 0) == 0;
   30937     $_19 = $tobool34 ? 6 : 0;
   30938     $et_0 = $_19;
   30939     label = 16;
   30940     break;
   30941    case 12:
   30942     $add_ptr38 = $s + 1 | 0;
   30943     $call39 = _strcasecmp($add_ptr38, 118416) | 0;
   30944     $tobool40 = ($call39 | 0) == 0;
   30945     $_20 = $tobool40 ? 4 : 0;
   30946     $et_0 = $_20;
   30947     label = 16;
   30948     break;
   30949    case 13:
   30950     $add_ptr44 = $s + 1 | 0;
   30951     $call45 = _strcasecmp($add_ptr44, 117760) | 0;
   30952     $tobool46 = ($call45 | 0) == 0;
   30953     $_21 = $tobool46 ? 8 : 0;
   30954     $et_0 = $_21;
   30955     label = 16;
   30956     break;
   30957    case 14:
   30958     $add_ptr50 = $s + 1 | 0;
   30959     $call51 = _strcasecmp($add_ptr50, 117080) | 0;
   30960     $tobool52 = ($call51 | 0) == 0;
   30961     $_22 = $tobool52 ? 8 : 0;
   30962     $et_0 = $_22;
   30963     label = 16;
   30964     break;
   30965    case 15:
   30966     $add_ptr56 = $s + 1 | 0;
   30967     $call57 = _strcasecmp($add_ptr56, 116520) | 0;
   30968     $tobool58 = ($call57 | 0) == 0;
   30969     $_23 = $tobool58 ? 8 : 0;
   30970     $et_0 = $_23;
   30971     label = 16;
   30972     break;
   30973    case 16:
   30974     $tobool61 = ($et_0 | 0) == 0;
   30975     if ($tobool61) {
   30976       label = 17;
   30977       break;
   30978     } else {
   30979       $retval_0 = $et_0;
   30980       label = 18;
   30981       break;
   30982     }
   30983    case 17:
   30984     $call63 = _agerr(0, 115984, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $s, tempInt) | 0) | 0;
   30985     $retval_0 = $dflt;
   30986     label = 18;
   30987     break;
   30988    case 18:
   30989     STACKTOP = __stackBase__;
   30990     return $retval_0 | 0;
   30991   }
   30992   return 0;
   30993 }
   30994 function _setEdgeType($g, $dflt) {
   30995   $g = $g | 0;
   30996   $dflt = $dflt | 0;
   30997   var $0 = 0, $call = 0, $tobool = 0, $1 = 0, $cmp = 0, $call4 = 0, $et_0 = 0, $flags = 0, $2 = 0, $conv6 = 0, $or = 0, $conv7 = 0, label = 0;
   30998   label = 2;
   30999   while (1) switch (label | 0) {
   31000    case 2:
   31001     $0 = $g | 0;
   31002     $call = _agget($0, 115568) | 0;
   31003     $tobool = ($call | 0) == 0;
   31004     if ($tobool) {
   31005       $et_0 = $dflt;
   31006       label = 5;
   31007       break;
   31008     } else {
   31009       label = 3;
   31010       break;
   31011     }
   31012    case 3:
   31013     $1 = HEAP8[$call] | 0;
   31014     $cmp = $1 << 24 >> 24 == 0;
   31015     if ($cmp) {
   31016       $et_0 = 0;
   31017       label = 5;
   31018       break;
   31019     } else {
   31020       label = 4;
   31021       break;
   31022     }
   31023    case 4:
   31024     $call4 = _edgeType($call, $dflt) | 0;
   31025     $et_0 = $call4;
   31026     label = 5;
   31027     break;
   31028    case 5:
   31029     $flags = $g + 168 | 0;
   31030     $2 = HEAP16[$flags >> 1] | 0;
   31031     $conv6 = $2 & 65535;
   31032     $or = $conv6 | $et_0;
   31033     $conv7 = $or & 65535;
   31034     HEAP16[$flags >> 1] = $conv7;
   31035     return;
   31036   }
   31037 }
   31038 function _gv_free_splines($e) {
   31039   $e = $e | 0;
   31040   var $spl = 0, $0 = 0, $tobool = 0, $1 = 0, $size8 = 0, $2 = 0, $cmp9 = 0, $list10 = 0, $3 = 0, $4 = 0, $i_011 = 0, $list5 = 0, $5 = 0, $6 = 0, $inc = 0, $7 = 0, $size = 0, $8 = 0, $cmp = 0, $list = 0, $9 = 0, $_lcssa = 0, $10 = 0, $11 = 0, $12 = 0, label = 0;
   31041   label = 2;
   31042   while (1) switch (label | 0) {
   31043    case 2:
   31044     $spl = $e + 24 | 0;
   31045     $0 = HEAP32[$spl >> 2] | 0;
   31046     $tobool = ($0 | 0) == 0;
   31047     if ($tobool) {
   31048       label = 6;
   31049       break;
   31050     } else {
   31051       label = 3;
   31052       break;
   31053     }
   31054    case 3:
   31055     $1 = HEAP32[$spl >> 2] | 0;
   31056     $size8 = $1 + 4 | 0;
   31057     $2 = HEAP32[$size8 >> 2] | 0;
   31058     $cmp9 = ($2 | 0) > 0;
   31059     $list10 = $1 | 0;
   31060     $3 = HEAP32[$list10 >> 2] | 0;
   31061     if ($cmp9) {
   31062       $i_011 = 0;
   31063       $4 = $3;
   31064       label = 4;
   31065       break;
   31066     } else {
   31067       $_lcssa = $3;
   31068       label = 5;
   31069       break;
   31070     }
   31071    case 4:
   31072     $list5 = $4 + ($i_011 * 48 & -1) | 0;
   31073     $5 = HEAP32[$list5 >> 2] | 0;
   31074     $6 = $5;
   31075     _free($6);
   31076     $inc = $i_011 + 1 | 0;
   31077     $7 = HEAP32[$spl >> 2] | 0;
   31078     $size = $7 + 4 | 0;
   31079     $8 = HEAP32[$size >> 2] | 0;
   31080     $cmp = ($inc | 0) < ($8 | 0);
   31081     $list = $7 | 0;
   31082     $9 = HEAP32[$list >> 2] | 0;
   31083     if ($cmp) {
   31084       $i_011 = $inc;
   31085       $4 = $9;
   31086       label = 4;
   31087       break;
   31088     } else {
   31089       $_lcssa = $9;
   31090       label = 5;
   31091       break;
   31092     }
   31093    case 5:
   31094     $10 = $_lcssa;
   31095     _free($10);
   31096     $11 = HEAP32[$spl >> 2] | 0;
   31097     $12 = $11;
   31098     _free($12);
   31099     label = 6;
   31100     break;
   31101    case 6:
   31102     HEAP32[$spl >> 2] = 0;
   31103     return;
   31104   }
   31105 }
   31106 function _gv_cleanup_edge($e) {
   31107   $e = $e | 0;
   31108   _gv_free_splines($e);
   31109   _free_label(HEAP32[$e + 112 >> 2] | 0);
   31110   _free_label(HEAP32[$e + 124 >> 2] | 0);
   31111   _free_label(HEAP32[$e + 116 >> 2] | 0);
   31112   _free_label(HEAP32[$e + 120 >> 2] | 0);
   31113   _memset($e + 24 | 0, 0, 168);
   31114   return;
   31115 }
   31116 function _overlap_arrow($p, $u, $flag, $b) {
   31117   $p = $p | 0;
   31118   $u = $u | 0;
   31119   $flag = $flag | 0;
   31120   $b = $b | 0;
   31121   var $tmp = 0, $tmp2 = 0, $tmp10 = 0, $tmp15 = 0, $x = 0, $0 = 0.0, $x1 = 0, $1 = 0.0, $cmp = 0, $x4 = 0, $2 = 0.0, $x6 = 0, $3 = 0.0, $cmp7 = 0, $y = 0, $4 = 0.0, $y12 = 0, $5 = 0.0, $cmp13 = 0, $y17 = 0, $6 = 0.0, $y19 = 0, $7 = 0.0, $cmp20 = 0, $retval_0 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   31122   __stackBase__ = STACKTOP;
   31123   STACKTOP = STACKTOP + 128 | 0;
   31124   tempParam = $p;
   31125   $p = STACKTOP;
   31126   STACKTOP = STACKTOP + 16 | 0;
   31127   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   31128   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   31129   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   31130   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   31131   tempParam = $u;
   31132   $u = STACKTOP;
   31133   STACKTOP = STACKTOP + 16 | 0;
   31134   HEAP32[$u >> 2] = HEAP32[tempParam >> 2] | 0;
   31135   HEAP32[$u + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   31136   HEAP32[$u + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   31137   HEAP32[$u + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   31138   tempParam = $b;
   31139   $b = STACKTOP;
   31140   STACKTOP = STACKTOP + 32 | 0;
   31141   _memcpy($b, tempParam, 32);
   31142   label = 2;
   31143   while (1) switch (label | 0) {
   31144    case 2:
   31145     $tmp = __stackBase__ | 0;
   31146     $tmp2 = __stackBase__ + 32 | 0;
   31147     $tmp10 = __stackBase__ + 64 | 0;
   31148     $tmp15 = __stackBase__ + 96 | 0;
   31149     $x = $b + 16 | 0;
   31150     $0 = +HEAPF64[$x >> 3];
   31151     _arrow_bb($tmp, $p, $u, 1.0, 0);
   31152     $x1 = $tmp | 0;
   31153     $1 = +HEAPF64[$x1 >> 3];
   31154     $cmp = $0 < $1;
   31155     if ($cmp) {
   31156       label = 6;
   31157       break;
   31158     } else {
   31159       label = 3;
   31160       break;
   31161     }
   31162    case 3:
   31163     _arrow_bb($tmp2, $p, $u, 1.0, 0);
   31164     $x4 = $tmp2 + 16 | 0;
   31165     $2 = +HEAPF64[$x4 >> 3];
   31166     $x6 = $b | 0;
   31167     $3 = +HEAPF64[$x6 >> 3];
   31168     $cmp7 = $2 < $3;
   31169     if ($cmp7) {
   31170       label = 6;
   31171       break;
   31172     } else {
   31173       label = 4;
   31174       break;
   31175     }
   31176    case 4:
   31177     $y = $b + 24 | 0;
   31178     $4 = +HEAPF64[$y >> 3];
   31179     _arrow_bb($tmp10, $p, $u, 1.0, 0);
   31180     $y12 = $tmp10 + 8 | 0;
   31181     $5 = +HEAPF64[$y12 >> 3];
   31182     $cmp13 = $4 < $5;
   31183     if ($cmp13) {
   31184       label = 6;
   31185       break;
   31186     } else {
   31187       label = 5;
   31188       break;
   31189     }
   31190    case 5:
   31191     _arrow_bb($tmp15, $p, $u, 1.0, 0);
   31192     $y17 = $tmp15 + 24 | 0;
   31193     $6 = +HEAPF64[$y17 >> 3];
   31194     $y19 = $b + 8 | 0;
   31195     $7 = +HEAPF64[$y19 >> 3];
   31196     $cmp20 = $6 < $7;
   31197     if ($cmp20) {
   31198       label = 6;
   31199       break;
   31200     } else {
   31201       $retval_0 = 1;
   31202       label = 7;
   31203       break;
   31204     }
   31205    case 6:
   31206     $retval_0 = 0;
   31207     label = 7;
   31208     break;
   31209    case 7:
   31210     STACKTOP = __stackBase__;
   31211     return $retval_0 | 0;
   31212   }
   31213   return 0;
   31214 }
   31215 function _cloneEdge($e, $ct, $ch) {
   31216   $e = $e | 0;
   31217   $ct = $ct | 0;
   31218   $ch = $ch | 0;
   31219   var $call = 0;
   31220   $call = _agedge(HEAP32[$ct + 20 >> 2] | 0, $ct, $ch) | 0;
   31221   _agcopyattr($e | 0, $call | 0);
   31222   return $call | 0;
   31223 }
   31224 function _make_simple_label($g, $lp) {
   31225   $g = $g | 0;
   31226   $lp = $lp | 0;
   31227   var $text = 0, $0 = 0, $dimen = 0, $1 = 0, $2 = 0, $cmp = 0, $call = 0, $add = 0, $call3 = 0, $3 = 0, $tobool4757 = 0, $charset = 0, $4 = 0, $_pn = 0, $lineptr_0_ph60 = 0, $line_0_ph59 = 0, $5 = 0, $_pn_pn = 0, $lineptr_049 = 0, $incdec_ptr50 = 0, $6 = 0, $notlhs = 0, $notrhs = 0, $or_cond_not = 0, $cmp13 = 0, $or_cond39 = 0, $incdec_ptr16 = 0, $incdec_ptr17 = 0, $7 = 0, $incdec_ptr18 = 0, $tobool19 = 0, $8 = 0, $conv26 = 0, $incdec_ptr27 = 0, $9 = 0, $incdec_ptr28 = 0, $line_1 = 0, $lineptr_1 = 0, $10 = 0, $tobool29 = 0, $incdec_ptr31 = 0, $incdec_ptr_incdec_ptr31 = 0, $incdec_ptr38 = 0, $p_0_ph_be = 0, $line_0_ph_be = 0, $lineptr_0_ph_be = 0, $11 = 0, $tobool47 = 0, $incdec_ptr40 = 0, $p_0_be = 0, $lineptr_0_be = 0, $12 = 0, $tobool = 0, $line_0_ph53 = 0, $lineptr_2 = 0, $cmp44 = 0, $space = 0, $13 = 0, $14 = 0, label = 0;
   31228   label = 2;
   31229   while (1) switch (label | 0) {
   31230    case 2:
   31231     $text = $lp | 0;
   31232     $0 = HEAP32[$text >> 2] | 0;
   31233     $dimen = $lp + 24 | 0;
   31234     $1 = $dimen;
   31235     _memset($1 | 0, 0, 16);
   31236     $2 = HEAP8[$0] | 0;
   31237     $cmp = $2 << 24 >> 24 == 0;
   31238     if ($cmp) {
   31239       label = 20;
   31240       break;
   31241     } else {
   31242       label = 3;
   31243       break;
   31244     }
   31245    case 3:
   31246     $call = _strlen($0 | 0) | 0;
   31247     $add = $call + 1 | 0;
   31248     $call3 = _gmalloc($add) | 0;
   31249     HEAP8[$call3] = 0;
   31250     $3 = HEAP8[$0] | 0;
   31251     $tobool4757 = $3 << 24 >> 24 == 0;
   31252     if ($tobool4757) {
   31253       label = 19;
   31254       break;
   31255     } else {
   31256       label = 4;
   31257       break;
   31258     }
   31259    case 4:
   31260     $charset = $lp + 12 | 0;
   31261     $line_0_ph59 = $call3;
   31262     $lineptr_0_ph60 = $call3;
   31263     $_pn = $0;
   31264     $4 = $3;
   31265     label = 5;
   31266     break;
   31267    case 5:
   31268     $lineptr_049 = $lineptr_0_ph60;
   31269     $_pn_pn = $_pn;
   31270     $5 = $4;
   31271     label = 6;
   31272     break;
   31273    case 6:
   31274     $incdec_ptr50 = $_pn_pn + 1 | 0;
   31275     $6 = HEAP32[$charset >> 2] | 0;
   31276     $notlhs = ($6 | 0) != 2;
   31277     $notrhs = ($5 & 255) < 161;
   31278     $or_cond_not = $notrhs | $notlhs;
   31279     $cmp13 = $5 << 24 >> 24 == -1;
   31280     $or_cond39 = $or_cond_not | $cmp13;
   31281     if ($or_cond39) {
   31282       label = 8;
   31283       break;
   31284     } else {
   31285       label = 7;
   31286       break;
   31287     }
   31288    case 7:
   31289     $incdec_ptr16 = $lineptr_049 + 1 | 0;
   31290     HEAP8[$lineptr_049] = $5;
   31291     $incdec_ptr17 = $_pn_pn + 2 | 0;
   31292     $7 = HEAP8[$incdec_ptr50] | 0;
   31293     $incdec_ptr18 = $lineptr_049 + 2 | 0;
   31294     HEAP8[$incdec_ptr16] = $7;
   31295     $tobool19 = $7 << 24 >> 24 == 0;
   31296     if ($tobool19) {
   31297       $lineptr_2 = $incdec_ptr18;
   31298       $line_0_ph53 = $line_0_ph59;
   31299       label = 17;
   31300       break;
   31301     } else {
   31302       $lineptr_0_be = $incdec_ptr18;
   31303       $p_0_be = $incdec_ptr17;
   31304       label = 16;
   31305       break;
   31306     }
   31307    case 8:
   31308     if (($5 << 24 >> 24 | 0) == 92) {
   31309       label = 9;
   31310       break;
   31311     } else if (($5 << 24 >> 24 | 0) == 10) {
   31312       label = 13;
   31313       break;
   31314     } else {
   31315       label = 15;
   31316       break;
   31317     }
   31318    case 9:
   31319     $8 = HEAP8[$incdec_ptr50] | 0;
   31320     $conv26 = $8 << 24 >> 24;
   31321     if (($conv26 | 0) == 110 | ($conv26 | 0) == 108 | ($conv26 | 0) == 114) {
   31322       label = 10;
   31323       break;
   31324     } else {
   31325       label = 11;
   31326       break;
   31327     }
   31328    case 10:
   31329     $incdec_ptr27 = $lineptr_049 + 1 | 0;
   31330     HEAP8[$lineptr_049] = 0;
   31331     $9 = HEAP8[$incdec_ptr50] | 0;
   31332     _storeline($g, $lp, $line_0_ph59, $9);
   31333     $lineptr_1 = $incdec_ptr27;
   31334     $line_1 = $incdec_ptr27;
   31335     label = 12;
   31336     break;
   31337    case 11:
   31338     $incdec_ptr28 = $lineptr_049 + 1 | 0;
   31339     HEAP8[$lineptr_049] = $8;
   31340     $lineptr_1 = $incdec_ptr28;
   31341     $line_1 = $line_0_ph59;
   31342     label = 12;
   31343     break;
   31344    case 12:
   31345     $10 = HEAP8[$incdec_ptr50] | 0;
   31346     $tobool29 = $10 << 24 >> 24 == 0;
   31347     $incdec_ptr31 = $_pn_pn + 2 | 0;
   31348     $incdec_ptr_incdec_ptr31 = $tobool29 ? $incdec_ptr50 : $incdec_ptr31;
   31349     $lineptr_0_ph_be = $lineptr_1;
   31350     $line_0_ph_be = $line_1;
   31351     $p_0_ph_be = $incdec_ptr_incdec_ptr31;
   31352     label = 14;
   31353     break;
   31354    case 13:
   31355     $incdec_ptr38 = $lineptr_049 + 1 | 0;
   31356     HEAP8[$lineptr_049] = 0;
   31357     _storeline($g, $lp, $line_0_ph59, 110);
   31358     $lineptr_0_ph_be = $incdec_ptr38;
   31359     $line_0_ph_be = $incdec_ptr38;
   31360     $p_0_ph_be = $incdec_ptr50;
   31361     label = 14;
   31362     break;
   31363    case 14:
   31364     $11 = HEAP8[$p_0_ph_be] | 0;
   31365     $tobool47 = $11 << 24 >> 24 == 0;
   31366     if ($tobool47) {
   31367       $lineptr_2 = $lineptr_0_ph_be;
   31368       $line_0_ph53 = $line_0_ph_be;
   31369       label = 17;
   31370       break;
   31371     } else {
   31372       $line_0_ph59 = $line_0_ph_be;
   31373       $lineptr_0_ph60 = $lineptr_0_ph_be;
   31374       $_pn = $p_0_ph_be;
   31375       $4 = $11;
   31376       label = 5;
   31377       break;
   31378     }
   31379    case 15:
   31380     $incdec_ptr40 = $lineptr_049 + 1 | 0;
   31381     HEAP8[$lineptr_049] = $5;
   31382     $lineptr_0_be = $incdec_ptr40;
   31383     $p_0_be = $incdec_ptr50;
   31384     label = 16;
   31385     break;
   31386    case 16:
   31387     $12 = HEAP8[$p_0_be] | 0;
   31388     $tobool = $12 << 24 >> 24 == 0;
   31389     if ($tobool) {
   31390       $lineptr_2 = $lineptr_0_be;
   31391       $line_0_ph53 = $line_0_ph59;
   31392       label = 17;
   31393       break;
   31394     } else {
   31395       $lineptr_049 = $lineptr_0_be;
   31396       $_pn_pn = $p_0_be;
   31397       $5 = $12;
   31398       label = 6;
   31399       break;
   31400     }
   31401    case 17:
   31402     $cmp44 = ($line_0_ph53 | 0) == ($lineptr_2 | 0);
   31403     if ($cmp44) {
   31404       label = 19;
   31405       break;
   31406     } else {
   31407       label = 18;
   31408       break;
   31409     }
   31410    case 18:
   31411     HEAP8[$lineptr_2] = 0;
   31412     _storeline($g, $lp, $line_0_ph53, 110);
   31413     label = 19;
   31414     break;
   31415    case 19:
   31416     $space = $lp + 40 | 0;
   31417     $13 = $space;
   31418     $14 = $dimen;
   31419     HEAP32[$13 >> 2] = HEAP32[$14 >> 2] | 0;
   31420     HEAP32[$13 + 4 >> 2] = HEAP32[$14 + 4 >> 2] | 0;
   31421     HEAP32[$13 + 8 >> 2] = HEAP32[$14 + 8 >> 2] | 0;
   31422     HEAP32[$13 + 12 >> 2] = HEAP32[$14 + 12 >> 2] | 0;
   31423     label = 20;
   31424     break;
   31425    case 20:
   31426     return;
   31427   }
   31428 }
   31429 function _storeline($g, $lp, $line, $terminator) {
   31430   $g = $g | 0;
   31431   $lp = $lp | 0;
   31432   $line = $line | 0;
   31433   $terminator = $terminator | 0;
   31434   var $tmp = 0, $nparas = 0, $0 = 0, $conv = 0, $para3 = 0, $1 = 0, $tobool = 0, $add = 0, $2 = 0, $add7 = 0, $call = 0, $3 = 0, $mul = 0, $call9 = 0, $call_sink = 0, $4 = 0, $5 = 0, $idxprom = 0, $arrayidx = 0, $str = 0, $just = 0, $tobool19 = 0, $6 = 0, $tobool22 = 0, $fontname = 0, $7 = 0, $fontsize = 0, $8 = 0.0, $size_sroa_0_0__idx = 0, $size_sroa_0_0_copyload = 0.0, $size_sroa_1_8__idx7 = 0, $size_sroa_1_8_copyload = 0.0, $fontsize23 = 0, $9 = 0.0, $mul24 = 0.0, $conv25 = 0, $conv26 = 0.0, $height = 0, $size_sroa_0_0 = 0.0, $size_sroa_1_0 = 0.0, $10 = 0, $inc = 0, $x30 = 0, $11 = 0.0, $cmp = 0, $_size_sroa_0_0 = 0.0, $y44 = 0, $12 = 0.0, $add45 = 0.0, label = 0, __stackBase__ = 0;
   31435   __stackBase__ = STACKTOP;
   31436   STACKTOP = STACKTOP + 16 | 0;
   31437   label = 2;
   31438   while (1) switch (label | 0) {
   31439    case 2:
   31440     $tmp = __stackBase__ | 0;
   31441     $nparas = $lp + 76 | 0;
   31442     $0 = HEAP16[$nparas >> 1] | 0;
   31443     $conv = $0 << 16 >> 16;
   31444     $para3 = $lp + 72 | 0;
   31445     $1 = HEAP32[$para3 >> 2] | 0;
   31446     $tobool = ($1 | 0) == 0;
   31447     if ($tobool) {
   31448       label = 4;
   31449       break;
   31450     } else {
   31451       label = 3;
   31452       break;
   31453     }
   31454    case 3:
   31455     $add = $conv + 1 | 0;
   31456     $2 = $1;
   31457     $add7 = $conv + 2 | 0;
   31458     $call = _zrealloc($2, $add7, 80, $add) | 0;
   31459     $call_sink = $call;
   31460     label = 5;
   31461     break;
   31462    case 4:
   31463     $3 = $conv * 80 & -1;
   31464     $mul = $3 + 160 | 0;
   31465     $call9 = _zmalloc($mul) | 0;
   31466     $call_sink = $call9;
   31467     label = 5;
   31468     break;
   31469    case 5:
   31470     $4 = $call_sink;
   31471     HEAP32[$para3 >> 2] = $4;
   31472     $5 = HEAP16[$nparas >> 1] | 0;
   31473     $idxprom = $5 << 16 >> 16;
   31474     $arrayidx = $4 + ($idxprom * 80 & -1) | 0;
   31475     $str = $arrayidx | 0;
   31476     HEAP32[$str >> 2] = $line;
   31477     $just = $4 + ($idxprom * 80 & -1) + 72 | 0;
   31478     HEAP8[$just] = $terminator;
   31479     $tobool19 = ($line | 0) == 0;
   31480     if ($tobool19) {
   31481       label = 8;
   31482       break;
   31483     } else {
   31484       label = 6;
   31485       break;
   31486     }
   31487    case 6:
   31488     $6 = HEAP8[$line] | 0;
   31489     $tobool22 = $6 << 24 >> 24 == 0;
   31490     if ($tobool22) {
   31491       label = 8;
   31492       break;
   31493     } else {
   31494       label = 7;
   31495       break;
   31496     }
   31497    case 7:
   31498     $fontname = $lp + 4 | 0;
   31499     $7 = HEAP32[$fontname >> 2] | 0;
   31500     $fontsize = $lp + 16 | 0;
   31501     $8 = +HEAPF64[$fontsize >> 3];
   31502     _textsize($tmp, $g, $arrayidx, $7, $8);
   31503     $size_sroa_0_0__idx = $tmp | 0;
   31504     $size_sroa_0_0_copyload = +HEAPF64[$size_sroa_0_0__idx >> 3];
   31505     $size_sroa_1_8__idx7 = $tmp + 8 | 0;
   31506     $size_sroa_1_8_copyload = +HEAPF64[$size_sroa_1_8__idx7 >> 3];
   31507     $size_sroa_1_0 = $size_sroa_1_8_copyload;
   31508     $size_sroa_0_0 = $size_sroa_0_0_copyload;
   31509     label = 9;
   31510     break;
   31511    case 8:
   31512     $fontsize23 = $lp + 16 | 0;
   31513     $9 = +HEAPF64[$fontsize23 >> 3];
   31514     $mul24 = $9 * 1.2;
   31515     $conv25 = ~~$mul24;
   31516     $conv26 = +($conv25 | 0);
   31517     $height = $4 + ($idxprom * 80 & -1) + 64 | 0;
   31518     HEAPF64[$height >> 3] = $conv26;
   31519     $size_sroa_1_0 = $conv26;
   31520     $size_sroa_0_0 = 0.0;
   31521     label = 9;
   31522     break;
   31523    case 9:
   31524     $10 = HEAP16[$nparas >> 1] | 0;
   31525     $inc = $10 + 1 & 65535;
   31526     HEAP16[$nparas >> 1] = $inc;
   31527     $x30 = $lp + 24 | 0;
   31528     $11 = +HEAPF64[$x30 >> 3];
   31529     $cmp = $11 > $size_sroa_0_0;
   31530     $_size_sroa_0_0 = $cmp ? $11 : $size_sroa_0_0;
   31531     HEAPF64[$x30 >> 3] = $_size_sroa_0_0;
   31532     $y44 = $lp + 32 | 0;
   31533     $12 = +HEAPF64[$y44 >> 3];
   31534     $add45 = $size_sroa_1_0 + $12;
   31535     HEAPF64[$y44 >> 3] = $add45;
   31536     STACKTOP = __stackBase__;
   31537     return;
   31538   }
   31539 }
   31540 function _mapN($n, $clg) {
   31541   $n = $n | 0;
   31542   $clg = $clg | 0;
   31543   var $graph = 0, $0 = 0, $clustnode = 0, $1 = 0, $tobool = 0, $2 = 0, $3 = 0, $name1 = 0, $4 = 0, $call = 0, $tobool2 = 0, $incdec_ptr = 0, $5 = 0, $call3 = 0, $tobool4 = 0, $6 = 0, $call7 = 0, $7 = 0, $call8 = 0, $list9 = 0, $8 = 0, $9 = 0, $tobool1116 = 0, $10 = 0, $11 = 0, $_pn = 0, $incdec_ptr1017 = 0, $index = 0, $12 = 0, $call12 = 0, $value = 0, $13 = 0, $cmp = 0, $call16 = 0, $14 = 0, $tobool11 = 0, $retval_0 = 0, label = 0;
   31544   label = 2;
   31545   while (1) switch (label | 0) {
   31546    case 2:
   31547     $graph = $n + 20 | 0;
   31548     $0 = HEAP32[$graph >> 2] | 0;
   31549     $clustnode = $n + 134 | 0;
   31550     $1 = HEAP8[$clustnode] | 0;
   31551     $tobool = $1 << 24 >> 24 == 0;
   31552     if ($tobool) {
   31553       $retval_0 = $n;
   31554       label = 12;
   31555       break;
   31556     } else {
   31557       label = 3;
   31558       break;
   31559     }
   31560    case 3:
   31561     $2 = $n | 0;
   31562     $3 = $clg;
   31563     _aginsert($3, $2);
   31564     $name1 = $n + 12 | 0;
   31565     $4 = HEAP32[$name1 >> 2] | 0;
   31566     $call = _strchr($4 | 0, 58) | 0;
   31567     $tobool2 = ($call | 0) == 0;
   31568     if ($tobool2) {
   31569       label = 4;
   31570       break;
   31571     } else {
   31572       label = 5;
   31573       break;
   31574     }
   31575    case 4:
   31576     ___assert_func(158664, 1243, 164088, 119472);
   31577     return 0;
   31578    case 5:
   31579     $incdec_ptr = $call + 1 | 0;
   31580     $5 = $0;
   31581     $call3 = _agfindnode($5, $incdec_ptr) | 0;
   31582     $tobool4 = ($call3 | 0) == 0;
   31583     if ($tobool4) {
   31584       label = 7;
   31585       break;
   31586     } else {
   31587       label = 6;
   31588       break;
   31589     }
   31590    case 6:
   31591     $6 = $call3;
   31592     $retval_0 = $6;
   31593     label = 12;
   31594     break;
   31595    case 7:
   31596     $call7 = _agnode($5, $incdec_ptr) | 0;
   31597     $7 = $call7;
   31598     $call8 = _agdictof($2) | 0;
   31599     $list9 = $call8 + 8 | 0;
   31600     $8 = HEAP32[$list9 >> 2] | 0;
   31601     $9 = HEAP32[$8 >> 2] | 0;
   31602     $tobool1116 = ($9 | 0) == 0;
   31603     if ($tobool1116) {
   31604       $retval_0 = $7;
   31605       label = 12;
   31606       break;
   31607     } else {
   31608       label = 8;
   31609       break;
   31610     }
   31611    case 8:
   31612     $10 = $call7 | 0;
   31613     $_pn = $8;
   31614     $11 = $9;
   31615     label = 9;
   31616     break;
   31617    case 9:
   31618     $incdec_ptr1017 = $_pn + 4 | 0;
   31619     $index = $11 + 8 | 0;
   31620     $12 = HEAP32[$index >> 2] | 0;
   31621     $call12 = _agxget($10, $12) | 0;
   31622     $value = $11 + 4 | 0;
   31623     $13 = HEAP32[$value >> 2] | 0;
   31624     $cmp = ($call12 | 0) == ($13 | 0);
   31625     if ($cmp) {
   31626       label = 11;
   31627       break;
   31628     } else {
   31629       label = 10;
   31630       break;
   31631     }
   31632    case 10:
   31633     $call16 = _agxset($10, $12, $13) | 0;
   31634     label = 11;
   31635     break;
   31636    case 11:
   31637     $14 = HEAP32[$incdec_ptr1017 >> 2] | 0;
   31638     $tobool11 = ($14 | 0) == 0;
   31639     if ($tobool11) {
   31640       $retval_0 = $7;
   31641       label = 12;
   31642       break;
   31643     } else {
   31644       $_pn = $incdec_ptr1017;
   31645       $11 = $14;
   31646       label = 9;
   31647       break;
   31648     }
   31649    case 12:
   31650     return $retval_0 | 0;
   31651   }
   31652   return 0;
   31653 }
   31654 function _make_label($obj, $str, $kind, $fontsize, $fontname, $fontcolor) {
   31655   $obj = $obj | 0;
   31656   $str = $str | 0;
   31657   $kind = $kind | 0;
   31658   $fontsize = +$fontsize;
   31659   $fontname = $fontname | 0;
   31660   $fontcolor = $fontcolor | 0;
   31661   var $call = 0, $0 = 0, $1 = 0, $2 = 0, $3 = 0, $bf_val_sext = 0, $4 = 0, $root = 0, $5 = 0, $6 = 0, $7 = 0, $graph = 0, $8 = 0, $9 = 0, $root2 = 0, $10 = 0, $11 = 0, $head = 0, $12 = 0, $13 = 0, $graph4 = 0, $14 = 0, $root5 = 0, $15 = 0, $g_0 = 0, $sg_0 = 0, $n_0 = 0, $e_0 = 0, $fontname6 = 0, $16 = 0, $fontcolor7 = 0, $17 = 0, $fontsize8 = 0, $18 = 0, $charset = 0, $19 = 0, $conv = 0, $charset9 = 0, $20 = 0, $and = 0, $tobool = 0, $call10 = 0, $text = 0, $and11 = 0, $tobool12 = 0, $21 = 0, $call16 = 0, $text17 = 0, $22 = 0, $call19 = 0, $tobool20 = 0, $23 = 0, $24 = 0, $bf_val_sext23 = 0, $name = 0, $25 = 0, $call25 = 0, $name27 = 0, $26 = 0, $call28 = 0, $tail = 0, $27 = 0, $name30 = 0, $28 = 0, $29 = 0, $30 = 0, $and33 = 0, $tobool34 = 0, $cond = 0, $head35 = 0, $31 = 0, $name36 = 0, $32 = 0, $call37 = 0, $call43 = 0, $text44 = 0, $33 = 0, $cond1 = 0, $call48 = 0, $call50 = 0, $s_0 = 0, $34 = 0, label = 0, __stackBase__ = 0;
   31662   __stackBase__ = STACKTOP;
   31663   label = 2;
   31664   while (1) switch (label | 0) {
   31665    case 2:
   31666     $call = _zmalloc(88) | 0;
   31667     $0 = $call;
   31668     $1 = $obj;
   31669     $2 = HEAP32[$1 >> 2] | 0;
   31670     $3 = $2 << 28;
   31671     $bf_val_sext = $3 >> 28;
   31672     if (($bf_val_sext | 0) == 3) {
   31673       label = 3;
   31674       break;
   31675     } else if (($bf_val_sext | 0) == 1) {
   31676       label = 4;
   31677       break;
   31678     } else if (($bf_val_sext | 0) == 2) {
   31679       label = 5;
   31680       break;
   31681     } else {
   31682       $e_0 = 0;
   31683       $n_0 = 0;
   31684       $sg_0 = 0;
   31685       $g_0 = 0;
   31686       label = 6;
   31687       break;
   31688     }
   31689    case 3:
   31690     $4 = $obj;
   31691     $root = $obj + 32 | 0;
   31692     $5 = $root;
   31693     $6 = HEAP32[$5 >> 2] | 0;
   31694     $e_0 = 0;
   31695     $n_0 = 0;
   31696     $sg_0 = $4;
   31697     $g_0 = $6;
   31698     label = 6;
   31699     break;
   31700    case 4:
   31701     $7 = $obj;
   31702     $graph = $obj + 20 | 0;
   31703     $8 = $graph;
   31704     $9 = HEAP32[$8 >> 2] | 0;
   31705     $root2 = $9 + 32 | 0;
   31706     $10 = HEAP32[$root2 >> 2] | 0;
   31707     $e_0 = 0;
   31708     $n_0 = $7;
   31709     $sg_0 = 0;
   31710     $g_0 = $10;
   31711     label = 6;
   31712     break;
   31713    case 5:
   31714     $11 = $obj;
   31715     $head = $obj + 12 | 0;
   31716     $12 = $head;
   31717     $13 = HEAP32[$12 >> 2] | 0;
   31718     $graph4 = $13 + 20 | 0;
   31719     $14 = HEAP32[$graph4 >> 2] | 0;
   31720     $root5 = $14 + 32 | 0;
   31721     $15 = HEAP32[$root5 >> 2] | 0;
   31722     $e_0 = $11;
   31723     $n_0 = 0;
   31724     $sg_0 = 0;
   31725     $g_0 = $15;
   31726     label = 6;
   31727     break;
   31728    case 6:
   31729     $fontname6 = $call + 4 | 0;
   31730     $16 = $fontname6;
   31731     HEAP32[$16 >> 2] = $fontname;
   31732     $fontcolor7 = $call + 8 | 0;
   31733     $17 = $fontcolor7;
   31734     HEAP32[$17 >> 2] = $fontcolor;
   31735     $fontsize8 = $call + 16 | 0;
   31736     $18 = $fontsize8;
   31737     HEAPF64[$18 >> 3] = $fontsize;
   31738     $charset = $g_0 + 155 | 0;
   31739     $19 = HEAP8[$charset] | 0;
   31740     $conv = $19 & 255;
   31741     $charset9 = $call + 12 | 0;
   31742     $20 = $charset9;
   31743     HEAP32[$20 >> 2] = $conv;
   31744     $and = $kind & 4;
   31745     $tobool = ($and | 0) == 0;
   31746     if ($tobool) {
   31747       label = 9;
   31748       break;
   31749     } else {
   31750       label = 7;
   31751       break;
   31752     }
   31753    case 7:
   31754     $call10 = _strdup($str | 0) | 0;
   31755     $text = $call;
   31756     HEAP32[$text >> 2] = $call10;
   31757     $and11 = $kind & 2;
   31758     $tobool12 = ($and11 | 0) == 0;
   31759     if ($tobool12) {
   31760       label = 20;
   31761       break;
   31762     } else {
   31763       label = 8;
   31764       break;
   31765     }
   31766    case 8:
   31767     $21 = $call + 82 | 0;
   31768     HEAP8[$21] = 1;
   31769     label = 20;
   31770     break;
   31771    case 9:
   31772     if (($kind | 0) == 2) {
   31773       label = 10;
   31774       break;
   31775     } else if (($kind | 0) == 0) {
   31776       label = 16;
   31777       break;
   31778     } else {
   31779       label = 15;
   31780       break;
   31781     }
   31782    case 10:
   31783     $call16 = _strdup($str | 0) | 0;
   31784     $text17 = $call;
   31785     HEAP32[$text17 >> 2] = $call16;
   31786     $22 = $call + 82 | 0;
   31787     HEAP8[$22] = 1;
   31788     $call19 = _make_html_label($obj, $0) | 0;
   31789     $tobool20 = ($call19 | 0) == 0;
   31790     if ($tobool20) {
   31791       label = 20;
   31792       break;
   31793     } else {
   31794       label = 11;
   31795       break;
   31796     }
   31797    case 11:
   31798     $23 = HEAP32[$1 >> 2] | 0;
   31799     $24 = $23 << 28;
   31800     $bf_val_sext23 = $24 >> 28;
   31801     if (($bf_val_sext23 | 0) == 3) {
   31802       label = 12;
   31803       break;
   31804     } else if (($bf_val_sext23 | 0) == 1) {
   31805       label = 13;
   31806       break;
   31807     } else if (($bf_val_sext23 | 0) == 2) {
   31808       label = 14;
   31809       break;
   31810     } else {
   31811       label = 20;
   31812       break;
   31813     }
   31814    case 12:
   31815     $name = $sg_0 + 12 | 0;
   31816     $25 = HEAP32[$name >> 2] | 0;
   31817     $call25 = _agerr(3, 118840, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $25, tempInt) | 0) | 0;
   31818     label = 20;
   31819     break;
   31820    case 13:
   31821     $name27 = $n_0 + 12 | 0;
   31822     $26 = HEAP32[$name27 >> 2] | 0;
   31823     $call28 = _agerr(3, 152768, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $26, tempInt) | 0) | 0;
   31824     label = 20;
   31825     break;
   31826    case 14:
   31827     $tail = $e_0 + 16 | 0;
   31828     $27 = HEAP32[$tail >> 2] | 0;
   31829     $name30 = $27 + 12 | 0;
   31830     $28 = HEAP32[$name30 >> 2] | 0;
   31831     $29 = $g_0;
   31832     $30 = HEAP32[$29 >> 2] | 0;
   31833     $and33 = $30 & 16;
   31834     $tobool34 = ($and33 | 0) != 0;
   31835     $cond = $tobool34 ? 117160 : 111928;
   31836     $head35 = $e_0 + 12 | 0;
   31837     $31 = HEAP32[$head35 >> 2] | 0;
   31838     $name36 = $31 + 12 | 0;
   31839     $32 = HEAP32[$name36 >> 2] | 0;
   31840     $call37 = _agerr(3, 125952, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = $28, HEAP32[tempInt + 8 >> 2] = $cond, HEAP32[tempInt + 16 >> 2] = $32, tempInt) | 0) | 0;
   31841     label = 20;
   31842     break;
   31843    case 15:
   31844     ___assert_func(107576, 169, 164096, 103640);
   31845     return 0;
   31846    case 16:
   31847     $call43 = _strdup_and_subst_obj0($str, $obj, 0) | 0;
   31848     $text44 = $call;
   31849     HEAP32[$text44 >> 2] = $call43;
   31850     $33 = HEAP32[$20 >> 2] | 0;
   31851     $cond1 = ($33 | 0) == 1;
   31852     if ($cond1) {
   31853       label = 17;
   31854       break;
   31855     } else {
   31856       label = 18;
   31857       break;
   31858     }
   31859    case 17:
   31860     $call48 = _latin1ToUTF8($call43) | 0;
   31861     $s_0 = $call48;
   31862     label = 19;
   31863     break;
   31864    case 18:
   31865     $call50 = _htmlEntityUTF8($call43) | 0;
   31866     $s_0 = $call50;
   31867     label = 19;
   31868     break;
   31869    case 19:
   31870     $34 = HEAP32[$text44 >> 2] | 0;
   31871     _free($34);
   31872     HEAP32[$text44 >> 2] = $s_0;
   31873     _make_simple_label($g_0, $0);
   31874     label = 20;
   31875     break;
   31876    case 20:
   31877     STACKTOP = __stackBase__;
   31878     return $0 | 0;
   31879   }
   31880   return 0;
   31881 }
   31882 function _xml_isentity($s) {
   31883   $s = $s | 0;
   31884   var $incdec_ptr = 0, $0 = 0, $cmp = 0, $incdec_ptr2 = 0, $1 = 0, $incdec_ptr10 = 0, $s_addr_0 = 0, $2 = 0, $_off29 = 0, $3 = 0, $_off30 = 0, $4 = 0, $or_cond = 0, $_off31 = 0, $5 = 0, $or_cond35 = 0, $incdec_ptr31 = 0, $s_addr_1 = 0, $6 = 0, $_off32 = 0, $7 = 0, $incdec_ptr42 = 0, $s_addr_2 = 0, $8 = 0, $_off = 0, $9 = 0, $_off28 = 0, $10 = 0, $or_cond37 = 0, $incdec_ptr64 = 0, $s_addr_3 = 0, $11 = 0, $cmp68 = 0, $_ = 0, label = 0;
   31885   label = 2;
   31886   while (1) switch (label | 0) {
   31887    case 2:
   31888     $incdec_ptr = $s + 1 | 0;
   31889     $0 = HEAP8[$incdec_ptr] | 0;
   31890     $cmp = $0 << 24 >> 24 == 35;
   31891     if ($cmp) {
   31892       label = 3;
   31893       break;
   31894     } else {
   31895       $s_addr_2 = $incdec_ptr;
   31896       label = 7;
   31897       break;
   31898     }
   31899    case 3:
   31900     $incdec_ptr2 = $s + 2 | 0;
   31901     $1 = HEAP8[$incdec_ptr2] | 0;
   31902     if (($1 << 24 >> 24 | 0) == 120 | ($1 << 24 >> 24 | 0) == 88) {
   31903       label = 4;
   31904       break;
   31905     } else {
   31906       $s_addr_1 = $incdec_ptr2;
   31907       label = 6;
   31908       break;
   31909     }
   31910    case 4:
   31911     $incdec_ptr10 = $s + 3 | 0;
   31912     $s_addr_0 = $incdec_ptr10;
   31913     label = 5;
   31914     break;
   31915    case 5:
   31916     $2 = HEAP8[$s_addr_0] | 0;
   31917     $_off29 = $2 - 48 & 255;
   31918     $3 = ($_off29 & 255) < 10;
   31919     $_off30 = $2 - 97 & 255;
   31920     $4 = ($_off30 & 255) < 6;
   31921     $or_cond = $3 | $4;
   31922     $_off31 = $2 - 65 & 255;
   31923     $5 = ($_off31 & 255) < 6;
   31924     $or_cond35 = $or_cond | $5;
   31925     $incdec_ptr31 = $s_addr_0 + 1 | 0;
   31926     if ($or_cond35) {
   31927       $s_addr_0 = $incdec_ptr31;
   31928       label = 5;
   31929       break;
   31930     } else {
   31931       $s_addr_3 = $s_addr_0;
   31932       label = 8;
   31933       break;
   31934     }
   31935    case 6:
   31936     $6 = HEAP8[$s_addr_1] | 0;
   31937     $_off32 = $6 - 48 & 255;
   31938     $7 = ($_off32 & 255) < 10;
   31939     $incdec_ptr42 = $s_addr_1 + 1 | 0;
   31940     if ($7) {
   31941       $s_addr_1 = $incdec_ptr42;
   31942       label = 6;
   31943       break;
   31944     } else {
   31945       $s_addr_3 = $s_addr_1;
   31946       label = 8;
   31947       break;
   31948     }
   31949    case 7:
   31950     $8 = HEAP8[$s_addr_2] | 0;
   31951     $_off = $8 - 97 & 255;
   31952     $9 = ($_off & 255) < 26;
   31953     $_off28 = $8 - 65 & 255;
   31954     $10 = ($_off28 & 255) < 26;
   31955     $or_cond37 = $9 | $10;
   31956     $incdec_ptr64 = $s_addr_2 + 1 | 0;
   31957     if ($or_cond37) {
   31958       $s_addr_2 = $incdec_ptr64;
   31959       label = 7;
   31960       break;
   31961     } else {
   31962       $s_addr_3 = $s_addr_2;
   31963       label = 8;
   31964       break;
   31965     }
   31966    case 8:
   31967     $11 = HEAP8[$s_addr_3] | 0;
   31968     $cmp68 = $11 << 24 >> 24 == 59;
   31969     $_ = $cmp68 & 1;
   31970     return $_ | 0;
   31971   }
   31972   return 0;
   31973 }
   31974 function _strdup_and_subst_obj0($str, $obj, $escBackslash) {
   31975   $str = $str | 0;
   31976   $obj = $obj | 0;
   31977   $escBackslash = $escBackslash | 0;
   31978   var $0 = 0, $1 = 0, $2 = 0, $bf_val_sext = 0, $name = 0, $3 = 0, $4 = 0, $call = 0, $label = 0, $5 = 0, $6 = 0, $tobool = 0, $text = 0, $7 = 0, $tobool1 = 0, $call3 = 0, $graph = 0, $8 = 0, $9 = 0, $name6 = 0, $10 = 0, $call7 = 0, $name8 = 0, $11 = 0, $12 = 0, $call9 = 0, $label11 = 0, $13 = 0, $14 = 0, $tobool12 = 0, $text14 = 0, $15 = 0, $tobool15 = 0, $call17 = 0, $tail = 0, $16 = 0, $17 = 0, $graph21 = 0, $18 = 0, $root = 0, $19 = 0, $name22 = 0, $20 = 0, $call23 = 0, $name25 = 0, $21 = 0, $call26 = 0, $pt_sroa_1_36__idx6 = 0, $22 = 0, $pt_sroa_1_36_copyload7 = 0, $tobool29 = 0, $call31 = 0, $tp_len_0 = 0, $head = 0, $23 = 0, $24 = 0, $name33 = 0, $25 = 0, $pt_sroa_1_36__idx4 = 0, $26 = 0, $pt_sroa_1_36_copyload = 0, $tobool37 = 0, $call39 = 0, $hp_len_0 = 0, $call41 = 0, $label43 = 0, $27 = 0, $28 = 0, $tobool44 = 0, $text46 = 0, $29 = 0, $tobool47 = 0, $call49 = 0, $l_str_0 = 0, $l_len_0 = 0, $30 = 0, $graph53 = 0, $31 = 0, $root54 = 0, $32 = 0, $33 = 0, $34 = 0, $and = 0, $tobool57 = 0, $_ = 0, $tobool60 = 0, $add = 0, $cond = 0, $tobool64 = 0, $add66 = 0, $_add66 = 0, $add61 = 0, $add62 = 0, $add63 = 0, $add70 = 0, $tp_str_0 = 0, $hp_str_0 = 0, $g_str_0 = 0, $n_str_0 = 0, $e_str_1 = 0, $h_str_0 = 0, $t_str_0 = 0, $l_str_1 = 0, $g_len_0 = 0, $n_len_0 = 0, $e_len_0 = 0, $h_len_0 = 0, $t_len_0 = 0, $l_len_1 = 0, $tp_len_1 = 0, $hp_len_1 = 0, $isEdge_0 = 0, $tobool89 = 0, $s_0 = 0, $newlen_0 = 0, $incdec_ptr = 0, $35 = 0, $incdec_ptr74 = 0, $36 = 0, $conv75 = 0, $add77 = 0, $add79 = 0, $add81 = 0, $add83 = 0, $add85 = 0, $add87 = 0, $add91 = 0, $add93 = 0, $inc = 0, $add97 = 0, $call98 = 0, $tobool125 = 0, $tobool134 = 0, $tobool159 = 0, $tobool196 = 0, $s_1 = 0, $p_0 = 0, $incdec_ptr100 = 0, $37 = 0, $incdec_ptr107 = 0, $38 = 0, $conv108 = 0, $39 = 0, $tobool112134 = 0, $40 = 0, $tobool119129 = 0, $41 = 0, $tobool174111 = 0, $42 = 0, $tobool182106 = 0, $43 = 0, $tobool190102 = 0, $t_0136 = 0, $p_1135 = 0, $incdec_ptr111 = 0, $incdec_ptr114 = 0, $44 = 0, $tobool112 = 0, $t_1131 = 0, $p_2130 = 0, $incdec_ptr118 = 0, $incdec_ptr122 = 0, $45 = 0, $tobool119 = 0, $46 = 0, $tobool129115 = 0, $t_2117 = 0, $p_3116 = 0, $incdec_ptr128 = 0, $incdec_ptr132 = 0, $47 = 0, $tobool129 = 0, $p_3_lcssa = 0, $p_3_pn = 0, $t_3 = 0, $p_4 = 0, $48 = 0, $tobool139 = 0, $incdec_ptr138 = 0, $p_6_ph = 0, $49 = 0, $tobool147119 = 0, $t_4121 = 0, $p_6120 = 0, $incdec_ptr146 = 0, $incdec_ptr150 = 0, $50 = 0, $tobool147 = 0, $p_6_lcssa = 0, $51 = 0, $tobool154123 = 0, $t_5125 = 0, $p_7124 = 0, $incdec_ptr153 = 0, $incdec_ptr157 = 0, $52 = 0, $tobool154 = 0, $p_7_lcssa = 0, $p_7_pn = 0, $t_6 = 0, $p_8 = 0, $53 = 0, $tobool164 = 0, $incdec_ptr163 = 0, $t_7113 = 0, $p_9112 = 0, $incdec_ptr173 = 0, $incdec_ptr177 = 0, $54 = 0, $tobool174 = 0, $t_8108 = 0, $p_10107 = 0, $incdec_ptr181 = 0, $incdec_ptr185 = 0, $55 = 0, $tobool182 = 0, $t_9104 = 0, $p_11103 = 0, $incdec_ptr189 = 0, $incdec_ptr193 = 0, $56 = 0, $tobool190 = 0, $incdec_ptr198 = 0, $incdec_ptr201 = 0, $incdec_ptr202 = 0, $incdec_ptr205 = 0, label = 0;
   31979   label = 2;
   31980   while (1) switch (label | 0) {
   31981    case 2:
   31982     $0 = $obj;
   31983     $1 = HEAP32[$0 >> 2] | 0;
   31984     $2 = $1 << 28;
   31985     $bf_val_sext = $2 >> 28;
   31986     if (($bf_val_sext | 0) == 3) {
   31987       label = 3;
   31988       break;
   31989     } else if (($bf_val_sext | 0) == 1) {
   31990       label = 6;
   31991       break;
   31992     } else if (($bf_val_sext | 0) == 2) {
   31993       label = 9;
   31994       break;
   31995     } else {
   31996       $isEdge_0 = 0;
   31997       $hp_len_1 = 0;
   31998       $tp_len_1 = 0;
   31999       $l_len_1 = 2;
   32000       $t_len_0 = 2;
   32001       $h_len_0 = 2;
   32002       $e_len_0 = 2;
   32003       $n_len_0 = 2;
   32004       $g_len_0 = 2;
   32005       $l_str_1 = 131720;
   32006       $t_str_0 = 133960;
   32007       $h_str_0 = 136304;
   32008       $e_str_1 = 139088;
   32009       $n_str_0 = 142576;
   32010       $g_str_0 = 145616;
   32011       $hp_str_0 = 148384;
   32012       $tp_str_0 = 148384;
   32013       label = 17;
   32014       break;
   32015     }
   32016    case 3:
   32017     $name = $obj + 12 | 0;
   32018     $3 = $name;
   32019     $4 = HEAP32[$3 >> 2] | 0;
   32020     $call = _strlen($4 | 0) | 0;
   32021     $label = $obj + 52 | 0;
   32022     $5 = $label;
   32023     $6 = HEAP32[$5 >> 2] | 0;
   32024     $tobool = ($6 | 0) == 0;
   32025     if ($tobool) {
   32026       $isEdge_0 = 0;
   32027       $hp_len_1 = 0;
   32028       $tp_len_1 = 0;
   32029       $l_len_1 = 2;
   32030       $t_len_0 = 2;
   32031       $h_len_0 = 2;
   32032       $e_len_0 = 2;
   32033       $n_len_0 = 2;
   32034       $g_len_0 = $call;
   32035       $l_str_1 = 131720;
   32036       $t_str_0 = 133960;
   32037       $h_str_0 = 136304;
   32038       $e_str_1 = 139088;
   32039       $n_str_0 = 142576;
   32040       $g_str_0 = $4;
   32041       $hp_str_0 = 148384;
   32042       $tp_str_0 = 148384;
   32043       label = 17;
   32044       break;
   32045     } else {
   32046       label = 4;
   32047       break;
   32048     }
   32049    case 4:
   32050     $text = $6 | 0;
   32051     $7 = HEAP32[$text >> 2] | 0;
   32052     $tobool1 = ($str | 0) == 0;
   32053     if ($tobool1) {
   32054       $isEdge_0 = 0;
   32055       $hp_len_1 = 0;
   32056       $tp_len_1 = 0;
   32057       $l_len_1 = 2;
   32058       $t_len_0 = 2;
   32059       $h_len_0 = 2;
   32060       $e_len_0 = 2;
   32061       $n_len_0 = 2;
   32062       $g_len_0 = $call;
   32063       $l_str_1 = $7;
   32064       $t_str_0 = 133960;
   32065       $h_str_0 = 136304;
   32066       $e_str_1 = 139088;
   32067       $n_str_0 = 142576;
   32068       $g_str_0 = $4;
   32069       $hp_str_0 = 148384;
   32070       $tp_str_0 = 148384;
   32071       label = 17;
   32072       break;
   32073     } else {
   32074       label = 5;
   32075       break;
   32076     }
   32077    case 5:
   32078     $call3 = _strlen($7 | 0) | 0;
   32079     $isEdge_0 = 0;
   32080     $hp_len_1 = 0;
   32081     $tp_len_1 = 0;
   32082     $l_len_1 = $call3;
   32083     $t_len_0 = 2;
   32084     $h_len_0 = 2;
   32085     $e_len_0 = 2;
   32086     $n_len_0 = 2;
   32087     $g_len_0 = $call;
   32088     $l_str_1 = $7;
   32089     $t_str_0 = 133960;
   32090     $h_str_0 = 136304;
   32091     $e_str_1 = 139088;
   32092     $n_str_0 = 142576;
   32093     $g_str_0 = $4;
   32094     $hp_str_0 = 148384;
   32095     $tp_str_0 = 148384;
   32096     label = 17;
   32097     break;
   32098    case 6:
   32099     $graph = $obj + 20 | 0;
   32100     $8 = $graph;
   32101     $9 = HEAP32[$8 >> 2] | 0;
   32102     $name6 = $9 + 12 | 0;
   32103     $10 = HEAP32[$name6 >> 2] | 0;
   32104     $call7 = _strlen($10 | 0) | 0;
   32105     $name8 = $obj + 12 | 0;
   32106     $11 = $name8;
   32107     $12 = HEAP32[$11 >> 2] | 0;
   32108     $call9 = _strlen($12 | 0) | 0;
   32109     $label11 = $obj + 120 | 0;
   32110     $13 = $label11;
   32111     $14 = HEAP32[$13 >> 2] | 0;
   32112     $tobool12 = ($14 | 0) == 0;
   32113     if ($tobool12) {
   32114       $isEdge_0 = 0;
   32115       $hp_len_1 = 0;
   32116       $tp_len_1 = 0;
   32117       $l_len_1 = 2;
   32118       $t_len_0 = 2;
   32119       $h_len_0 = 2;
   32120       $e_len_0 = 2;
   32121       $n_len_0 = $call9;
   32122       $g_len_0 = $call7;
   32123       $l_str_1 = 131720;
   32124       $t_str_0 = 133960;
   32125       $h_str_0 = 136304;
   32126       $e_str_1 = 139088;
   32127       $n_str_0 = $12;
   32128       $g_str_0 = $10;
   32129       $hp_str_0 = 148384;
   32130       $tp_str_0 = 148384;
   32131       label = 17;
   32132       break;
   32133     } else {
   32134       label = 7;
   32135       break;
   32136     }
   32137    case 7:
   32138     $text14 = $14 | 0;
   32139     $15 = HEAP32[$text14 >> 2] | 0;
   32140     $tobool15 = ($str | 0) == 0;
   32141     if ($tobool15) {
   32142       $isEdge_0 = 0;
   32143       $hp_len_1 = 0;
   32144       $tp_len_1 = 0;
   32145       $l_len_1 = 2;
   32146       $t_len_0 = 2;
   32147       $h_len_0 = 2;
   32148       $e_len_0 = 2;
   32149       $n_len_0 = $call9;
   32150       $g_len_0 = $call7;
   32151       $l_str_1 = $15;
   32152       $t_str_0 = 133960;
   32153       $h_str_0 = 136304;
   32154       $e_str_1 = 139088;
   32155       $n_str_0 = $12;
   32156       $g_str_0 = $10;
   32157       $hp_str_0 = 148384;
   32158       $tp_str_0 = 148384;
   32159       label = 17;
   32160       break;
   32161     } else {
   32162       label = 8;
   32163       break;
   32164     }
   32165    case 8:
   32166     $call17 = _strlen($15 | 0) | 0;
   32167     $isEdge_0 = 0;
   32168     $hp_len_1 = 0;
   32169     $tp_len_1 = 0;
   32170     $l_len_1 = $call17;
   32171     $t_len_0 = 2;
   32172     $h_len_0 = 2;
   32173     $e_len_0 = 2;
   32174     $n_len_0 = $call9;
   32175     $g_len_0 = $call7;
   32176     $l_str_1 = $15;
   32177     $t_str_0 = 133960;
   32178     $h_str_0 = 136304;
   32179     $e_str_1 = 139088;
   32180     $n_str_0 = $12;
   32181     $g_str_0 = $10;
   32182     $hp_str_0 = 148384;
   32183     $tp_str_0 = 148384;
   32184     label = 17;
   32185     break;
   32186    case 9:
   32187     $tail = $obj + 16 | 0;
   32188     $16 = $tail;
   32189     $17 = HEAP32[$16 >> 2] | 0;
   32190     $graph21 = $17 + 20 | 0;
   32191     $18 = HEAP32[$graph21 >> 2] | 0;
   32192     $root = $18 + 32 | 0;
   32193     $19 = HEAP32[$root >> 2] | 0;
   32194     $name22 = $19 + 12 | 0;
   32195     $20 = HEAP32[$name22 >> 2] | 0;
   32196     $call23 = _strlen($20 | 0) | 0;
   32197     $name25 = $17 + 12 | 0;
   32198     $21 = HEAP32[$name25 >> 2] | 0;
   32199     $call26 = _strlen($21 | 0) | 0;
   32200     $pt_sroa_1_36__idx6 = $obj + 68 | 0;
   32201     $22 = $pt_sroa_1_36__idx6;
   32202     $pt_sroa_1_36_copyload7 = HEAP32[$22 >> 2] | 0;
   32203     $tobool29 = ($pt_sroa_1_36_copyload7 | 0) == 0;
   32204     if ($tobool29) {
   32205       $tp_len_0 = 0;
   32206       label = 11;
   32207       break;
   32208     } else {
   32209       label = 10;
   32210       break;
   32211     }
   32212    case 10:
   32213     $call31 = _strlen($pt_sroa_1_36_copyload7 | 0) | 0;
   32214     $tp_len_0 = $call31;
   32215     label = 11;
   32216     break;
   32217    case 11:
   32218     $head = $obj + 12 | 0;
   32219     $23 = $head;
   32220     $24 = HEAP32[$23 >> 2] | 0;
   32221     $name33 = $24 + 12 | 0;
   32222     $25 = HEAP32[$name33 >> 2] | 0;
   32223     $pt_sroa_1_36__idx4 = $obj + 108 | 0;
   32224     $26 = $pt_sroa_1_36__idx4;
   32225     $pt_sroa_1_36_copyload = HEAP32[$26 >> 2] | 0;
   32226     $tobool37 = ($pt_sroa_1_36_copyload | 0) == 0;
   32227     if ($tobool37) {
   32228       $hp_len_0 = 0;
   32229       label = 13;
   32230       break;
   32231     } else {
   32232       label = 12;
   32233       break;
   32234     }
   32235    case 12:
   32236     $call39 = _strlen($pt_sroa_1_36_copyload | 0) | 0;
   32237     $hp_len_0 = $call39;
   32238     label = 13;
   32239     break;
   32240    case 13:
   32241     $call41 = _strlen($25 | 0) | 0;
   32242     $label43 = $obj + 112 | 0;
   32243     $27 = $label43;
   32244     $28 = HEAP32[$27 >> 2] | 0;
   32245     $tobool44 = ($28 | 0) == 0;
   32246     if ($tobool44) {
   32247       $l_len_0 = 2;
   32248       $l_str_0 = 131720;
   32249       label = 16;
   32250       break;
   32251     } else {
   32252       label = 14;
   32253       break;
   32254     }
   32255    case 14:
   32256     $text46 = $28 | 0;
   32257     $29 = HEAP32[$text46 >> 2] | 0;
   32258     $tobool47 = ($str | 0) == 0;
   32259     if ($tobool47) {
   32260       $l_len_0 = 2;
   32261       $l_str_0 = $29;
   32262       label = 16;
   32263       break;
   32264     } else {
   32265       label = 15;
   32266       break;
   32267     }
   32268    case 15:
   32269     $call49 = _strlen($29 | 0) | 0;
   32270     $l_len_0 = $call49;
   32271     $l_str_0 = $29;
   32272     label = 16;
   32273     break;
   32274    case 16:
   32275     $30 = HEAP32[$16 >> 2] | 0;
   32276     $graph53 = $30 + 20 | 0;
   32277     $31 = HEAP32[$graph53 >> 2] | 0;
   32278     $root54 = $31 + 32 | 0;
   32279     $32 = HEAP32[$root54 >> 2] | 0;
   32280     $33 = $32;
   32281     $34 = HEAP32[$33 >> 2] | 0;
   32282     $and = $34 & 16;
   32283     $tobool57 = ($and | 0) == 0;
   32284     $_ = $tobool57 ? 111928 : 117160;
   32285     $tobool60 = ($tp_len_0 | 0) == 0;
   32286     $add = $tp_len_0 + 1 | 0;
   32287     $cond = $tobool60 ? 0 : $add;
   32288     $tobool64 = ($hp_len_0 | 0) == 0;
   32289     $add66 = $hp_len_0 + 1 | 0;
   32290     $_add66 = $tobool64 ? 0 : $add66;
   32291     $add61 = $call26 + 2 | 0;
   32292     $add62 = $add61 + $cond | 0;
   32293     $add63 = $add62 + $call41 | 0;
   32294     $add70 = $add63 + $_add66 | 0;
   32295     $isEdge_0 = 1;
   32296     $hp_len_1 = $hp_len_0;
   32297     $tp_len_1 = $tp_len_0;
   32298     $l_len_1 = $l_len_0;
   32299     $t_len_0 = $call26;
   32300     $h_len_0 = $call41;
   32301     $e_len_0 = $add70;
   32302     $n_len_0 = 2;
   32303     $g_len_0 = $call23;
   32304     $l_str_1 = $l_str_0;
   32305     $t_str_0 = $21;
   32306     $h_str_0 = $25;
   32307     $e_str_1 = $_;
   32308     $n_str_0 = 142576;
   32309     $g_str_0 = $20;
   32310     $hp_str_0 = $pt_sroa_1_36_copyload;
   32311     $tp_str_0 = $pt_sroa_1_36_copyload7;
   32312     label = 17;
   32313     break;
   32314    case 17:
   32315     $tobool89 = ($escBackslash | 0) == 0;
   32316     $newlen_0 = 0;
   32317     $s_0 = $str;
   32318     label = 18;
   32319     break;
   32320    case 18:
   32321     $incdec_ptr = $s_0 + 1 | 0;
   32322     $35 = HEAP8[$s_0] | 0;
   32323     if (($35 << 24 >> 24 | 0) == 92) {
   32324       label = 19;
   32325       break;
   32326     } else if (($35 << 24 >> 24 | 0) == 0) {
   32327       label = 30;
   32328       break;
   32329     } else {
   32330       label = 29;
   32331       break;
   32332     }
   32333    case 19:
   32334     $incdec_ptr74 = $s_0 + 2 | 0;
   32335     $36 = HEAP8[$incdec_ptr] | 0;
   32336     $conv75 = $36 << 24 >> 24;
   32337     if (($conv75 | 0) == 71) {
   32338       label = 20;
   32339       break;
   32340     } else if (($conv75 | 0) == 78) {
   32341       label = 21;
   32342       break;
   32343     } else if (($conv75 | 0) == 69) {
   32344       label = 22;
   32345       break;
   32346     } else if (($conv75 | 0) == 72) {
   32347       label = 23;
   32348       break;
   32349     } else if (($conv75 | 0) == 84) {
   32350       label = 24;
   32351       break;
   32352     } else if (($conv75 | 0) == 76) {
   32353       label = 25;
   32354       break;
   32355     } else if (($conv75 | 0) == 92) {
   32356       label = 26;
   32357       break;
   32358     } else {
   32359       label = 28;
   32360       break;
   32361     }
   32362    case 20:
   32363     $add77 = $newlen_0 + $g_len_0 | 0;
   32364     $newlen_0 = $add77;
   32365     $s_0 = $incdec_ptr74;
   32366     label = 18;
   32367     break;
   32368    case 21:
   32369     $add79 = $newlen_0 + $n_len_0 | 0;
   32370     $newlen_0 = $add79;
   32371     $s_0 = $incdec_ptr74;
   32372     label = 18;
   32373     break;
   32374    case 22:
   32375     $add81 = $newlen_0 + $e_len_0 | 0;
   32376     $newlen_0 = $add81;
   32377     $s_0 = $incdec_ptr74;
   32378     label = 18;
   32379     break;
   32380    case 23:
   32381     $add83 = $newlen_0 + $h_len_0 | 0;
   32382     $newlen_0 = $add83;
   32383     $s_0 = $incdec_ptr74;
   32384     label = 18;
   32385     break;
   32386    case 24:
   32387     $add85 = $newlen_0 + $t_len_0 | 0;
   32388     $newlen_0 = $add85;
   32389     $s_0 = $incdec_ptr74;
   32390     label = 18;
   32391     break;
   32392    case 25:
   32393     $add87 = $newlen_0 + $l_len_1 | 0;
   32394     $newlen_0 = $add87;
   32395     $s_0 = $incdec_ptr74;
   32396     label = 18;
   32397     break;
   32398    case 26:
   32399     if ($tobool89) {
   32400       label = 28;
   32401       break;
   32402     } else {
   32403       label = 27;
   32404       break;
   32405     }
   32406    case 27:
   32407     $add91 = $newlen_0 + 1 | 0;
   32408     $newlen_0 = $add91;
   32409     $s_0 = $incdec_ptr74;
   32410     label = 18;
   32411     break;
   32412    case 28:
   32413     $add93 = $newlen_0 + 2 | 0;
   32414     $newlen_0 = $add93;
   32415     $s_0 = $incdec_ptr74;
   32416     label = 18;
   32417     break;
   32418    case 29:
   32419     $inc = $newlen_0 + 1 | 0;
   32420     $newlen_0 = $inc;
   32421     $s_0 = $incdec_ptr;
   32422     label = 18;
   32423     break;
   32424    case 30:
   32425     $add97 = $newlen_0 + 1 | 0;
   32426     $call98 = _gmalloc($add97) | 0;
   32427     $tobool125 = ($isEdge_0 | 0) == 0;
   32428     $tobool134 = ($tp_len_1 | 0) == 0;
   32429     $tobool159 = ($hp_len_1 | 0) == 0;
   32430     $tobool196 = ($escBackslash | 0) == 0;
   32431     $p_0 = $call98;
   32432     $s_1 = $str;
   32433     label = 31;
   32434     break;
   32435    case 31:
   32436     $incdec_ptr100 = $s_1 + 1 | 0;
   32437     $37 = HEAP8[$s_1] | 0;
   32438     if (($37 << 24 >> 24 | 0) == 92) {
   32439       label = 32;
   32440       break;
   32441     } else if (($37 << 24 >> 24 | 0) == 0) {
   32442       label = 60;
   32443       break;
   32444     } else {
   32445       label = 59;
   32446       break;
   32447     }
   32448    case 32:
   32449     $incdec_ptr107 = $s_1 + 2 | 0;
   32450     $38 = HEAP8[$incdec_ptr100] | 0;
   32451     $conv108 = $38 << 24 >> 24;
   32452     if (($conv108 | 0) == 71) {
   32453       label = 33;
   32454       break;
   32455     } else if (($conv108 | 0) == 78) {
   32456       label = 34;
   32457       break;
   32458     } else if (($conv108 | 0) == 84) {
   32459       label = 35;
   32460       break;
   32461     } else if (($conv108 | 0) == 72) {
   32462       label = 36;
   32463       break;
   32464     } else if (($conv108 | 0) == 76) {
   32465       label = 37;
   32466       break;
   32467     } else if (($conv108 | 0) == 69) {
   32468       label = 40;
   32469       break;
   32470     } else if (($conv108 | 0) == 92) {
   32471       label = 56;
   32472       break;
   32473     } else {
   32474       label = 58;
   32475       break;
   32476     }
   32477    case 33:
   32478     $39 = HEAP8[$g_str_0] | 0;
   32479     HEAP8[$p_0] = $39;
   32480     $tobool112134 = $39 << 24 >> 24 == 0;
   32481     if ($tobool112134) {
   32482       $p_0 = $p_0;
   32483       $s_1 = $incdec_ptr107;
   32484       label = 31;
   32485       break;
   32486     } else {
   32487       $p_1135 = $p_0;
   32488       $t_0136 = $g_str_0;
   32489       label = 38;
   32490       break;
   32491     }
   32492    case 34:
   32493     $40 = HEAP8[$n_str_0] | 0;
   32494     HEAP8[$p_0] = $40;
   32495     $tobool119129 = $40 << 24 >> 24 == 0;
   32496     if ($tobool119129) {
   32497       $p_0 = $p_0;
   32498       $s_1 = $incdec_ptr107;
   32499       label = 31;
   32500       break;
   32501     } else {
   32502       $p_2130 = $p_0;
   32503       $t_1131 = $n_str_0;
   32504       label = 39;
   32505       break;
   32506     }
   32507    case 35:
   32508     $41 = HEAP8[$t_str_0] | 0;
   32509     HEAP8[$p_0] = $41;
   32510     $tobool174111 = $41 << 24 >> 24 == 0;
   32511     if ($tobool174111) {
   32512       $p_0 = $p_0;
   32513       $s_1 = $incdec_ptr107;
   32514       label = 31;
   32515       break;
   32516     } else {
   32517       $p_9112 = $p_0;
   32518       $t_7113 = $t_str_0;
   32519       label = 53;
   32520       break;
   32521     }
   32522    case 36:
   32523     $42 = HEAP8[$h_str_0] | 0;
   32524     HEAP8[$p_0] = $42;
   32525     $tobool182106 = $42 << 24 >> 24 == 0;
   32526     if ($tobool182106) {
   32527       $p_0 = $p_0;
   32528       $s_1 = $incdec_ptr107;
   32529       label = 31;
   32530       break;
   32531     } else {
   32532       $p_10107 = $p_0;
   32533       $t_8108 = $h_str_0;
   32534       label = 54;
   32535       break;
   32536     }
   32537    case 37:
   32538     $43 = HEAP8[$l_str_1] | 0;
   32539     HEAP8[$p_0] = $43;
   32540     $tobool190102 = $43 << 24 >> 24 == 0;
   32541     if ($tobool190102) {
   32542       $p_0 = $p_0;
   32543       $s_1 = $incdec_ptr107;
   32544       label = 31;
   32545       break;
   32546     } else {
   32547       $p_11103 = $p_0;
   32548       $t_9104 = $l_str_1;
   32549       label = 55;
   32550       break;
   32551     }
   32552    case 38:
   32553     $incdec_ptr111 = $t_0136 + 1 | 0;
   32554     $incdec_ptr114 = $p_1135 + 1 | 0;
   32555     $44 = HEAP8[$incdec_ptr111] | 0;
   32556     HEAP8[$incdec_ptr114] = $44;
   32557     $tobool112 = $44 << 24 >> 24 == 0;
   32558     if ($tobool112) {
   32559       $p_0 = $incdec_ptr114;
   32560       $s_1 = $incdec_ptr107;
   32561       label = 31;
   32562       break;
   32563     } else {
   32564       $p_1135 = $incdec_ptr114;
   32565       $t_0136 = $incdec_ptr111;
   32566       label = 38;
   32567       break;
   32568     }
   32569    case 39:
   32570     $incdec_ptr118 = $t_1131 + 1 | 0;
   32571     $incdec_ptr122 = $p_2130 + 1 | 0;
   32572     $45 = HEAP8[$incdec_ptr118] | 0;
   32573     HEAP8[$incdec_ptr122] = $45;
   32574     $tobool119 = $45 << 24 >> 24 == 0;
   32575     if ($tobool119) {
   32576       $p_0 = $incdec_ptr122;
   32577       $s_1 = $incdec_ptr107;
   32578       label = 31;
   32579       break;
   32580     } else {
   32581       $p_2130 = $incdec_ptr122;
   32582       $t_1131 = $incdec_ptr118;
   32583       label = 39;
   32584       break;
   32585     }
   32586    case 40:
   32587     if ($tobool125) {
   32588       $p_0 = $p_0;
   32589       $s_1 = $incdec_ptr107;
   32590       label = 31;
   32591       break;
   32592     } else {
   32593       label = 41;
   32594       break;
   32595     }
   32596    case 41:
   32597     $46 = HEAP8[$t_str_0] | 0;
   32598     HEAP8[$p_0] = $46;
   32599     $tobool129115 = $46 << 24 >> 24 == 0;
   32600     if ($tobool129115) {
   32601       $p_3_lcssa = $p_0;
   32602       label = 43;
   32603       break;
   32604     } else {
   32605       $p_3116 = $p_0;
   32606       $t_2117 = $t_str_0;
   32607       label = 42;
   32608       break;
   32609     }
   32610    case 42:
   32611     $incdec_ptr128 = $t_2117 + 1 | 0;
   32612     $incdec_ptr132 = $p_3116 + 1 | 0;
   32613     $47 = HEAP8[$incdec_ptr128] | 0;
   32614     HEAP8[$incdec_ptr132] = $47;
   32615     $tobool129 = $47 << 24 >> 24 == 0;
   32616     if ($tobool129) {
   32617       $p_3_lcssa = $incdec_ptr132;
   32618       label = 43;
   32619       break;
   32620     } else {
   32621       $p_3116 = $incdec_ptr132;
   32622       $t_2117 = $incdec_ptr128;
   32623       label = 42;
   32624       break;
   32625     }
   32626    case 43:
   32627     if ($tobool134) {
   32628       $p_6_ph = $p_3_lcssa;
   32629       label = 46;
   32630       break;
   32631     } else {
   32632       label = 44;
   32633       break;
   32634     }
   32635    case 44:
   32636     HEAP8[$p_3_lcssa] = 58;
   32637     $t_3 = $tp_str_0;
   32638     $p_3_pn = $p_3_lcssa;
   32639     label = 45;
   32640     break;
   32641    case 45:
   32642     $p_4 = $p_3_pn + 1 | 0;
   32643     $48 = HEAP8[$t_3] | 0;
   32644     HEAP8[$p_4] = $48;
   32645     $tobool139 = $48 << 24 >> 24 == 0;
   32646     $incdec_ptr138 = $t_3 + 1 | 0;
   32647     if ($tobool139) {
   32648       $p_6_ph = $p_4;
   32649       label = 46;
   32650       break;
   32651     } else {
   32652       $t_3 = $incdec_ptr138;
   32653       $p_3_pn = $p_4;
   32654       label = 45;
   32655       break;
   32656     }
   32657    case 46:
   32658     $49 = HEAP8[$e_str_1] | 0;
   32659     HEAP8[$p_6_ph] = $49;
   32660     $tobool147119 = $49 << 24 >> 24 == 0;
   32661     if ($tobool147119) {
   32662       $p_6_lcssa = $p_6_ph;
   32663       label = 48;
   32664       break;
   32665     } else {
   32666       $p_6120 = $p_6_ph;
   32667       $t_4121 = $e_str_1;
   32668       label = 47;
   32669       break;
   32670     }
   32671    case 47:
   32672     $incdec_ptr146 = $t_4121 + 1 | 0;
   32673     $incdec_ptr150 = $p_6120 + 1 | 0;
   32674     $50 = HEAP8[$incdec_ptr146] | 0;
   32675     HEAP8[$incdec_ptr150] = $50;
   32676     $tobool147 = $50 << 24 >> 24 == 0;
   32677     if ($tobool147) {
   32678       $p_6_lcssa = $incdec_ptr150;
   32679       label = 48;
   32680       break;
   32681     } else {
   32682       $p_6120 = $incdec_ptr150;
   32683       $t_4121 = $incdec_ptr146;
   32684       label = 47;
   32685       break;
   32686     }
   32687    case 48:
   32688     $51 = HEAP8[$h_str_0] | 0;
   32689     HEAP8[$p_6_lcssa] = $51;
   32690     $tobool154123 = $51 << 24 >> 24 == 0;
   32691     if ($tobool154123) {
   32692       $p_7_lcssa = $p_6_lcssa;
   32693       label = 50;
   32694       break;
   32695     } else {
   32696       $p_7124 = $p_6_lcssa;
   32697       $t_5125 = $h_str_0;
   32698       label = 49;
   32699       break;
   32700     }
   32701    case 49:
   32702     $incdec_ptr153 = $t_5125 + 1 | 0;
   32703     $incdec_ptr157 = $p_7124 + 1 | 0;
   32704     $52 = HEAP8[$incdec_ptr153] | 0;
   32705     HEAP8[$incdec_ptr157] = $52;
   32706     $tobool154 = $52 << 24 >> 24 == 0;
   32707     if ($tobool154) {
   32708       $p_7_lcssa = $incdec_ptr157;
   32709       label = 50;
   32710       break;
   32711     } else {
   32712       $p_7124 = $incdec_ptr157;
   32713       $t_5125 = $incdec_ptr153;
   32714       label = 49;
   32715       break;
   32716     }
   32717    case 50:
   32718     if ($tobool159) {
   32719       $p_0 = $p_7_lcssa;
   32720       $s_1 = $incdec_ptr107;
   32721       label = 31;
   32722       break;
   32723     } else {
   32724       label = 51;
   32725       break;
   32726     }
   32727    case 51:
   32728     HEAP8[$p_7_lcssa] = 58;
   32729     $t_6 = $hp_str_0;
   32730     $p_7_pn = $p_7_lcssa;
   32731     label = 52;
   32732     break;
   32733    case 52:
   32734     $p_8 = $p_7_pn + 1 | 0;
   32735     $53 = HEAP8[$t_6] | 0;
   32736     HEAP8[$p_8] = $53;
   32737     $tobool164 = $53 << 24 >> 24 == 0;
   32738     $incdec_ptr163 = $t_6 + 1 | 0;
   32739     if ($tobool164) {
   32740       $p_0 = $p_8;
   32741       $s_1 = $incdec_ptr107;
   32742       label = 31;
   32743       break;
   32744     } else {
   32745       $t_6 = $incdec_ptr163;
   32746       $p_7_pn = $p_8;
   32747       label = 52;
   32748       break;
   32749     }
   32750    case 53:
   32751     $incdec_ptr173 = $t_7113 + 1 | 0;
   32752     $incdec_ptr177 = $p_9112 + 1 | 0;
   32753     $54 = HEAP8[$incdec_ptr173] | 0;
   32754     HEAP8[$incdec_ptr177] = $54;
   32755     $tobool174 = $54 << 24 >> 24 == 0;
   32756     if ($tobool174) {
   32757       $p_0 = $incdec_ptr177;
   32758       $s_1 = $incdec_ptr107;
   32759       label = 31;
   32760       break;
   32761     } else {
   32762       $p_9112 = $incdec_ptr177;
   32763       $t_7113 = $incdec_ptr173;
   32764       label = 53;
   32765       break;
   32766     }
   32767    case 54:
   32768     $incdec_ptr181 = $t_8108 + 1 | 0;
   32769     $incdec_ptr185 = $p_10107 + 1 | 0;
   32770     $55 = HEAP8[$incdec_ptr181] | 0;
   32771     HEAP8[$incdec_ptr185] = $55;
   32772     $tobool182 = $55 << 24 >> 24 == 0;
   32773     if ($tobool182) {
   32774       $p_0 = $incdec_ptr185;
   32775       $s_1 = $incdec_ptr107;
   32776       label = 31;
   32777       break;
   32778     } else {
   32779       $p_10107 = $incdec_ptr185;
   32780       $t_8108 = $incdec_ptr181;
   32781       label = 54;
   32782       break;
   32783     }
   32784    case 55:
   32785     $incdec_ptr189 = $t_9104 + 1 | 0;
   32786     $incdec_ptr193 = $p_11103 + 1 | 0;
   32787     $56 = HEAP8[$incdec_ptr189] | 0;
   32788     HEAP8[$incdec_ptr193] = $56;
   32789     $tobool190 = $56 << 24 >> 24 == 0;
   32790     if ($tobool190) {
   32791       $p_0 = $incdec_ptr193;
   32792       $s_1 = $incdec_ptr107;
   32793       label = 31;
   32794       break;
   32795     } else {
   32796       $p_11103 = $incdec_ptr193;
   32797       $t_9104 = $incdec_ptr189;
   32798       label = 55;
   32799       break;
   32800     }
   32801    case 56:
   32802     if ($tobool196) {
   32803       label = 58;
   32804       break;
   32805     } else {
   32806       label = 57;
   32807       break;
   32808     }
   32809    case 57:
   32810     $incdec_ptr198 = $p_0 + 1 | 0;
   32811     HEAP8[$p_0] = 92;
   32812     $p_0 = $incdec_ptr198;
   32813     $s_1 = $incdec_ptr107;
   32814     label = 31;
   32815     break;
   32816    case 58:
   32817     $incdec_ptr201 = $p_0 + 1 | 0;
   32818     HEAP8[$p_0] = 92;
   32819     $incdec_ptr202 = $p_0 + 2 | 0;
   32820     HEAP8[$incdec_ptr201] = $38;
   32821     $p_0 = $incdec_ptr202;
   32822     $s_1 = $incdec_ptr107;
   32823     label = 31;
   32824     break;
   32825    case 59:
   32826     $incdec_ptr205 = $p_0 + 1 | 0;
   32827     HEAP8[$p_0] = $37;
   32828     $p_0 = $incdec_ptr205;
   32829     $s_1 = $incdec_ptr100;
   32830     label = 31;
   32831     break;
   32832    case 60:
   32833     HEAP8[$p_0] = 0;
   32834     return $call98 | 0;
   32835   }
   32836   return 0;
   32837 }
   32838 function _free_textpara($tl, $cnt) {
   32839   $tl = $tl | 0;
   32840   $cnt = $cnt | 0;
   32841   var $tobool = 0, $cmp11 = 0, $tlp_013 = 0, $i_012 = 0, $cmp1 = 0, $str = 0, $0 = 0, $tobool2 = 0, $layout = 0, $1 = 0, $tobool6 = 0, $free_layout = 0, $2 = 0, $tobool8 = 0, $incdec_ptr = 0, $inc = 0, $cmp = 0, $3 = 0, label = 0;
   32842   label = 2;
   32843   while (1) switch (label | 0) {
   32844    case 2:
   32845     $tobool = ($tl | 0) == 0;
   32846     if ($tobool) {
   32847       label = 12;
   32848       break;
   32849     } else {
   32850       label = 3;
   32851       break;
   32852     }
   32853    case 3:
   32854     $cmp11 = ($cnt | 0) > 0;
   32855     if ($cmp11) {
   32856       $i_012 = 0;
   32857       $tlp_013 = $tl;
   32858       label = 4;
   32859       break;
   32860     } else {
   32861       label = 11;
   32862       break;
   32863     }
   32864    case 4:
   32865     $cmp1 = ($i_012 | 0) == 0;
   32866     if ($cmp1) {
   32867       label = 5;
   32868       break;
   32869     } else {
   32870       label = 7;
   32871       break;
   32872     }
   32873    case 5:
   32874     $str = $tlp_013 | 0;
   32875     $0 = HEAP32[$str >> 2] | 0;
   32876     $tobool2 = ($0 | 0) == 0;
   32877     if ($tobool2) {
   32878       label = 7;
   32879       break;
   32880     } else {
   32881       label = 6;
   32882       break;
   32883     }
   32884    case 6:
   32885     _free($0);
   32886     label = 7;
   32887     break;
   32888    case 7:
   32889     $layout = $tlp_013 + 8 | 0;
   32890     $1 = HEAP32[$layout >> 2] | 0;
   32891     $tobool6 = ($1 | 0) == 0;
   32892     if ($tobool6) {
   32893       label = 10;
   32894       break;
   32895     } else {
   32896       label = 8;
   32897       break;
   32898     }
   32899    case 8:
   32900     $free_layout = $tlp_013 + 12 | 0;
   32901     $2 = HEAP32[$free_layout >> 2] | 0;
   32902     $tobool8 = ($2 | 0) == 0;
   32903     if ($tobool8) {
   32904       label = 10;
   32905       break;
   32906     } else {
   32907       label = 9;
   32908       break;
   32909     }
   32910    case 9:
   32911     FUNCTION_TABLE_vi[$2 & 1023]($1);
   32912     label = 10;
   32913     break;
   32914    case 10:
   32915     $incdec_ptr = $tlp_013 + 80 | 0;
   32916     $inc = $i_012 + 1 | 0;
   32917     $cmp = ($inc | 0) < ($cnt | 0);
   32918     if ($cmp) {
   32919       $i_012 = $inc;
   32920       $tlp_013 = $incdec_ptr;
   32921       label = 4;
   32922       break;
   32923     } else {
   32924       label = 11;
   32925       break;
   32926     }
   32927    case 11:
   32928     $3 = $tl;
   32929     _free($3);
   32930     label = 12;
   32931     break;
   32932    case 12:
   32933     return;
   32934   }
   32935 }
   32936 function _free_label($p) {
   32937   $p = $p | 0;
   32938   var $tobool = 0, $text = 0, $0 = 0, $html = 0, $1 = 0, $tobool1 = 0, $u = 0, $html3 = 0, $2 = 0, $para = 0, $3 = 0, $nparas = 0, $4 = 0, $conv = 0, $5 = 0, label = 0;
   32939   label = 2;
   32940   while (1) switch (label | 0) {
   32941    case 2:
   32942     $tobool = ($p | 0) == 0;
   32943     if ($tobool) {
   32944       label = 7;
   32945       break;
   32946     } else {
   32947       label = 3;
   32948       break;
   32949     }
   32950    case 3:
   32951     $text = $p | 0;
   32952     $0 = HEAP32[$text >> 2] | 0;
   32953     _free($0);
   32954     $html = $p + 82 | 0;
   32955     $1 = HEAP8[$html] | 0;
   32956     $tobool1 = $1 << 24 >> 24 == 0;
   32957     $u = $p + 72 | 0;
   32958     if ($tobool1) {
   32959       label = 5;
   32960       break;
   32961     } else {
   32962       label = 4;
   32963       break;
   32964     }
   32965    case 4:
   32966     $html3 = $u;
   32967     $2 = HEAP32[$html3 >> 2] | 0;
   32968     _free_html_label($2, 1);
   32969     label = 6;
   32970     break;
   32971    case 5:
   32972     $para = $u | 0;
   32973     $3 = HEAP32[$para >> 2] | 0;
   32974     $nparas = $p + 76 | 0;
   32975     $4 = HEAP16[$nparas >> 1] | 0;
   32976     $conv = $4 << 16 >> 16;
   32977     _free_textpara($3, $conv);
   32978     label = 6;
   32979     break;
   32980    case 6:
   32981     $5 = $p;
   32982     _free($5);
   32983     label = 7;
   32984     break;
   32985    case 7:
   32986     return;
   32987   }
   32988 }
   32989 function _emit_label($job, $emit_state, $lp) {
   32990   $job = $job | 0;
   32991   $emit_state = $emit_state | 0;
   32992   $lp = $lp | 0;
   32993   var $p = 0, $obj1 = 0, $0 = 0, $emit_state2 = 0, $1 = 0, $html = 0, $2 = 0, $tobool = 0, $u = 0, $html4 = 0, $3 = 0, $nparas = 0, $4 = 0, $cmp = 0, $fontcolor = 0, $5 = 0, $valign = 0, $6 = 0, $conv10 = 0, $y = 0, $7 = 0.0, $y11 = 0, $8 = 0.0, $div = 0.0, $add = 0.0, $fontsize = 0, $9 = 0.0, $sub = 0.0, $y12 = 0, $y15 = 0, $10 = 0.0, $y17 = 0, $11 = 0.0, $div18 = 0.0, $sub19 = 0.0, $y20 = 0, $12 = 0.0, $add21 = 0.0, $fontsize22 = 0, $13 = 0.0, $sub23 = 0.0, $y24 = 0, $y27 = 0, $14 = 0.0, $y29 = 0, $15 = 0.0, $div30 = 0.0, $add31 = 0.0, $fontsize32 = 0, $16 = 0.0, $sub33 = 0.0, $y34 = 0, $17 = 0, $cmp3939 = 0, $para = 0, $x62 = 0, $x63 = 0, $y73 = 0, $x = 0, $x47 = 0, $x50 = 0, $x53 = 0, $x55 = 0, $x58 = 0, $i_040 = 0, $18 = 0, $just = 0, $19 = 0, $conv43 = 0, $20 = 0.0, $21 = 0.0, $div48 = 0.0, $sub49 = 0.0, $22 = 0.0, $23 = 0.0, $div56 = 0.0, $add57 = 0.0, $24 = 0.0, $25 = 0, $arrayidx68 = 0, $26 = 0, $height = 0, $27 = 0.0, $28 = 0.0, $sub74 = 0.0, $inc = 0, $29 = 0, $conv38 = 0, $cmp39 = 0, label = 0, __stackBase__ = 0;
   32994   __stackBase__ = STACKTOP;
   32995   STACKTOP = STACKTOP + 16 | 0;
   32996   label = 2;
   32997   while (1) switch (label | 0) {
   32998    case 2:
   32999     $p = __stackBase__ | 0;
   33000     $obj1 = $job + 16 | 0;
   33001     $0 = HEAP32[$obj1 >> 2] | 0;
   33002     $emit_state2 = $0 + 12 | 0;
   33003     $1 = HEAP32[$emit_state2 >> 2] | 0;
   33004     HEAP32[$emit_state2 >> 2] = $emit_state;
   33005     $html = $lp + 82 | 0;
   33006     $2 = HEAP8[$html] | 0;
   33007     $tobool = $2 << 24 >> 24 == 0;
   33008     if ($tobool) {
   33009       label = 4;
   33010       break;
   33011     } else {
   33012       label = 3;
   33013       break;
   33014     }
   33015    case 3:
   33016     $u = $lp + 72 | 0;
   33017     $html4 = $u;
   33018     $3 = HEAP32[$html4 >> 2] | 0;
   33019     _emit_html_label($job, $3, $lp);
   33020     HEAP32[$emit_state2 >> 2] = $1;
   33021     label = 17;
   33022     break;
   33023    case 4:
   33024     $nparas = $lp + 76 | 0;
   33025     $4 = HEAP16[$nparas >> 1] | 0;
   33026     $cmp = $4 << 16 >> 16 < 1;
   33027     if ($cmp) {
   33028       label = 17;
   33029       break;
   33030     } else {
   33031       label = 5;
   33032       break;
   33033     }
   33034    case 5:
   33035     _gvrender_begin_label($job, 0);
   33036     $fontcolor = $lp + 8 | 0;
   33037     $5 = HEAP32[$fontcolor >> 2] | 0;
   33038     _gvrender_set_pencolor($job, $5);
   33039     $valign = $lp + 80 | 0;
   33040     $6 = HEAP8[$valign] | 0;
   33041     $conv10 = $6 << 24 >> 24;
   33042     if (($conv10 | 0) == 116) {
   33043       label = 6;
   33044       break;
   33045     } else if (($conv10 | 0) == 98) {
   33046       label = 7;
   33047       break;
   33048     } else {
   33049       label = 8;
   33050       break;
   33051     }
   33052    case 6:
   33053     $y = $lp + 64 | 0;
   33054     $7 = +HEAPF64[$y >> 3];
   33055     $y11 = $lp + 48 | 0;
   33056     $8 = +HEAPF64[$y11 >> 3];
   33057     $div = $8 * .5;
   33058     $add = $7 + $div;
   33059     $fontsize = $lp + 16 | 0;
   33060     $9 = +HEAPF64[$fontsize >> 3];
   33061     $sub = $add - $9;
   33062     $y12 = $p + 8 | 0;
   33063     HEAPF64[$y12 >> 3] = $sub;
   33064     label = 9;
   33065     break;
   33066    case 7:
   33067     $y15 = $lp + 64 | 0;
   33068     $10 = +HEAPF64[$y15 >> 3];
   33069     $y17 = $lp + 48 | 0;
   33070     $11 = +HEAPF64[$y17 >> 3];
   33071     $div18 = $11 * .5;
   33072     $sub19 = $10 - $div18;
   33073     $y20 = $lp + 32 | 0;
   33074     $12 = +HEAPF64[$y20 >> 3];
   33075     $add21 = $12 + $sub19;
   33076     $fontsize22 = $lp + 16 | 0;
   33077     $13 = +HEAPF64[$fontsize22 >> 3];
   33078     $sub23 = $add21 - $13;
   33079     $y24 = $p + 8 | 0;
   33080     HEAPF64[$y24 >> 3] = $sub23;
   33081     label = 9;
   33082     break;
   33083    case 8:
   33084     $y27 = $lp + 64 | 0;
   33085     $14 = +HEAPF64[$y27 >> 3];
   33086     $y29 = $lp + 32 | 0;
   33087     $15 = +HEAPF64[$y29 >> 3];
   33088     $div30 = $15 * .5;
   33089     $add31 = $14 + $div30;
   33090     $fontsize32 = $lp + 16 | 0;
   33091     $16 = +HEAPF64[$fontsize32 >> 3];
   33092     $sub33 = $add31 - $16;
   33093     $y34 = $p + 8 | 0;
   33094     HEAPF64[$y34 >> 3] = $sub33;
   33095     label = 9;
   33096     break;
   33097    case 9:
   33098     $17 = HEAP16[$nparas >> 1] | 0;
   33099     $cmp3939 = $17 << 16 >> 16 > 0;
   33100     if ($cmp3939) {
   33101       label = 10;
   33102       break;
   33103     } else {
   33104       label = 16;
   33105       break;
   33106     }
   33107    case 10:
   33108     $para = $lp + 72 | 0;
   33109     $x62 = $lp + 56 | 0;
   33110     $x63 = $p | 0;
   33111     $y73 = $p + 8 | 0;
   33112     $x = $lp + 56 | 0;
   33113     $x47 = $lp + 40 | 0;
   33114     $x50 = $p | 0;
   33115     $x53 = $lp + 56 | 0;
   33116     $x55 = $lp + 40 | 0;
   33117     $x58 = $p | 0;
   33118     $i_040 = 0;
   33119     label = 11;
   33120     break;
   33121    case 11:
   33122     $18 = HEAP32[$para >> 2] | 0;
   33123     $just = $18 + ($i_040 * 80 & -1) + 72 | 0;
   33124     $19 = HEAP8[$just] | 0;
   33125     $conv43 = $19 << 24 >> 24;
   33126     if (($conv43 | 0) == 108) {
   33127       label = 12;
   33128       break;
   33129     } else if (($conv43 | 0) == 114) {
   33130       label = 13;
   33131       break;
   33132     } else {
   33133       label = 14;
   33134       break;
   33135     }
   33136    case 12:
   33137     $20 = +HEAPF64[$x >> 3];
   33138     $21 = +HEAPF64[$x47 >> 3];
   33139     $div48 = $21 * .5;
   33140     $sub49 = $20 - $div48;
   33141     HEAPF64[$x50 >> 3] = $sub49;
   33142     label = 15;
   33143     break;
   33144    case 13:
   33145     $22 = +HEAPF64[$x53 >> 3];
   33146     $23 = +HEAPF64[$x55 >> 3];
   33147     $div56 = $23 * .5;
   33148     $add57 = $22 + $div56;
   33149     HEAPF64[$x58 >> 3] = $add57;
   33150     label = 15;
   33151     break;
   33152    case 14:
   33153     $24 = +HEAPF64[$x62 >> 3];
   33154     HEAPF64[$x63 >> 3] = $24;
   33155     label = 15;
   33156     break;
   33157    case 15:
   33158     $25 = HEAP32[$para >> 2] | 0;
   33159     $arrayidx68 = $25 + ($i_040 * 80 & -1) | 0;
   33160     _gvrender_textpara($job, $p, $arrayidx68);
   33161     $26 = HEAP32[$para >> 2] | 0;
   33162     $height = $26 + ($i_040 * 80 & -1) + 64 | 0;
   33163     $27 = +HEAPF64[$height >> 3];
   33164     $28 = +HEAPF64[$y73 >> 3];
   33165     $sub74 = $28 - $27;
   33166     HEAPF64[$y73 >> 3] = $sub74;
   33167     $inc = $i_040 + 1 | 0;
   33168     $29 = HEAP16[$nparas >> 1] | 0;
   33169     $conv38 = $29 << 16 >> 16;
   33170     $cmp39 = ($inc | 0) < ($conv38 | 0);
   33171     if ($cmp39) {
   33172       $i_040 = $inc;
   33173       label = 11;
   33174       break;
   33175     } else {
   33176       label = 16;
   33177       break;
   33178     }
   33179    case 16:
   33180     _gvrender_end_label($job);
   33181     HEAP32[$emit_state2 >> 2] = $1;
   33182     label = 17;
   33183     break;
   33184    case 17:
   33185     STACKTOP = __stackBase__;
   33186     return;
   33187   }
   33188 }
   33189 function _strdup_and_subst_obj($str, $obj) {
   33190   $str = $str | 0;
   33191   $obj = $obj | 0;
   33192   return _strdup_and_subst_obj0($str, $obj, 1) | 0;
   33193 }
   33194 function _xml_string($s) {
   33195   $s = $s | 0;
   33196   var $0 = 0, $tobool = 0, $call = 0, $1 = 0, $tobool121 = 0, $pos_025 = 0, $prev_024 = 0, $p_023 = 0, $s_addr_022 = 0, $2 = 0, $tobool2 = 0, $3 = 0, $sub3 = 0, $cmp = 0, $mul = 0, $4 = 0, $call6 = 0, $add_ptr = 0, $p_1 = 0, $5 = 0, $cmp9 = 0, $call11 = 0, $tobool12 = 0, $_pr = 0, $6 = 0, $tobool33 = 0, $7 = 0, $cmp36 = 0, $8 = 0, $sub_0_ph = 0, $len_0_ph = 0, $9 = 0, $len_019 = 0, $sub_018 = 0, $p_217 = 0, $dec = 0, $incdec_ptr = 0, $10 = 0, $incdec_ptr60 = 0, $tobool58 = 0, $scevgep = 0, $incdec_ptr61 = 0, $tobool1 = 0, $p_0_lcssa = 0, $11 = 0, label = 0;
   33197   label = 2;
   33198   while (1) switch (label | 0) {
   33199    case 2:
   33200     $0 = HEAP32[8] | 0;
   33201     $tobool = ($0 | 0) == 0;
   33202     if ($tobool) {
   33203       label = 3;
   33204       break;
   33205     } else {
   33206       label = 4;
   33207       break;
   33208     }
   33209    case 3:
   33210     HEAP32[6] = 64;
   33211     $call = _gmalloc(64) | 0;
   33212     HEAP32[8] = $call;
   33213     label = 4;
   33214     break;
   33215    case 4:
   33216     $1 = HEAP32[8] | 0;
   33217     $tobool121 = ($s | 0) == 0;
   33218     if ($tobool121) {
   33219       $p_0_lcssa = $1;
   33220       label = 22;
   33221       break;
   33222     } else {
   33223       $s_addr_022 = $s;
   33224       $p_023 = $1;
   33225       $prev_024 = 0;
   33226       $pos_025 = 0;
   33227       label = 5;
   33228       break;
   33229     }
   33230    case 5:
   33231     $2 = HEAP8[$s_addr_022] | 0;
   33232     $tobool2 = $2 << 24 >> 24 == 0;
   33233     if ($tobool2) {
   33234       $p_0_lcssa = $p_023;
   33235       label = 22;
   33236       break;
   33237     } else {
   33238       label = 6;
   33239       break;
   33240     }
   33241    case 6:
   33242     $3 = HEAP32[6] | 0;
   33243     $sub3 = $3 - 8 | 0;
   33244     $cmp = ($pos_025 | 0) > ($sub3 | 0);
   33245     if ($cmp) {
   33246       label = 7;
   33247       break;
   33248     } else {
   33249       $p_1 = $p_023;
   33250       label = 8;
   33251       break;
   33252     }
   33253    case 7:
   33254     $mul = $3 << 1;
   33255     HEAP32[6] = $mul;
   33256     $4 = HEAP32[8] | 0;
   33257     $call6 = _grealloc($4, $mul) | 0;
   33258     HEAP32[8] = $call6;
   33259     $add_ptr = $call6 + $pos_025 | 0;
   33260     $p_1 = $add_ptr;
   33261     label = 8;
   33262     break;
   33263    case 8:
   33264     $5 = HEAP8[$s_addr_022] | 0;
   33265     $cmp9 = $5 << 24 >> 24 == 38;
   33266     if ($cmp9) {
   33267       label = 9;
   33268       break;
   33269     } else {
   33270       $6 = $5;
   33271       label = 11;
   33272       break;
   33273     }
   33274    case 9:
   33275     $call11 = _xml_isentity($s_addr_022) | 0;
   33276     $tobool12 = ($call11 | 0) == 0;
   33277     if ($tobool12) {
   33278       $len_0_ph = 5;
   33279       $sub_0_ph = 99472;
   33280       label = 19;
   33281       break;
   33282     } else {
   33283       label = 10;
   33284       break;
   33285     }
   33286    case 10:
   33287     $_pr = HEAP8[$s_addr_022] | 0;
   33288     $6 = $_pr;
   33289     label = 11;
   33290     break;
   33291    case 11:
   33292     if (($6 << 24 >> 24 | 0) == 62) {
   33293       label = 12;
   33294       break;
   33295     } else if (($6 << 24 >> 24 | 0) == 45) {
   33296       label = 13;
   33297       break;
   33298     } else if (($6 << 24 >> 24 | 0) == 32) {
   33299       label = 14;
   33300       break;
   33301     } else if (($6 << 24 >> 24 | 0) == 60) {
   33302       $len_0_ph = 4;
   33303       $sub_0_ph = 95416;
   33304       label = 19;
   33305       break;
   33306     } else {
   33307       label = 16;
   33308       break;
   33309     }
   33310    case 12:
   33311     $len_0_ph = 4;
   33312     $sub_0_ph = 91912;
   33313     label = 19;
   33314     break;
   33315    case 13:
   33316     $len_0_ph = 5;
   33317     $sub_0_ph = 162096;
   33318     label = 19;
   33319     break;
   33320    case 14:
   33321     $tobool33 = ($prev_024 | 0) == 0;
   33322     if ($tobool33) {
   33323       label = 16;
   33324       break;
   33325     } else {
   33326       label = 15;
   33327       break;
   33328     }
   33329    case 15:
   33330     $7 = HEAP8[$prev_024] | 0;
   33331     $cmp36 = $7 << 24 >> 24 == 32;
   33332     if ($cmp36) {
   33333       $len_0_ph = 6;
   33334       $sub_0_ph = 158608;
   33335       label = 19;
   33336       break;
   33337     } else {
   33338       label = 16;
   33339       break;
   33340     }
   33341    case 16:
   33342     $8 = HEAP8[$s_addr_022] | 0;
   33343     if (($8 << 24 >> 24 | 0) == 39) {
   33344       label = 17;
   33345       break;
   33346     } else if (($8 << 24 >> 24 | 0) == 34) {
   33347       $len_0_ph = 6;
   33348       $sub_0_ph = 155128;
   33349       label = 19;
   33350       break;
   33351     } else {
   33352       label = 18;
   33353       break;
   33354     }
   33355    case 17:
   33356     $len_0_ph = 5;
   33357     $sub_0_ph = 151664;
   33358     label = 19;
   33359     break;
   33360    case 18:
   33361     $len_0_ph = 1;
   33362     $sub_0_ph = $s_addr_022;
   33363     label = 19;
   33364     break;
   33365    case 19:
   33366     $9 = $len_0_ph + $pos_025 | 0;
   33367     $p_217 = $p_1;
   33368     $sub_018 = $sub_0_ph;
   33369     $len_019 = $len_0_ph;
   33370     label = 20;
   33371     break;
   33372    case 20:
   33373     $dec = $len_019 - 1 | 0;
   33374     $incdec_ptr = $sub_018 + 1 | 0;
   33375     $10 = HEAP8[$sub_018] | 0;
   33376     $incdec_ptr60 = $p_217 + 1 | 0;
   33377     HEAP8[$p_217] = $10;
   33378     $tobool58 = ($dec | 0) == 0;
   33379     if ($tobool58) {
   33380       label = 21;
   33381       break;
   33382     } else {
   33383       $p_217 = $incdec_ptr60;
   33384       $sub_018 = $incdec_ptr;
   33385       $len_019 = $dec;
   33386       label = 20;
   33387       break;
   33388     }
   33389    case 21:
   33390     $scevgep = $p_1 + $len_0_ph | 0;
   33391     $incdec_ptr61 = $s_addr_022 + 1 | 0;
   33392     $tobool1 = ($incdec_ptr61 | 0) == 0;
   33393     if ($tobool1) {
   33394       $p_0_lcssa = $scevgep;
   33395       label = 22;
   33396       break;
   33397     } else {
   33398       $prev_024 = $s_addr_022;
   33399       $s_addr_022 = $incdec_ptr61;
   33400       $p_023 = $scevgep;
   33401       $pos_025 = $9;
   33402       label = 5;
   33403       break;
   33404     }
   33405    case 22:
   33406     HEAP8[$p_0_lcssa] = 0;
   33407     $11 = HEAP32[8] | 0;
   33408     return $11 | 0;
   33409   }
   33410   return 0;
   33411 }
   33412 function _xml_url_string($s) {
   33413   $s = $s | 0;
   33414   var $0 = 0, $tobool = 0, $call = 0, $1 = 0, $tobool118 = 0, $pos_022 = 0, $p_021 = 0, $s_addr_019 = 0, $2 = 0, $tobool2 = 0, $3 = 0, $sub3 = 0, $cmp = 0, $mul = 0, $4 = 0, $call6 = 0, $add_ptr = 0, $p_1 = 0, $5 = 0, $cmp9 = 0, $call11 = 0, $tobool12 = 0, $_pr = 0, $6 = 0, $sub_0_ph = 0, $len_0_ph = 0, $7 = 0, $len_016 = 0, $sub_015 = 0, $p_214 = 0, $dec = 0, $incdec_ptr = 0, $8 = 0, $incdec_ptr42 = 0, $tobool40 = 0, $scevgep = 0, $incdec_ptr43 = 0, $tobool1 = 0, $p_0_lcssa = 0, $9 = 0, label = 0;
   33415   label = 2;
   33416   while (1) switch (label | 0) {
   33417    case 2:
   33418     $0 = HEAP32[4] | 0;
   33419     $tobool = ($0 | 0) == 0;
   33420     if ($tobool) {
   33421       label = 3;
   33422       break;
   33423     } else {
   33424       label = 4;
   33425       break;
   33426     }
   33427    case 3:
   33428     HEAP32[2] = 64;
   33429     $call = _gmalloc(64) | 0;
   33430     HEAP32[4] = $call;
   33431     label = 4;
   33432     break;
   33433    case 4:
   33434     $1 = HEAP32[4] | 0;
   33435     $tobool118 = ($s | 0) == 0;
   33436     if ($tobool118) {
   33437       $p_0_lcssa = $1;
   33438       label = 19;
   33439       break;
   33440     } else {
   33441       $s_addr_019 = $s;
   33442       $p_021 = $1;
   33443       $pos_022 = 0;
   33444       label = 5;
   33445       break;
   33446     }
   33447    case 5:
   33448     $2 = HEAP8[$s_addr_019] | 0;
   33449     $tobool2 = $2 << 24 >> 24 == 0;
   33450     if ($tobool2) {
   33451       $p_0_lcssa = $p_021;
   33452       label = 19;
   33453       break;
   33454     } else {
   33455       label = 6;
   33456       break;
   33457     }
   33458    case 6:
   33459     $3 = HEAP32[2] | 0;
   33460     $sub3 = $3 - 8 | 0;
   33461     $cmp = ($pos_022 | 0) > ($sub3 | 0);
   33462     if ($cmp) {
   33463       label = 7;
   33464       break;
   33465     } else {
   33466       $p_1 = $p_021;
   33467       label = 8;
   33468       break;
   33469     }
   33470    case 7:
   33471     $mul = $3 << 1;
   33472     HEAP32[2] = $mul;
   33473     $4 = HEAP32[4] | 0;
   33474     $call6 = _grealloc($4, $mul) | 0;
   33475     HEAP32[4] = $call6;
   33476     $add_ptr = $call6 + $pos_022 | 0;
   33477     $p_1 = $add_ptr;
   33478     label = 8;
   33479     break;
   33480    case 8:
   33481     $5 = HEAP8[$s_addr_019] | 0;
   33482     $cmp9 = $5 << 24 >> 24 == 38;
   33483     if ($cmp9) {
   33484       label = 9;
   33485       break;
   33486     } else {
   33487       $6 = $5;
   33488       label = 11;
   33489       break;
   33490     }
   33491    case 9:
   33492     $call11 = _xml_isentity($s_addr_019) | 0;
   33493     $tobool12 = ($call11 | 0) == 0;
   33494     if ($tobool12) {
   33495       $len_0_ph = 5;
   33496       $sub_0_ph = 99472;
   33497       label = 16;
   33498       break;
   33499     } else {
   33500       label = 10;
   33501       break;
   33502     }
   33503    case 10:
   33504     $_pr = HEAP8[$s_addr_019] | 0;
   33505     $6 = $_pr;
   33506     label = 11;
   33507     break;
   33508    case 11:
   33509     if (($6 << 24 >> 24 | 0) == 62) {
   33510       label = 12;
   33511       break;
   33512     } else if (($6 << 24 >> 24 | 0) == 34) {
   33513       label = 13;
   33514       break;
   33515     } else if (($6 << 24 >> 24 | 0) == 39) {
   33516       label = 14;
   33517       break;
   33518     } else if (($6 << 24 >> 24 | 0) == 60) {
   33519       $len_0_ph = 4;
   33520       $sub_0_ph = 95416;
   33521       label = 16;
   33522       break;
   33523     } else {
   33524       label = 15;
   33525       break;
   33526     }
   33527    case 12:
   33528     $len_0_ph = 4;
   33529     $sub_0_ph = 91912;
   33530     label = 16;
   33531     break;
   33532    case 13:
   33533     $len_0_ph = 6;
   33534     $sub_0_ph = 155128;
   33535     label = 16;
   33536     break;
   33537    case 14:
   33538     $len_0_ph = 5;
   33539     $sub_0_ph = 151664;
   33540     label = 16;
   33541     break;
   33542    case 15:
   33543     $len_0_ph = 1;
   33544     $sub_0_ph = $s_addr_019;
   33545     label = 16;
   33546     break;
   33547    case 16:
   33548     $7 = $len_0_ph + $pos_022 | 0;
   33549     $p_214 = $p_1;
   33550     $sub_015 = $sub_0_ph;
   33551     $len_016 = $len_0_ph;
   33552     label = 17;
   33553     break;
   33554    case 17:
   33555     $dec = $len_016 - 1 | 0;
   33556     $incdec_ptr = $sub_015 + 1 | 0;
   33557     $8 = HEAP8[$sub_015] | 0;
   33558     $incdec_ptr42 = $p_214 + 1 | 0;
   33559     HEAP8[$p_214] = $8;
   33560     $tobool40 = ($dec | 0) == 0;
   33561     if ($tobool40) {
   33562       label = 18;
   33563       break;
   33564     } else {
   33565       $p_214 = $incdec_ptr42;
   33566       $sub_015 = $incdec_ptr;
   33567       $len_016 = $dec;
   33568       label = 17;
   33569       break;
   33570     }
   33571    case 18:
   33572     $scevgep = $p_1 + $len_0_ph | 0;
   33573     $incdec_ptr43 = $s_addr_019 + 1 | 0;
   33574     $tobool1 = ($incdec_ptr43 | 0) == 0;
   33575     if ($tobool1) {
   33576       $p_0_lcssa = $scevgep;
   33577       label = 19;
   33578       break;
   33579     } else {
   33580       $s_addr_019 = $incdec_ptr43;
   33581       $p_021 = $scevgep;
   33582       $pos_022 = $7;
   33583       label = 5;
   33584       break;
   33585     }
   33586    case 19:
   33587     HEAP8[$p_0_lcssa] = 0;
   33588     $9 = HEAP32[4] | 0;
   33589     return $9 | 0;
   33590   }
   33591   return 0;
   33592 }
   33593 function _lineToBox($p, $q, $b) {
   33594   $p = $p | 0;
   33595   $q = $q | 0;
   33596   $b = $b | 0;
   33597   var $x = 0, $0 = 0.0, $x1 = 0, $1 = 0.0, $cmp = 0, $x3 = 0, $2 = 0.0, $cmp4 = 0, $y = 0, $3 = 0.0, $y7 = 0, $4 = 0.0, $cmp8 = 0, $y11 = 0, $5 = 0.0, $cmp12 = 0, $phitmp = 0, $6 = 0, $x13 = 0, $7 = 0.0, $8 = 0.0, $cmp16 = 0, $x20 = 0, $9 = 0.0, $cmp21 = 0, $y23 = 0, $10 = 0.0, $y25 = 0, $11 = 0.0, $cmp26 = 0, $y30 = 0, $12 = 0.0, $cmp31 = 0, $phitmp20 = 0, $13 = 0, $cmp34 = 0, $and = 0, $tobool = 0, $14 = 0.0, $15 = 0.0, $cmp39 = 0, $y41 = 0, $16 = 0.0, $y43 = 0, $17 = 0.0, $cmp44 = 0, $y45 = 0, $18 = 0.0, $cmp48 = 0, $xor22 = 0, $19 = 0.0, $cmp55 = 0, $x60 = 0, $20 = 0.0, $cmp61 = 0, $y66 = 0, $21 = 0.0, $cmp67 = 0, $22 = 0.0, $cmp73 = 0, $cmp78 = 0, $xor8021 = 0, $y85 = 0, $23 = 0.0, $cmp86 = 0, $y91 = 0, $24 = 0.0, $cmp92 = 0, $sub = 0.0, $sub103 = 0.0, $div = 0.0, $cmp106 = 0, $_ = 0.0, $_23 = 0.0, $25 = 0.0, $sub119 = 0.0, $mul = 0.0, $add = 0.0, $cmp122 = 0, $cmp127 = 0, $or_cond = 0, $y131 = 0, $26 = 0.0, $cmp132 = 0, $y136 = 0, $27 = 0.0, $cmp137 = 0, $x142 = 0, $28 = 0.0, $29 = 0.0, $sub145 = 0.0, $mul146 = 0.0, $add147 = 0.0, $y149 = 0, $30 = 0.0, $cmp150 = 0, $y154 = 0, $31 = 0.0, $cmp155 = 0, $cmp160 = 0, $or_cond24 = 0, $cmp165 = 0, $or_cond25 = 0, $32 = 0.0, $33 = 0.0, $cmp171 = 0, $_26 = 0.0, $_27 = 0.0, $34 = 0.0, $35 = 0.0, $sub184 = 0.0, $div185 = 0.0, $add186 = 0.0, $36 = 0.0, $cmp189 = 0, $37 = 0.0, $cmp194 = 0, $cmp199 = 0, $or_cond28 = 0, $cmp204 = 0, $or_cond29 = 0, $y209 = 0, $38 = 0.0, $39 = 0.0, $sub212 = 0.0, $div213 = 0.0, $add214 = 0.0, $40 = 0.0, $cmp217 = 0, $41 = 0.0, $cmp222 = 0, $cmp227 = 0, $or_cond30 = 0, $cmp232 = 0, $or_cond31 = 0, $retval_0 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   33598   __stackBase__ = STACKTOP;
   33599   tempParam = $p;
   33600   $p = STACKTOP;
   33601   STACKTOP = STACKTOP + 16 | 0;
   33602   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   33603   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   33604   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   33605   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   33606   tempParam = $q;
   33607   $q = STACKTOP;
   33608   STACKTOP = STACKTOP + 16 | 0;
   33609   HEAP32[$q >> 2] = HEAP32[tempParam >> 2] | 0;
   33610   HEAP32[$q + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   33611   HEAP32[$q + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   33612   HEAP32[$q + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   33613   tempParam = $b;
   33614   $b = STACKTOP;
   33615   STACKTOP = STACKTOP + 32 | 0;
   33616   _memcpy($b, tempParam, 32);
   33617   label = 2;
   33618   while (1) switch (label | 0) {
   33619    case 2:
   33620     $x = $p | 0;
   33621     $0 = +HEAPF64[$x >> 3];
   33622     $x1 = $b | 0;
   33623     $1 = +HEAPF64[$x1 >> 3];
   33624     $cmp = $0 < $1;
   33625     if ($cmp) {
   33626       $6 = 0;
   33627       label = 6;
   33628       break;
   33629     } else {
   33630       label = 3;
   33631       break;
   33632     }
   33633    case 3:
   33634     $x3 = $b + 16 | 0;
   33635     $2 = +HEAPF64[$x3 >> 3];
   33636     $cmp4 = $0 > $2;
   33637     if ($cmp4) {
   33638       $6 = 0;
   33639       label = 6;
   33640       break;
   33641     } else {
   33642       label = 4;
   33643       break;
   33644     }
   33645    case 4:
   33646     $y = $p + 8 | 0;
   33647     $3 = +HEAPF64[$y >> 3];
   33648     $y7 = $b + 8 | 0;
   33649     $4 = +HEAPF64[$y7 >> 3];
   33650     $cmp8 = $3 < $4;
   33651     if ($cmp8) {
   33652       $6 = 0;
   33653       label = 6;
   33654       break;
   33655     } else {
   33656       label = 5;
   33657       break;
   33658     }
   33659    case 5:
   33660     $y11 = $b + 24 | 0;
   33661     $5 = +HEAPF64[$y11 >> 3];
   33662     $cmp12 = $3 <= $5;
   33663     $phitmp = $cmp12 & 1;
   33664     $6 = $phitmp;
   33665     label = 6;
   33666     break;
   33667    case 6:
   33668     $x13 = $q | 0;
   33669     $7 = +HEAPF64[$x13 >> 3];
   33670     $8 = +HEAPF64[$x1 >> 3];
   33671     $cmp16 = $7 < $8;
   33672     if ($cmp16) {
   33673       $13 = 0;
   33674       label = 10;
   33675       break;
   33676     } else {
   33677       label = 7;
   33678       break;
   33679     }
   33680    case 7:
   33681     $x20 = $b + 16 | 0;
   33682     $9 = +HEAPF64[$x20 >> 3];
   33683     $cmp21 = $7 > $9;
   33684     if ($cmp21) {
   33685       $13 = 0;
   33686       label = 10;
   33687       break;
   33688     } else {
   33689       label = 8;
   33690       break;
   33691     }
   33692    case 8:
   33693     $y23 = $q + 8 | 0;
   33694     $10 = +HEAPF64[$y23 >> 3];
   33695     $y25 = $b + 8 | 0;
   33696     $11 = +HEAPF64[$y25 >> 3];
   33697     $cmp26 = $10 < $11;
   33698     if ($cmp26) {
   33699       $13 = 0;
   33700       label = 10;
   33701       break;
   33702     } else {
   33703       label = 9;
   33704       break;
   33705     }
   33706    case 9:
   33707     $y30 = $b + 24 | 0;
   33708     $12 = +HEAPF64[$y30 >> 3];
   33709     $cmp31 = $10 <= $12;
   33710     $phitmp20 = $cmp31 & 1;
   33711     $13 = $phitmp20;
   33712     label = 10;
   33713     break;
   33714    case 10:
   33715     $cmp34 = ($6 | 0) == ($13 | 0);
   33716     if ($cmp34) {
   33717       label = 11;
   33718       break;
   33719     } else {
   33720       $retval_0 = 0;
   33721       label = 30;
   33722       break;
   33723     }
   33724    case 11:
   33725     $and = $13 & $6;
   33726     $tobool = ($and | 0) == 0;
   33727     if ($tobool) {
   33728       label = 12;
   33729       break;
   33730     } else {
   33731       $retval_0 = 1;
   33732       label = 30;
   33733       break;
   33734     }
   33735    case 12:
   33736     $14 = +HEAPF64[$x >> 3];
   33737     $15 = +HEAPF64[$x13 >> 3];
   33738     $cmp39 = $14 == $15;
   33739     $y41 = $p + 8 | 0;
   33740     $16 = +HEAPF64[$y41 >> 3];
   33741     if ($cmp39) {
   33742       label = 13;
   33743       break;
   33744     } else {
   33745       label = 16;
   33746       break;
   33747     }
   33748    case 13:
   33749     $y43 = $b + 8 | 0;
   33750     $17 = +HEAPF64[$y43 >> 3];
   33751     $cmp44 = $16 >= $17;
   33752     $y45 = $q + 8 | 0;
   33753     $18 = +HEAPF64[$y45 >> 3];
   33754     $cmp48 = $18 >= $17;
   33755     $xor22 = $cmp44 ^ $cmp48;
   33756     if ($xor22) {
   33757       label = 14;
   33758       break;
   33759     } else {
   33760       label = 29;
   33761       break;
   33762     }
   33763    case 14:
   33764     $19 = +HEAPF64[$x1 >> 3];
   33765     $cmp55 = $14 < $19;
   33766     if ($cmp55) {
   33767       label = 29;
   33768       break;
   33769     } else {
   33770       label = 15;
   33771       break;
   33772     }
   33773    case 15:
   33774     $x60 = $b + 16 | 0;
   33775     $20 = +HEAPF64[$x60 >> 3];
   33776     $cmp61 = $14 > $20;
   33777     if ($cmp61) {
   33778       label = 29;
   33779       break;
   33780     } else {
   33781       $retval_0 = 0;
   33782       label = 30;
   33783       break;
   33784     }
   33785    case 16:
   33786     $y66 = $q + 8 | 0;
   33787     $21 = +HEAPF64[$y66 >> 3];
   33788     $cmp67 = $16 == $21;
   33789     if ($cmp67) {
   33790       label = 17;
   33791       break;
   33792     } else {
   33793       label = 20;
   33794       break;
   33795     }
   33796    case 17:
   33797     $22 = +HEAPF64[$x1 >> 3];
   33798     $cmp73 = $14 >= $22;
   33799     $cmp78 = $15 >= $22;
   33800     $xor8021 = $cmp73 ^ $cmp78;
   33801     if ($xor8021) {
   33802       label = 18;
   33803       break;
   33804     } else {
   33805       label = 29;
   33806       break;
   33807     }
   33808    case 18:
   33809     $y85 = $b + 8 | 0;
   33810     $23 = +HEAPF64[$y85 >> 3];
   33811     $cmp86 = $16 < $23;
   33812     if ($cmp86) {
   33813       label = 29;
   33814       break;
   33815     } else {
   33816       label = 19;
   33817       break;
   33818     }
   33819    case 19:
   33820     $y91 = $b + 24 | 0;
   33821     $24 = +HEAPF64[$y91 >> 3];
   33822     $cmp92 = $16 > $24;
   33823     if ($cmp92) {
   33824       label = 29;
   33825       break;
   33826     } else {
   33827       $retval_0 = 0;
   33828       label = 30;
   33829       break;
   33830     }
   33831    case 20:
   33832     $sub = $21 - $16;
   33833     $sub103 = $15 - $14;
   33834     $div = $sub / $sub103;
   33835     $cmp106 = $14 < $15;
   33836     $_ = $cmp106 ? $14 : $15;
   33837     $_23 = $cmp106 ? $15 : $14;
   33838     $25 = +HEAPF64[$x1 >> 3];
   33839     $sub119 = $25 - $14;
   33840     $mul = $div * $sub119;
   33841     $add = $16 + $mul;
   33842     $cmp122 = $25 < $_;
   33843     $cmp127 = $25 > $_23;
   33844     $or_cond = $cmp122 | $cmp127;
   33845     if ($or_cond) {
   33846       label = 23;
   33847       break;
   33848     } else {
   33849       label = 21;
   33850       break;
   33851     }
   33852    case 21:
   33853     $y131 = $b + 8 | 0;
   33854     $26 = +HEAPF64[$y131 >> 3];
   33855     $cmp132 = $add < $26;
   33856     if ($cmp132) {
   33857       label = 23;
   33858       break;
   33859     } else {
   33860       label = 22;
   33861       break;
   33862     }
   33863    case 22:
   33864     $y136 = $b + 24 | 0;
   33865     $27 = +HEAPF64[$y136 >> 3];
   33866     $cmp137 = $add > $27;
   33867     if ($cmp137) {
   33868       label = 23;
   33869       break;
   33870     } else {
   33871       $retval_0 = 0;
   33872       label = 30;
   33873       break;
   33874     }
   33875    case 23:
   33876     $x142 = $b + 16 | 0;
   33877     $28 = +HEAPF64[$x142 >> 3];
   33878     $29 = +HEAPF64[$x1 >> 3];
   33879     $sub145 = $28 - $29;
   33880     $mul146 = $div * $sub145;
   33881     $add147 = $add + $mul146;
   33882     $y149 = $b + 8 | 0;
   33883     $30 = +HEAPF64[$y149 >> 3];
   33884     $cmp150 = $add147 < $30;
   33885     if ($cmp150) {
   33886       label = 25;
   33887       break;
   33888     } else {
   33889       label = 24;
   33890       break;
   33891     }
   33892    case 24:
   33893     $y154 = $b + 24 | 0;
   33894     $31 = +HEAPF64[$y154 >> 3];
   33895     $cmp155 = $add147 > $31;
   33896     $cmp160 = $28 < $_;
   33897     $or_cond24 = $cmp155 | $cmp160;
   33898     $cmp165 = $28 > $_23;
   33899     $or_cond25 = $or_cond24 | $cmp165;
   33900     if ($or_cond25) {
   33901       label = 25;
   33902       break;
   33903     } else {
   33904       $retval_0 = 0;
   33905       label = 30;
   33906       break;
   33907     }
   33908    case 25:
   33909     $32 = +HEAPF64[$y41 >> 3];
   33910     $33 = +HEAPF64[$y66 >> 3];
   33911     $cmp171 = $32 < $33;
   33912     $_26 = $cmp171 ? $32 : $33;
   33913     $_27 = $cmp171 ? $33 : $32;
   33914     $34 = +HEAPF64[$x >> 3];
   33915     $35 = +HEAPF64[$y149 >> 3];
   33916     $sub184 = $35 - $32;
   33917     $div185 = $sub184 / $div;
   33918     $add186 = $34 + $div185;
   33919     $36 = +HEAPF64[$x1 >> 3];
   33920     $cmp189 = $add186 < $36;
   33921     if ($cmp189) {
   33922       label = 27;
   33923       break;
   33924     } else {
   33925       label = 26;
   33926       break;
   33927     }
   33928    case 26:
   33929     $37 = +HEAPF64[$x142 >> 3];
   33930     $cmp194 = $add186 > $37;
   33931     $cmp199 = $35 < $_26;
   33932     $or_cond28 = $cmp194 | $cmp199;
   33933     $cmp204 = $35 > $_27;
   33934     $or_cond29 = $or_cond28 | $cmp204;
   33935     if ($or_cond29) {
   33936       label = 27;
   33937       break;
   33938     } else {
   33939       $retval_0 = 0;
   33940       label = 30;
   33941       break;
   33942     }
   33943    case 27:
   33944     $y209 = $b + 24 | 0;
   33945     $38 = +HEAPF64[$y209 >> 3];
   33946     $39 = +HEAPF64[$y149 >> 3];
   33947     $sub212 = $38 - $39;
   33948     $div213 = $sub212 / $div;
   33949     $add214 = $add186 + $div213;
   33950     $40 = +HEAPF64[$x1 >> 3];
   33951     $cmp217 = $add214 < $40;
   33952     if ($cmp217) {
   33953       label = 29;
   33954       break;
   33955     } else {
   33956       label = 28;
   33957       break;
   33958     }
   33959    case 28:
   33960     $41 = +HEAPF64[$x142 >> 3];
   33961     $cmp222 = $add214 > $41;
   33962     $cmp227 = $38 < $_26;
   33963     $or_cond30 = $cmp222 | $cmp227;
   33964     $cmp232 = $38 > $_27;
   33965     $or_cond31 = $or_cond30 | $cmp232;
   33966     if ($or_cond31) {
   33967       label = 29;
   33968       break;
   33969     } else {
   33970       $retval_0 = 0;
   33971       label = 30;
   33972       break;
   33973     }
   33974    case 29:
   33975     $retval_0 = -1;
   33976     label = 30;
   33977     break;
   33978    case 30:
   33979     STACKTOP = __stackBase__;
   33980     return $retval_0 | 0;
   33981   }
   33982   return 0;
   33983 }
   33984 function _rect2poly($p) {
   33985   $p = $p | 0;
   33986   var $x = 0, $0 = 0.0;
   33987   $x = $p + 16 | 0;
   33988   $0 = +HEAPF64[$x >> 3];
   33989   HEAPF64[$p + 32 >> 3] = $0;
   33990   HEAPF64[$p + 48 >> 3] = $0;
   33991   HEAPF64[$p + 40 >> 3] = +HEAPF64[$p + 24 >> 3];
   33992   HEAPF64[$p + 56 >> 3] = +HEAPF64[$p + 8 >> 3];
   33993   HEAPF64[$x >> 3] = +HEAPF64[$p >> 3];
   33994   return;
   33995 }
   33996 function _flip_rec_boxf($agg_result, $b, $p) {
   33997   $agg_result = $agg_result | 0;
   33998   $b = $b | 0;
   33999   $p = $p | 0;
   34000   var $4 = 0.0, $5 = 0.0, $add19 = 0.0, $add23 = 0.0, $add27 = 0.0, tempParam = 0, __stackBase__ = 0;
   34001   __stackBase__ = STACKTOP;
   34002   tempParam = $b;
   34003   $b = STACKTOP;
   34004   STACKTOP = STACKTOP + 32 | 0;
   34005   _memcpy($b, tempParam, 32);
   34006   tempParam = $p;
   34007   $p = STACKTOP;
   34008   STACKTOP = STACKTOP + 16 | 0;
   34009   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   34010   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   34011   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   34012   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   34013   $4 = +HEAPF64[$p >> 3];
   34014   $5 = +HEAPF64[$p + 8 >> 3];
   34015   $add19 = +HEAPF64[$b >> 3] + $5;
   34016   $add23 = +HEAPF64[$b + 24 >> 3] + $4;
   34017   $add27 = +HEAPF64[$b + 16 >> 3] + $5;
   34018   HEAPF64[$agg_result >> 3] = +HEAPF64[$b + 8 >> 3] + $4;
   34019   HEAPF64[$agg_result + 8 >> 3] = $add19;
   34020   HEAPF64[$agg_result + 16 >> 3] = $add23;
   34021   HEAPF64[$agg_result + 24 >> 3] = $add27;
   34022   STACKTOP = __stackBase__;
   34023   return;
   34024 }
   34025 function _ptToLine2($a, $b, $p) {
   34026   $a = $a | 0;
   34027   $b = $b | 0;
   34028   $p = $p | 0;
   34029   var $x = 0, $0 = 0.0, $x1 = 0, $1 = 0.0, $sub = 0.0, $y = 0, $2 = 0.0, $y2 = 0, $3 = 0.0, $sub3 = 0.0, $y4 = 0, $4 = 0.0, $sub6 = 0.0, $mul = 0.0, $x7 = 0, $5 = 0.0, $sub9 = 0.0, $mul10 = 0.0, $sub11 = 0.0, $mul12 = 0.0, $cmp = 0, $mul13 = 0.0, $mul14 = 0.0, $add = 0.0, $div = 0.0, $retval_0 = 0.0, label = 0, tempParam = 0, __stackBase__ = 0;
   34030   __stackBase__ = STACKTOP;
   34031   tempParam = $a;
   34032   $a = STACKTOP;
   34033   STACKTOP = STACKTOP + 16 | 0;
   34034   HEAP32[$a >> 2] = HEAP32[tempParam >> 2] | 0;
   34035   HEAP32[$a + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   34036   HEAP32[$a + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   34037   HEAP32[$a + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   34038   tempParam = $b;
   34039   $b = STACKTOP;
   34040   STACKTOP = STACKTOP + 16 | 0;
   34041   HEAP32[$b >> 2] = HEAP32[tempParam >> 2] | 0;
   34042   HEAP32[$b + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   34043   HEAP32[$b + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   34044   HEAP32[$b + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   34045   tempParam = $p;
   34046   $p = STACKTOP;
   34047   STACKTOP = STACKTOP + 16 | 0;
   34048   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   34049   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   34050   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   34051   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   34052   label = 2;
   34053   while (1) switch (label | 0) {
   34054    case 2:
   34055     $x = $b | 0;
   34056     $0 = +HEAPF64[$x >> 3];
   34057     $x1 = $a | 0;
   34058     $1 = +HEAPF64[$x1 >> 3];
   34059     $sub = $0 - $1;
   34060     $y = $b + 8 | 0;
   34061     $2 = +HEAPF64[$y >> 3];
   34062     $y2 = $a + 8 | 0;
   34063     $3 = +HEAPF64[$y2 >> 3];
   34064     $sub3 = $2 - $3;
   34065     $y4 = $p + 8 | 0;
   34066     $4 = +HEAPF64[$y4 >> 3];
   34067     $sub6 = $4 - $3;
   34068     $mul = $sub * $sub6;
   34069     $x7 = $p | 0;
   34070     $5 = +HEAPF64[$x7 >> 3];
   34071     $sub9 = $5 - $1;
   34072     $mul10 = $sub3 * $sub9;
   34073     $sub11 = $mul - $mul10;
   34074     $mul12 = $sub11 * $sub11;
   34075     $cmp = $mul12 < 1.0e-10;
   34076     if ($cmp) {
   34077       $retval_0 = 0.0;
   34078       label = 4;
   34079       break;
   34080     } else {
   34081       label = 3;
   34082       break;
   34083     }
   34084    case 3:
   34085     $mul13 = $sub * $sub;
   34086     $mul14 = $sub3 * $sub3;
   34087     $add = $mul13 + $mul14;
   34088     $div = $mul12 / $add;
   34089     $retval_0 = $div;
   34090     label = 4;
   34091     break;
   34092    case 4:
   34093     STACKTOP = __stackBase__;
   34094     return +$retval_0;
   34095   }
   34096   return 0.0;
   34097 }
   34098 function _zmalloc($nbytes) {
   34099   $nbytes = $nbytes | 0;
   34100   var $cmp = 0, $call = 0, $retval_0 = 0, label = 0;
   34101   label = 2;
   34102   while (1) switch (label | 0) {
   34103    case 2:
   34104     $cmp = ($nbytes | 0) == 0;
   34105     if ($cmp) {
   34106       $retval_0 = 0;
   34107       label = 4;
   34108       break;
   34109     } else {
   34110       label = 3;
   34111       break;
   34112     }
   34113    case 3:
   34114     $call = _gmalloc($nbytes) | 0;
   34115     _memset($call | 0, 0, $nbytes | 0);
   34116     $retval_0 = $call;
   34117     label = 4;
   34118     break;
   34119    case 4:
   34120     return $retval_0 | 0;
   34121   }
   34122   return 0;
   34123 }
   34124 function _textsize($agg_result, $g, $para, $fontname, $fontsize) {
   34125   $agg_result = $agg_result | 0;
   34126   $g = $g | 0;
   34127   $para = $para | 0;
   34128   $fontname = $fontname | 0;
   34129   $fontsize = +$fontsize;
   34130   var $fontpath = 0, $fontname1 = 0, $fontsize2 = 0, $call = 0, $postscript_alias = 0, $0 = 0, $tobool = 0, $1 = 0, $call4 = 0, $tobool5 = 0, $_fontpath = 0, $fp_0 = 0, $gvc = 0, $2 = 0, $call6 = 0, $tobool7 = 0, $tobool10 = 0, $3 = 0, $tobool12 = 0, $4 = 0, $5 = 0, $call15 = 0, $call17 = 0, $width = 0, $6 = 0.0, $height = 0, $7 = 0.0, $size_sroa_0_0__idx = 0, $size_sroa_1_8__idx1 = 0, label = 0, __stackBase__ = 0;
   34131   __stackBase__ = STACKTOP;
   34132   STACKTOP = STACKTOP + 8 | 0;
   34133   label = 2;
   34134   while (1) switch (label | 0) {
   34135    case 2:
   34136     $fontpath = __stackBase__ | 0;
   34137     HEAP32[$fontpath >> 2] = 0;
   34138     $fontname1 = $para + 20 | 0;
   34139     HEAP32[$fontname1 >> 2] = $fontname;
   34140     $fontsize2 = $para + 24 | 0;
   34141     HEAPF64[$fontsize2 >> 3] = $fontsize;
   34142     $call = _translate_postscript_fontname($fontname) | 0;
   34143     $postscript_alias = $para + 4 | 0;
   34144     HEAP32[$postscript_alias >> 2] = $call;
   34145     $0 = HEAP8[164912] | 0;
   34146     $tobool = $0 << 24 >> 24 == 0;
   34147     if ($tobool) {
   34148       $fp_0 = 0;
   34149       label = 4;
   34150       break;
   34151     } else {
   34152       label = 3;
   34153       break;
   34154     }
   34155    case 3:
   34156     $1 = HEAP32[$fontname1 >> 2] | 0;
   34157     $call4 = _emit_once($1) | 0;
   34158     $tobool5 = ($call4 | 0) == 0;
   34159     $_fontpath = $tobool5 ? 0 : $fontpath;
   34160     $fp_0 = $_fontpath;
   34161     label = 4;
   34162     break;
   34163    case 4:
   34164     $gvc = $g + 176 | 0;
   34165     $2 = HEAP32[$gvc >> 2] | 0;
   34166     $call6 = _gvtextlayout($2, $para, $fp_0) | 0;
   34167     $tobool7 = $call6 << 24 >> 24 == 0;
   34168     if ($tobool7) {
   34169       label = 5;
   34170       break;
   34171     } else {
   34172       label = 6;
   34173       break;
   34174     }
   34175    case 5:
   34176     _estimate_textlayout($para, $fp_0);
   34177     label = 6;
   34178     break;
   34179    case 6:
   34180     $tobool10 = ($fp_0 | 0) == 0;
   34181     if ($tobool10) {
   34182       label = 10;
   34183       break;
   34184     } else {
   34185       label = 7;
   34186       break;
   34187     }
   34188    case 7:
   34189     $3 = HEAP32[$fontpath >> 2] | 0;
   34190     $tobool12 = ($3 | 0) == 0;
   34191     $4 = HEAP32[_stderr >> 2] | 0;
   34192     $5 = HEAP32[$fontname1 >> 2] | 0;
   34193     if ($tobool12) {
   34194       label = 9;
   34195       break;
   34196     } else {
   34197       label = 8;
   34198       break;
   34199     }
   34200    case 8:
   34201     $call15 = _fprintf($4 | 0, 116480, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $5, HEAP32[tempInt + 8 >> 2] = $3, tempInt) | 0) | 0;
   34202     label = 10;
   34203     break;
   34204    case 9:
   34205     $call17 = _fprintf($4 | 0, 151224, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $5, tempInt) | 0) | 0;
   34206     label = 10;
   34207     break;
   34208    case 10:
   34209     $width = $para + 56 | 0;
   34210     $6 = +HEAPF64[$width >> 3];
   34211     $height = $para + 64 | 0;
   34212     $7 = +HEAPF64[$height >> 3];
   34213     $size_sroa_0_0__idx = $agg_result | 0;
   34214     HEAPF64[$size_sroa_0_0__idx >> 3] = $6;
   34215     $size_sroa_1_8__idx1 = $agg_result + 8 | 0;
   34216     HEAPF64[$size_sroa_1_8__idx1 >> 3] = $7;
   34217     STACKTOP = __stackBase__;
   34218     return;
   34219   }
   34220 }
   34221 function _translate_postscript_fontname($fontname) {
   34222   $fontname = $fontname | 0;
   34223   var $0 = 0, $cmp = 0, $call = 0, $tobool = 0, $call1 = 0, $1 = 0, $2 = 0, label = 0;
   34224   label = 2;
   34225   while (1) switch (label | 0) {
   34226    case 2:
   34227     $0 = HEAP32[238] | 0;
   34228     $cmp = ($0 | 0) == 0;
   34229     if ($cmp) {
   34230       label = 4;
   34231       break;
   34232     } else {
   34233       label = 3;
   34234       break;
   34235     }
   34236    case 3:
   34237     $call = _strcasecmp($0, $fontname) | 0;
   34238     $tobool = ($call | 0) == 0;
   34239     if ($tobool) {
   34240       label = 5;
   34241       break;
   34242     } else {
   34243       label = 4;
   34244       break;
   34245     }
   34246    case 4:
   34247     HEAP32[238] = $fontname;
   34248     $call1 = _bsearch(952, 5648, 35, 36, 558) | 0;
   34249     $1 = $call1;
   34250     HEAP32[236] = $1;
   34251     label = 5;
   34252     break;
   34253    case 5:
   34254     $2 = HEAP32[236] | 0;
   34255     return $2 | 0;
   34256   }
   34257   return 0;
   34258 }
   34259 function _estimate_textlayout($para, $fontpath) {
   34260   $para = $para | 0;
   34261   $fontpath = $fontpath | 0;
   34262   var $width = 0, $fontsize = 0, $0 = 0.0, $mul = 0.0, $height = 0, $yoffset_layout = 0, $1 = 0.0, $mul2 = 0.0, $yoffset_centerline = 0, $fontname = 0, $2 = 0, $layout = 0, $free_layout = 0, $3 = 0, $call = 0, $tobool = 0, $4 = 0, $call5 = 0, $tobool6 = 0, $5 = 0, $call8 = 0, $tobool9 = 0, $_ = 0, $_1 = 0, $Fontwidth_0 = 0, $fp_0 = 0, $tobool13 = 0, $str = 0, $6 = 0, $tobool16 = 0, $7 = 0, $tobool182 = 0, $8 = 0, $p_03 = 0, $incdec_ptr = 0, $idxprom = 0, $arrayidx = 0, $9 = 0.0, $10 = 0.0, $add = 0.0, $11 = 0, $tobool18 = 0, $12 = 0.0, $13 = 0.0, $mul22 = 0.0, label = 0;
   34263   label = 2;
   34264   while (1) switch (label | 0) {
   34265    case 2:
   34266     $width = $para + 56 | 0;
   34267     HEAPF64[$width >> 3] = 0.0;
   34268     $fontsize = $para + 24 | 0;
   34269     $0 = +HEAPF64[$fontsize >> 3];
   34270     $mul = $0 * 1.2;
   34271     $height = $para + 64 | 0;
   34272     HEAPF64[$height >> 3] = $mul;
   34273     $yoffset_layout = $para + 40 | 0;
   34274     HEAPF64[$yoffset_layout >> 3] = 0.0;
   34275     $1 = +HEAPF64[$fontsize >> 3];
   34276     $mul2 = $1 * .1;
   34277     $yoffset_centerline = $para + 48 | 0;
   34278     HEAPF64[$yoffset_centerline >> 3] = $mul2;
   34279     $fontname = $para + 20 | 0;
   34280     $2 = HEAP32[$fontname >> 2] | 0;
   34281     $layout = $para + 8 | 0;
   34282     HEAP32[$layout >> 2] = $2;
   34283     $free_layout = $para + 12 | 0;
   34284     HEAP32[$free_layout >> 2] = 0;
   34285     $3 = HEAP32[$fontname >> 2] | 0;
   34286     $call = _strncasecmp($3, 124888, 4) | 0;
   34287     $tobool = ($call | 0) == 0;
   34288     if ($tobool) {
   34289       $fp_0 = 116832;
   34290       $Fontwidth_0 = 21216;
   34291       label = 5;
   34292       break;
   34293     } else {
   34294       label = 3;
   34295       break;
   34296     }
   34297    case 3:
   34298     $4 = HEAP32[$fontname >> 2] | 0;
   34299     $call5 = _strncasecmp($4, 111688, 5) | 0;
   34300     $tobool6 = ($call5 | 0) == 0;
   34301     if ($tobool6) {
   34302       $fp_0 = 103496;
   34303       $Fontwidth_0 = 86264;
   34304       label = 5;
   34305       break;
   34306     } else {
   34307       label = 4;
   34308       break;
   34309     }
   34310    case 4:
   34311     $5 = HEAP32[$fontname >> 2] | 0;
   34312     $call8 = _strncasecmp($5, 107368, 9) | 0;
   34313     $tobool9 = ($call8 | 0) == 0;
   34314     $_ = $tobool9 ? 86264 : 1112;
   34315     $_1 = $tobool9 ? 103496 : 99304;
   34316     $fp_0 = $_1;
   34317     $Fontwidth_0 = $_;
   34318     label = 5;
   34319     break;
   34320    case 5:
   34321     $tobool13 = ($fontpath | 0) == 0;
   34322     if ($tobool13) {
   34323       label = 7;
   34324       break;
   34325     } else {
   34326       label = 6;
   34327       break;
   34328     }
   34329    case 6:
   34330     HEAP32[$fontpath >> 2] = $fp_0;
   34331     label = 7;
   34332     break;
   34333    case 7:
   34334     $str = $para | 0;
   34335     $6 = HEAP32[$str >> 2] | 0;
   34336     $tobool16 = ($6 | 0) == 0;
   34337     if ($tobool16) {
   34338       label = 11;
   34339       break;
   34340     } else {
   34341       label = 8;
   34342       break;
   34343     }
   34344    case 8:
   34345     $7 = HEAP8[$6] | 0;
   34346     $tobool182 = $7 << 24 >> 24 == 0;
   34347     if ($tobool182) {
   34348       label = 10;
   34349       break;
   34350     } else {
   34351       $p_03 = $6;
   34352       $8 = $7;
   34353       label = 9;
   34354       break;
   34355     }
   34356    case 9:
   34357     $incdec_ptr = $p_03 + 1 | 0;
   34358     $idxprom = $8 & 255;
   34359     $arrayidx = $Fontwidth_0 + ($idxprom << 3) | 0;
   34360     $9 = +HEAPF64[$arrayidx >> 3];
   34361     $10 = +HEAPF64[$width >> 3];
   34362     $add = $9 + $10;
   34363     HEAPF64[$width >> 3] = $add;
   34364     $11 = HEAP8[$incdec_ptr] | 0;
   34365     $tobool18 = $11 << 24 >> 24 == 0;
   34366     if ($tobool18) {
   34367       label = 10;
   34368       break;
   34369     } else {
   34370       $p_03 = $incdec_ptr;
   34371       $8 = $11;
   34372       label = 9;
   34373       break;
   34374     }
   34375    case 10:
   34376     $12 = +HEAPF64[$fontsize >> 3];
   34377     $13 = +HEAPF64[$width >> 3];
   34378     $mul22 = $12 * $13;
   34379     HEAPF64[$width >> 3] = $mul22;
   34380     label = 11;
   34381     break;
   34382    case 11:
   34383     return;
   34384   }
   34385 }
   34386 function _fontcmpf($a, $b) {
   34387   $a = $a | 0;
   34388   $b = $b | 0;
   34389   return _strcasecmp(HEAP32[$a >> 2] | 0, HEAP32[$b >> 2] | 0) | 0;
   34390 }
   34391 function _cwrotatepf($agg_result, $p, $cwrot) {
   34392   $agg_result = $agg_result | 0;
   34393   $p = $p | 0;
   34394   $cwrot = $cwrot | 0;
   34395   var $x1 = 0, $0 = 0.0, $y2 = 0, $1 = 0.0, $cwrot_tr = 0, $sub = 0.0, $sub8 = 0.0, $cmp = 0, $sub13 = 0, $cmp14 = 0, $rem = 0, $2 = 0, $3 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   34396   __stackBase__ = STACKTOP;
   34397   tempParam = $p;
   34398   $p = STACKTOP;
   34399   STACKTOP = STACKTOP + 16 | 0;
   34400   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   34401   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   34402   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   34403   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   34404   label = 2;
   34405   while (1) switch (label | 0) {
   34406    case 2:
   34407     $x1 = $p | 0;
   34408     $0 = +HEAPF64[$x1 >> 3];
   34409     $y2 = $p + 8 | 0;
   34410     $1 = +HEAPF64[$y2 >> 3];
   34411     $cwrot_tr = $cwrot;
   34412     label = 3;
   34413     break;
   34414    case 3:
   34415     if (($cwrot_tr | 0) == 90) {
   34416       label = 4;
   34417       break;
   34418     } else if (($cwrot_tr | 0) == 180) {
   34419       label = 5;
   34420       break;
   34421     } else if (($cwrot_tr | 0) == 270) {
   34422       label = 6;
   34423       break;
   34424     } else if (($cwrot_tr | 0) == 0) {
   34425       label = 12;
   34426       break;
   34427     } else {
   34428       label = 7;
   34429       break;
   34430     }
   34431    case 4:
   34432     HEAPF64[$x1 >> 3] = $1;
   34433     $sub = -0.0 - $0;
   34434     HEAPF64[$y2 >> 3] = $sub;
   34435     label = 12;
   34436     break;
   34437    case 5:
   34438     HEAPF64[$x1 >> 3] = $0;
   34439     $sub8 = -0.0 - $1;
   34440     HEAPF64[$y2 >> 3] = $sub8;
   34441     label = 12;
   34442     break;
   34443    case 6:
   34444     HEAPF64[$x1 >> 3] = $1;
   34445     HEAPF64[$y2 >> 3] = $0;
   34446     label = 12;
   34447     break;
   34448    case 7:
   34449     $cmp = ($cwrot_tr | 0) < 0;
   34450     if ($cmp) {
   34451       label = 8;
   34452       break;
   34453     } else {
   34454       label = 9;
   34455       break;
   34456     }
   34457    case 8:
   34458     $sub13 = -$cwrot_tr | 0;
   34459     _ccwrotatepf($agg_result, $p, $sub13);
   34460     label = 13;
   34461     break;
   34462    case 9:
   34463     $cmp14 = ($cwrot_tr | 0) > 360;
   34464     if ($cmp14) {
   34465       label = 10;
   34466       break;
   34467     } else {
   34468       label = 11;
   34469       break;
   34470     }
   34471    case 10:
   34472     $rem = ($cwrot_tr | 0) % 360;
   34473     $cwrot_tr = $rem;
   34474     label = 3;
   34475     break;
   34476    case 11:
   34477     _rotatepf($agg_result, $p, $cwrot_tr);
   34478     label = 13;
   34479     break;
   34480    case 12:
   34481     $2 = $agg_result;
   34482     $3 = $p;
   34483     HEAP32[$2 >> 2] = HEAP32[$3 >> 2] | 0;
   34484     HEAP32[$2 + 4 >> 2] = HEAP32[$3 + 4 >> 2] | 0;
   34485     HEAP32[$2 + 8 >> 2] = HEAP32[$3 + 8 >> 2] | 0;
   34486     HEAP32[$2 + 12 >> 2] = HEAP32[$3 + 12 >> 2] | 0;
   34487     label = 13;
   34488     break;
   34489    case 13:
   34490     STACKTOP = __stackBase__;
   34491     return;
   34492   }
   34493 }
   34494 function _ccwrotatepf($agg_result, $p, $ccwrot) {
   34495   $agg_result = $agg_result | 0;
   34496   $p = $p | 0;
   34497   $ccwrot = $ccwrot | 0;
   34498   var $x1 = 0, $0 = 0.0, $y2 = 0, $1 = 0.0, $ccwrot_tr = 0, $sub = 0.0, $sub8 = 0.0, $cmp = 0, $sub13 = 0, $cmp14 = 0, $rem = 0, $sub17 = 0, $2 = 0, $3 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   34499   __stackBase__ = STACKTOP;
   34500   tempParam = $p;
   34501   $p = STACKTOP;
   34502   STACKTOP = STACKTOP + 16 | 0;
   34503   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   34504   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   34505   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   34506   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   34507   label = 2;
   34508   while (1) switch (label | 0) {
   34509    case 2:
   34510     $x1 = $p | 0;
   34511     $0 = +HEAPF64[$x1 >> 3];
   34512     $y2 = $p + 8 | 0;
   34513     $1 = +HEAPF64[$y2 >> 3];
   34514     $ccwrot_tr = $ccwrot;
   34515     label = 3;
   34516     break;
   34517    case 3:
   34518     if (($ccwrot_tr | 0) == 90) {
   34519       label = 4;
   34520       break;
   34521     } else if (($ccwrot_tr | 0) == 180) {
   34522       label = 5;
   34523       break;
   34524     } else if (($ccwrot_tr | 0) == 270) {
   34525       label = 6;
   34526       break;
   34527     } else if (($ccwrot_tr | 0) == 0) {
   34528       label = 12;
   34529       break;
   34530     } else {
   34531       label = 7;
   34532       break;
   34533     }
   34534    case 4:
   34535     $sub = -0.0 - $1;
   34536     HEAPF64[$x1 >> 3] = $sub;
   34537     HEAPF64[$y2 >> 3] = $0;
   34538     label = 12;
   34539     break;
   34540    case 5:
   34541     HEAPF64[$x1 >> 3] = $0;
   34542     $sub8 = -0.0 - $1;
   34543     HEAPF64[$y2 >> 3] = $sub8;
   34544     label = 12;
   34545     break;
   34546    case 6:
   34547     HEAPF64[$x1 >> 3] = $1;
   34548     HEAPF64[$y2 >> 3] = $0;
   34549     label = 12;
   34550     break;
   34551    case 7:
   34552     $cmp = ($ccwrot_tr | 0) < 0;
   34553     if ($cmp) {
   34554       label = 8;
   34555       break;
   34556     } else {
   34557       label = 9;
   34558       break;
   34559     }
   34560    case 8:
   34561     $sub13 = -$ccwrot_tr | 0;
   34562     _cwrotatepf($agg_result, $p, $sub13);
   34563     label = 13;
   34564     break;
   34565    case 9:
   34566     $cmp14 = ($ccwrot_tr | 0) > 360;
   34567     if ($cmp14) {
   34568       label = 10;
   34569       break;
   34570     } else {
   34571       label = 11;
   34572       break;
   34573     }
   34574    case 10:
   34575     $rem = ($ccwrot_tr | 0) % 360;
   34576     $ccwrot_tr = $rem;
   34577     label = 3;
   34578     break;
   34579    case 11:
   34580     $sub17 = 360 - $ccwrot_tr | 0;
   34581     _rotatepf($agg_result, $p, $sub17);
   34582     label = 13;
   34583     break;
   34584    case 12:
   34585     $2 = $agg_result;
   34586     $3 = $p;
   34587     HEAP32[$2 >> 2] = HEAP32[$3 >> 2] | 0;
   34588     HEAP32[$2 + 4 >> 2] = HEAP32[$3 + 4 >> 2] | 0;
   34589     HEAP32[$2 + 8 >> 2] = HEAP32[$3 + 8 >> 2] | 0;
   34590     HEAP32[$2 + 12 >> 2] = HEAP32[$3 + 12 >> 2] | 0;
   34591     label = 13;
   34592     break;
   34593    case 13:
   34594     STACKTOP = __stackBase__;
   34595     return;
   34596   }
   34597 }
   34598 function _rotatepf($agg_result, $p, $cwrot) {
   34599   $agg_result = $agg_result | 0;
   34600   $p = $p | 0;
   34601   $cwrot = $cwrot | 0;
   34602   var $0 = 0, $cmp = 0, $conv = 0.0, $div = 0.0, $call = 0.0, $call3 = 0.0, $x = 0, $1 = 0.0, $2 = 0.0, $mul = 0.0, $y = 0, $3 = 0.0, $4 = 0.0, $mul4 = 0.0, $sub = 0.0, $mul7 = 0.0, $mul9 = 0.0, $add = 0.0, $P_sroa_0_0__idx = 0, $P_sroa_1_8__idx1 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   34603   __stackBase__ = STACKTOP;
   34604   tempParam = $p;
   34605   $p = STACKTOP;
   34606   STACKTOP = STACKTOP + 16 | 0;
   34607   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   34608   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   34609   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   34610   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   34611   label = 2;
   34612   while (1) switch (label | 0) {
   34613    case 2:
   34614     $0 = HEAP32[1084] | 0;
   34615     $cmp = ($0 | 0) == ($cwrot | 0);
   34616     if ($cmp) {
   34617       label = 4;
   34618       break;
   34619     } else {
   34620       label = 3;
   34621       break;
   34622     }
   34623    case 3:
   34624     $conv = +($cwrot | 0);
   34625     $div = $conv / 6.283185307179586;
   34626     $call = +Math_sin(+$div);
   34627     HEAPF64[541] = $call;
   34628     $call3 = +Math_cos(+$div);
   34629     HEAPF64[543] = $call3;
   34630     HEAP32[1084] = $cwrot;
   34631     label = 4;
   34632     break;
   34633    case 4:
   34634     $x = $p | 0;
   34635     $1 = +HEAPF64[$x >> 3];
   34636     $2 = +HEAPF64[543];
   34637     $mul = $1 * $2;
   34638     $y = $p + 8 | 0;
   34639     $3 = +HEAPF64[$y >> 3];
   34640     $4 = +HEAPF64[541];
   34641     $mul4 = $3 * $4;
   34642     $sub = $mul - $mul4;
   34643     $mul7 = $2 * $3;
   34644     $mul9 = $1 * $4;
   34645     $add = $mul7 + $mul9;
   34646     $P_sroa_0_0__idx = $agg_result | 0;
   34647     HEAPF64[$P_sroa_0_0__idx >> 3] = $sub;
   34648     $P_sroa_1_8__idx1 = $agg_result + 8 | 0;
   34649     HEAPF64[$P_sroa_1_8__idx1 >> 3] = $add;
   34650     STACKTOP = __stackBase__;
   34651     return;
   34652   }
   34653 }
   34654 function _htmlerror($msg) {
   34655   $msg = $msg | 0;
   34656   var $0 = 0, $tobool = 0, $call = 0, $call1 = 0, label = 0, __stackBase__ = 0;
   34657   __stackBase__ = STACKTOP;
   34658   label = 2;
   34659   while (1) switch (label | 0) {
   34660    case 2:
   34661     $0 = HEAP8[4153] | 0;
   34662     $tobool = $0 << 24 >> 24 == 0;
   34663     if ($tobool) {
   34664       label = 3;
   34665       break;
   34666     } else {
   34667       label = 4;
   34668       break;
   34669     }
   34670    case 3:
   34671     HEAP8[4153] = 1;
   34672     $call = _htmllineno() | 0;
   34673     $call1 = _agerr(1, 107816, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $msg, HEAP32[tempInt + 8 >> 2] = $call, tempInt) | 0) | 0;
   34674     _error_context();
   34675     label = 4;
   34676     break;
   34677    case 4:
   34678     STACKTOP = __stackBase__;
   34679     return;
   34680   }
   34681 }
   34682 function _htmllineno() {
   34683   return _XML_GetCurrentLineNumber(HEAP32[1030] | 0) | 0;
   34684 }
   34685 function _error_context() {
   34686   var $0 = 0, $buf = 0, $1 = 0, $ptr = 0, $2 = 0, $cmp = 0, $3 = 0, $4 = 0, $call = 0, $5 = 0, $6 = 0, $7 = 0, $call1 = 0, $8 = 0, $ptr2 = 0, $9 = 0, $eptr = 0, $10 = 0, $cmp3 = 0, $call4 = 0, $11 = 0, $ptr5 = 0, $12 = 0, $incdec_ptr = 0, $13 = 0, $buf6 = 0, $14 = 0, $ptr7 = 0, $call8 = 0, label = 0, __stackBase__ = 0;
   34687   __stackBase__ = STACKTOP;
   34688   label = 2;
   34689   while (1) switch (label | 0) {
   34690    case 2:
   34691     $0 = HEAP32[1033] | 0;
   34692     $buf = $0 | 0;
   34693     $1 = HEAP32[$buf >> 2] | 0;
   34694     $ptr = $0 + 4 | 0;
   34695     HEAP32[$ptr >> 2] = $1;
   34696     $2 = HEAP32[1042] | 0;
   34697     $cmp = ($2 | 0) > 0;
   34698     if ($cmp) {
   34699       label = 3;
   34700       break;
   34701     } else {
   34702       label = 4;
   34703       break;
   34704     }
   34705    case 3:
   34706     $3 = HEAP32[1033] | 0;
   34707     $4 = HEAP32[1040] | 0;
   34708     $call = _agxbput_n($3, $4, $2) | 0;
   34709     label = 4;
   34710     break;
   34711    case 4:
   34712     $5 = HEAP32[1033] | 0;
   34713     $6 = HEAP32[1039] | 0;
   34714     $7 = HEAP32[1041] | 0;
   34715     $call1 = _agxbput_n($5, $6, $7) | 0;
   34716     $8 = HEAP32[1033] | 0;
   34717     $ptr2 = $8 + 4 | 0;
   34718     $9 = HEAP32[$ptr2 >> 2] | 0;
   34719     $eptr = $8 + 8 | 0;
   34720     $10 = HEAP32[$eptr >> 2] | 0;
   34721     $cmp3 = $9 >>> 0 < $10 >>> 0;
   34722     if ($cmp3) {
   34723       label = 6;
   34724       break;
   34725     } else {
   34726       label = 5;
   34727       break;
   34728     }
   34729    case 5:
   34730     $call4 = _agxbmore($8, 1) | 0;
   34731     label = 6;
   34732     break;
   34733    case 6:
   34734     $11 = HEAP32[1033] | 0;
   34735     $ptr5 = $11 + 4 | 0;
   34736     $12 = HEAP32[$ptr5 >> 2] | 0;
   34737     $incdec_ptr = $12 + 1 | 0;
   34738     HEAP32[$ptr5 >> 2] = $incdec_ptr;
   34739     HEAP8[$12] = 0;
   34740     $13 = HEAP32[1033] | 0;
   34741     $buf6 = $13 | 0;
   34742     $14 = HEAP32[$buf6 >> 2] | 0;
   34743     $ptr7 = $13 + 4 | 0;
   34744     HEAP32[$ptr7 >> 2] = $14;
   34745     $call8 = _agerr(3, 95928, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $14, tempInt) | 0) | 0;
   34746     STACKTOP = __stackBase__;
   34747     return;
   34748   }
   34749 }
   34750 function _initHTMLlexer($src, $xb, $charset) {
   34751   $src = $src | 0;
   34752   $xb = $xb | 0;
   34753   $charset = $charset | 0;
   34754   var $call1 = 0;
   34755   HEAP32[1033] = $xb;
   34756   _agxbinit(4136, 128, 0);
   34757   HEAP32[1031] = $src;
   34758   HEAP8[4155] = 0;
   34759   HEAP8[4152] = 0;
   34760   HEAP8[4153] = 0;
   34761   HEAP32[1041] = 0;
   34762   HEAP32[1042] = 0;
   34763   HEAP8[4154] = 1;
   34764   $call1 = _XML_ParserCreate(_charsetToStr($charset) | 0) | 0;
   34765   HEAP32[1030] = $call1;
   34766   _XML_SetElementHandler($call1, 598, 384);
   34767   _XML_SetCharacterDataHandler(HEAP32[1030] | 0, 234);
   34768   return 0;
   34769 }
   34770 function _startElement($user, $name, $atts) {
   34771   $user = $user | 0;
   34772   $name = $name | 0;
   34773   $atts = $atts | 0;
   34774   var $call = 0, $cmp = 0, $call1 = 0, $call1_c = 0, $call2 = 0, $cmp3 = 0, $call4 = 0, $cmp5 = 0, $call8 = 0, $cmp9 = 0, $call11 = 0, $call11_c = 0, $call13 = 0, $cmp14 = 0, $call16 = 0, $call16_c = 0, $call18 = 0, $cmp19 = 0, $call21 = 0, $call21_c = 0, $call23 = 0, $cmp24 = 0, $call26 = 0, $call26_c = 0, $call28 = 0, $cmp29 = 0, $call31 = 0, $call31_c = 0, $call33 = 0, $cmp34 = 0, $call36 = 0, $call36_c = 0, $call38 = 0, $cmp39 = 0, $call41 = 0, $call41_c = 0, $call43 = 0, $cmp44 = 0, $call47 = 0, $cmp48 = 0, $call50 = 0, $call50_c = 0, $call52 = 0, $cmp53 = 0, label = 0;
   34775   label = 2;
   34776   while (1) switch (label | 0) {
   34777    case 2:
   34778     $call = _strcasecmp($name, 102816) | 0;
   34779     $cmp = ($call | 0) == 0;
   34780     if ($cmp) {
   34781       label = 3;
   34782       break;
   34783     } else {
   34784       label = 4;
   34785       break;
   34786     }
   34787    case 3:
   34788     $call1 = _mkTbl($atts) | 0;
   34789     $call1_c = $call1;
   34790     HEAP32[3420] = $call1_c;
   34791     HEAP8[4154] = 0;
   34792     HEAP32[1032] = 278;
   34793     label = 28;
   34794     break;
   34795    case 4:
   34796     $call2 = _strcasecmp($name, 98552) | 0;
   34797     $cmp3 = ($call2 | 0) == 0;
   34798     if ($cmp3) {
   34799       label = 6;
   34800       break;
   34801     } else {
   34802       label = 5;
   34803       break;
   34804     }
   34805    case 5:
   34806     $call4 = _strcasecmp($name, 94704) | 0;
   34807     $cmp5 = ($call4 | 0) == 0;
   34808     if ($cmp5) {
   34809       label = 6;
   34810       break;
   34811     } else {
   34812       label = 7;
   34813       break;
   34814     }
   34815    case 6:
   34816     HEAP8[4154] = 0;
   34817     HEAP32[1032] = 260;
   34818     label = 28;
   34819     break;
   34820    case 7:
   34821     $call8 = _strcasecmp($name, 91176) | 0;
   34822     $cmp9 = ($call8 | 0) == 0;
   34823     if ($cmp9) {
   34824       label = 8;
   34825       break;
   34826     } else {
   34827       label = 9;
   34828       break;
   34829     }
   34830    case 8:
   34831     HEAP8[4154] = 1;
   34832     $call11 = _mkCell($atts) | 0;
   34833     $call11_c = $call11;
   34834     HEAP32[3420] = $call11_c;
   34835     HEAP32[1032] = 279;
   34836     label = 28;
   34837     break;
   34838    case 9:
   34839     $call13 = _strcasecmp($name, 157688) | 0;
   34840     $cmp14 = ($call13 | 0) == 0;
   34841     if ($cmp14) {
   34842       label = 10;
   34843       break;
   34844     } else {
   34845       label = 11;
   34846       break;
   34847     }
   34848    case 10:
   34849     $call16 = _mkFont($atts, 0) | 0;
   34850     $call16_c = $call16;
   34851     HEAP32[3420] = $call16_c;
   34852     HEAP32[1032] = 280;
   34853     label = 28;
   34854     break;
   34855    case 11:
   34856     $call18 = _strcasecmp($name, 154368) | 0;
   34857     $cmp19 = ($call18 | 0) == 0;
   34858     if ($cmp19) {
   34859       label = 12;
   34860       break;
   34861     } else {
   34862       label = 13;
   34863       break;
   34864     }
   34865    case 12:
   34866     $call21 = _mkFont(0, 1) | 0;
   34867     $call21_c = $call21;
   34868     HEAP32[3420] = $call21_c;
   34869     HEAP32[1032] = 282;
   34870     label = 28;
   34871     break;
   34872    case 13:
   34873     $call23 = _strcasecmp($name, 150744) | 0;
   34874     $cmp24 = ($call23 | 0) == 0;
   34875     if ($cmp24) {
   34876       label = 14;
   34877       break;
   34878     } else {
   34879       label = 15;
   34880       break;
   34881     }
   34882    case 14:
   34883     $call26 = _mkFont(0, 4) | 0;
   34884     $call26_c = $call26;
   34885     HEAP32[3420] = $call26_c;
   34886     HEAP32[1032] = 283;
   34887     label = 28;
   34888     break;
   34889    case 15:
   34890     $call28 = _strcasecmp($name, 147752) | 0;
   34891     $cmp29 = ($call28 | 0) == 0;
   34892     if ($cmp29) {
   34893       label = 16;
   34894       break;
   34895     } else {
   34896       label = 17;
   34897       break;
   34898     }
   34899    case 16:
   34900     $call31 = _mkFont(0, 2) | 0;
   34901     $call31_c = $call31;
   34902     HEAP32[3420] = $call31_c;
   34903     HEAP32[1032] = 281;
   34904     label = 28;
   34905     break;
   34906    case 17:
   34907     $call33 = _strcasecmp($name, 144984) | 0;
   34908     $cmp34 = ($call33 | 0) == 0;
   34909     if ($cmp34) {
   34910       label = 18;
   34911       break;
   34912     } else {
   34913       label = 19;
   34914       break;
   34915     }
   34916    case 18:
   34917     $call36 = _mkFont(0, 8) | 0;
   34918     $call36_c = $call36;
   34919     HEAP32[3420] = $call36_c;
   34920     HEAP32[1032] = 284;
   34921     label = 28;
   34922     break;
   34923    case 19:
   34924     $call38 = _strcasecmp($name, 141928) | 0;
   34925     $cmp39 = ($call38 | 0) == 0;
   34926     if ($cmp39) {
   34927       label = 20;
   34928       break;
   34929     } else {
   34930       label = 21;
   34931       break;
   34932     }
   34933    case 20:
   34934     $call41 = _mkFont(0, 16) | 0;
   34935     $call41_c = $call41;
   34936     HEAP32[3420] = $call41_c;
   34937     HEAP32[1032] = 285;
   34938     label = 28;
   34939     break;
   34940    case 21:
   34941     $call43 = _strcasecmp($name, 138440) | 0;
   34942     $cmp44 = ($call43 | 0) == 0;
   34943     if ($cmp44) {
   34944       label = 22;
   34945       break;
   34946     } else {
   34947       label = 23;
   34948       break;
   34949     }
   34950    case 22:
   34951     _mkBR($atts);
   34952     HEAP32[1032] = 275;
   34953     label = 28;
   34954     break;
   34955    case 23:
   34956     $call47 = _strcasecmp($name, 135696) | 0;
   34957     $cmp48 = ($call47 | 0) == 0;
   34958     if ($cmp48) {
   34959       label = 24;
   34960       break;
   34961     } else {
   34962       label = 25;
   34963       break;
   34964     }
   34965    case 24:
   34966     $call50 = _mkImg($atts) | 0;
   34967     $call50_c = $call50;
   34968     HEAP32[3420] = $call50_c;
   34969     HEAP32[1032] = 277;
   34970     label = 28;
   34971     break;
   34972    case 25:
   34973     $call52 = _strcasecmp($name, 161368) | 0;
   34974     $cmp53 = ($call52 | 0) == 0;
   34975     if ($cmp53) {
   34976       label = 26;
   34977       break;
   34978     } else {
   34979       label = 27;
   34980       break;
   34981     }
   34982    case 26:
   34983     HEAP32[1032] = 262;
   34984     label = 28;
   34985     break;
   34986    case 27:
   34987     _lexerror($name);
   34988     label = 28;
   34989     break;
   34990    case 28:
   34991     return;
   34992   }
   34993 }
   34994 function _gmalloc($nbytes) {
   34995   $nbytes = $nbytes | 0;
   34996   var $cmp = 0, $call = 0, $cmp1 = 0, $0 = 0, $1 = 0, $retval_0 = 0, label = 0;
   34997   label = 2;
   34998   while (1) switch (label | 0) {
   34999    case 2:
   35000     $cmp = ($nbytes | 0) == 0;
   35001     if ($cmp) {
   35002       $retval_0 = 0;
   35003       label = 5;
   35004       break;
   35005     } else {
   35006       label = 3;
   35007       break;
   35008     }
   35009    case 3:
   35010     $call = _malloc($nbytes) | 0;
   35011     $cmp1 = ($call | 0) == 0;
   35012     if ($cmp1) {
   35013       label = 4;
   35014       break;
   35015     } else {
   35016       $retval_0 = $call;
   35017       label = 5;
   35018       break;
   35019     }
   35020    case 4:
   35021     $0 = HEAP32[_stderr >> 2] | 0;
   35022     $1 = _fwrite(117e3, 14, 1, $0 | 0) | 0;
   35023     _abort();
   35024     return 0;
   35025     return 0;
   35026    case 5:
   35027     return $retval_0 | 0;
   35028   }
   35029   return 0;
   35030 }
   35031 function _zrealloc($ptr, $size, $elt, $osize) {
   35032   $ptr = $ptr | 0;
   35033   $size = $size | 0;
   35034   $elt = $elt | 0;
   35035   $osize = $osize | 0;
   35036   var $mul = 0, $call = 0, $cmp = 0, $tobool = 0, $or_cond = 0, $0 = 0, $1 = 0, $cmp2 = 0, $mul4 = 0, $add_ptr = 0, $sub = 0, $mul5 = 0, label = 0;
   35037   label = 2;
   35038   while (1) switch (label | 0) {
   35039    case 2:
   35040     $mul = Math_imul($elt, $size);
   35041     $call = _realloc($ptr, $mul) | 0;
   35042     $cmp = ($call | 0) != 0;
   35043     $tobool = ($size | 0) == 0;
   35044     $or_cond = $cmp | $tobool;
   35045     if ($or_cond) {
   35046       label = 4;
   35047       break;
   35048     } else {
   35049       label = 3;
   35050       break;
   35051     }
   35052    case 3:
   35053     $0 = HEAP32[_stderr >> 2] | 0;
   35054     $1 = _fwrite(117e3, 14, 1, $0 | 0) | 0;
   35055     _abort();
   35056     return 0;
   35057     return 0;
   35058    case 4:
   35059     $cmp2 = $osize >>> 0 < $size >>> 0;
   35060     if ($cmp2) {
   35061       label = 5;
   35062       break;
   35063     } else {
   35064       label = 6;
   35065       break;
   35066     }
   35067    case 5:
   35068     $mul4 = Math_imul($osize, $elt);
   35069     $add_ptr = $call + $mul4 | 0;
   35070     $sub = $size - $osize | 0;
   35071     $mul5 = Math_imul($sub, $elt);
   35072     _memset($add_ptr | 0, 0, $mul5 | 0);
   35073     label = 6;
   35074     break;
   35075    case 6:
   35076     return $call | 0;
   35077   }
   35078   return 0;
   35079 }
   35080 function _grealloc($ptr, $size) {
   35081   $ptr = $ptr | 0;
   35082   $size = $size | 0;
   35083   var $call = 0, $cmp = 0, $tobool = 0, $or_cond = 0, $0 = 0, $1 = 0, label = 0;
   35084   label = 2;
   35085   while (1) switch (label | 0) {
   35086    case 2:
   35087     $call = _realloc($ptr, $size) | 0;
   35088     $cmp = ($call | 0) != 0;
   35089     $tobool = ($size | 0) == 0;
   35090     $or_cond = $cmp | $tobool;
   35091     if ($or_cond) {
   35092       label = 4;
   35093       break;
   35094     } else {
   35095       label = 3;
   35096       break;
   35097     }
   35098    case 3:
   35099     $0 = HEAP32[_stderr >> 2] | 0;
   35100     $1 = _fwrite(117e3, 14, 1, $0 | 0) | 0;
   35101     _abort();
   35102     return 0;
   35103     return 0;
   35104    case 4:
   35105     return $call | 0;
   35106   }
   35107   return 0;
   35108 }
   35109 function _endElement($user, $name) {
   35110   $user = $user | 0;
   35111   $name = $name | 0;
   35112   var $call = 0, $cmp = 0, $call1 = 0, $cmp2 = 0, $call3 = 0, $cmp4 = 0, $call7 = 0, $cmp8 = 0, $call11 = 0, $cmp12 = 0, $call15 = 0, $cmp16 = 0, $call19 = 0, $cmp20 = 0, $call23 = 0, $cmp24 = 0, $call27 = 0, $cmp28 = 0, $call31 = 0, $cmp32 = 0, $call35 = 0, $cmp36 = 0, $call39 = 0, $cmp40 = 0, $0 = 0, $cmp42 = 0, $call46 = 0, $cmp47 = 0, $1 = 0, $cmp49 = 0, label = 0;
   35113   label = 2;
   35114   while (1) switch (label | 0) {
   35115    case 2:
   35116     $call = _strcasecmp($name, 102816) | 0;
   35117     $cmp = ($call | 0) == 0;
   35118     if ($cmp) {
   35119       label = 3;
   35120       break;
   35121     } else {
   35122       label = 4;
   35123       break;
   35124     }
   35125    case 3:
   35126     HEAP32[1032] = 264;
   35127     HEAP8[4154] = 1;
   35128     label = 32;
   35129     break;
   35130    case 4:
   35131     $call1 = _strcasecmp($name, 98552) | 0;
   35132     $cmp2 = ($call1 | 0) == 0;
   35133     if ($cmp2) {
   35134       label = 6;
   35135       break;
   35136     } else {
   35137       label = 5;
   35138       break;
   35139     }
   35140    case 5:
   35141     $call3 = _strcasecmp($name, 94704) | 0;
   35142     $cmp4 = ($call3 | 0) == 0;
   35143     if ($cmp4) {
   35144       label = 6;
   35145       break;
   35146     } else {
   35147       label = 7;
   35148       break;
   35149     }
   35150    case 6:
   35151     HEAP32[1032] = 261;
   35152     label = 32;
   35153     break;
   35154    case 7:
   35155     $call7 = _strcasecmp($name, 91176) | 0;
   35156     $cmp8 = ($call7 | 0) == 0;
   35157     if ($cmp8) {
   35158       label = 8;
   35159       break;
   35160     } else {
   35161       label = 9;
   35162       break;
   35163     }
   35164    case 8:
   35165     HEAP32[1032] = 265;
   35166     HEAP8[4154] = 0;
   35167     label = 32;
   35168     break;
   35169    case 9:
   35170     $call11 = _strcasecmp($name, 161368) | 0;
   35171     $cmp12 = ($call11 | 0) == 0;
   35172     if ($cmp12) {
   35173       label = 10;
   35174       break;
   35175     } else {
   35176       label = 11;
   35177       break;
   35178     }
   35179    case 10:
   35180     HEAP32[1032] = 263;
   35181     label = 32;
   35182     break;
   35183    case 11:
   35184     $call15 = _strcasecmp($name, 157688) | 0;
   35185     $cmp16 = ($call15 | 0) == 0;
   35186     if ($cmp16) {
   35187       label = 12;
   35188       break;
   35189     } else {
   35190       label = 13;
   35191       break;
   35192     }
   35193    case 12:
   35194     HEAP32[1032] = 266;
   35195     label = 32;
   35196     break;
   35197    case 13:
   35198     $call19 = _strcasecmp($name, 154368) | 0;
   35199     $cmp20 = ($call19 | 0) == 0;
   35200     if ($cmp20) {
   35201       label = 14;
   35202       break;
   35203     } else {
   35204       label = 15;
   35205       break;
   35206     }
   35207    case 14:
   35208     HEAP32[1032] = 270;
   35209     label = 32;
   35210     break;
   35211    case 15:
   35212     $call23 = _strcasecmp($name, 150744) | 0;
   35213     $cmp24 = ($call23 | 0) == 0;
   35214     if ($cmp24) {
   35215       label = 16;
   35216       break;
   35217     } else {
   35218       label = 17;
   35219       break;
   35220     }
   35221    case 16:
   35222     HEAP32[1032] = 271;
   35223     label = 32;
   35224     break;
   35225    case 17:
   35226     $call27 = _strcasecmp($name, 147752) | 0;
   35227     $cmp28 = ($call27 | 0) == 0;
   35228     if ($cmp28) {
   35229       label = 18;
   35230       break;
   35231     } else {
   35232       label = 19;
   35233       break;
   35234     }
   35235    case 18:
   35236     HEAP32[1032] = 269;
   35237     label = 32;
   35238     break;
   35239    case 19:
   35240     $call31 = _strcasecmp($name, 144984) | 0;
   35241     $cmp32 = ($call31 | 0) == 0;
   35242     if ($cmp32) {
   35243       label = 20;
   35244       break;
   35245     } else {
   35246       label = 21;
   35247       break;
   35248     }
   35249    case 20:
   35250     HEAP32[1032] = 272;
   35251     label = 32;
   35252     break;
   35253    case 21:
   35254     $call35 = _strcasecmp($name, 141928) | 0;
   35255     $cmp36 = ($call35 | 0) == 0;
   35256     if ($cmp36) {
   35257       label = 22;
   35258       break;
   35259     } else {
   35260       label = 23;
   35261       break;
   35262     }
   35263    case 22:
   35264     HEAP32[1032] = 273;
   35265     label = 32;
   35266     break;
   35267    case 23:
   35268     $call39 = _strcasecmp($name, 138440) | 0;
   35269     $cmp40 = ($call39 | 0) == 0;
   35270     if ($cmp40) {
   35271       label = 24;
   35272       break;
   35273     } else {
   35274       label = 27;
   35275       break;
   35276     }
   35277    case 24:
   35278     $0 = HEAP32[1032] | 0;
   35279     $cmp42 = ($0 | 0) == 275;
   35280     if ($cmp42) {
   35281       label = 25;
   35282       break;
   35283     } else {
   35284       label = 26;
   35285       break;
   35286     }
   35287    case 25:
   35288     HEAP32[1032] = 274;
   35289     label = 32;
   35290     break;
   35291    case 26:
   35292     HEAP32[1032] = 258;
   35293     label = 32;
   35294     break;
   35295    case 27:
   35296     $call46 = _strcasecmp($name, 135696) | 0;
   35297     $cmp47 = ($call46 | 0) == 0;
   35298     if ($cmp47) {
   35299       label = 28;
   35300       break;
   35301     } else {
   35302       label = 31;
   35303       break;
   35304     }
   35305    case 28:
   35306     $1 = HEAP32[1032] | 0;
   35307     $cmp49 = ($1 | 0) == 277;
   35308     if ($cmp49) {
   35309       label = 29;
   35310       break;
   35311     } else {
   35312       label = 30;
   35313       break;
   35314     }
   35315    case 29:
   35316     HEAP32[1032] = 276;
   35317     label = 32;
   35318     break;
   35319    case 30:
   35320     HEAP32[1032] = 259;
   35321     label = 32;
   35322     break;
   35323    case 31:
   35324     _lexerror($name);
   35325     label = 32;
   35326     break;
   35327    case 32:
   35328     return;
   35329   }
   35330 }
   35331 function _characterData($user, $s, $length) {
   35332   $user = $user | 0;
   35333   $s = $s | 0;
   35334   $length = $length | 0;
   35335   var $0 = 0, $tobool = 0, $tobool14 = 0, $or_cond = 0, $s_addr_07 = 0, $cnt_06 = 0, $i_05 = 0, $incdec_ptr = 0, $1 = 0, $cmp = 0, $inc = 0, $2 = 0, $ptr = 0, $3 = 0, $eptr = 0, $4 = 0, $cmp4 = 0, $call = 0, $5 = 0, $ptr6 = 0, $6 = 0, $incdec_ptr7 = 0, $cnt_1 = 0, $dec = 0, $tobool1 = 0, $tobool9 = 0, label = 0;
   35336   label = 2;
   35337   while (1) switch (label | 0) {
   35338    case 2:
   35339     $0 = HEAP8[4154] | 0;
   35340     $tobool = $0 << 24 >> 24 == 0;
   35341     $tobool14 = ($length | 0) == 0;
   35342     $or_cond = $tobool | $tobool14;
   35343     if ($or_cond) {
   35344       label = 10;
   35345       break;
   35346     } else {
   35347       $i_05 = $length;
   35348       $cnt_06 = 0;
   35349       $s_addr_07 = $s;
   35350       label = 3;
   35351       break;
   35352     }
   35353    case 3:
   35354     $incdec_ptr = $s_addr_07 + 1 | 0;
   35355     $1 = HEAP8[$s_addr_07] | 0;
   35356     $cmp = ($1 & 255) > 31;
   35357     if ($cmp) {
   35358       label = 4;
   35359       break;
   35360     } else {
   35361       $cnt_1 = $cnt_06;
   35362       label = 7;
   35363       break;
   35364     }
   35365    case 4:
   35366     $inc = $cnt_06 + 1 | 0;
   35367     $2 = HEAP32[1033] | 0;
   35368     $ptr = $2 + 4 | 0;
   35369     $3 = HEAP32[$ptr >> 2] | 0;
   35370     $eptr = $2 + 8 | 0;
   35371     $4 = HEAP32[$eptr >> 2] | 0;
   35372     $cmp4 = $3 >>> 0 < $4 >>> 0;
   35373     if ($cmp4) {
   35374       label = 6;
   35375       break;
   35376     } else {
   35377       label = 5;
   35378       break;
   35379     }
   35380    case 5:
   35381     $call = _agxbmore($2, 1) | 0;
   35382     label = 6;
   35383     break;
   35384    case 6:
   35385     $5 = HEAP32[1033] | 0;
   35386     $ptr6 = $5 + 4 | 0;
   35387     $6 = HEAP32[$ptr6 >> 2] | 0;
   35388     $incdec_ptr7 = $6 + 1 | 0;
   35389     HEAP32[$ptr6 >> 2] = $incdec_ptr7;
   35390     HEAP8[$6] = $1;
   35391     $cnt_1 = $inc;
   35392     label = 7;
   35393     break;
   35394    case 7:
   35395     $dec = $i_05 - 1 | 0;
   35396     $tobool1 = ($dec | 0) == 0;
   35397     if ($tobool1) {
   35398       label = 8;
   35399       break;
   35400     } else {
   35401       $i_05 = $dec;
   35402       $cnt_06 = $cnt_1;
   35403       $s_addr_07 = $incdec_ptr;
   35404       label = 3;
   35405       break;
   35406     }
   35407    case 8:
   35408     $tobool9 = ($cnt_1 | 0) == 0;
   35409     if ($tobool9) {
   35410       label = 10;
   35411       break;
   35412     } else {
   35413       label = 9;
   35414       break;
   35415     }
   35416    case 9:
   35417     HEAP32[1032] = 267;
   35418     label = 10;
   35419     break;
   35420    case 10:
   35421     return;
   35422   }
   35423 }
   35424 function _clearHTMLlexer() {
   35425   var $or = 0;
   35426   $or = (HEAP8[4153] | HEAP8[4152]) << 24 >> 24;
   35427   _XML_ParserFree(HEAP32[1030] | 0);
   35428   _agxbfree(4136);
   35429   return $or | 0;
   35430 }
   35431 function _htmllex() {
   35432   var $endp_0 = 0, $0 = 0, $1 = 0, $2 = 0, $cmp7 = 0, $call12 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $s_0 = 0, $endp_1 = 0, $len_0 = 0, $3 = 0, $4 = 0, $5 = 0, $6 = 0, $sub_ptr_lhs_cast15 = 0, $sub_ptr_rhs_cast16 = 0, $sub_ptr_sub17 = 0, $tobool = 0, $7 = 0, $8 = 0, $cmp19 = 0, $call21 = 0, $9 = 0, $10 = 0, $call22 = 0, $not_tobool24 = 0, $cond25 = 0, $call26 = 0, $rv_0 = 0, $cmp28 = 0, $11 = 0, $tobool31 = 0, $or_cond = 0, $12 = 0, $call33 = 0, $call34 = 0, $call35 = 0, $call36 = 0, $tobool39 = 0, $13 = 0, $cmp42 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   35433   __stackBase__ = STACKTOP;
   35434   label = 2;
   35435   while (1) switch (label | 0) {
   35436    case 2:
   35437     HEAP32[1032] = 0;
   35438     $endp_0 = 0;
   35439     label = 3;
   35440     break;
   35441    case 3:
   35442     $0 = HEAP8[4155] | 0;
   35443     if (($0 << 24 >> 24 | 0) == 0) {
   35444       label = 4;
   35445       break;
   35446     } else if (($0 << 24 >> 24 | 0) == 2) {
   35447       $retval_0 = -1;
   35448       label = 18;
   35449       break;
   35450     } else {
   35451       label = 5;
   35452       break;
   35453     }
   35454    case 4:
   35455     HEAP8[4155] = 1;
   35456     $len_0 = 6;
   35457     $endp_1 = 0;
   35458     $s_0 = 145800;
   35459     label = 8;
   35460     break;
   35461    case 5:
   35462     $1 = HEAP32[1031] | 0;
   35463     $2 = HEAP8[$1] | 0;
   35464     $cmp7 = $2 << 24 >> 24 == 0;
   35465     if ($cmp7) {
   35466       label = 6;
   35467       break;
   35468     } else {
   35469       label = 7;
   35470       break;
   35471     }
   35472    case 6:
   35473     HEAP8[4155] = 2;
   35474     $len_0 = 7;
   35475     $endp_1 = $endp_0;
   35476     $s_0 = 121800;
   35477     label = 8;
   35478     break;
   35479    case 7:
   35480     $call12 = _findNext($1) | 0;
   35481     $sub_ptr_lhs_cast = $call12;
   35482     $sub_ptr_rhs_cast = $1;
   35483     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   35484     $len_0 = $sub_ptr_sub;
   35485     $endp_1 = $call12;
   35486     $s_0 = $1;
   35487     label = 8;
   35488     break;
   35489    case 8:
   35490     $3 = HEAP32[1039] | 0;
   35491     HEAP32[1040] = $3;
   35492     $4 = HEAP32[1041] | 0;
   35493     HEAP32[1042] = $4;
   35494     HEAP32[1039] = $s_0;
   35495     HEAP32[1041] = $len_0;
   35496     $5 = HEAP32[1035] | 0;
   35497     $6 = HEAP32[1034] | 0;
   35498     $sub_ptr_lhs_cast15 = $5;
   35499     $sub_ptr_rhs_cast16 = $6;
   35500     $sub_ptr_sub17 = $sub_ptr_lhs_cast15 - $sub_ptr_rhs_cast16 | 0;
   35501     $tobool = ($5 | 0) == ($6 | 0);
   35502     $7 = HEAP32[1030] | 0;
   35503     if ($tobool) {
   35504       label = 12;
   35505       break;
   35506     } else {
   35507       label = 9;
   35508       break;
   35509     }
   35510    case 9:
   35511     $8 = HEAP32[1036] | 0;
   35512     $cmp19 = $5 >>> 0 < $8 >>> 0;
   35513     if ($cmp19) {
   35514       label = 11;
   35515       break;
   35516     } else {
   35517       label = 10;
   35518       break;
   35519     }
   35520    case 10:
   35521     $call21 = _agxbmore(4136, 1) | 0;
   35522     label = 11;
   35523     break;
   35524    case 11:
   35525     $9 = HEAP32[1035] | 0;
   35526     HEAP8[$9] = 0;
   35527     $10 = HEAP32[1034] | 0;
   35528     HEAP32[1035] = $10;
   35529     $call22 = _XML_Parse($7, $10, $sub_ptr_sub17, 0) | 0;
   35530     $rv_0 = $call22;
   35531     label = 13;
   35532     break;
   35533    case 12:
   35534     $not_tobool24 = ($len_0 | 0) == 0;
   35535     $cond25 = $not_tobool24 & 1;
   35536     $call26 = _XML_Parse($7, $s_0, $len_0, $cond25) | 0;
   35537     $rv_0 = $call26;
   35538     label = 13;
   35539     break;
   35540    case 13:
   35541     $cmp28 = ($rv_0 | 0) != 0;
   35542     $11 = HEAP8[4153] | 0;
   35543     $tobool31 = $11 << 24 >> 24 != 0;
   35544     $or_cond = $cmp28 | $tobool31;
   35545     if ($or_cond) {
   35546       label = 15;
   35547       break;
   35548     } else {
   35549       label = 14;
   35550       break;
   35551     }
   35552    case 14:
   35553     $12 = HEAP32[1030] | 0;
   35554     $call33 = _XML_GetErrorCode($12) | 0;
   35555     $call34 = _XML_ErrorString($call33) | 0;
   35556     $call35 = _htmllineno() | 0;
   35557     $call36 = _agerr(1, 107816, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $call34, HEAP32[tempInt + 8 >> 2] = $call35, tempInt) | 0) | 0;
   35558     _error_context();
   35559     HEAP8[4153] = 1;
   35560     HEAP32[1032] = 268;
   35561     label = 15;
   35562     break;
   35563    case 15:
   35564     $tobool39 = ($endp_1 | 0) == 0;
   35565     if ($tobool39) {
   35566       label = 17;
   35567       break;
   35568     } else {
   35569       label = 16;
   35570       break;
   35571     }
   35572    case 16:
   35573     HEAP32[1031] = $endp_1;
   35574     label = 17;
   35575     break;
   35576    case 17:
   35577     $13 = HEAP32[1032] | 0;
   35578     $cmp42 = ($13 | 0) == 0;
   35579     if ($cmp42) {
   35580       $endp_0 = $endp_1;
   35581       label = 3;
   35582       break;
   35583     } else {
   35584       $retval_0 = $13;
   35585       label = 18;
   35586       break;
   35587     }
   35588    case 18:
   35589     STACKTOP = __stackBase__;
   35590     return $retval_0 | 0;
   35591   }
   35592   return 0;
   35593 }
   35594 function _findNext($s) {
   35595   $s = $s | 0;
   35596   var $add_ptr = 0, $0 = 0, $cmp = 0, $1 = 0, $cmp3 = 0, $add_ptr5 = 0, $call = 0, $tobool = 0, $add_ptr7 = 0, $call8 = 0, $t_0 = 0, $2 = 0, $incdec_ptr = 0, $t_1 = 0, $3 = 0, $cmp15 = 0, $call18 = 0, $incdec_ptr20 = 0, $t_2 = 0, $4 = 0, $add_ptr36 = 0, $5 = 0, $cmp38 = 0, $call42 = 0, $6 = 0, $7 = 0, $cmp44 = 0, $call46 = 0, $8 = 0, $incdec_ptr48 = 0, $incdec_ptr50 = 0, $t_3 = 0, label = 0, __stackBase__ = 0;
   35597   __stackBase__ = STACKTOP;
   35598   label = 2;
   35599   while (1) switch (label | 0) {
   35600    case 2:
   35601     $add_ptr = $s + 1 | 0;
   35602     $0 = HEAP8[$s] | 0;
   35603     $cmp = $0 << 24 >> 24 == 60;
   35604     if ($cmp) {
   35605       label = 3;
   35606       break;
   35607     } else {
   35608       $t_2 = $s;
   35609       label = 11;
   35610       break;
   35611     }
   35612    case 3:
   35613     $1 = HEAP8[$add_ptr] | 0;
   35614     $cmp3 = $1 << 24 >> 24 == 33;
   35615     if ($cmp3) {
   35616       label = 4;
   35617       break;
   35618     } else {
   35619       $t_0 = $add_ptr;
   35620       label = 6;
   35621       break;
   35622     }
   35623    case 4:
   35624     $add_ptr5 = $s + 2 | 0;
   35625     $call = _strncmp($add_ptr5 | 0, 115928, 2) | 0;
   35626     $tobool = ($call | 0) == 0;
   35627     if ($tobool) {
   35628       label = 5;
   35629       break;
   35630     } else {
   35631       $t_0 = $add_ptr;
   35632       label = 6;
   35633       break;
   35634     }
   35635    case 5:
   35636     $add_ptr7 = $s + 4 | 0;
   35637     $call8 = _eatComment($add_ptr7) | 0;
   35638     $t_1 = $call8;
   35639     label = 8;
   35640     break;
   35641    case 6:
   35642     $2 = HEAP8[$t_0] | 0;
   35643     if (($2 << 24 >> 24 | 0) == 0 | ($2 << 24 >> 24 | 0) == 62) {
   35644       $t_1 = $t_0;
   35645       label = 8;
   35646       break;
   35647     } else {
   35648       label = 7;
   35649       break;
   35650     }
   35651    case 7:
   35652     $incdec_ptr = $t_0 + 1 | 0;
   35653     $t_0 = $incdec_ptr;
   35654     label = 6;
   35655     break;
   35656    case 8:
   35657     $3 = HEAP8[$t_1] | 0;
   35658     $cmp15 = $3 << 24 >> 24 == 62;
   35659     if ($cmp15) {
   35660       label = 10;
   35661       break;
   35662     } else {
   35663       label = 9;
   35664       break;
   35665     }
   35666    case 9:
   35667     $call18 = _agerr(0, 110904, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0) | 0;
   35668     HEAP8[4152] = 1;
   35669     $t_3 = $t_1;
   35670     label = 17;
   35671     break;
   35672    case 10:
   35673     $incdec_ptr20 = $t_1 + 1 | 0;
   35674     $t_3 = $incdec_ptr20;
   35675     label = 17;
   35676     break;
   35677    case 11:
   35678     $4 = HEAP8[$t_2] | 0;
   35679     if (($4 << 24 >> 24 | 0) == 38) {
   35680       label = 12;
   35681       break;
   35682     } else if (($4 << 24 >> 24 | 0) == 0 | ($4 << 24 >> 24 | 0) == 60) {
   35683       $t_3 = $t_2;
   35684       label = 17;
   35685       break;
   35686     } else {
   35687       label = 14;
   35688       break;
   35689     }
   35690    case 12:
   35691     $add_ptr36 = $t_2 + 1 | 0;
   35692     $5 = HEAP8[$add_ptr36] | 0;
   35693     $cmp38 = $5 << 24 >> 24 == 35;
   35694     if ($cmp38) {
   35695       label = 14;
   35696       break;
   35697     } else {
   35698       label = 13;
   35699       break;
   35700     }
   35701    case 13:
   35702     $call42 = _scanEntity($add_ptr36, 4136) | 0;
   35703     $t_2 = $call42;
   35704     label = 11;
   35705     break;
   35706    case 14:
   35707     $6 = HEAP32[1035] | 0;
   35708     $7 = HEAP32[1036] | 0;
   35709     $cmp44 = $6 >>> 0 < $7 >>> 0;
   35710     if ($cmp44) {
   35711       label = 16;
   35712       break;
   35713     } else {
   35714       label = 15;
   35715       break;
   35716     }
   35717    case 15:
   35718     $call46 = _agxbmore(4136, 1) | 0;
   35719     label = 16;
   35720     break;
   35721    case 16:
   35722     $8 = HEAP32[1035] | 0;
   35723     $incdec_ptr48 = $8 + 1 | 0;
   35724     HEAP32[1035] = $incdec_ptr48;
   35725     HEAP8[$8] = $4;
   35726     $incdec_ptr50 = $t_2 + 1 | 0;
   35727     $t_2 = $incdec_ptr50;
   35728     label = 11;
   35729     break;
   35730    case 17:
   35731     STACKTOP = __stackBase__;
   35732     return $t_3 | 0;
   35733   }
   35734   return 0;
   35735 }
   35736 function _eatComment($p) {
   35737   $p = $p | 0;
   35738   var $s_0_ph = 0, $depth_0_ph = 0, $tobool = 0, $s_0 = 0, $incdec_ptr = 0, $0 = 0, $inc = 0, $dec = 0, $s_1 = 0, $incdec_ptr9 = 0, $1 = 0, $tobool10 = 0, $add_ptr = 0, $cmp12 = 0, $call = 0, $tobool14 = 0, $call16 = 0, label = 0, __stackBase__ = 0;
   35739   __stackBase__ = STACKTOP;
   35740   label = 2;
   35741   while (1) switch (label | 0) {
   35742    case 2:
   35743     $depth_0_ph = 1;
   35744     $s_0_ph = $p;
   35745     label = 3;
   35746     break;
   35747    case 3:
   35748     $tobool = ($depth_0_ph | 0) == 0;
   35749     $s_0 = $s_0_ph;
   35750     label = 4;
   35751     break;
   35752    case 4:
   35753     if ($tobool) {
   35754       $s_1 = $s_0;
   35755       label = 8;
   35756       break;
   35757     } else {
   35758       label = 5;
   35759       break;
   35760     }
   35761    case 5:
   35762     $incdec_ptr = $s_0 + 1 | 0;
   35763     $0 = HEAP8[$s_0] | 0;
   35764     if (($0 << 24 >> 24 | 0) == 60) {
   35765       label = 6;
   35766       break;
   35767     } else if (($0 << 24 >> 24 | 0) == 62) {
   35768       label = 7;
   35769       break;
   35770     } else if (($0 << 24 >> 24 | 0) == 0) {
   35771       $s_1 = $incdec_ptr;
   35772       label = 8;
   35773       break;
   35774     } else {
   35775       $s_0 = $incdec_ptr;
   35776       label = 4;
   35777       break;
   35778     }
   35779    case 6:
   35780     $inc = $depth_0_ph + 1 | 0;
   35781     $depth_0_ph = $inc;
   35782     $s_0_ph = $incdec_ptr;
   35783     label = 3;
   35784     break;
   35785    case 7:
   35786     $dec = $depth_0_ph - 1 | 0;
   35787     $depth_0_ph = $dec;
   35788     $s_0_ph = $incdec_ptr;
   35789     label = 3;
   35790     break;
   35791    case 8:
   35792     $incdec_ptr9 = $s_1 - 1 | 0;
   35793     $1 = HEAP8[$incdec_ptr9] | 0;
   35794     $tobool10 = $1 << 24 >> 24 == 0;
   35795     if ($tobool10) {
   35796       label = 12;
   35797       break;
   35798     } else {
   35799       label = 9;
   35800       break;
   35801     }
   35802    case 9:
   35803     $add_ptr = $s_1 - 3 | 0;
   35804     $cmp12 = $add_ptr >>> 0 < $p >>> 0;
   35805     if ($cmp12) {
   35806       label = 11;
   35807       break;
   35808     } else {
   35809       label = 10;
   35810       break;
   35811     }
   35812    case 10:
   35813     $call = _strncmp($add_ptr | 0, 115928, 2) | 0;
   35814     $tobool14 = ($call | 0) == 0;
   35815     if ($tobool14) {
   35816       label = 12;
   35817       break;
   35818     } else {
   35819       label = 11;
   35820       break;
   35821     }
   35822    case 11:
   35823     $call16 = _agerr(0, 106736, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0) | 0;
   35824     HEAP8[4152] = 1;
   35825     label = 12;
   35826     break;
   35827    case 12:
   35828     STACKTOP = __stackBase__;
   35829     return $incdec_ptr9 | 0;
   35830   }
   35831   return 0;
   35832 }
   35833 function _lexerror($name) {
   35834   $name = $name | 0;
   35835   var $call = 0, __stackBase__ = 0;
   35836   __stackBase__ = STACKTOP;
   35837   HEAP32[1032] = 268;
   35838   HEAP8[4153] = 1;
   35839   $call = _htmllineno() | 0;
   35840   _agerr(1, 133232, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $name, HEAP32[tempInt + 8 >> 2] = $call, tempInt) | 0);
   35841   STACKTOP = __stackBase__;
   35842   return;
   35843 }
   35844 function _mkTbl($atts) {
   35845   $atts = $atts | 0;
   35846   var $call = 0;
   35847   $call = _zmalloc(112) | 0;
   35848   HEAP32[$call + 92 >> 2] = -1;
   35849   HEAP8[$call + 80 | 0] = -1;
   35850   _doAttrs($call, 3160, 18, $atts, 98456);
   35851   return $call | 0;
   35852 }
   35853 function _mkCell($atts) {
   35854   $atts = $atts | 0;
   35855   var $call = 0;
   35856   $call = _zmalloc(96) | 0;
   35857   HEAP16[$call + 72 >> 1] = 1;
   35858   HEAP16[$call + 74 >> 1] = 1;
   35859   _doAttrs($call, 53208, 19, $atts, 114152);
   35860   return $call | 0;
   35861 }
   35862 function _mkFont($atts, $flags) {
   35863   $atts = $atts | 0;
   35864   $flags = $flags | 0;
   35865   var $call = 0, $0 = 0, $size = 0, $1 = 0, $bf_value = 0, $bf_field_offs = 0, $2 = 0, $3 = 0, $4 = 0, $5 = 0, $tobool = 0, label = 0;
   35866   label = 2;
   35867   while (1) switch (label | 0) {
   35868    case 2:
   35869     $call = _zmalloc(24) | 0;
   35870     $0 = $call;
   35871     $size = $call + 16 | 0;
   35872     $1 = $size;
   35873     HEAPF64[$1 >> 3] = -1.0;
   35874     $bf_value = $flags & 127;
   35875     $bf_field_offs = $call + 8 | 0;
   35876     $2 = $bf_field_offs;
   35877     $3 = HEAP32[$2 >> 2] | 0;
   35878     $4 = $3 & -128;
   35879     $5 = $4 | $bf_value;
   35880     HEAP32[$2 >> 2] = $5;
   35881     $tobool = ($atts | 0) == 0;
   35882     if ($tobool) {
   35883       label = 4;
   35884       break;
   35885     } else {
   35886       label = 3;
   35887       break;
   35888     }
   35889    case 3:
   35890     _doAttrs($call, 16416, 3, $atts, 118616);
   35891     label = 4;
   35892     break;
   35893    case 4:
   35894     return $0 | 0;
   35895   }
   35896   return 0;
   35897 }
   35898 function _mkBR($atts) {
   35899   $atts = $atts | 0;
   35900   HEAP32[3420] = 0;
   35901   _doAttrs(13680, 53416, 1, $atts, 122712);
   35902   return;
   35903 }
   35904 function _mkImg($atts) {
   35905   $atts = $atts | 0;
   35906   var $call = 0;
   35907   $call = _zmalloc(40) | 0;
   35908   _doAttrs($call, 12824, 2, $atts, 131256);
   35909   return $call | 0;
   35910 }
   35911 function _doAttrs($tp, $items, $nel, $atts, $s) {
   35912   $tp = $tp | 0;
   35913   $items = $items | 0;
   35914   $nel = $nel | 0;
   35915   $atts = $atts | 0;
   35916   $s = $s | 0;
   35917   var $key = 0, $0 = 0, $cmp6 = 0, $name2 = 0, $1 = 0, $2 = 0, $3 = 0, $atts_addr_07 = 0, $incdec_ptr = 0, $incdec_ptr1 = 0, $4 = 0, $call = 0, $tobool = 0, $action = 0, $5 = 0, $6 = 0, $call3 = 0, $7 = 0, $conv5 = 0, $or = 0, $conv4 = 0, $call5 = 0, $storemerge = 0, $8 = 0, $cmp = 0, label = 0, __stackBase__ = 0;
   35918   __stackBase__ = STACKTOP;
   35919   STACKTOP = STACKTOP + 8 | 0;
   35920   label = 2;
   35921   while (1) switch (label | 0) {
   35922    case 2:
   35923     $key = __stackBase__ | 0;
   35924     $0 = HEAP32[$atts >> 2] | 0;
   35925     $cmp6 = ($0 | 0) == 0;
   35926     if ($cmp6) {
   35927       label = 8;
   35928       break;
   35929     } else {
   35930       label = 3;
   35931       break;
   35932     }
   35933    case 3:
   35934     $name2 = $key | 0;
   35935     $1 = $key;
   35936     $2 = $items;
   35937     $atts_addr_07 = $atts;
   35938     $3 = $0;
   35939     label = 4;
   35940     break;
   35941    case 4:
   35942     $incdec_ptr = $atts_addr_07 + 4 | 0;
   35943     $incdec_ptr1 = $atts_addr_07 + 8 | 0;
   35944     $4 = HEAP32[$incdec_ptr >> 2] | 0;
   35945     HEAP32[$name2 >> 2] = $3;
   35946     $call = _bsearch($1 | 0, $2 | 0, $nel | 0, 8, 4) | 0;
   35947     $tobool = ($call | 0) == 0;
   35948     if ($tobool) {
   35949       label = 6;
   35950       break;
   35951     } else {
   35952       label = 5;
   35953       break;
   35954     }
   35955    case 5:
   35956     $action = $call + 4 | 0;
   35957     $5 = $action;
   35958     $6 = HEAP32[$5 >> 2] | 0;
   35959     $call3 = FUNCTION_TABLE_iii[$6 & 1023]($tp, $4) | 0;
   35960     $7 = HEAP8[4152] | 0;
   35961     $conv5 = $7 & 255;
   35962     $or = $conv5 | $call3;
   35963     $conv4 = $or & 255;
   35964     $storemerge = $conv4;
   35965     label = 7;
   35966     break;
   35967    case 6:
   35968     $call5 = _agerr(0, 124648, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $3, HEAP32[tempInt + 8 >> 2] = $s, tempInt) | 0) | 0;
   35969     $storemerge = 1;
   35970     label = 7;
   35971     break;
   35972    case 7:
   35973     HEAP8[4152] = $storemerge;
   35974     $8 = HEAP32[$incdec_ptr1 >> 2] | 0;
   35975     $cmp = ($8 | 0) == 0;
   35976     if ($cmp) {
   35977       label = 8;
   35978       break;
   35979     } else {
   35980       $atts_addr_07 = $incdec_ptr1;
   35981       $3 = $8;
   35982       label = 4;
   35983       break;
   35984     }
   35985    case 8:
   35986     STACKTOP = __stackBase__;
   35987     return;
   35988   }
   35989 }
   35990 function _scalefn($p, $v) {
   35991   $p = $p | 0;
   35992   $v = $v | 0;
   35993   HEAP32[$p + 36 >> 2] = _strdup($v | 0) | 0;
   35994   return 0;
   35995 }
   35996 function _srcfn($p, $v) {
   35997   $p = $p | 0;
   35998   $v = $v | 0;
   35999   HEAP32[$p + 32 >> 2] = _strdup($v | 0) | 0;
   36000   return 0;
   36001 }
   36002 function _icmp($i, $j) {
   36003   $i = $i | 0;
   36004   $j = $j | 0;
   36005   return _strcasecmp(HEAP32[$i >> 2] | 0, HEAP32[$j >> 2] | 0) | 0;
   36006 }
   36007 function _alignfn($p, $v) {
   36008   $p = $p | 0;
   36009   $v = $v | 0;
   36010   var $0 = 0, $conv = 0, $call = 0, $sext = 0, $conv2 = 0, $add_ptr = 0, $call4 = 0, $tobool = 0, $add_ptr8 = 0, $call9 = 0, $tobool10 = 0, $cmp14 = 0, $call18 = 0, $tobool19 = 0, $call22 = 0, $rv_0 = 0, label = 0, __stackBase__ = 0;
   36011   __stackBase__ = STACKTOP;
   36012   label = 2;
   36013   while (1) switch (label | 0) {
   36014    case 2:
   36015     $0 = HEAP8[$v] | 0;
   36016     $conv = $0 << 24 >> 24;
   36017     $call = _toupper($conv | 0) | 0;
   36018     $sext = $call << 24;
   36019     $conv2 = $sext >> 24;
   36020     if (($conv2 | 0) == 82) {
   36021       label = 3;
   36022       break;
   36023     } else if (($conv2 | 0) == 76) {
   36024       label = 6;
   36025       break;
   36026     } else {
   36027       label = 5;
   36028       break;
   36029     }
   36030    case 3:
   36031     $add_ptr = $v + 1 | 0;
   36032     $call4 = _strcasecmp($add_ptr, 121e3) | 0;
   36033     $tobool = ($call4 | 0) == 0;
   36034     if ($tobool) {
   36035       label = 4;
   36036       break;
   36037     } else {
   36038       label = 5;
   36039       break;
   36040     }
   36041    case 4:
   36042     HEAP32[$p >> 2] = 114;
   36043     $rv_0 = 0;
   36044     label = 11;
   36045     break;
   36046    case 5:
   36047     $add_ptr8 = $v + 1 | 0;
   36048     $call9 = _strcasecmp($add_ptr8, 120248) | 0;
   36049     $tobool10 = ($call9 | 0) == 0;
   36050     if ($tobool10) {
   36051       label = 6;
   36052       break;
   36053     } else {
   36054       label = 7;
   36055       break;
   36056     }
   36057    case 6:
   36058     HEAP32[$p >> 2] = 108;
   36059     $rv_0 = 0;
   36060     label = 11;
   36061     break;
   36062    case 7:
   36063     $cmp14 = ($conv2 | 0) == 67;
   36064     if ($cmp14) {
   36065       label = 9;
   36066       break;
   36067     } else {
   36068       label = 8;
   36069       break;
   36070     }
   36071    case 8:
   36072     $call18 = _strcasecmp($add_ptr8, 119648) | 0;
   36073     $tobool19 = ($call18 | 0) == 0;
   36074     if ($tobool19) {
   36075       label = 10;
   36076       break;
   36077     } else {
   36078       label = 9;
   36079       break;
   36080     }
   36081    case 9:
   36082     HEAP32[$p >> 2] = 110;
   36083     $rv_0 = 0;
   36084     label = 11;
   36085     break;
   36086    case 10:
   36087     $call22 = _agerr(0, 119080, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $v, tempInt) | 0) | 0;
   36088     $rv_0 = 1;
   36089     label = 11;
   36090     break;
   36091    case 11:
   36092     STACKTOP = __stackBase__;
   36093     return $rv_0 | 0;
   36094   }
   36095   return 0;
   36096 }
   36097 function _fontcolorfn($p, $v) {
   36098   $p = $p | 0;
   36099   $v = $v | 0;
   36100   HEAP32[$p + 4 >> 2] = _strdup($v | 0) | 0;
   36101   return 0;
   36102 }
   36103 function _facefn($p, $v) {
   36104   $p = $p | 0;
   36105   $v = $v | 0;
   36106   HEAP32[$p >> 2] = _strdup($v | 0) | 0;
   36107   return 0;
   36108 }
   36109 function _ptsizefn($p, $v) {
   36110   $p = $p | 0;
   36111   $v = $v | 0;
   36112   var $u = 0, $call = 0, $tobool = 0, $0 = 0, $conv = 0.0, $size = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   36113   __stackBase__ = STACKTOP;
   36114   STACKTOP = STACKTOP + 8 | 0;
   36115   label = 2;
   36116   while (1) switch (label | 0) {
   36117    case 2:
   36118     $u = __stackBase__ | 0;
   36119     $call = _doInt($v, 116296, 0, 255, $u) | 0;
   36120     $tobool = ($call | 0) == 0;
   36121     if ($tobool) {
   36122       label = 3;
   36123       break;
   36124     } else {
   36125       $retval_0 = 1;
   36126       label = 4;
   36127       break;
   36128     }
   36129    case 3:
   36130     $0 = HEAP32[$u >> 2] | 0;
   36131     $conv = +($0 | 0);
   36132     $size = $p + 16 | 0;
   36133     HEAPF64[$size >> 3] = $conv;
   36134     $retval_0 = 0;
   36135     label = 4;
   36136     break;
   36137    case 4:
   36138     STACKTOP = __stackBase__;
   36139     return $retval_0 | 0;
   36140   }
   36141   return 0;
   36142 }
   36143 function _doInt($v, $s, $min, $max, $ul) {
   36144   $v = $v | 0;
   36145   $s = $s | 0;
   36146   $min = $min | 0;
   36147   $max = $max | 0;
   36148   $ul = $ul | 0;
   36149   var $ep = 0, $call = 0, $0 = 0, $cmp = 0, $call1 = 0, $cmp2 = 0, $call4 = 0, $cmp6 = 0, $call8 = 0, $rv_0 = 0, label = 0, __stackBase__ = 0;
   36150   __stackBase__ = STACKTOP;
   36151   STACKTOP = STACKTOP + 8 | 0;
   36152   label = 2;
   36153   while (1) switch (label | 0) {
   36154    case 2:
   36155     $ep = __stackBase__ | 0;
   36156     $call = _strtol($v | 0, $ep | 0, 10) | 0;
   36157     $0 = HEAP32[$ep >> 2] | 0;
   36158     $cmp = ($0 | 0) == ($v | 0);
   36159     if ($cmp) {
   36160       label = 3;
   36161       break;
   36162     } else {
   36163       label = 4;
   36164       break;
   36165     }
   36166    case 3:
   36167     $call1 = _agerr(0, 115776, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $s, HEAP32[tempInt + 8 >> 2] = $v, tempInt) | 0) | 0;
   36168     $rv_0 = 1;
   36169     label = 9;
   36170     break;
   36171    case 4:
   36172     $cmp2 = ($call | 0) > ($max | 0);
   36173     if ($cmp2) {
   36174       label = 5;
   36175       break;
   36176     } else {
   36177       label = 6;
   36178       break;
   36179     }
   36180    case 5:
   36181     $call4 = _agerr(0, 115304, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = $s, HEAP32[tempInt + 8 >> 2] = $v, HEAP32[tempInt + 16 >> 2] = $max, tempInt) | 0) | 0;
   36182     $rv_0 = 1;
   36183     label = 9;
   36184     break;
   36185    case 6:
   36186     $cmp6 = ($call | 0) < ($min | 0);
   36187     if ($cmp6) {
   36188       label = 7;
   36189       break;
   36190     } else {
   36191       label = 8;
   36192       break;
   36193     }
   36194    case 7:
   36195     $call8 = _agerr(0, 114752, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = $s, HEAP32[tempInt + 8 >> 2] = $v, HEAP32[tempInt + 16 >> 2] = $min, tempInt) | 0) | 0;
   36196     $rv_0 = 1;
   36197     label = 9;
   36198     break;
   36199    case 8:
   36200     HEAP32[$ul >> 2] = $call;
   36201     $rv_0 = 0;
   36202     label = 9;
   36203     break;
   36204    case 9:
   36205     STACKTOP = __stackBase__;
   36206     return $rv_0 | 0;
   36207   }
   36208   return 0;
   36209 }
   36210 function _cell_halignfn($p, $v) {
   36211   $p = $p | 0;
   36212   $v = $v | 0;
   36213   var $0 = 0, $conv = 0, $call = 0, $sext = 0, $conv2 = 0, $add_ptr = 0, $call4 = 0, $tobool = 0, $flags = 0, $1 = 0, $or = 0, $add_ptr11 = 0, $call12 = 0, $tobool13 = 0, $flags15 = 0, $2 = 0, $or17 = 0, $add_ptr24 = 0, $call25 = 0, $tobool26 = 0, $flags28 = 0, $3 = 0, $or30 = 0, $add_ptr36 = 0, $call37 = 0, $tobool38 = 0, $call45 = 0, $rv_013 = 0, label = 0, __stackBase__ = 0;
   36214   __stackBase__ = STACKTOP;
   36215   label = 2;
   36216   while (1) switch (label | 0) {
   36217    case 2:
   36218     $0 = HEAP8[$v] | 0;
   36219     $conv = $0 << 24 >> 24;
   36220     $call = _toupper($conv | 0) | 0;
   36221     $sext = $call << 24;
   36222     $conv2 = $sext >> 24;
   36223     if (($conv2 | 0) == 76) {
   36224       label = 3;
   36225       break;
   36226     } else if (($conv2 | 0) == 82) {
   36227       label = 5;
   36228       break;
   36229     } else if (($conv2 | 0) == 84) {
   36230       label = 7;
   36231       break;
   36232     } else if (($conv2 | 0) == 67) {
   36233       label = 9;
   36234       break;
   36235     } else {
   36236       label = 10;
   36237       break;
   36238     }
   36239    case 3:
   36240     $add_ptr = $v + 1 | 0;
   36241     $call4 = _strcasecmp($add_ptr, 120248) | 0;
   36242     $tobool = ($call4 | 0) == 0;
   36243     if ($tobool) {
   36244       label = 4;
   36245       break;
   36246     } else {
   36247       label = 10;
   36248       break;
   36249     }
   36250    case 4:
   36251     $flags = $p + 32 | 0;
   36252     $1 = HEAP16[$flags >> 1] | 0;
   36253     $or = $1 | 4;
   36254     HEAP16[$flags >> 1] = $or;
   36255     $rv_013 = 0;
   36256     label = 11;
   36257     break;
   36258    case 5:
   36259     $add_ptr11 = $v + 1 | 0;
   36260     $call12 = _strcasecmp($add_ptr11, 121e3) | 0;
   36261     $tobool13 = ($call12 | 0) == 0;
   36262     if ($tobool13) {
   36263       label = 6;
   36264       break;
   36265     } else {
   36266       label = 10;
   36267       break;
   36268     }
   36269    case 6:
   36270     $flags15 = $p + 32 | 0;
   36271     $2 = HEAP16[$flags15 >> 1] | 0;
   36272     $or17 = $2 | 2;
   36273     HEAP16[$flags15 >> 1] = $or17;
   36274     $rv_013 = 0;
   36275     label = 11;
   36276     break;
   36277    case 7:
   36278     $add_ptr24 = $v + 1 | 0;
   36279     $call25 = _strcasecmp($add_ptr24, 99264) | 0;
   36280     $tobool26 = ($call25 | 0) == 0;
   36281     if ($tobool26) {
   36282       label = 8;
   36283       break;
   36284     } else {
   36285       label = 10;
   36286       break;
   36287     }
   36288    case 8:
   36289     $flags28 = $p + 32 | 0;
   36290     $3 = HEAP16[$flags28 >> 1] | 0;
   36291     $or30 = $3 | 6;
   36292     HEAP16[$flags28 >> 1] = $or30;
   36293     $rv_013 = 0;
   36294     label = 11;
   36295     break;
   36296    case 9:
   36297     $add_ptr36 = $v + 1 | 0;
   36298     $call37 = _strcasecmp($add_ptr36, 119648) | 0;
   36299     $tobool38 = ($call37 | 0) == 0;
   36300     if ($tobool38) {
   36301       $rv_013 = 0;
   36302       label = 11;
   36303       break;
   36304     } else {
   36305       label = 10;
   36306       break;
   36307     }
   36308    case 10:
   36309     $call45 = _agerr(0, 98880, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $v, tempInt) | 0) | 0;
   36310     $rv_013 = 1;
   36311     label = 11;
   36312     break;
   36313    case 11:
   36314     STACKTOP = __stackBase__;
   36315     return $rv_013 | 0;
   36316   }
   36317   return 0;
   36318 }
   36319 function _balignfn($p, $v) {
   36320   $p = $p | 0;
   36321   $v = $v | 0;
   36322   var $0 = 0, $conv = 0, $call = 0, $sext = 0, $conv2 = 0, $add_ptr = 0, $call4 = 0, $tobool = 0, $flags = 0, $1 = 0, $or = 0, $add_ptr11 = 0, $call12 = 0, $tobool13 = 0, $flags15 = 0, $2 = 0, $or17 = 0, $add_ptr23 = 0, $call24 = 0, $tobool25 = 0, $call31 = 0, $rv_010 = 0, label = 0, __stackBase__ = 0;
   36323   __stackBase__ = STACKTOP;
   36324   label = 2;
   36325   while (1) switch (label | 0) {
   36326    case 2:
   36327     $0 = HEAP8[$v] | 0;
   36328     $conv = $0 << 24 >> 24;
   36329     $call = _toupper($conv | 0) | 0;
   36330     $sext = $call << 24;
   36331     $conv2 = $sext >> 24;
   36332     if (($conv2 | 0) == 76) {
   36333       label = 3;
   36334       break;
   36335     } else if (($conv2 | 0) == 82) {
   36336       label = 5;
   36337       break;
   36338     } else if (($conv2 | 0) == 67) {
   36339       label = 7;
   36340       break;
   36341     } else {
   36342       label = 8;
   36343       break;
   36344     }
   36345    case 3:
   36346     $add_ptr = $v + 1 | 0;
   36347     $call4 = _strcasecmp($add_ptr, 120248) | 0;
   36348     $tobool = ($call4 | 0) == 0;
   36349     if ($tobool) {
   36350       label = 4;
   36351       break;
   36352     } else {
   36353       label = 8;
   36354       break;
   36355     }
   36356    case 4:
   36357     $flags = $p + 32 | 0;
   36358     $1 = HEAP16[$flags >> 1] | 0;
   36359     $or = $1 | 512;
   36360     HEAP16[$flags >> 1] = $or;
   36361     $rv_010 = 0;
   36362     label = 9;
   36363     break;
   36364    case 5:
   36365     $add_ptr11 = $v + 1 | 0;
   36366     $call12 = _strcasecmp($add_ptr11, 121e3) | 0;
   36367     $tobool13 = ($call12 | 0) == 0;
   36368     if ($tobool13) {
   36369       label = 6;
   36370       break;
   36371     } else {
   36372       label = 8;
   36373       break;
   36374     }
   36375    case 6:
   36376     $flags15 = $p + 32 | 0;
   36377     $2 = HEAP16[$flags15 >> 1] | 0;
   36378     $or17 = $2 | 256;
   36379     HEAP16[$flags15 >> 1] = $or17;
   36380     $rv_010 = 0;
   36381     label = 9;
   36382     break;
   36383    case 7:
   36384     $add_ptr23 = $v + 1 | 0;
   36385     $call24 = _strcasecmp($add_ptr23, 119648) | 0;
   36386     $tobool25 = ($call24 | 0) == 0;
   36387     if ($tobool25) {
   36388       $rv_010 = 0;
   36389       label = 9;
   36390       break;
   36391     } else {
   36392       label = 8;
   36393       break;
   36394     }
   36395    case 8:
   36396     $call31 = _agerr(0, 99696, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $v, tempInt) | 0) | 0;
   36397     $rv_010 = 1;
   36398     label = 9;
   36399     break;
   36400    case 9:
   36401     STACKTOP = __stackBase__;
   36402     return $rv_010 | 0;
   36403   }
   36404   return 0;
   36405 }
   36406 function _bgcolorfn($p, $v) {
   36407   $p = $p | 0;
   36408   $v = $v | 0;
   36409   HEAP32[$p + 20 >> 2] = _strdup($v | 0) | 0;
   36410   return 0;
   36411 }
   36412 function _borderfn($p, $v) {
   36413   $p = $p | 0;
   36414   $v = $v | 0;
   36415   var $u = 0, $call = 0, $tobool = 0, $0 = 0, $conv = 0, $border = 0, $flags = 0, $1 = 0, $or = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   36416   __stackBase__ = STACKTOP;
   36417   STACKTOP = STACKTOP + 8 | 0;
   36418   label = 2;
   36419   while (1) switch (label | 0) {
   36420    case 2:
   36421     $u = __stackBase__ | 0;
   36422     $call = _doInt($v, 100112, 0, 255, $u) | 0;
   36423     $tobool = ($call | 0) == 0;
   36424     if ($tobool) {
   36425       label = 3;
   36426       break;
   36427     } else {
   36428       $retval_0 = 1;
   36429       label = 4;
   36430       break;
   36431     }
   36432    case 3:
   36433     $0 = HEAP32[$u >> 2] | 0;
   36434     $conv = $0 & 255;
   36435     $border = $p + 29 | 0;
   36436     HEAP8[$border] = $conv;
   36437     $flags = $p + 32 | 0;
   36438     $1 = HEAP16[$flags >> 1] | 0;
   36439     $or = $1 | 32;
   36440     HEAP16[$flags >> 1] = $or;
   36441     $retval_0 = 0;
   36442     label = 4;
   36443     break;
   36444    case 4:
   36445     STACKTOP = __stackBase__;
   36446     return $retval_0 | 0;
   36447   }
   36448   return 0;
   36449 }
   36450 function _cellpaddingfn($p, $v) {
   36451   $p = $p | 0;
   36452   $v = $v | 0;
   36453   var $u = 0, $call = 0, $tobool = 0, $0 = 0, $conv = 0, $pad = 0, $flags = 0, $1 = 0, $or = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   36454   __stackBase__ = STACKTOP;
   36455   STACKTOP = STACKTOP + 8 | 0;
   36456   label = 2;
   36457   while (1) switch (label | 0) {
   36458    case 2:
   36459     $u = __stackBase__ | 0;
   36460     $call = _doInt($v, 100592, 0, 255, $u) | 0;
   36461     $tobool = ($call | 0) == 0;
   36462     if ($tobool) {
   36463       label = 3;
   36464       break;
   36465     } else {
   36466       $retval_0 = 1;
   36467       label = 4;
   36468       break;
   36469     }
   36470    case 3:
   36471     $0 = HEAP32[$u >> 2] | 0;
   36472     $conv = $0 & 255;
   36473     $pad = $p + 30 | 0;
   36474     HEAP8[$pad] = $conv;
   36475     $flags = $p + 32 | 0;
   36476     $1 = HEAP16[$flags >> 1] | 0;
   36477     $or = $1 | 64;
   36478     HEAP16[$flags >> 1] = $or;
   36479     $retval_0 = 0;
   36480     label = 4;
   36481     break;
   36482    case 4:
   36483     STACKTOP = __stackBase__;
   36484     return $retval_0 | 0;
   36485   }
   36486   return 0;
   36487 }
   36488 function _cellspacingfn($p, $v) {
   36489   $p = $p | 0;
   36490   $v = $v | 0;
   36491   var $u = 0, $call = 0, $tobool = 0, $0 = 0, $conv = 0, $space = 0, $flags = 0, $1 = 0, $or = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   36492   __stackBase__ = STACKTOP;
   36493   STACKTOP = STACKTOP + 8 | 0;
   36494   label = 2;
   36495   while (1) switch (label | 0) {
   36496    case 2:
   36497     $u = __stackBase__ | 0;
   36498     $call = _doInt($v, 100952, -128, 127, $u) | 0;
   36499     $tobool = ($call | 0) == 0;
   36500     if ($tobool) {
   36501       label = 3;
   36502       break;
   36503     } else {
   36504       $retval_0 = 1;
   36505       label = 4;
   36506       break;
   36507     }
   36508    case 3:
   36509     $0 = HEAP32[$u >> 2] | 0;
   36510     $conv = $0 & 255;
   36511     $space = $p + 28 | 0;
   36512     HEAP8[$space] = $conv;
   36513     $flags = $p + 32 | 0;
   36514     $1 = HEAP16[$flags >> 1] | 0;
   36515     $or = $1 | 128;
   36516     HEAP16[$flags >> 1] = $or;
   36517     $retval_0 = 0;
   36518     label = 4;
   36519     break;
   36520    case 4:
   36521     STACKTOP = __stackBase__;
   36522     return $retval_0 | 0;
   36523   }
   36524   return 0;
   36525 }
   36526 function _pencolorfn($p, $v) {
   36527   $p = $p | 0;
   36528   $v = $v | 0;
   36529   HEAP32[$p + 24 >> 2] = _strdup($v | 0) | 0;
   36530   return 0;
   36531 }
   36532 function _colspanfn($p, $v) {
   36533   $p = $p | 0;
   36534   $v = $v | 0;
   36535   var $u = 0, $call = 0, $tobool = 0, $0 = 0, $cmp = 0, $call2 = 0, $conv = 0, $cspan = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   36536   __stackBase__ = STACKTOP;
   36537   STACKTOP = STACKTOP + 8 | 0;
   36538   label = 2;
   36539   while (1) switch (label | 0) {
   36540    case 2:
   36541     $u = __stackBase__ | 0;
   36542     $call = _doInt($v, 101896, 0, 65535, $u) | 0;
   36543     $tobool = ($call | 0) == 0;
   36544     if ($tobool) {
   36545       label = 3;
   36546       break;
   36547     } else {
   36548       $retval_0 = 1;
   36549       label = 6;
   36550       break;
   36551     }
   36552    case 3:
   36553     $0 = HEAP32[$u >> 2] | 0;
   36554     $cmp = ($0 | 0) == 0;
   36555     if ($cmp) {
   36556       label = 4;
   36557       break;
   36558     } else {
   36559       label = 5;
   36560       break;
   36561     }
   36562    case 4:
   36563     $call2 = _agerr(0, 101520, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0) | 0;
   36564     $retval_0 = 1;
   36565     label = 6;
   36566     break;
   36567    case 5:
   36568     $conv = $0 & 65535;
   36569     $cspan = $p + 72 | 0;
   36570     HEAP16[$cspan >> 1] = $conv;
   36571     $retval_0 = 0;
   36572     label = 6;
   36573     break;
   36574    case 6:
   36575     STACKTOP = __stackBase__;
   36576     return $retval_0 | 0;
   36577   }
   36578   return 0;
   36579 }
   36580 function _fixedsizefn($p, $v) {
   36581   $p = $p | 0;
   36582   $v = $v | 0;
   36583   var $0 = 0, $conv = 0, $call = 0, $sext = 0, $conv2 = 0, $add_ptr = 0, $call4 = 0, $tobool = 0, $flags = 0, $1 = 0, $or = 0, $add_ptr10 = 0, $call11 = 0, $tobool12 = 0, $call14 = 0, $rv_0 = 0, label = 0, __stackBase__ = 0;
   36584   __stackBase__ = STACKTOP;
   36585   label = 2;
   36586   while (1) switch (label | 0) {
   36587    case 2:
   36588     $0 = HEAP8[$v] | 0;
   36589     $conv = $0 & 255;
   36590     $call = _toupper($conv | 0) | 0;
   36591     $sext = $call << 24;
   36592     $conv2 = $sext >> 24;
   36593     if (($conv2 | 0) == 84) {
   36594       label = 3;
   36595       break;
   36596     } else if (($conv2 | 0) == 70) {
   36597       label = 5;
   36598       break;
   36599     } else {
   36600       label = 6;
   36601       break;
   36602     }
   36603    case 3:
   36604     $add_ptr = $v + 1 | 0;
   36605     $call4 = _strcasecmp($add_ptr, 103064) | 0;
   36606     $tobool = ($call4 | 0) == 0;
   36607     if ($tobool) {
   36608       label = 4;
   36609       break;
   36610     } else {
   36611       label = 6;
   36612       break;
   36613     }
   36614    case 4:
   36615     $flags = $p + 32 | 0;
   36616     $1 = HEAP16[$flags >> 1] | 0;
   36617     $or = $1 | 1;
   36618     HEAP16[$flags >> 1] = $or;
   36619     $rv_0 = 0;
   36620     label = 7;
   36621     break;
   36622    case 5:
   36623     $add_ptr10 = $v + 1 | 0;
   36624     $call11 = _strcasecmp($add_ptr10, 102728) | 0;
   36625     $tobool12 = ($call11 | 0) == 0;
   36626     if ($tobool12) {
   36627       $rv_0 = 0;
   36628       label = 7;
   36629       break;
   36630     } else {
   36631       label = 6;
   36632       break;
   36633     }
   36634    case 6:
   36635     $call14 = _agerr(0, 102368, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $v, tempInt) | 0) | 0;
   36636     $rv_0 = 1;
   36637     label = 7;
   36638     break;
   36639    case 7:
   36640     STACKTOP = __stackBase__;
   36641     return $rv_0 | 0;
   36642   }
   36643   return 0;
   36644 }
   36645 function _heightfn($p, $v) {
   36646   $p = $p | 0;
   36647   $v = $v | 0;
   36648   var $u = 0, $call = 0, $tobool = 0, $0 = 0, $conv = 0, $height = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   36649   __stackBase__ = STACKTOP;
   36650   STACKTOP = STACKTOP + 8 | 0;
   36651   label = 2;
   36652   while (1) switch (label | 0) {
   36653    case 2:
   36654     $u = __stackBase__ | 0;
   36655     $call = _doInt($v, 103432, 0, 65535, $u) | 0;
   36656     $tobool = ($call | 0) == 0;
   36657     if ($tobool) {
   36658       label = 3;
   36659       break;
   36660     } else {
   36661       $retval_0 = 1;
   36662       label = 4;
   36663       break;
   36664     }
   36665    case 3:
   36666     $0 = HEAP32[$u >> 2] | 0;
   36667     $conv = $0 & 65535;
   36668     $height = $p + 36 | 0;
   36669     HEAP16[$height >> 1] = $conv;
   36670     $retval_0 = 0;
   36671     label = 4;
   36672     break;
   36673    case 4:
   36674     STACKTOP = __stackBase__;
   36675     return $retval_0 | 0;
   36676   }
   36677   return 0;
   36678 }
   36679 function _hreffn($p, $v) {
   36680   $p = $p | 0;
   36681   $v = $v | 0;
   36682   HEAP32[$p >> 2] = _strdup($v | 0) | 0;
   36683   return 0;
   36684 }
   36685 function _idfn($p, $v) {
   36686   $p = $p | 0;
   36687   $v = $v | 0;
   36688   HEAP32[$p + 16 >> 2] = _strdup($v | 0) | 0;
   36689   return 0;
   36690 }
   36691 function _portfn($p, $v) {
   36692   $p = $p | 0;
   36693   $v = $v | 0;
   36694   HEAP32[$p + 4 >> 2] = _strdup($v | 0) | 0;
   36695   return 0;
   36696 }
   36697 function _rowspanfn($p, $v) {
   36698   $p = $p | 0;
   36699   $v = $v | 0;
   36700   var $u = 0, $call = 0, $tobool = 0, $0 = 0, $cmp = 0, $call2 = 0, $conv = 0, $rspan = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   36701   __stackBase__ = STACKTOP;
   36702   STACKTOP = STACKTOP + 8 | 0;
   36703   label = 2;
   36704   while (1) switch (label | 0) {
   36705    case 2:
   36706     $u = __stackBase__ | 0;
   36707     $call = _doInt($v, 104304, 0, 65535, $u) | 0;
   36708     $tobool = ($call | 0) == 0;
   36709     if ($tobool) {
   36710       label = 3;
   36711       break;
   36712     } else {
   36713       $retval_0 = 1;
   36714       label = 6;
   36715       break;
   36716     }
   36717    case 3:
   36718     $0 = HEAP32[$u >> 2] | 0;
   36719     $cmp = ($0 | 0) == 0;
   36720     if ($cmp) {
   36721       label = 4;
   36722       break;
   36723     } else {
   36724       label = 5;
   36725       break;
   36726     }
   36727    case 4:
   36728     $call2 = _agerr(0, 103896, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0) | 0;
   36729     $retval_0 = 1;
   36730     label = 6;
   36731     break;
   36732    case 5:
   36733     $conv = $0 & 65535;
   36734     $rspan = $p + 74 | 0;
   36735     HEAP16[$rspan >> 1] = $conv;
   36736     $retval_0 = 0;
   36737     label = 6;
   36738     break;
   36739    case 6:
   36740     STACKTOP = __stackBase__;
   36741     return $retval_0 | 0;
   36742   }
   36743   return 0;
   36744 }
   36745 function _targetfn($p, $v) {
   36746   $p = $p | 0;
   36747   $v = $v | 0;
   36748   HEAP32[$p + 8 >> 2] = _strdup($v | 0) | 0;
   36749   return 0;
   36750 }
   36751 function _titlefn($p, $v) {
   36752   $p = $p | 0;
   36753   $v = $v | 0;
   36754   HEAP32[$p + 12 >> 2] = _strdup($v | 0) | 0;
   36755   return 0;
   36756 }
   36757 function _valignfn($p, $v) {
   36758   $p = $p | 0;
   36759   $v = $v | 0;
   36760   var $0 = 0, $conv = 0, $call = 0, $sext = 0, $conv2 = 0, $add_ptr = 0, $call4 = 0, $tobool = 0, $flags = 0, $1 = 0, $or = 0, $add_ptr11 = 0, $call12 = 0, $tobool13 = 0, $flags15 = 0, $2 = 0, $or17 = 0, $add_ptr23 = 0, $call24 = 0, $tobool25 = 0, $call27 = 0, $rv_0 = 0, label = 0, __stackBase__ = 0;
   36761   __stackBase__ = STACKTOP;
   36762   label = 2;
   36763   while (1) switch (label | 0) {
   36764    case 2:
   36765     $0 = HEAP8[$v] | 0;
   36766     $conv = $0 << 24 >> 24;
   36767     $call = _toupper($conv | 0) | 0;
   36768     $sext = $call << 24;
   36769     $conv2 = $sext >> 24;
   36770     if (($conv2 | 0) == 66) {
   36771       label = 3;
   36772       break;
   36773     } else if (($conv2 | 0) == 84) {
   36774       label = 5;
   36775       break;
   36776     } else if (($conv2 | 0) == 77) {
   36777       label = 7;
   36778       break;
   36779     } else {
   36780       label = 8;
   36781       break;
   36782     }
   36783    case 3:
   36784     $add_ptr = $v + 1 | 0;
   36785     $call4 = _strcasecmp($add_ptr, 105888) | 0;
   36786     $tobool = ($call4 | 0) == 0;
   36787     if ($tobool) {
   36788       label = 4;
   36789       break;
   36790     } else {
   36791       label = 8;
   36792       break;
   36793     }
   36794    case 4:
   36795     $flags = $p + 32 | 0;
   36796     $1 = HEAP16[$flags >> 1] | 0;
   36797     $or = $1 | 16;
   36798     HEAP16[$flags >> 1] = $or;
   36799     $rv_0 = 0;
   36800     label = 9;
   36801     break;
   36802    case 5:
   36803     $add_ptr11 = $v + 1 | 0;
   36804     $call12 = _strcasecmp($add_ptr11, 105528) | 0;
   36805     $tobool13 = ($call12 | 0) == 0;
   36806     if ($tobool13) {
   36807       label = 6;
   36808       break;
   36809     } else {
   36810       label = 8;
   36811       break;
   36812     }
   36813    case 6:
   36814     $flags15 = $p + 32 | 0;
   36815     $2 = HEAP16[$flags15 >> 1] | 0;
   36816     $or17 = $2 | 8;
   36817     HEAP16[$flags15 >> 1] = $or17;
   36818     $rv_0 = 0;
   36819     label = 9;
   36820     break;
   36821    case 7:
   36822     $add_ptr23 = $v + 1 | 0;
   36823     $call24 = _strcasecmp($add_ptr23, 105184) | 0;
   36824     $tobool25 = ($call24 | 0) == 0;
   36825     if ($tobool25) {
   36826       $rv_0 = 0;
   36827       label = 9;
   36828       break;
   36829     } else {
   36830       label = 8;
   36831       break;
   36832     }
   36833    case 8:
   36834     $call27 = _agerr(0, 104832, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $v, tempInt) | 0) | 0;
   36835     $rv_0 = 1;
   36836     label = 9;
   36837     break;
   36838    case 9:
   36839     STACKTOP = __stackBase__;
   36840     return $rv_0 | 0;
   36841   }
   36842   return 0;
   36843 }
   36844 function _widthfn($p, $v) {
   36845   $p = $p | 0;
   36846   $v = $v | 0;
   36847   var $u = 0, $call = 0, $tobool = 0, $0 = 0, $conv = 0, $width = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   36848   __stackBase__ = STACKTOP;
   36849   STACKTOP = STACKTOP + 8 | 0;
   36850   label = 2;
   36851   while (1) switch (label | 0) {
   36852    case 2:
   36853     $u = __stackBase__ | 0;
   36854     $call = _doInt($v, 106272, 0, 65535, $u) | 0;
   36855     $tobool = ($call | 0) == 0;
   36856     if ($tobool) {
   36857       label = 3;
   36858       break;
   36859     } else {
   36860       $retval_0 = 1;
   36861       label = 4;
   36862       break;
   36863     }
   36864    case 3:
   36865     $0 = HEAP32[$u >> 2] | 0;
   36866     $conv = $0 & 65535;
   36867     $width = $p + 34 | 0;
   36868     HEAP16[$width >> 1] = $conv;
   36869     $retval_0 = 0;
   36870     label = 4;
   36871     break;
   36872    case 4:
   36873     STACKTOP = __stackBase__;
   36874     return $retval_0 | 0;
   36875   }
   36876   return 0;
   36877 }
   36878 function _halignfn($p, $v) {
   36879   $p = $p | 0;
   36880   $v = $v | 0;
   36881   var $0 = 0, $conv = 0, $call = 0, $sext = 0, $conv2 = 0, $add_ptr = 0, $call4 = 0, $tobool = 0, $flags = 0, $1 = 0, $or = 0, $add_ptr11 = 0, $call12 = 0, $tobool13 = 0, $flags15 = 0, $2 = 0, $or17 = 0, $add_ptr23 = 0, $call24 = 0, $tobool25 = 0, $call27 = 0, $rv_0 = 0, label = 0, __stackBase__ = 0;
   36882   __stackBase__ = STACKTOP;
   36883   label = 2;
   36884   while (1) switch (label | 0) {
   36885    case 2:
   36886     $0 = HEAP8[$v] | 0;
   36887     $conv = $0 << 24 >> 24;
   36888     $call = _toupper($conv | 0) | 0;
   36889     $sext = $call << 24;
   36890     $conv2 = $sext >> 24;
   36891     if (($conv2 | 0) == 76) {
   36892       label = 3;
   36893       break;
   36894     } else if (($conv2 | 0) == 82) {
   36895       label = 5;
   36896       break;
   36897     } else if (($conv2 | 0) == 67) {
   36898       label = 7;
   36899       break;
   36900     } else {
   36901       label = 8;
   36902       break;
   36903     }
   36904    case 3:
   36905     $add_ptr = $v + 1 | 0;
   36906     $call4 = _strcasecmp($add_ptr, 120248) | 0;
   36907     $tobool = ($call4 | 0) == 0;
   36908     if ($tobool) {
   36909       label = 4;
   36910       break;
   36911     } else {
   36912       label = 8;
   36913       break;
   36914     }
   36915    case 4:
   36916     $flags = $p + 32 | 0;
   36917     $1 = HEAP16[$flags >> 1] | 0;
   36918     $or = $1 | 4;
   36919     HEAP16[$flags >> 1] = $or;
   36920     $rv_0 = 0;
   36921     label = 9;
   36922     break;
   36923    case 5:
   36924     $add_ptr11 = $v + 1 | 0;
   36925     $call12 = _strcasecmp($add_ptr11, 121e3) | 0;
   36926     $tobool13 = ($call12 | 0) == 0;
   36927     if ($tobool13) {
   36928       label = 6;
   36929       break;
   36930     } else {
   36931       label = 8;
   36932       break;
   36933     }
   36934    case 6:
   36935     $flags15 = $p + 32 | 0;
   36936     $2 = HEAP16[$flags15 >> 1] | 0;
   36937     $or17 = $2 | 2;
   36938     HEAP16[$flags15 >> 1] = $or17;
   36939     $rv_0 = 0;
   36940     label = 9;
   36941     break;
   36942    case 7:
   36943     $add_ptr23 = $v + 1 | 0;
   36944     $call24 = _strcasecmp($add_ptr23, 119648) | 0;
   36945     $tobool25 = ($call24 | 0) == 0;
   36946     if ($tobool25) {
   36947       $rv_0 = 0;
   36948       label = 9;
   36949       break;
   36950     } else {
   36951       label = 8;
   36952       break;
   36953     }
   36954    case 8:
   36955     $call27 = _agerr(0, 119080, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $v, tempInt) | 0) | 0;
   36956     $rv_0 = 1;
   36957     label = 9;
   36958     break;
   36959    case 9:
   36960     STACKTOP = __stackBase__;
   36961     return $rv_0 | 0;
   36962   }
   36963   return 0;
   36964 }
   36965 function _cellborderfn($p, $v) {
   36966   $p = $p | 0;
   36967   $v = $v | 0;
   36968   var $u = 0, $call = 0, $tobool = 0, $0 = 0, $conv = 0, $cb = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   36969   __stackBase__ = STACKTOP;
   36970   STACKTOP = STACKTOP + 8 | 0;
   36971   label = 2;
   36972   while (1) switch (label | 0) {
   36973    case 2:
   36974     $u = __stackBase__ | 0;
   36975     $call = _doInt($v, 96384, 0, 127, $u) | 0;
   36976     $tobool = ($call | 0) == 0;
   36977     if ($tobool) {
   36978       label = 3;
   36979       break;
   36980     } else {
   36981       $retval_0 = 1;
   36982       label = 4;
   36983       break;
   36984     }
   36985    case 3:
   36986     $0 = HEAP32[$u >> 2] | 0;
   36987     $conv = $0 & 255;
   36988     $cb = $p + 80 | 0;
   36989     HEAP8[$cb] = $conv;
   36990     $retval_0 = 0;
   36991     label = 4;
   36992     break;
   36993    case 4:
   36994     STACKTOP = __stackBase__;
   36995     return $retval_0 | 0;
   36996   }
   36997   return 0;
   36998 }
   36999 function _stylefn($p, $v) {
   37000   $p = $p | 0;
   37001   $v = $v | 0;
   37002   var $0 = 0, $conv = 0, $call = 0, $sext_mask = 0, $cmp = 0, $add_ptr = 0, $call4 = 0, $tobool = 0, $style = 0, $call5 = 0, $rv_0 = 0, label = 0, __stackBase__ = 0;
   37003   __stackBase__ = STACKTOP;
   37004   label = 2;
   37005   while (1) switch (label | 0) {
   37006    case 2:
   37007     $0 = HEAP8[$v] | 0;
   37008     $conv = $0 << 24 >> 24;
   37009     $call = _toupper($conv | 0) | 0;
   37010     $sext_mask = $call & 255;
   37011     $cmp = ($sext_mask | 0) == 82;
   37012     if ($cmp) {
   37013       label = 3;
   37014       break;
   37015     } else {
   37016       label = 5;
   37017       break;
   37018     }
   37019    case 3:
   37020     $add_ptr = $v + 1 | 0;
   37021     $call4 = _strcasecmp($add_ptr, 97232) | 0;
   37022     $tobool = ($call4 | 0) == 0;
   37023     if ($tobool) {
   37024       label = 4;
   37025       break;
   37026     } else {
   37027       label = 5;
   37028       break;
   37029     }
   37030    case 4:
   37031     $style = $p + 104 | 0;
   37032     HEAP8[$style] = 2;
   37033     $rv_0 = 0;
   37034     label = 6;
   37035     break;
   37036    case 5:
   37037     $call5 = _agerr(0, 96872, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $v, tempInt) | 0) | 0;
   37038     $rv_0 = 1;
   37039     label = 6;
   37040     break;
   37041    case 6:
   37042     STACKTOP = __stackBase__;
   37043     return $rv_0 | 0;
   37044   }
   37045   return 0;
   37046 }
   37047 function _htmlparse() {
   37048   var $htmlssa = 0, $htmlvsa = 0, $arraydecay = 0, $arraydecay1 = 0, $htmlvsp_0 = 0, $htmlssp_0 = 0, $htmlerrstatus_0 = 0, $htmlstate_0 = 0, $incdec_ptr = 0, $htmlvs_0 = 0, $htmlvsp_1 = 0, $htmlstacksize_0 = 0, $htmlssp_1 = 0, $htmlss_0 = 0, $htmlerrstatus_1 = 0, $htmlstate_1 = 0, $conv = 0, $add_ptr_sum = 0, $add_ptr2 = 0, $cmp = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $sub_ptr_div = 0, $add = 0, $cmp4 = 0, $mul = 0, $cmp7 = 0, $_mul = 0, $mul11 = 0, $add12132 = 0, $call = 0, $tobool = 0, $0 = 0, $htmlss_alloc = 0, $1 = 0, $mul15 = 0, $2 = 0, $div = 0, $htmlvs_alloc = 0, $3 = 0, $4 = 0, $mul22 = 0, $cmp30 = 0, $add_ptr35 = 0, $add_ptr37 = 0, $add_ptr38_sum = 0, $cmp40 = 0, $htmlvs_1 = 0, $htmlvsp_2 = 0, $htmlstacksize_2 = 0, $htmlssp_2 = 0, $htmlss_1 = 0, $cmp45 = 0, $arrayidx = 0, $5 = 0, $conv49 = 0, $cmp50 = 0, $6 = 0, $cmp54 = 0, $call57 = 0, $7 = 0, $cmp59 = 0, $cmp62 = 0, $arrayidx64 = 0, $8 = 0, $conv65 = 0, $htmltoken_2 = 0, $add67 = 0, $9 = 0, $arrayidx73 = 0, $10 = 0, $conv74 = 0, $cmp75 = 0, $arrayidx79 = 0, $11 = 0, $conv80 = 0, $cmp81 = 0, $cmp84 = 0, $sub = 0, $tobool92 = 0, $dec = 0, $htmlerrstatus_1_dec = 0, $incdec_ptr95 = 0, $12 = 0, $13 = 0, $arrayidx96 = 0, $14 = 0, $conv97 = 0, $cmp98 = 0, $htmln_0 = 0, $arrayidx102 = 0, $15 = 0, $conv103 = 0, $sub104 = 0, $htmlval_sroa_0_0__idx18 = 0, $htmlval_sroa_0_0_copyload19 = 0, $arrayidx106 = 0, $txt = 0, $16 = 0, $17 = 0, $call107 = 0, $arrayidx109 = 0, $tbl = 0, $18 = 0, $19 = 0, $call110 = 0, $call113 = 0, $20 = 0, $21 = 0, $i = 0, $22 = 0, $font = 0, $23 = 0, $font123 = 0, $24 = 0, $font127 = 0, $25 = 0, $font131 = 0, $26 = 0, $font135 = 0, $27 = 0, $font139 = 0, $28 = 0, $i143 = 0, $29 = 0, $i147 = 0, $30 = 0, $31 = 0, $ptr = 0, $32 = 0, $eptr = 0, $33 = 0, $cmp150 = 0, $call153 = 0, $34 = 0, $ptr157 = 0, $35 = 0, $incdec_ptr158 = 0, $36 = 0, $buf = 0, $37 = 0, $ptr159 = 0, $call160 = 0, $tobool161 = 0, $38 = 0, $tbl165 = 0, $39 = 0, $40 = 0, $_c = 0, $41 = 0, $42 = 0, $call166 = 0, $43 = 0, $rows = 0, $call166_c = 0, $44 = 0, $45 = 0, $cfont = 0, $46 = 0, $call173 = 0, $47 = 0, $font176 = 0, $48 = 0, $49 = 0, $50 = 0, $ptr181 = 0, $51 = 0, $eptr182 = 0, $52 = 0, $cmp183 = 0, $call186 = 0, $53 = 0, $ptr190 = 0, $54 = 0, $incdec_ptr191 = 0, $55 = 0, $buf192 = 0, $56 = 0, $ptr193 = 0, $call194 = 0, $tobool195 = 0, $57 = 0, $58 = 0, $u199 = 0, $prev201 = 0, $59 = 0, $tbl204 = 0, $60 = 0, $61 = 0, $arrayidx207 = 0, $tbl208 = 0, $62 = 0, $63 = 0, $arrayidx211 = 0, $tbl212 = 0, $64 = 0, $65 = 0, $arrayidx215 = 0, $tbl216 = 0, $66 = 0, $67 = 0, $arrayidx219 = 0, $tbl220 = 0, $68 = 0, $69 = 0, $arrayidx224 = 0, $cell = 0, $70 = 0, $tbl226 = 0, $71 = 0, $72 = 0, $arrayidx228 = 0, $cell229 = 0, $73 = 0, $txt231 = 0, $74 = 0, $75 = 0, $arrayidx233 = 0, $cell234 = 0, $76 = 0, $img = 0, $77 = 0, $78 = 0, $cell238 = 0, $79 = 0, $call239 = 0, $80 = 0, $arrayidx241 = 0, $img242 = 0, $81 = 0, $82 = 0, $img246 = 0, $83 = 0, $84 = 0, $htmlval_sroa_0_0 = 0, $idx_neg = 0, $add_ptr250 = 0, $add_ptr248_sum = 0, $incdec_ptr251 = 0, $htmlval_sroa_0_0__idx = 0, $arrayidx252 = 0, $85 = 0, $conv253 = 0, $sub254 = 0, $arrayidx255 = 0, $86 = 0, $conv256 = 0, $87 = 0, $conv257 = 0, $add258 = 0, $88 = 0, $arrayidx264 = 0, $89 = 0, $conv265 = 0, $cmp267 = 0, $arrayidx270 = 0, $90 = 0, $conv271 = 0, $arrayidx274 = 0, $91 = 0, $conv275 = 0, $92 = 0, $inc = 0, $93 = 0, $cmp283 = 0, $cmp286 = 0, $htmlvsp_3 = 0, $htmlssp_3 = 0, $htmlstate_2 = 0, $arrayidx298 = 0, $94 = 0, $cmp300 = 0, $conv299 = 0, $add303 = 0, $cmp312 = 0, $arrayidx315 = 0, $95 = 0, $cmp317 = 0, $cmp323 = 0, $add_ptr329 = 0, $add_ptr330 = 0, $96 = 0, $conv331 = 0, $conv316 = 0, $incdec_ptr332 = 0, $97 = 0, $98 = 0, $htmlresult_0 = 0, $htmlss_3 = 0, $cmp348 = 0, $99 = 0, label = 0, __stackBase__ = 0;
   37049   __stackBase__ = STACKTOP;
   37050   STACKTOP = STACKTOP + 1200 | 0;
   37051   label = 2;
   37052   while (1) switch (label | 0) {
   37053    case 2:
   37054     $htmlssa = __stackBase__ | 0;
   37055     $htmlvsa = __stackBase__ + 400 | 0;
   37056     $arraydecay = $htmlssa | 0;
   37057     $arraydecay1 = $htmlvsa | 0;
   37058     HEAP32[3418] = 0;
   37059     HEAP32[3502] = -2;
   37060     $htmlstate_1 = 0;
   37061     $htmlerrstatus_1 = 0;
   37062     $htmlss_0 = $arraydecay;
   37063     $htmlssp_1 = $arraydecay;
   37064     $htmlstacksize_0 = 200;
   37065     $htmlvsp_1 = $arraydecay1;
   37066     $htmlvs_0 = $arraydecay1;
   37067     label = 4;
   37068     break;
   37069    case 3:
   37070     $incdec_ptr = $htmlssp_0 + 2 | 0;
   37071     $htmlstate_1 = $htmlstate_0;
   37072     $htmlerrstatus_1 = $htmlerrstatus_0;
   37073     $htmlss_0 = $htmlss_1;
   37074     $htmlssp_1 = $incdec_ptr;
   37075     $htmlstacksize_0 = $htmlstacksize_2;
   37076     $htmlvsp_1 = $htmlvsp_0;
   37077     $htmlvs_0 = $htmlvs_1;
   37078     label = 4;
   37079     break;
   37080    case 4:
   37081     $conv = $htmlstate_1 & 65535;
   37082     HEAP16[$htmlssp_1 >> 1] = $conv;
   37083     $add_ptr_sum = $htmlstacksize_0 - 1 | 0;
   37084     $add_ptr2 = $htmlss_0 + ($add_ptr_sum << 1) | 0;
   37085     $cmp = $add_ptr2 >>> 0 > $htmlssp_1 >>> 0;
   37086     if ($cmp) {
   37087       $htmlss_1 = $htmlss_0;
   37088       $htmlssp_2 = $htmlssp_1;
   37089       $htmlstacksize_2 = $htmlstacksize_0;
   37090       $htmlvsp_2 = $htmlvsp_1;
   37091       $htmlvs_1 = $htmlvs_0;
   37092       label = 10;
   37093       break;
   37094     } else {
   37095       label = 5;
   37096       break;
   37097     }
   37098    case 5:
   37099     $sub_ptr_lhs_cast = $htmlssp_1;
   37100     $sub_ptr_rhs_cast = $htmlss_0;
   37101     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   37102     $sub_ptr_div = $sub_ptr_sub >> 1;
   37103     $add = $sub_ptr_div + 1 | 0;
   37104     $cmp4 = $htmlstacksize_0 >>> 0 > 9999;
   37105     if ($cmp4) {
   37106       label = 83;
   37107       break;
   37108     } else {
   37109       label = 6;
   37110       break;
   37111     }
   37112    case 6:
   37113     $mul = $htmlstacksize_0 << 1;
   37114     $cmp7 = $mul >>> 0 > 1e4;
   37115     $_mul = $cmp7 ? 1e4 : $mul;
   37116     $mul11 = $_mul * 6 & -1;
   37117     $add12132 = $mul11 | 3;
   37118     $call = _malloc($add12132) | 0;
   37119     $tobool = ($call | 0) == 0;
   37120     if ($tobool) {
   37121       label = 83;
   37122       break;
   37123     } else {
   37124       label = 7;
   37125       break;
   37126     }
   37127    case 7:
   37128     $0 = $call;
   37129     $htmlss_alloc = $call;
   37130     $1 = $htmlss_0;
   37131     $mul15 = $add << 1;
   37132     _memcpy($call | 0, $1 | 0, $mul15);
   37133     $2 = $_mul >>> 1;
   37134     $div = $2 & 1073741823;
   37135     $htmlvs_alloc = $0 + ($div << 2) | 0;
   37136     $3 = $htmlvs_alloc;
   37137     $4 = $htmlvs_0;
   37138     $mul22 = $add << 2;
   37139     _memcpy($3 | 0, $4 | 0, $mul22);
   37140     $cmp30 = ($htmlss_0 | 0) == ($arraydecay | 0);
   37141     if ($cmp30) {
   37142       label = 9;
   37143       break;
   37144     } else {
   37145       label = 8;
   37146       break;
   37147     }
   37148    case 8:
   37149     _free($1);
   37150     label = 9;
   37151     break;
   37152    case 9:
   37153     $add_ptr35 = $htmlss_alloc + ($sub_ptr_div << 1) | 0;
   37154     $add_ptr37 = $htmlvs_alloc + ($sub_ptr_div << 2) | 0;
   37155     $add_ptr38_sum = $_mul - 1 | 0;
   37156     $cmp40 = ($add_ptr38_sum | 0) > ($sub_ptr_div | 0);
   37157     if ($cmp40) {
   37158       $htmlss_1 = $htmlss_alloc;
   37159       $htmlssp_2 = $add_ptr35;
   37160       $htmlstacksize_2 = $_mul;
   37161       $htmlvsp_2 = $add_ptr37;
   37162       $htmlvs_1 = $htmlvs_alloc;
   37163       label = 10;
   37164       break;
   37165     } else {
   37166       $htmlss_3 = $htmlss_alloc;
   37167       $htmlresult_0 = 1;
   37168       label = 84;
   37169       break;
   37170     }
   37171    case 10:
   37172     $cmp45 = ($htmlstate_1 | 0) == 27;
   37173     if ($cmp45) {
   37174       $htmlss_3 = $htmlss_1;
   37175       $htmlresult_0 = 0;
   37176       label = 84;
   37177       break;
   37178     } else {
   37179       label = 11;
   37180       break;
   37181     }
   37182    case 11:
   37183     $arrayidx = 13480 + ($htmlstate_1 << 1) | 0;
   37184     $5 = HEAP16[$arrayidx >> 1] | 0;
   37185     $conv49 = $5 << 16 >> 16;
   37186     $cmp50 = $5 << 16 >> 16 == -11;
   37187     if ($cmp50) {
   37188       label = 24;
   37189       break;
   37190     } else {
   37191       label = 12;
   37192       break;
   37193     }
   37194    case 12:
   37195     $6 = HEAP32[3502] | 0;
   37196     $cmp54 = ($6 | 0) == -2;
   37197     if ($cmp54) {
   37198       label = 13;
   37199       break;
   37200     } else {
   37201       $7 = $6;
   37202       label = 14;
   37203       break;
   37204     }
   37205    case 13:
   37206     $call57 = _htmllex() | 0;
   37207     HEAP32[3502] = $call57;
   37208     $7 = $call57;
   37209     label = 14;
   37210     break;
   37211    case 14:
   37212     $cmp59 = ($7 | 0) < 1;
   37213     if ($cmp59) {
   37214       label = 15;
   37215       break;
   37216     } else {
   37217       label = 16;
   37218       break;
   37219     }
   37220    case 15:
   37221     HEAP32[3502] = 0;
   37222     $htmltoken_2 = 0;
   37223     label = 18;
   37224     break;
   37225    case 16:
   37226     $cmp62 = $7 >>> 0 < 286;
   37227     if ($cmp62) {
   37228       label = 17;
   37229       break;
   37230     } else {
   37231       $htmltoken_2 = 2;
   37232       label = 18;
   37233       break;
   37234     }
   37235    case 17:
   37236     $arrayidx64 = $7 + 12840 | 0;
   37237     $8 = HEAP8[$arrayidx64] | 0;
   37238     $conv65 = $8 & 255;
   37239     $htmltoken_2 = $conv65;
   37240     label = 18;
   37241     break;
   37242    case 18:
   37243     $add67 = $htmltoken_2 + $conv49 | 0;
   37244     $9 = $add67 >>> 0 > 181;
   37245     if ($9) {
   37246       label = 24;
   37247       break;
   37248     } else {
   37249       label = 19;
   37250       break;
   37251     }
   37252    case 19:
   37253     $arrayidx73 = $add67 + 13824 | 0;
   37254     $10 = HEAP8[$arrayidx73] | 0;
   37255     $conv74 = $10 << 24 >> 24;
   37256     $cmp75 = ($conv74 | 0) == ($htmltoken_2 | 0);
   37257     if ($cmp75) {
   37258       label = 20;
   37259       break;
   37260     } else {
   37261       label = 24;
   37262       break;
   37263     }
   37264    case 20:
   37265     $arrayidx79 = $add67 + 13128 | 0;
   37266     $11 = HEAP8[$arrayidx79] | 0;
   37267     $conv80 = $11 << 24 >> 24;
   37268     $cmp81 = $11 << 24 >> 24 < 1;
   37269     if ($cmp81) {
   37270       label = 21;
   37271       break;
   37272     } else {
   37273       label = 23;
   37274       break;
   37275     }
   37276    case 21:
   37277     $cmp84 = $11 << 24 >> 24 == 0;
   37278     if ($cmp84) {
   37279       label = 72;
   37280       break;
   37281     } else {
   37282       label = 22;
   37283       break;
   37284     }
   37285    case 22:
   37286     $sub = -$conv80 | 0;
   37287     $htmln_0 = $sub;
   37288     label = 25;
   37289     break;
   37290    case 23:
   37291     $tobool92 = ($htmlerrstatus_1 | 0) == 0;
   37292     $dec = $htmlerrstatus_1 - 1 | 0;
   37293     $htmlerrstatus_1_dec = $tobool92 ? 0 : $dec;
   37294     HEAP32[3502] = -2;
   37295     $incdec_ptr95 = $htmlvsp_2 + 4 | 0;
   37296     $12 = HEAP32[3420] | 0;
   37297     $13 = $incdec_ptr95 | 0;
   37298     HEAP32[$13 >> 2] = $12;
   37299     $htmlstate_0 = $conv80;
   37300     $htmlerrstatus_0 = $htmlerrstatus_1_dec;
   37301     $htmlssp_0 = $htmlssp_2;
   37302     $htmlvsp_0 = $incdec_ptr95;
   37303     label = 3;
   37304     break;
   37305    case 24:
   37306     $arrayidx96 = $htmlstate_1 + 13728 | 0;
   37307     $14 = HEAP8[$arrayidx96] | 0;
   37308     $conv97 = $14 & 255;
   37309     $cmp98 = $14 << 24 >> 24 == 0;
   37310     if ($cmp98) {
   37311       label = 72;
   37312       break;
   37313     } else {
   37314       $htmln_0 = $conv97;
   37315       label = 25;
   37316       break;
   37317     }
   37318    case 25:
   37319     $arrayidx102 = $htmln_0 + 13312 | 0;
   37320     $15 = HEAP8[$arrayidx102] | 0;
   37321     $conv103 = $15 & 255;
   37322     $sub104 = 1 - $conv103 | 0;
   37323     $htmlval_sroa_0_0__idx18 = $htmlvsp_2 + ($sub104 << 2) | 0;
   37324     $htmlval_sroa_0_0_copyload19 = HEAP32[$htmlval_sroa_0_0__idx18 >> 2] | 0;
   37325     if (($htmln_0 | 0) == 2) {
   37326       label = 26;
   37327       break;
   37328     } else if (($htmln_0 | 0) == 3) {
   37329       label = 27;
   37330       break;
   37331     } else if (($htmln_0 | 0) == 4) {
   37332       label = 28;
   37333       break;
   37334     } else if (($htmln_0 | 0) == 5) {
   37335       label = 29;
   37336       break;
   37337     } else if (($htmln_0 | 0) == 8) {
   37338       label = 30;
   37339       break;
   37340     } else if (($htmln_0 | 0) == 9) {
   37341       label = 31;
   37342       break;
   37343     } else if (($htmln_0 | 0) == 16) {
   37344       label = 32;
   37345       break;
   37346     } else if (($htmln_0 | 0) == 17) {
   37347       label = 33;
   37348       break;
   37349     } else if (($htmln_0 | 0) == 18) {
   37350       label = 34;
   37351       break;
   37352     } else if (($htmln_0 | 0) == 19) {
   37353       label = 35;
   37354       break;
   37355     } else if (($htmln_0 | 0) == 20) {
   37356       label = 36;
   37357       break;
   37358     } else if (($htmln_0 | 0) == 21) {
   37359       label = 37;
   37360       break;
   37361     } else if (($htmln_0 | 0) == 22) {
   37362       label = 38;
   37363       break;
   37364     } else if (($htmln_0 | 0) == 23) {
   37365       label = 39;
   37366       break;
   37367     } else if (($htmln_0 | 0) == 24) {
   37368       label = 40;
   37369       break;
   37370     } else if (($htmln_0 | 0) == 25) {
   37371       label = 41;
   37372       break;
   37373     } else if (($htmln_0 | 0) == 26) {
   37374       label = 42;
   37375       break;
   37376     } else if (($htmln_0 | 0) == 27) {
   37377       label = 43;
   37378       break;
   37379     } else if (($htmln_0 | 0) == 28) {
   37380       label = 44;
   37381       break;
   37382     } else if (($htmln_0 | 0) == 29) {
   37383       label = 45;
   37384       break;
   37385     } else if (($htmln_0 | 0) == 32) {
   37386       label = 46;
   37387       break;
   37388     } else if (($htmln_0 | 0) == 33) {
   37389       label = 51;
   37390       break;
   37391     } else if (($htmln_0 | 0) == 34) {
   37392       label = 56;
   37393       break;
   37394     } else if (($htmln_0 | 0) == 35) {
   37395       label = 57;
   37396       break;
   37397     } else if (($htmln_0 | 0) == 36) {
   37398       label = 58;
   37399       break;
   37400     } else if (($htmln_0 | 0) == 37) {
   37401       label = 59;
   37402       break;
   37403     } else if (($htmln_0 | 0) == 38) {
   37404       label = 60;
   37405       break;
   37406     } else if (($htmln_0 | 0) == 43) {
   37407       label = 61;
   37408       break;
   37409     } else if (($htmln_0 | 0) == 47) {
   37410       label = 62;
   37411       break;
   37412     } else if (($htmln_0 | 0) == 49) {
   37413       label = 63;
   37414       break;
   37415     } else if (($htmln_0 | 0) == 51) {
   37416       label = 64;
   37417       break;
   37418     } else if (($htmln_0 | 0) == 53) {
   37419       label = 65;
   37420       break;
   37421     } else if (($htmln_0 | 0) == 55) {
   37422       label = 66;
   37423       break;
   37424     } else if (($htmln_0 | 0) == 56) {
   37425       label = 67;
   37426       break;
   37427     } else {
   37428       $htmlval_sroa_0_0 = $htmlval_sroa_0_0_copyload19;
   37429       label = 68;
   37430       break;
   37431     }
   37432    case 26:
   37433     $arrayidx106 = $htmlvsp_2 - 4 | 0;
   37434     $txt = $arrayidx106;
   37435     $16 = HEAP32[$txt >> 2] | 0;
   37436     $17 = $16;
   37437     $call107 = _mkLabel($17, 2) | 0;
   37438     HEAP32[41784] = $call107;
   37439     $htmlval_sroa_0_0 = $htmlval_sroa_0_0_copyload19;
   37440     label = 68;
   37441     break;
   37442    case 27:
   37443     $arrayidx109 = $htmlvsp_2 - 4 | 0;
   37444     $tbl = $arrayidx109;
   37445     $18 = HEAP32[$tbl >> 2] | 0;
   37446     $19 = $18;
   37447     $call110 = _mkLabel($19, 1) | 0;
   37448     HEAP32[41784] = $call110;
   37449     $htmlval_sroa_0_0 = $htmlval_sroa_0_0_copyload19;
   37450     label = 68;
   37451     break;
   37452    case 28:
   37453     _cleanup();
   37454     $htmlss_3 = $htmlss_1;
   37455     $htmlresult_0 = 1;
   37456     label = 84;
   37457     break;
   37458    case 29:
   37459     $call113 = _mkText() | 0;
   37460     $20 = $call113;
   37461     $htmlval_sroa_0_0 = $20;
   37462     label = 68;
   37463     break;
   37464    case 30:
   37465     $21 = HEAP32[41776] | 0;
   37466     _appendFItemList($21);
   37467     $htmlval_sroa_0_0 = $htmlval_sroa_0_0_copyload19;
   37468     label = 68;
   37469     break;
   37470    case 31:
   37471     $i = $htmlvsp_2 | 0;
   37472     $22 = HEAP32[$i >> 2] | 0;
   37473     _appendFLineList($22);
   37474     $htmlval_sroa_0_0 = $htmlval_sroa_0_0_copyload19;
   37475     label = 68;
   37476     break;
   37477    case 32:
   37478     $font = $htmlvsp_2;
   37479     $23 = HEAP32[$font >> 2] | 0;
   37480     _pushFont($23);
   37481     $htmlval_sroa_0_0 = $htmlval_sroa_0_0_copyload19;
   37482     label = 68;
   37483     break;
   37484    case 33:
   37485     _popFont();
   37486     $htmlval_sroa_0_0 = $htmlval_sroa_0_0_copyload19;
   37487     label = 68;
   37488     break;
   37489    case 34:
   37490     $font123 = $htmlvsp_2;
   37491     $24 = HEAP32[$font123 >> 2] | 0;
   37492     _pushFont($24);
   37493     $htmlval_sroa_0_0 = $htmlval_sroa_0_0_copyload19;
   37494     label = 68;
   37495     break;
   37496    case 35:
   37497     _popFont();
   37498     $htmlval_sroa_0_0 = $htmlval_sroa_0_0_copyload19;
   37499     label = 68;
   37500     break;
   37501    case 36:
   37502     $font127 = $htmlvsp_2;
   37503     $25 = HEAP32[$font127 >> 2] | 0;
   37504     _pushFont($25);
   37505     $htmlval_sroa_0_0 = $htmlval_sroa_0_0_copyload19;
   37506     label = 68;
   37507     break;
   37508    case 37:
   37509     _popFont();
   37510     $htmlval_sroa_0_0 = $htmlval_sroa_0_0_copyload19;
   37511     label = 68;
   37512     break;
   37513    case 38:
   37514     $font131 = $htmlvsp_2;
   37515     $26 = HEAP32[$font131 >> 2] | 0;
   37516     _pushFont($26);
   37517     $htmlval_sroa_0_0 = $htmlval_sroa_0_0_copyload19;
   37518     label = 68;
   37519     break;
   37520    case 39:
   37521     _popFont();
   37522     $htmlval_sroa_0_0 = $htmlval_sroa_0_0_copyload19;
   37523     label = 68;
   37524     break;
   37525    case 40:
   37526     $font135 = $htmlvsp_2;
   37527     $27 = HEAP32[$font135 >> 2] | 0;
   37528     _pushFont($27);
   37529     $htmlval_sroa_0_0 = $htmlval_sroa_0_0_copyload19;
   37530     label = 68;
   37531     break;
   37532    case 41:
   37533     _popFont();
   37534     $htmlval_sroa_0_0 = $htmlval_sroa_0_0_copyload19;
   37535     label = 68;
   37536     break;
   37537    case 42:
   37538     $font139 = $htmlvsp_2;
   37539     $28 = HEAP32[$font139 >> 2] | 0;
   37540     _pushFont($28);
   37541     $htmlval_sroa_0_0 = $htmlval_sroa_0_0_copyload19;
   37542     label = 68;
   37543     break;
   37544    case 43:
   37545     _popFont();
   37546     $htmlval_sroa_0_0 = $htmlval_sroa_0_0_copyload19;
   37547     label = 68;
   37548     break;
   37549    case 44:
   37550     $i143 = $htmlvsp_2 - 4 | 0;
   37551     $29 = HEAP32[$i143 >> 2] | 0;
   37552     $htmlval_sroa_0_0 = $29;
   37553     label = 68;
   37554     break;
   37555    case 45:
   37556     $i147 = $htmlvsp_2 | 0;
   37557     $30 = HEAP32[$i147 >> 2] | 0;
   37558     $htmlval_sroa_0_0 = $30;
   37559     label = 68;
   37560     break;
   37561    case 46:
   37562     $31 = HEAP32[41776] | 0;
   37563     $ptr = $31 + 4 | 0;
   37564     $32 = HEAP32[$ptr >> 2] | 0;
   37565     $eptr = $31 + 8 | 0;
   37566     $33 = HEAP32[$eptr >> 2] | 0;
   37567     $cmp150 = $32 >>> 0 < $33 >>> 0;
   37568     if ($cmp150) {
   37569       label = 48;
   37570       break;
   37571     } else {
   37572       label = 47;
   37573       break;
   37574     }
   37575    case 47:
   37576     $call153 = _agxbmore($31, 1) | 0;
   37577     label = 48;
   37578     break;
   37579    case 48:
   37580     $34 = HEAP32[41776] | 0;
   37581     $ptr157 = $34 + 4 | 0;
   37582     $35 = HEAP32[$ptr157 >> 2] | 0;
   37583     $incdec_ptr158 = $35 + 1 | 0;
   37584     HEAP32[$ptr157 >> 2] = $incdec_ptr158;
   37585     HEAP8[$35] = 0;
   37586     $36 = HEAP32[41776] | 0;
   37587     $buf = $36 | 0;
   37588     $37 = HEAP32[$buf >> 2] | 0;
   37589     $ptr159 = $36 + 4 | 0;
   37590     HEAP32[$ptr159 >> 2] = $37;
   37591     $call160 = _nonSpace($37) | 0;
   37592     $tobool161 = ($call160 | 0) == 0;
   37593     if ($tobool161) {
   37594       label = 50;
   37595       break;
   37596     } else {
   37597       label = 49;
   37598       break;
   37599     }
   37600    case 49:
   37601     _htmlerror(104648);
   37602     _cleanup();
   37603     $htmlss_3 = $htmlss_1;
   37604     $htmlresult_0 = 1;
   37605     label = 84;
   37606     break;
   37607    case 50:
   37608     $38 = HEAP32[41782] | 0;
   37609     $tbl165 = $htmlvsp_2;
   37610     $39 = HEAP32[$tbl165 >> 2] | 0;
   37611     $40 = $39 + 72 | 0;
   37612     $_c = $38;
   37613     HEAP32[$40 >> 2] = $_c;
   37614     $41 = HEAP32[41976] | 0;
   37615     $42 = $41;
   37616     $call166 = _dtopen(4280, $42) | 0;
   37617     $43 = HEAP32[$tbl165 >> 2] | 0;
   37618     $rows = $43 + 76 | 0;
   37619     $call166_c = $call166;
   37620     HEAP32[$rows >> 2] = $call166_c;
   37621     $44 = HEAP32[$tbl165 >> 2] | 0;
   37622     HEAP32[41782] = $44;
   37623     $45 = HEAP32[41774] | 0;
   37624     $cfont = $45 | 0;
   37625     $46 = HEAP32[$cfont >> 2] | 0;
   37626     $call173 = _dupFont($46) | 0;
   37627     $47 = HEAP32[$tbl165 >> 2] | 0;
   37628     $font176 = $47 + 100 | 0;
   37629     HEAP32[$font176 >> 2] = $call173;
   37630     $48 = HEAP32[$tbl165 >> 2] | 0;
   37631     $49 = $48;
   37632     $htmlval_sroa_0_0 = $49;
   37633     label = 68;
   37634     break;
   37635    case 51:
   37636     $50 = HEAP32[41776] | 0;
   37637     $ptr181 = $50 + 4 | 0;
   37638     $51 = HEAP32[$ptr181 >> 2] | 0;
   37639     $eptr182 = $50 + 8 | 0;
   37640     $52 = HEAP32[$eptr182 >> 2] | 0;
   37641     $cmp183 = $51 >>> 0 < $52 >>> 0;
   37642     if ($cmp183) {
   37643       label = 53;
   37644       break;
   37645     } else {
   37646       label = 52;
   37647       break;
   37648     }
   37649    case 52:
   37650     $call186 = _agxbmore($50, 1) | 0;
   37651     label = 53;
   37652     break;
   37653    case 53:
   37654     $53 = HEAP32[41776] | 0;
   37655     $ptr190 = $53 + 4 | 0;
   37656     $54 = HEAP32[$ptr190 >> 2] | 0;
   37657     $incdec_ptr191 = $54 + 1 | 0;
   37658     HEAP32[$ptr190 >> 2] = $incdec_ptr191;
   37659     HEAP8[$54] = 0;
   37660     $55 = HEAP32[41776] | 0;
   37661     $buf192 = $55 | 0;
   37662     $56 = HEAP32[$buf192 >> 2] | 0;
   37663     $ptr193 = $55 + 4 | 0;
   37664     HEAP32[$ptr193 >> 2] = $56;
   37665     $call194 = _nonSpace($56) | 0;
   37666     $tobool195 = ($call194 | 0) == 0;
   37667     if ($tobool195) {
   37668       label = 55;
   37669       break;
   37670     } else {
   37671       label = 54;
   37672       break;
   37673     }
   37674    case 54:
   37675     _htmlerror(143352);
   37676     _cleanup();
   37677     $htmlss_3 = $htmlss_1;
   37678     $htmlresult_0 = 1;
   37679     label = 84;
   37680     break;
   37681    case 55:
   37682     $57 = HEAP32[41782] | 0;
   37683     $58 = $57;
   37684     $u199 = $57 + 72 | 0;
   37685     $prev201 = $u199;
   37686     $59 = HEAP32[$prev201 >> 2] | 0;
   37687     HEAP32[41782] = $59;
   37688     $htmlval_sroa_0_0 = $58;
   37689     label = 68;
   37690     break;
   37691    case 56:
   37692     $tbl204 = $htmlvsp_2;
   37693     $60 = HEAP32[$tbl204 >> 2] | 0;
   37694     $61 = $60;
   37695     $htmlval_sroa_0_0 = $61;
   37696     label = 68;
   37697     break;
   37698    case 57:
   37699     $arrayidx207 = $htmlvsp_2 - 4 | 0;
   37700     $tbl208 = $arrayidx207;
   37701     $62 = HEAP32[$tbl208 >> 2] | 0;
   37702     $63 = $62;
   37703     $htmlval_sroa_0_0 = $63;
   37704     label = 68;
   37705     break;
   37706    case 58:
   37707     $arrayidx211 = $htmlvsp_2 - 4 | 0;
   37708     $tbl212 = $arrayidx211;
   37709     $64 = HEAP32[$tbl212 >> 2] | 0;
   37710     $65 = $64;
   37711     $htmlval_sroa_0_0 = $65;
   37712     label = 68;
   37713     break;
   37714    case 59:
   37715     $arrayidx215 = $htmlvsp_2 - 4 | 0;
   37716     $tbl216 = $arrayidx215;
   37717     $66 = HEAP32[$tbl216 >> 2] | 0;
   37718     $67 = $66;
   37719     $htmlval_sroa_0_0 = $67;
   37720     label = 68;
   37721     break;
   37722    case 60:
   37723     $arrayidx219 = $htmlvsp_2 - 4 | 0;
   37724     $tbl220 = $arrayidx219;
   37725     $68 = HEAP32[$tbl220 >> 2] | 0;
   37726     $69 = $68;
   37727     $htmlval_sroa_0_0 = $69;
   37728     label = 68;
   37729     break;
   37730    case 61:
   37731     _addRow();
   37732     $htmlval_sroa_0_0 = $htmlval_sroa_0_0_copyload19;
   37733     label = 68;
   37734     break;
   37735    case 62:
   37736     $arrayidx224 = $htmlvsp_2 - 4 | 0;
   37737     $cell = $arrayidx224;
   37738     $70 = HEAP32[$cell >> 2] | 0;
   37739     $tbl226 = $htmlvsp_2;
   37740     $71 = HEAP32[$tbl226 >> 2] | 0;
   37741     $72 = $71;
   37742     _setCell($70, $72, 1);
   37743     $htmlval_sroa_0_0 = $htmlval_sroa_0_0_copyload19;
   37744     label = 68;
   37745     break;
   37746    case 63:
   37747     $arrayidx228 = $htmlvsp_2 - 4 | 0;
   37748     $cell229 = $arrayidx228;
   37749     $73 = HEAP32[$cell229 >> 2] | 0;
   37750     $txt231 = $htmlvsp_2;
   37751     $74 = HEAP32[$txt231 >> 2] | 0;
   37752     $75 = $74;
   37753     _setCell($73, $75, 2);
   37754     $htmlval_sroa_0_0 = $htmlval_sroa_0_0_copyload19;
   37755     label = 68;
   37756     break;
   37757    case 64:
   37758     $arrayidx233 = $htmlvsp_2 - 4 | 0;
   37759     $cell234 = $arrayidx233;
   37760     $76 = HEAP32[$cell234 >> 2] | 0;
   37761     $img = $htmlvsp_2;
   37762     $77 = HEAP32[$img >> 2] | 0;
   37763     $78 = $77;
   37764     _setCell($76, $78, 3);
   37765     $htmlval_sroa_0_0 = $htmlval_sroa_0_0_copyload19;
   37766     label = 68;
   37767     break;
   37768    case 65:
   37769     $cell238 = $htmlvsp_2;
   37770     $79 = HEAP32[$cell238 >> 2] | 0;
   37771     $call239 = _mkText() | 0;
   37772     $80 = $call239;
   37773     _setCell($79, $80, 2);
   37774     $htmlval_sroa_0_0 = $htmlval_sroa_0_0_copyload19;
   37775     label = 68;
   37776     break;
   37777    case 66:
   37778     $arrayidx241 = $htmlvsp_2 - 4 | 0;
   37779     $img242 = $arrayidx241;
   37780     $81 = HEAP32[$img242 >> 2] | 0;
   37781     $82 = $81;
   37782     $htmlval_sroa_0_0 = $82;
   37783     label = 68;
   37784     break;
   37785    case 67:
   37786     $img246 = $htmlvsp_2;
   37787     $83 = HEAP32[$img246 >> 2] | 0;
   37788     $84 = $83;
   37789     $htmlval_sroa_0_0 = $84;
   37790     label = 68;
   37791     break;
   37792    case 68:
   37793     $idx_neg = -$conv103 | 0;
   37794     $add_ptr250 = $htmlssp_2 + ($idx_neg << 1) | 0;
   37795     $add_ptr248_sum = 1 - $conv103 | 0;
   37796     $incdec_ptr251 = $htmlvsp_2 + ($add_ptr248_sum << 2) | 0;
   37797     $htmlval_sroa_0_0__idx = $htmlvsp_2 + ($add_ptr248_sum << 2) | 0;
   37798     HEAP32[$htmlval_sroa_0_0__idx >> 2] = $htmlval_sroa_0_0;
   37799     $arrayidx252 = $htmln_0 + 13376 | 0;
   37800     $85 = HEAP8[$arrayidx252] | 0;
   37801     $conv253 = $85 & 255;
   37802     $sub254 = $conv253 - 31 | 0;
   37803     $arrayidx255 = $sub254 + 13440 | 0;
   37804     $86 = HEAP8[$arrayidx255] | 0;
   37805     $conv256 = $86 << 24 >> 24;
   37806     $87 = HEAP16[$add_ptr250 >> 1] | 0;
   37807     $conv257 = $87 << 16 >> 16;
   37808     $add258 = $conv257 + $conv256 | 0;
   37809     $88 = $add258 >>> 0 < 182;
   37810     if ($88) {
   37811       label = 69;
   37812       break;
   37813     } else {
   37814       label = 71;
   37815       break;
   37816     }
   37817    case 69:
   37818     $arrayidx264 = $add258 + 13824 | 0;
   37819     $89 = HEAP8[$arrayidx264] | 0;
   37820     $conv265 = $89 << 24 >> 24;
   37821     $cmp267 = ($conv265 | 0) == ($conv257 | 0);
   37822     if ($cmp267) {
   37823       label = 70;
   37824       break;
   37825     } else {
   37826       label = 71;
   37827       break;
   37828     }
   37829    case 70:
   37830     $arrayidx270 = $add258 + 13128 | 0;
   37831     $90 = HEAP8[$arrayidx270] | 0;
   37832     $conv271 = $90 << 24 >> 24;
   37833     $htmlstate_0 = $conv271;
   37834     $htmlerrstatus_0 = $htmlerrstatus_1;
   37835     $htmlssp_0 = $add_ptr250;
   37836     $htmlvsp_0 = $incdec_ptr251;
   37837     label = 3;
   37838     break;
   37839    case 71:
   37840     $arrayidx274 = $sub254 + 13688 | 0;
   37841     $91 = HEAP8[$arrayidx274] | 0;
   37842     $conv275 = $91 << 24 >> 24;
   37843     $htmlstate_0 = $conv275;
   37844     $htmlerrstatus_0 = $htmlerrstatus_1;
   37845     $htmlssp_0 = $add_ptr250;
   37846     $htmlvsp_0 = $incdec_ptr251;
   37847     label = 3;
   37848     break;
   37849    case 72:
   37850     if (($htmlerrstatus_1 | 0) == 0) {
   37851       label = 73;
   37852       break;
   37853     } else if (($htmlerrstatus_1 | 0) == 3) {
   37854       label = 74;
   37855       break;
   37856     } else {
   37857       $htmlstate_2 = $htmlstate_1;
   37858       $htmlssp_3 = $htmlssp_2;
   37859       $htmlvsp_3 = $htmlvsp_2;
   37860       label = 77;
   37861       break;
   37862     }
   37863    case 73:
   37864     $92 = HEAP32[3418] | 0;
   37865     $inc = $92 + 1 | 0;
   37866     HEAP32[3418] = $inc;
   37867     _htmlerror(121376);
   37868     $htmlstate_2 = $htmlstate_1;
   37869     $htmlssp_3 = $htmlssp_2;
   37870     $htmlvsp_3 = $htmlvsp_2;
   37871     label = 77;
   37872     break;
   37873    case 74:
   37874     $93 = HEAP32[3502] | 0;
   37875     $cmp283 = ($93 | 0) < 1;
   37876     if ($cmp283) {
   37877       label = 75;
   37878       break;
   37879     } else {
   37880       label = 76;
   37881       break;
   37882     }
   37883    case 75:
   37884     $cmp286 = ($93 | 0) == 0;
   37885     if ($cmp286) {
   37886       $htmlss_3 = $htmlss_1;
   37887       $htmlresult_0 = 1;
   37888       label = 84;
   37889       break;
   37890     } else {
   37891       $htmlstate_2 = $htmlstate_1;
   37892       $htmlssp_3 = $htmlssp_2;
   37893       $htmlvsp_3 = $htmlvsp_2;
   37894       label = 77;
   37895       break;
   37896     }
   37897    case 76:
   37898     HEAP32[3502] = -2;
   37899     $htmlstate_2 = $htmlstate_1;
   37900     $htmlssp_3 = $htmlssp_2;
   37901     $htmlvsp_3 = $htmlvsp_2;
   37902     label = 77;
   37903     break;
   37904    case 77:
   37905     $arrayidx298 = 13480 + ($htmlstate_2 << 1) | 0;
   37906     $94 = HEAP16[$arrayidx298 >> 1] | 0;
   37907     $cmp300 = $94 << 16 >> 16 == -11;
   37908     if ($cmp300) {
   37909       label = 80;
   37910       break;
   37911     } else {
   37912       label = 78;
   37913       break;
   37914     }
   37915    case 78:
   37916     $conv299 = $94 << 16 >> 16;
   37917     $add303 = $conv299 + 1 | 0;
   37918     $cmp312 = ($add303 | 0) == 12;
   37919     if ($cmp312) {
   37920       label = 79;
   37921       break;
   37922     } else {
   37923       label = 80;
   37924       break;
   37925     }
   37926    case 79:
   37927     $arrayidx315 = $add303 + 13128 | 0;
   37928     $95 = HEAP8[$arrayidx315] | 0;
   37929     $cmp317 = $95 << 24 >> 24 > 0;
   37930     if ($cmp317) {
   37931       label = 82;
   37932       break;
   37933     } else {
   37934       label = 80;
   37935       break;
   37936     }
   37937    case 80:
   37938     $cmp323 = ($htmlssp_3 | 0) == ($htmlss_1 | 0);
   37939     if ($cmp323) {
   37940       $htmlss_3 = $htmlss_1;
   37941       $htmlresult_0 = 1;
   37942       label = 84;
   37943       break;
   37944     } else {
   37945       label = 81;
   37946       break;
   37947     }
   37948    case 81:
   37949     $add_ptr329 = $htmlvsp_3 - 4 | 0;
   37950     $add_ptr330 = $htmlssp_3 - 2 | 0;
   37951     $96 = HEAP16[$add_ptr330 >> 1] | 0;
   37952     $conv331 = $96 << 16 >> 16;
   37953     $htmlstate_2 = $conv331;
   37954     $htmlssp_3 = $add_ptr330;
   37955     $htmlvsp_3 = $add_ptr329;
   37956     label = 77;
   37957     break;
   37958    case 82:
   37959     $conv316 = $95 << 24 >> 24;
   37960     $incdec_ptr332 = $htmlvsp_3 + 4 | 0;
   37961     $97 = HEAP32[3420] | 0;
   37962     $98 = $incdec_ptr332 | 0;
   37963     HEAP32[$98 >> 2] = $97;
   37964     $htmlstate_0 = $conv316;
   37965     $htmlerrstatus_0 = 3;
   37966     $htmlssp_0 = $htmlssp_3;
   37967     $htmlvsp_0 = $incdec_ptr332;
   37968     label = 3;
   37969     break;
   37970    case 83:
   37971     _htmlerror(106440);
   37972     $htmlss_3 = $htmlss_0;
   37973     $htmlresult_0 = 2;
   37974     label = 84;
   37975     break;
   37976    case 84:
   37977     $cmp348 = ($htmlss_3 | 0) == ($arraydecay | 0);
   37978     if ($cmp348) {
   37979       label = 86;
   37980       break;
   37981     } else {
   37982       label = 85;
   37983       break;
   37984     }
   37985    case 85:
   37986     $99 = $htmlss_3;
   37987     _free($99);
   37988     label = 86;
   37989     break;
   37990    case 86:
   37991     STACKTOP = __stackBase__;
   37992     return $htmlresult_0 | 0;
   37993   }
   37994   return 0;
   37995 }
   37996 function _mkLabel($obj, $kind) {
   37997   $obj = $obj | 0;
   37998   $kind = $kind | 0;
   37999   var $call = 0, $0 = 0, $conv = 0, $1 = 0, $cmp = 0, $2 = 0, $txt = 0, $3 = 0, $tbl = 0, label = 0;
   38000   label = 2;
   38001   while (1) switch (label | 0) {
   38002    case 2:
   38003     $call = _zmalloc(8) | 0;
   38004     $0 = $call;
   38005     $conv = $kind & 255;
   38006     $1 = $call + 4 | 0;
   38007     HEAP8[$1] = $conv;
   38008     $cmp = ($kind | 0) == 2;
   38009     if ($cmp) {
   38010       label = 3;
   38011       break;
   38012     } else {
   38013       label = 4;
   38014       break;
   38015     }
   38016    case 3:
   38017     $2 = $obj;
   38018     $txt = $call;
   38019     HEAP32[$txt >> 2] = $2;
   38020     label = 5;
   38021     break;
   38022    case 4:
   38023     $3 = $obj;
   38024     $tbl = $call;
   38025     HEAP32[$tbl >> 2] = $3;
   38026     label = 5;
   38027     break;
   38028    case 5:
   38029     return $0 | 0;
   38030   }
   38031   return 0;
   38032 }
   38033 function _cleanup() {
   38034   var $0 = 0, $1 = 0, $tobool = 0, $tobool13 = 0, $tp_04 = 0, $u = 0, $prev = 0, $2 = 0, $tobool1 = 0, $3 = 0, $searchf = 0, $4 = 0, $call = 0, $5 = 0, $searchf2 = 0, $6 = 0, $call3 = 0, label = 0;
   38035   label = 2;
   38036   while (1) switch (label | 0) {
   38037    case 2:
   38038     $0 = HEAP32[41782] | 0;
   38039     $1 = HEAP32[41784] | 0;
   38040     $tobool = ($1 | 0) == 0;
   38041     if ($tobool) {
   38042       label = 4;
   38043       break;
   38044     } else {
   38045       label = 3;
   38046       break;
   38047     }
   38048    case 3:
   38049     _free_html_label($1, 1);
   38050     HEAP32[41784] = 0;
   38051     label = 4;
   38052     break;
   38053    case 4:
   38054     HEAP32[13344] = 450;
   38055     $tobool13 = ($0 | 0) == 0;
   38056     if ($tobool13) {
   38057       label = 6;
   38058       break;
   38059     } else {
   38060       $tp_04 = $0;
   38061       label = 5;
   38062       break;
   38063     }
   38064    case 5:
   38065     $u = $tp_04 + 72 | 0;
   38066     $prev = $u;
   38067     $2 = HEAP32[$prev >> 2] | 0;
   38068     _cleanTbl($tp_04);
   38069     $tobool1 = ($2 | 0) == 0;
   38070     if ($tobool1) {
   38071       label = 6;
   38072       break;
   38073     } else {
   38074       $tp_04 = $2;
   38075       label = 5;
   38076       break;
   38077     }
   38078    case 6:
   38079     HEAP32[13344] = 102;
   38080     HEAP32[4088] = 68;
   38081     $3 = HEAP32[41780] | 0;
   38082     $searchf = $3 | 0;
   38083     $4 = HEAP32[$searchf >> 2] | 0;
   38084     $call = FUNCTION_TABLE_iiii[$4 & 1023]($3, 0, 64) | 0;
   38085     HEAP32[4088] = 102;
   38086     HEAP32[4098] = 474;
   38087     $5 = HEAP32[41778] | 0;
   38088     $searchf2 = $5 | 0;
   38089     $6 = HEAP32[$searchf2 >> 2] | 0;
   38090     $call3 = FUNCTION_TABLE_iiii[$6 & 1023]($5, 0, 64) | 0;
   38091     HEAP32[4098] = 102;
   38092     _freeFontstack();
   38093     return;
   38094   }
   38095 }
   38096 function _nonSpace($s) {
   38097   $s = $s | 0;
   38098   var $s_addr_0 = 0, $0 = 0, $tobool = 0, $incdec_ptr = 0, $cmp = 0, $retval_0 = 0, label = 0;
   38099   label = 2;
   38100   while (1) switch (label | 0) {
   38101    case 2:
   38102     $s_addr_0 = $s;
   38103     label = 3;
   38104     break;
   38105    case 3:
   38106     $0 = HEAP8[$s_addr_0] | 0;
   38107     $tobool = $0 << 24 >> 24 == 0;
   38108     if ($tobool) {
   38109       $retval_0 = 0;
   38110       label = 5;
   38111       break;
   38112     } else {
   38113       label = 4;
   38114       break;
   38115     }
   38116    case 4:
   38117     $incdec_ptr = $s_addr_0 + 1 | 0;
   38118     $cmp = $0 << 24 >> 24 == 32;
   38119     if ($cmp) {
   38120       $s_addr_0 = $incdec_ptr;
   38121       label = 3;
   38122       break;
   38123     } else {
   38124       $retval_0 = 1;
   38125       label = 5;
   38126       break;
   38127     }
   38128    case 5:
   38129     return $retval_0 | 0;
   38130   }
   38131   return 0;
   38132 }
   38133 function _dupFont($f) {
   38134   $f = $f | 0;
   38135   var $tobool = 0, $cnt = 0, $0 = 0, $inc = 0, label = 0;
   38136   label = 2;
   38137   while (1) switch (label | 0) {
   38138    case 2:
   38139     $tobool = ($f | 0) == 0;
   38140     if ($tobool) {
   38141       label = 4;
   38142       break;
   38143     } else {
   38144       label = 3;
   38145       break;
   38146     }
   38147    case 3:
   38148     $cnt = $f + 12 | 0;
   38149     $0 = HEAP32[$cnt >> 2] | 0;
   38150     $inc = $0 + 1 | 0;
   38151     HEAP32[$cnt >> 2] = $inc;
   38152     label = 4;
   38153     break;
   38154    case 4:
   38155     return $f | 0;
   38156   }
   38157   return 0;
   38158 }
   38159 function _mkText() {
   38160   var $0 = 0, $call = 0, $1 = 0, $2 = 0, $call1 = 0, $tobool = 0, $call2 = 0, $conv = 0, $nparas = 0, $3 = 0, $tobool3 = 0, $mul = 0, $call5 = 0, $4 = 0, $paras = 0, $searchf = 0, $5 = 0, $call6 = 0, $tobool715 = 0, $i_017 = 0, $fl_0_in16 = 0, $6 = 0, $arrayidx = 0, $lp = 0, $7 = 0, $inc = 0, $8 = 0, $call10 = 0, $tobool7 = 0, $searchf12 = 0, $9 = 0, $call13 = 0, label = 0;
   38161   label = 2;
   38162   while (1) switch (label | 0) {
   38163    case 2:
   38164     $0 = HEAP32[41778] | 0;
   38165     $call = _zmalloc(40) | 0;
   38166     $1 = $call;
   38167     $2 = HEAP32[41780] | 0;
   38168     $call1 = _dtsize($2) | 0;
   38169     $tobool = ($call1 | 0) == 0;
   38170     if ($tobool) {
   38171       label = 4;
   38172       break;
   38173     } else {
   38174       label = 3;
   38175       break;
   38176     }
   38177    case 3:
   38178     _appendFLineList(0);
   38179     label = 4;
   38180     break;
   38181    case 4:
   38182     $call2 = _dtsize($0) | 0;
   38183     $conv = $call2 & 65535;
   38184     $nparas = $call + 4 | 0;
   38185     $3 = $nparas;
   38186     HEAP16[$3 >> 1] = $conv;
   38187     $tobool3 = ($call2 | 0) == 0;
   38188     if ($tobool3) {
   38189       label = 7;
   38190       break;
   38191     } else {
   38192       label = 5;
   38193       break;
   38194     }
   38195    case 5:
   38196     $mul = $call2 * 24 & -1;
   38197     $call5 = _zmalloc($mul) | 0;
   38198     $4 = $call5;
   38199     $paras = $call;
   38200     HEAP32[$paras >> 2] = $4;
   38201     $searchf = $0 | 0;
   38202     $5 = HEAP32[$searchf >> 2] | 0;
   38203     $call6 = FUNCTION_TABLE_iiii[$5 & 1023]($0, 0, 128) | 0;
   38204     $tobool715 = ($call6 | 0) == 0;
   38205     if ($tobool715) {
   38206       label = 7;
   38207       break;
   38208     } else {
   38209       $fl_0_in16 = $call6;
   38210       $i_017 = 0;
   38211       label = 6;
   38212       break;
   38213     }
   38214    case 6:
   38215     $6 = HEAP32[$paras >> 2] | 0;
   38216     $arrayidx = $6 + ($i_017 * 24 & -1) | 0;
   38217     $lp = $fl_0_in16 + 8 | 0;
   38218     $7 = $arrayidx;
   38219     HEAP32[$7 >> 2] = HEAP32[$lp >> 2] | 0;
   38220     HEAP32[$7 + 4 >> 2] = HEAP32[$lp + 4 >> 2] | 0;
   38221     HEAP32[$7 + 8 >> 2] = HEAP32[$lp + 8 >> 2] | 0;
   38222     HEAP32[$7 + 12 >> 2] = HEAP32[$lp + 12 >> 2] | 0;
   38223     HEAP32[$7 + 16 >> 2] = HEAP32[$lp + 16 >> 2] | 0;
   38224     HEAP32[$7 + 20 >> 2] = HEAP32[$lp + 20 >> 2] | 0;
   38225     $inc = $i_017 + 1 | 0;
   38226     $8 = HEAP32[$searchf >> 2] | 0;
   38227     $call10 = FUNCTION_TABLE_iiii[$8 & 1023]($0, $fl_0_in16, 8) | 0;
   38228     $tobool7 = ($call10 | 0) == 0;
   38229     if ($tobool7) {
   38230       label = 7;
   38231       break;
   38232     } else {
   38233       $fl_0_in16 = $call10;
   38234       $i_017 = $inc;
   38235       label = 6;
   38236       break;
   38237     }
   38238    case 7:
   38239     $searchf12 = $0 | 0;
   38240     $9 = HEAP32[$searchf12 >> 2] | 0;
   38241     $call13 = FUNCTION_TABLE_iiii[$9 & 1023]($0, 0, 64) | 0;
   38242     return $1 | 0;
   38243   }
   38244   return 0;
   38245 }
   38246 function _appendFItemList($ag) {
   38247   $ag = $ag | 0;
   38248   var $call = 0, $ptr = 0, $0 = 0, $eptr = 0, $1 = 0, $cmp = 0, $call1 = 0, $2 = 0, $buf = 0, $3 = 0, $call4 = 0, $ti = 0, $str = 0, $4 = 0, $cfont = 0, $5 = 0, $call5 = 0, $font = 0, $6 = 0, $7 = 0, $searchf = 0, $8 = 0, $call7 = 0, label = 0;
   38249   label = 2;
   38250   while (1) switch (label | 0) {
   38251    case 2:
   38252     $call = _zmalloc(88) | 0;
   38253     $ptr = $ag + 4 | 0;
   38254     $0 = HEAP32[$ptr >> 2] | 0;
   38255     $eptr = $ag + 8 | 0;
   38256     $1 = HEAP32[$eptr >> 2] | 0;
   38257     $cmp = $0 >>> 0 < $1 >>> 0;
   38258     if ($cmp) {
   38259       label = 4;
   38260       break;
   38261     } else {
   38262       label = 3;
   38263       break;
   38264     }
   38265    case 3:
   38266     $call1 = _agxbmore($ag, 1) | 0;
   38267     label = 4;
   38268     break;
   38269    case 4:
   38270     $2 = HEAP32[$ptr >> 2] | 0;
   38271     HEAP8[$2] = 0;
   38272     $buf = $ag | 0;
   38273     $3 = HEAP32[$buf >> 2] | 0;
   38274     HEAP32[$ptr >> 2] = $3;
   38275     $call4 = _strdup($3 | 0) | 0;
   38276     $ti = $call + 8 | 0;
   38277     $str = $ti;
   38278     HEAP32[$str >> 2] = $call4;
   38279     $4 = HEAP32[41774] | 0;
   38280     $cfont = $4 | 0;
   38281     $5 = HEAP32[$cfont >> 2] | 0;
   38282     $call5 = _dupFont($5) | 0;
   38283     $font = $call + 24 | 0;
   38284     $6 = $font;
   38285     HEAP32[$6 >> 2] = $call5;
   38286     $7 = HEAP32[41780] | 0;
   38287     $searchf = $7 | 0;
   38288     $8 = HEAP32[$searchf >> 2] | 0;
   38289     $call7 = FUNCTION_TABLE_iiii[$8 & 1023]($7, $call, 1) | 0;
   38290     return;
   38291   }
   38292 }
   38293 function _appendFLineList($v) {
   38294   $v = $v | 0;
   38295   var $call = 0, $0 = 0, $call1 = 0, $conv = 0, $nitems = 0, $1 = 0, $conv2 = 0, $2 = 0, $tobool = 0, $lp = 0, $mul = 0, $call4 = 0, $3 = 0, $items = 0, $call6 = 0, $tobool713 = 0, $i_015 = 0, $fi_0_in14 = 0, $4 = 0, $arrayidx = 0, $ti = 0, $5 = 0, $6 = 0, $inc = 0, $right = 0, $7 = 0, $tobool7 = 0, $searchf = 0, $8 = 0, $call10 = 0, $9 = 0, $searchf11 = 0, $10 = 0, $call12 = 0, label = 0;
   38296   label = 2;
   38297   while (1) switch (label | 0) {
   38298    case 2:
   38299     $call = _zmalloc(32) | 0;
   38300     $0 = HEAP32[41780] | 0;
   38301     $call1 = _dtsize($0) | 0;
   38302     $conv = $call1 & 65535;
   38303     $nitems = $call + 12 | 0;
   38304     $1 = $nitems;
   38305     HEAP16[$1 >> 1] = $conv;
   38306     $conv2 = $v & 255;
   38307     $2 = $call + 14 | 0;
   38308     HEAP8[$2] = $conv2;
   38309     $tobool = ($call1 | 0) == 0;
   38310     if ($tobool) {
   38311       label = 5;
   38312       break;
   38313     } else {
   38314       label = 3;
   38315       break;
   38316     }
   38317    case 3:
   38318     $lp = $call + 8 | 0;
   38319     $mul = $call1 * 80 & -1;
   38320     $call4 = _zmalloc($mul) | 0;
   38321     $3 = $call4;
   38322     $items = $lp;
   38323     HEAP32[$items >> 2] = $3;
   38324     $call6 = _dtflatten($0) | 0;
   38325     $tobool713 = ($call6 | 0) == 0;
   38326     if ($tobool713) {
   38327       label = 5;
   38328       break;
   38329     } else {
   38330       $fi_0_in14 = $call6;
   38331       $i_015 = 0;
   38332       label = 4;
   38333       break;
   38334     }
   38335    case 4:
   38336     $4 = HEAP32[$items >> 2] | 0;
   38337     $arrayidx = $4 + ($i_015 * 80 & -1) | 0;
   38338     $ti = $fi_0_in14 + 8 | 0;
   38339     $5 = $arrayidx;
   38340     $6 = $ti;
   38341     _memcpy($5 | 0, $6 | 0, 80);
   38342     $inc = $i_015 + 1 | 0;
   38343     $right = $fi_0_in14 | 0;
   38344     $7 = HEAP32[$right >> 2] | 0;
   38345     $tobool7 = ($7 | 0) == 0;
   38346     if ($tobool7) {
   38347       label = 5;
   38348       break;
   38349     } else {
   38350       $fi_0_in14 = $7;
   38351       $i_015 = $inc;
   38352       label = 4;
   38353       break;
   38354     }
   38355    case 5:
   38356     $searchf = $0 | 0;
   38357     $8 = HEAP32[$searchf >> 2] | 0;
   38358     $call10 = FUNCTION_TABLE_iiii[$8 & 1023]($0, 0, 64) | 0;
   38359     $9 = HEAP32[41778] | 0;
   38360     $searchf11 = $9 | 0;
   38361     $10 = HEAP32[$searchf11 >> 2] | 0;
   38362     $call12 = FUNCTION_TABLE_iiii[$10 & 1023]($9, $call, 1) | 0;
   38363     return;
   38364   }
   38365 }
   38366 function _pushFont($f) {
   38367   $f = $f | 0;
   38368   var $call = 0, $0 = 0, $1 = 0, $cfont = 0, $2 = 0, $tobool = 0, $color = 0, $3 = 0, $tobool1 = 0, $color2 = 0, $4 = 0, $tobool3 = 0, $call6 = 0, $size = 0, $5 = 0.0, $cmp = 0, $size9 = 0, $6 = 0.0, $cmp10 = 0, $name = 0, $7 = 0, $tobool15 = 0, $name17 = 0, $8 = 0, $tobool18 = 0, $call21 = 0, $9 = 0, $10 = 0, $11 = 0, $_mask = 0, $tobool24 = 0, $12 = 0, $13 = 0, $14 = 0, $15 = 0, $call35 = 0, $cfont36 = 0, $16 = 0, $pfont = 0, $17 = 0, label = 0;
   38369   label = 2;
   38370   while (1) switch (label | 0) {
   38371    case 2:
   38372     $call = _zmalloc(8) | 0;
   38373     $0 = $call;
   38374     $1 = HEAP32[41774] | 0;
   38375     $cfont = $1 | 0;
   38376     $2 = HEAP32[$cfont >> 2] | 0;
   38377     $tobool = ($2 | 0) == 0;
   38378     if ($tobool) {
   38379       label = 14;
   38380       break;
   38381     } else {
   38382       label = 3;
   38383       break;
   38384     }
   38385    case 3:
   38386     $color = $f + 4 | 0;
   38387     $3 = HEAP32[$color >> 2] | 0;
   38388     $tobool1 = ($3 | 0) == 0;
   38389     if ($tobool1) {
   38390       label = 4;
   38391       break;
   38392     } else {
   38393       label = 6;
   38394       break;
   38395     }
   38396    case 4:
   38397     $color2 = $2 + 4 | 0;
   38398     $4 = HEAP32[$color2 >> 2] | 0;
   38399     $tobool3 = ($4 | 0) == 0;
   38400     if ($tobool3) {
   38401       label = 6;
   38402       break;
   38403     } else {
   38404       label = 5;
   38405       break;
   38406     }
   38407    case 5:
   38408     $call6 = _strdup($4 | 0) | 0;
   38409     HEAP32[$color >> 2] = $call6;
   38410     label = 6;
   38411     break;
   38412    case 6:
   38413     $size = $f + 16 | 0;
   38414     $5 = +HEAPF64[$size >> 3];
   38415     $cmp = $5 < 0.0;
   38416     if ($cmp) {
   38417       label = 7;
   38418       break;
   38419     } else {
   38420       label = 9;
   38421       break;
   38422     }
   38423    case 7:
   38424     $size9 = $2 + 16 | 0;
   38425     $6 = +HEAPF64[$size9 >> 3];
   38426     $cmp10 = $6 < 0.0;
   38427     if ($cmp10) {
   38428       label = 9;
   38429       break;
   38430     } else {
   38431       label = 8;
   38432       break;
   38433     }
   38434    case 8:
   38435     HEAPF64[$size >> 3] = $6;
   38436     label = 9;
   38437     break;
   38438    case 9:
   38439     $name = $f | 0;
   38440     $7 = HEAP32[$name >> 2] | 0;
   38441     $tobool15 = ($7 | 0) == 0;
   38442     if ($tobool15) {
   38443       label = 10;
   38444       break;
   38445     } else {
   38446       label = 12;
   38447       break;
   38448     }
   38449    case 10:
   38450     $name17 = $2 | 0;
   38451     $8 = HEAP32[$name17 >> 2] | 0;
   38452     $tobool18 = ($8 | 0) == 0;
   38453     if ($tobool18) {
   38454       label = 12;
   38455       break;
   38456     } else {
   38457       label = 11;
   38458       break;
   38459     }
   38460    case 11:
   38461     $call21 = _strdup($8 | 0) | 0;
   38462     HEAP32[$name >> 2] = $call21;
   38463     label = 12;
   38464     break;
   38465    case 12:
   38466     $9 = $2 + 8 | 0;
   38467     $10 = $9;
   38468     $11 = HEAP32[$10 >> 2] | 0;
   38469     $_mask = $11 & 127;
   38470     $tobool24 = ($_mask | 0) == 0;
   38471     if ($tobool24) {
   38472       label = 14;
   38473       break;
   38474     } else {
   38475       label = 13;
   38476       break;
   38477     }
   38478    case 13:
   38479     $12 = $f + 8 | 0;
   38480     $13 = $12;
   38481     $14 = HEAP32[$13 >> 2] | 0;
   38482     $15 = $14 | $_mask;
   38483     HEAP32[$13 >> 2] = $15;
   38484     label = 14;
   38485     break;
   38486    case 14:
   38487     $call35 = _dupFont($f) | 0;
   38488     $cfont36 = $call;
   38489     HEAP32[$cfont36 >> 2] = $call35;
   38490     $16 = HEAP32[41774] | 0;
   38491     $pfont = $call + 4 | 0;
   38492     $17 = $pfont;
   38493     HEAP32[$17 >> 2] = $16;
   38494     HEAP32[41774] = $0;
   38495     return;
   38496   }
   38497 }
   38498 function _popFont() {
   38499   var $0 = 0, $1 = 0;
   38500   $0 = HEAP32[41774] | 0;
   38501   $1 = HEAP32[$0 + 4 >> 2] | 0;
   38502   _free_html_font(HEAP32[$0 >> 2] | 0);
   38503   _free($0);
   38504   HEAP32[41774] = $1;
   38505   return;
   38506 }
   38507 function _addRow() {
   38508   var $call = 0, $2 = 0, $call1 = 0, $3 = 0;
   38509   $call = _dtopen(53360, HEAP32[41976] | 0) | 0;
   38510   $2 = HEAP32[41782] | 0;
   38511   $call1 = _zmalloc(12) | 0;
   38512   HEAP32[$call1 + 8 >> 2] = $call;
   38513   $3 = HEAP32[$2 + 76 >> 2] | 0;
   38514   FUNCTION_TABLE_iiii[HEAP32[$3 >> 2] & 1023]($3, $call1, 1);
   38515   return;
   38516 }
   38517 function _setCell($cp, $obj, $kind) {
   38518   $cp = $cp | 0;
   38519   $obj = $obj | 0;
   38520   $kind = $kind | 0;
   38521   var $call = 0, $0 = 0, $rows = 0, $1 = 0, $2 = 0, $searchf11 = 0, $3 = 0, $call4 = 0, $u5 = 0, $rp6 = 0, $4 = 0, $u7 = 0, $cp8 = 0, $searchf9 = 0, $5 = 0, $call10 = 0, $conv = 0, $child = 0, $kind11 = 0, $6 = 0, $_c12 = 0, $7 = 0, $_c = 0, $8 = 0, $tbl23 = 0, label = 0;
   38522   label = 2;
   38523   while (1) switch (label | 0) {
   38524    case 2:
   38525     $call = _zmalloc(12) | 0;
   38526     $0 = HEAP32[41782] | 0;
   38527     $rows = $0 + 76 | 0;
   38528     $1 = HEAP32[$rows >> 2] | 0;
   38529     $2 = $1;
   38530     $searchf11 = HEAP32[$1 >> 2] | 0;
   38531     $3 = $searchf11;
   38532     $call4 = FUNCTION_TABLE_iiii[$3 & 1023]($2, 0, 256) | 0;
   38533     $u5 = $call4 + 8 | 0;
   38534     $rp6 = $u5;
   38535     $4 = HEAP32[$rp6 >> 2] | 0;
   38536     $u7 = $call + 8 | 0;
   38537     $cp8 = $u7;
   38538     HEAP32[$cp8 >> 2] = $cp;
   38539     $searchf9 = $4 | 0;
   38540     $5 = HEAP32[$searchf9 >> 2] | 0;
   38541     $call10 = FUNCTION_TABLE_iiii[$5 & 1023]($4, $call, 1) | 0;
   38542     $conv = $kind & 255;
   38543     $child = $cp + 80 | 0;
   38544     $kind11 = $cp + 84 | 0;
   38545     HEAP8[$kind11] = $conv;
   38546     if (($kind | 0) == 2) {
   38547       label = 3;
   38548       break;
   38549     } else if (($kind | 0) == 3) {
   38550       label = 4;
   38551       break;
   38552     } else {
   38553       label = 5;
   38554       break;
   38555     }
   38556    case 3:
   38557     $6 = $child | 0;
   38558     $_c12 = $obj;
   38559     HEAP32[$6 >> 2] = $_c12;
   38560     label = 6;
   38561     break;
   38562    case 4:
   38563     $7 = $child | 0;
   38564     $_c = $obj;
   38565     HEAP32[$7 >> 2] = $_c;
   38566     label = 6;
   38567     break;
   38568    case 5:
   38569     $8 = $obj;
   38570     $tbl23 = $child | 0;
   38571     HEAP32[$tbl23 >> 2] = $8;
   38572     label = 6;
   38573     break;
   38574    case 6:
   38575     return;
   38576   }
   38577 }
   38578 function _parseHTML($txt, $warn, $charset) {
   38579   $txt = $txt | 0;
   38580   $warn = $warn | 0;
   38581   $charset = $charset | 0;
   38582   var $str = 0, $dfltf = 0, $4 = 0, __stackBase__ = 0;
   38583   __stackBase__ = STACKTOP;
   38584   STACKTOP = STACKTOP + 152 | 0;
   38585   $str = __stackBase__ + 128 | 0;
   38586   $dfltf = __stackBase__ + 144 | 0;
   38587   HEAP32[$dfltf >> 2] = 0;
   38588   HEAP32[$dfltf + 4 >> 2] = 0;
   38589   HEAP32[41774] = $dfltf;
   38590   HEAP32[41782] = 0;
   38591   HEAP32[41784] = 0;
   38592   HEAP32[41780] = _dtopen(16336, HEAP32[41976] | 0) | 0;
   38593   HEAP32[41778] = _dtopen(16376, HEAP32[41976] | 0) | 0;
   38594   _agxbinit($str, 128, __stackBase__ | 0);
   38595   HEAP32[41776] = $str;
   38596   _initHTMLlexer($txt, $str, $charset);
   38597   _htmlparse();
   38598   HEAP32[$warn >> 2] = _clearHTMLlexer() | 0;
   38599   $4 = HEAP32[41784] | 0;
   38600   _dtclose(HEAP32[41780] | 0);
   38601   _dtclose(HEAP32[41778] | 0);
   38602   HEAP32[41780] = 0;
   38603   HEAP32[41778] = 0;
   38604   HEAP32[41774] = 0;
   38605   _agxbfree($str);
   38606   STACKTOP = __stackBase__;
   38607   return $4 | 0;
   38608 }
   38609 function _free_item($d, $p, $ds) {
   38610   $d = $d | 0;
   38611   $p = $p | 0;
   38612   $ds = $ds | 0;
   38613   _free($p);
   38614   return;
   38615 }
   38616 function _free_ritem($d, $p, $ds) {
   38617   $d = $d | 0;
   38618   $p = $p | 0;
   38619   $ds = $ds | 0;
   38620   _dtclose(HEAP32[$p + 8 >> 2] | 0);
   38621   _free($p);
   38622   return;
   38623 }
   38624 function _free_citem($d, $p, $ds) {
   38625   $d = $d | 0;
   38626   $p = $p | 0;
   38627   $ds = $ds | 0;
   38628   _cleanCell(HEAP32[$p + 8 >> 2] | 0);
   38629   _free($p);
   38630   return;
   38631 }
   38632 function _cleanTbl($tp) {
   38633   $tp = $tp | 0;
   38634   _dtclose(HEAP32[$tp + 76 >> 2] | 0);
   38635   _free_html_data($tp | 0);
   38636   _free($tp);
   38637   return;
   38638 }
   38639 function _free_fitem($d, $p, $ds) {
   38640   $d = $d | 0;
   38641   $p = $p | 0;
   38642   $ds = $ds | 0;
   38643   var $str = 0, $0 = 0, $tobool = 0, $font = 0, $1 = 0, $tobool4 = 0, $2 = 0, label = 0;
   38644   label = 2;
   38645   while (1) switch (label | 0) {
   38646    case 2:
   38647     $str = $p + 8 | 0;
   38648     $0 = HEAP32[$str >> 2] | 0;
   38649     $tobool = ($0 | 0) == 0;
   38650     if ($tobool) {
   38651       label = 4;
   38652       break;
   38653     } else {
   38654       label = 3;
   38655       break;
   38656     }
   38657    case 3:
   38658     _free($0);
   38659     label = 4;
   38660     break;
   38661    case 4:
   38662     $font = $p + 24 | 0;
   38663     $1 = HEAP32[$font >> 2] | 0;
   38664     $tobool4 = ($1 | 0) == 0;
   38665     if ($tobool4) {
   38666       label = 6;
   38667       break;
   38668     } else {
   38669       label = 5;
   38670       break;
   38671     }
   38672    case 5:
   38673     _free_html_font($1);
   38674     label = 6;
   38675     break;
   38676    case 6:
   38677     $2 = $p;
   38678     _free($2);
   38679     return;
   38680   }
   38681 }
   38682 function _free_fpara($d, $p, $ds) {
   38683   $d = $d | 0;
   38684   $p = $p | 0;
   38685   $ds = $ds | 0;
   38686   var $nitems = 0, $0 = 0, $tobool = 0, $items = 0, $1 = 0, $cmp11 = 0, $2 = 0, $i_013 = 0, $ti_012 = 0, $str = 0, $3 = 0, $tobool5 = 0, $font = 0, $4 = 0, $tobool8 = 0, $incdec_ptr = 0, $inc = 0, $5 = 0, $conv = 0, $cmp = 0, $6 = 0, $7 = 0, $8 = 0, label = 0;
   38687   label = 2;
   38688   while (1) switch (label | 0) {
   38689    case 2:
   38690     $nitems = $p + 12 | 0;
   38691     $0 = HEAP16[$nitems >> 1] | 0;
   38692     $tobool = $0 << 16 >> 16 == 0;
   38693     if ($tobool) {
   38694       label = 11;
   38695       break;
   38696     } else {
   38697       label = 3;
   38698       break;
   38699     }
   38700    case 3:
   38701     $items = $p + 8 | 0;
   38702     $1 = HEAP16[$nitems >> 1] | 0;
   38703     $cmp11 = $1 << 16 >> 16 > 0;
   38704     if ($cmp11) {
   38705       label = 4;
   38706       break;
   38707     } else {
   38708       label = 10;
   38709       break;
   38710     }
   38711    case 4:
   38712     $2 = HEAP32[$items >> 2] | 0;
   38713     $ti_012 = $2;
   38714     $i_013 = 0;
   38715     label = 5;
   38716     break;
   38717    case 5:
   38718     $str = $ti_012 | 0;
   38719     $3 = HEAP32[$str >> 2] | 0;
   38720     $tobool5 = ($3 | 0) == 0;
   38721     if ($tobool5) {
   38722       label = 7;
   38723       break;
   38724     } else {
   38725       label = 6;
   38726       break;
   38727     }
   38728    case 6:
   38729     _free($3);
   38730     label = 7;
   38731     break;
   38732    case 7:
   38733     $font = $ti_012 + 16 | 0;
   38734     $4 = HEAP32[$font >> 2] | 0;
   38735     $tobool8 = ($4 | 0) == 0;
   38736     if ($tobool8) {
   38737       label = 9;
   38738       break;
   38739     } else {
   38740       label = 8;
   38741       break;
   38742     }
   38743    case 8:
   38744     _free_html_font($4);
   38745     label = 9;
   38746     break;
   38747    case 9:
   38748     $incdec_ptr = $ti_012 + 80 | 0;
   38749     $inc = $i_013 + 1 | 0;
   38750     $5 = HEAP16[$nitems >> 1] | 0;
   38751     $conv = $5 << 16 >> 16;
   38752     $cmp = ($inc | 0) < ($conv | 0);
   38753     if ($cmp) {
   38754       $ti_012 = $incdec_ptr;
   38755       $i_013 = $inc;
   38756       label = 5;
   38757       break;
   38758     } else {
   38759       label = 10;
   38760       break;
   38761     }
   38762    case 10:
   38763     $6 = HEAP32[$items >> 2] | 0;
   38764     $7 = $6;
   38765     _free($7);
   38766     label = 11;
   38767     break;
   38768    case 11:
   38769     $8 = $p;
   38770     _free($8);
   38771     return;
   38772   }
   38773 }
   38774 function _freeFontstack() {
   38775   var $0 = 0, $pfont3 = 0, $1 = 0, $tobool4 = 0, $2 = 0, $s_05 = 0, $cfont = 0, $3 = 0, $4 = 0, $pfont = 0, $5 = 0, $tobool = 0, label = 0;
   38776   label = 2;
   38777   while (1) switch (label | 0) {
   38778    case 2:
   38779     $0 = HEAP32[41774] | 0;
   38780     $pfont3 = $0 + 4 | 0;
   38781     $1 = HEAP32[$pfont3 >> 2] | 0;
   38782     $tobool4 = ($1 | 0) == 0;
   38783     if ($tobool4) {
   38784       label = 4;
   38785       break;
   38786     } else {
   38787       $s_05 = $0;
   38788       $2 = $1;
   38789       label = 3;
   38790       break;
   38791     }
   38792    case 3:
   38793     $cfont = $s_05 | 0;
   38794     $3 = HEAP32[$cfont >> 2] | 0;
   38795     _free_html_font($3);
   38796     $4 = $s_05;
   38797     _free($4);
   38798     $pfont = $2 + 4 | 0;
   38799     $5 = HEAP32[$pfont >> 2] | 0;
   38800     $tobool = ($5 | 0) == 0;
   38801     if ($tobool) {
   38802       label = 4;
   38803       break;
   38804     } else {
   38805       $s_05 = $2;
   38806       $2 = $5;
   38807       label = 3;
   38808       break;
   38809     }
   38810    case 4:
   38811     return;
   38812   }
   38813 }
   38814 function _cleanCell($cp) {
   38815   $cp = $cp | 0;
   38816   var $child = 0, $kind = 0, $0 = 0, $tbl = 0, $1 = 0, $txt = 0, $2 = 0, $data = 0, $3 = 0, label = 0;
   38817   label = 2;
   38818   while (1) switch (label | 0) {
   38819    case 2:
   38820     $child = $cp + 80 | 0;
   38821     $kind = $cp + 84 | 0;
   38822     $0 = HEAP8[$kind] | 0;
   38823     if (($0 << 24 >> 24 | 0) == 1) {
   38824       label = 3;
   38825       break;
   38826     } else if (($0 << 24 >> 24 | 0) == 2) {
   38827       label = 4;
   38828       break;
   38829     } else {
   38830       label = 5;
   38831       break;
   38832     }
   38833    case 3:
   38834     $tbl = $child | 0;
   38835     $1 = HEAP32[$tbl >> 2] | 0;
   38836     _cleanTbl($1);
   38837     label = 5;
   38838     break;
   38839    case 4:
   38840     $txt = $child;
   38841     $2 = HEAP32[$txt >> 2] | 0;
   38842     _free_html_text($2);
   38843     label = 5;
   38844     break;
   38845    case 5:
   38846     $data = $cp | 0;
   38847     _free_html_data($data);
   38848     $3 = $cp;
   38849     _free($3);
   38850     return;
   38851   }
   38852 }
   38853 function _emit_html_label($job, $lp, $tp) {
   38854   $job = $job | 0;
   38855   $lp = $lp | 0;
   38856   $tp = $tp | 0;
   38857   var $env = 0, $pos1 = 0, $0 = 0, $1 = 0, $fontcolor = 0, $2 = 0, $color = 0, $fontname = 0, $3 = 0, $name = 0, $fontsize = 0, $size = 0, $4 = 0.0, $obj = 0, $5 = 0, $u = 0, $n = 0, $6 = 0, $7 = 0, $call = 0, $imgscale = 0, $8 = 0, $id = 0, $9 = 0, $objid = 0, $objid_set = 0, $10 = 0, $cmp = 0, $11 = 0, $cmp10 = 0, $kind = 0, $12 = 0, $cmp14 = 0, $tbl18 = 0, $13 = 0, $gvc = 0, $14 = 0, $defaultlinestyle = 0, $15 = 0, $pencolor = 0, $16 = 0, $tobool = 0, $txt = 0, $17 = 0, $18 = 0, $tobool27 = 0, $19 = 0, label = 0, __stackBase__ = 0;
   38858   __stackBase__ = STACKTOP;
   38859   STACKTOP = STACKTOP + 64 | 0;
   38860   label = 2;
   38861   while (1) switch (label | 0) {
   38862    case 2:
   38863     $env = __stackBase__ | 0;
   38864     _allocObj($job);
   38865     $pos1 = $tp + 56 | 0;
   38866     $0 = $env;
   38867     $1 = $pos1;
   38868     HEAP32[$0 >> 2] = HEAP32[$1 >> 2] | 0;
   38869     HEAP32[$0 + 4 >> 2] = HEAP32[$1 + 4 >> 2] | 0;
   38870     HEAP32[$0 + 8 >> 2] = HEAP32[$1 + 8 >> 2] | 0;
   38871     HEAP32[$0 + 12 >> 2] = HEAP32[$1 + 12 >> 2] | 0;
   38872     $fontcolor = $tp + 8 | 0;
   38873     $2 = HEAP32[$fontcolor >> 2] | 0;
   38874     $color = $env + 20 | 0;
   38875     HEAP32[$color >> 2] = $2;
   38876     $fontname = $tp + 4 | 0;
   38877     $3 = HEAP32[$fontname >> 2] | 0;
   38878     $name = $env + 16 | 0;
   38879     HEAP32[$name >> 2] = $3;
   38880     $fontsize = $tp + 16 | 0;
   38881     $size = $env + 32 | 0;
   38882     $4 = +HEAPF64[$fontsize >> 3];
   38883     HEAPF64[$size >> 3] = $4;
   38884     $obj = $job + 16 | 0;
   38885     $5 = HEAP32[$obj >> 2] | 0;
   38886     $u = $5 + 8 | 0;
   38887     $n = $u;
   38888     $6 = HEAP32[$n >> 2] | 0;
   38889     $7 = $6 | 0;
   38890     $call = _agget($7, 104192) | 0;
   38891     $imgscale = $env + 48 | 0;
   38892     HEAP32[$imgscale >> 2] = $call;
   38893     $8 = HEAP32[$obj >> 2] | 0;
   38894     $id = $8 + 164 | 0;
   38895     $9 = HEAP32[$id >> 2] | 0;
   38896     $objid = $env + 52 | 0;
   38897     HEAP32[$objid >> 2] = $9;
   38898     $objid_set = $env + 56 | 0;
   38899     HEAP8[$objid_set] = 0;
   38900     $10 = HEAP32[$imgscale >> 2] | 0;
   38901     $cmp = ($10 | 0) == 0;
   38902     if ($cmp) {
   38903       label = 4;
   38904       break;
   38905     } else {
   38906       label = 3;
   38907       break;
   38908     }
   38909    case 3:
   38910     $11 = HEAP8[$10] | 0;
   38911     $cmp10 = $11 << 24 >> 24 == 0;
   38912     if ($cmp10) {
   38913       label = 4;
   38914       break;
   38915     } else {
   38916       label = 5;
   38917       break;
   38918     }
   38919    case 4:
   38920     HEAP32[$imgscale >> 2] = 142968;
   38921     label = 5;
   38922     break;
   38923    case 5:
   38924     $kind = $lp + 4 | 0;
   38925     $12 = HEAP8[$kind] | 0;
   38926     $cmp14 = $12 << 24 >> 24 == 1;
   38927     if ($cmp14) {
   38928       label = 6;
   38929       break;
   38930     } else {
   38931       label = 10;
   38932       break;
   38933     }
   38934    case 6:
   38935     $tbl18 = $lp | 0;
   38936     $13 = HEAP32[$tbl18 >> 2] | 0;
   38937     $gvc = $job | 0;
   38938     $14 = HEAP32[$gvc >> 2] | 0;
   38939     $defaultlinestyle = $14 + 304 | 0;
   38940     $15 = HEAP32[$defaultlinestyle >> 2] | 0;
   38941     _gvrender_set_style($job, $15);
   38942     $pencolor = $13 + 24 | 0;
   38943     $16 = HEAP32[$pencolor >> 2] | 0;
   38944     $tobool = ($16 | 0) == 0;
   38945     if ($tobool) {
   38946       label = 8;
   38947       break;
   38948     } else {
   38949       label = 7;
   38950       break;
   38951     }
   38952    case 7:
   38953     _gvrender_set_pencolor($job, $16);
   38954     label = 9;
   38955     break;
   38956    case 8:
   38957     _gvrender_set_pencolor($job, 121304);
   38958     label = 9;
   38959     break;
   38960    case 9:
   38961     _emit_html_tbl($job, $13, $env);
   38962     label = 11;
   38963     break;
   38964    case 10:
   38965     $txt = $lp;
   38966     $17 = HEAP32[$txt >> 2] | 0;
   38967     _emit_html_txt($job, $17, $env);
   38968     label = 11;
   38969     break;
   38970    case 11:
   38971     $18 = HEAP8[$objid_set] | 0;
   38972     $tobool27 = $18 << 24 >> 24 == 0;
   38973     if ($tobool27) {
   38974       label = 13;
   38975       break;
   38976     } else {
   38977       label = 12;
   38978       break;
   38979     }
   38980    case 12:
   38981     $19 = HEAP32[$objid >> 2] | 0;
   38982     _free($19);
   38983     label = 13;
   38984     break;
   38985    case 13:
   38986     _freeObj($job);
   38987     STACKTOP = __stackBase__;
   38988     return;
   38989   }
   38990 }
   38991 function _allocObj($job) {
   38992   $job = $job | 0;
   38993   var $call = 0, $parent1 = 0, $0 = 0, $type = 0, $1 = 0, $type2 = 0, $emit_state = 0, $2 = 0, $emit_state3 = 0, $3 = 0, $u = 0, $n = 0, $4 = 0, $5 = 0, $_c22 = 0, $g = 0, $6 = 0, $g10 = 0, $sg = 0, $7 = 0, $sg14 = 0, $u16 = 0, $e = 0, $8 = 0, $9 = 0, $_c = 0, $url = 0, $10 = 0, $url19 = 0, $tooltip = 0, $11 = 0, $tooltip20 = 0, $target = 0, $12 = 0, $target21 = 0, $13 = 0, $14 = 0, $15 = 0, $bf_val_sext21 = 0, $16 = 0, $17 = 0, $18 = 0, $19 = 0, $20 = 0, label = 0;
   38994   label = 2;
   38995   while (1) switch (label | 0) {
   38996    case 2:
   38997     $call = _push_obj_state($job) | 0;
   38998     $parent1 = $call | 0;
   38999     $0 = HEAP32[$parent1 >> 2] | 0;
   39000     $type = $0 + 4 | 0;
   39001     $1 = HEAP32[$type >> 2] | 0;
   39002     $type2 = $call + 4 | 0;
   39003     HEAP32[$type2 >> 2] = $1;
   39004     $emit_state = $0 + 12 | 0;
   39005     $2 = HEAP32[$emit_state >> 2] | 0;
   39006     $emit_state3 = $call + 12 | 0;
   39007     HEAP32[$emit_state3 >> 2] = $2;
   39008     $3 = HEAP32[$type2 >> 2] | 0;
   39009     if (($3 | 0) == 2) {
   39010       label = 3;
   39011       break;
   39012     } else if (($3 | 0) == 0) {
   39013       label = 4;
   39014       break;
   39015     } else if (($3 | 0) == 1) {
   39016       label = 5;
   39017       break;
   39018     } else if (($3 | 0) == 3) {
   39019       label = 6;
   39020       break;
   39021     } else {
   39022       label = 7;
   39023       break;
   39024     }
   39025    case 3:
   39026     $u = $0 + 8 | 0;
   39027     $n = $u;
   39028     $4 = HEAP32[$n >> 2] | 0;
   39029     $5 = $call + 8 | 0;
   39030     $_c22 = $4;
   39031     HEAP32[$5 >> 2] = $_c22;
   39032     label = 7;
   39033     break;
   39034    case 4:
   39035     $g = $0 + 8 | 0;
   39036     $6 = HEAP32[$g >> 2] | 0;
   39037     $g10 = $call + 8 | 0;
   39038     HEAP32[$g10 >> 2] = $6;
   39039     label = 7;
   39040     break;
   39041    case 5:
   39042     $sg = $0 + 8 | 0;
   39043     $7 = HEAP32[$sg >> 2] | 0;
   39044     $sg14 = $call + 8 | 0;
   39045     HEAP32[$sg14 >> 2] = $7;
   39046     label = 7;
   39047     break;
   39048    case 6:
   39049     $u16 = $0 + 8 | 0;
   39050     $e = $u16;
   39051     $8 = HEAP32[$e >> 2] | 0;
   39052     $9 = $call + 8 | 0;
   39053     $_c = $8;
   39054     HEAP32[$9 >> 2] = $_c;
   39055     label = 7;
   39056     break;
   39057    case 7:
   39058     $url = $0 + 160 | 0;
   39059     $10 = HEAP32[$url >> 2] | 0;
   39060     $url19 = $call + 160 | 0;
   39061     HEAP32[$url19 >> 2] = $10;
   39062     $tooltip = $0 + 180 | 0;
   39063     $11 = HEAP32[$tooltip >> 2] | 0;
   39064     $tooltip20 = $call + 180 | 0;
   39065     HEAP32[$tooltip20 >> 2] = $11;
   39066     $target = $0 + 196 | 0;
   39067     $12 = HEAP32[$target >> 2] | 0;
   39068     $target21 = $call + 196 | 0;
   39069     HEAP32[$target21 >> 2] = $12;
   39070     $13 = $0 + 212 | 0;
   39071     $14 = $13;
   39072     $15 = HEAP32[$14 >> 2] | 0;
   39073     $bf_val_sext21 = $15 & 1;
   39074     $16 = $call + 212 | 0;
   39075     $17 = $16;
   39076     $18 = HEAP32[$17 >> 2] | 0;
   39077     $19 = $18 & -2;
   39078     $20 = $19 | $bf_val_sext21;
   39079     HEAP32[$17 >> 2] = $20;
   39080     return;
   39081   }
   39082 }
   39083 function _emit_html_tbl($job, $tbl, $env) {
   39084   $job = $job | 0;
   39085   $tbl = $tbl | 0;
   39086   $env = $env | 0;
   39087   var $pts = 0, $saved = 0, $AF = 0, $data = 0, $box = 0, $0 = 0, $1 = 0, $pos_sroa_0_0__idx = 0, $pos_sroa_0_0_copyload = 0.0, $pos_sroa_1_8__idx6 = 0, $pos_sroa_1_8_copyload = 0.0, $cells2 = 0, $2 = 0, $href = 0, $3 = 0, $tobool = 0, $target = 0, $4 = 0, $tobool5 = 0, $5 = 0, $font = 0, $6 = 0, $tobool6 = 0, $x8 = 0, $7 = 0.0, $add = 0.0, $UR = 0, $x10 = 0, $8 = 0.0, $add11 = 0.0, $y13 = 0, $9 = 0.0, $add14 = 0.0, $y17 = 0, $10 = 0.0, $add18 = 0.0, $flags = 0, $11 = 0, $and = 0, $tobool20 = 0, $call = 0, $anchor_0 = 0, $style = 0, $12 = 0, $and24 = 0, $tobool25 = 0, $pencolor = 0, $13 = 0, $tobool28 = 0, $_ = 0, $arrayidx = 0, $14 = 0, $arrayidx32 = 0, $15 = 0, $16 = 0, $x35 = 0, $17 = 0.0, $x37 = 0, $y39 = 0, $18 = 0.0, $y41 = 0, $x43 = 0, $19 = 0.0, $x45 = 0, $y47 = 0, $20 = 0.0, $y49 = 0, $bgcolor = 0, $21 = 0, $22 = 0, $conv52 = 0, $cmp = 0, $conv55 = 0, $bgcolor58 = 0, $23 = 0, $tobool59 = 0, $border = 0, $24 = 0, $tobool65 = 0, $pencolor68 = 0, $25 = 0, $conv71 = 0, $26 = 0, $tobool7439 = 0, $27 = 0, $cells_040 = 0, $incdec_ptr = 0, $28 = 0, $tobool74 = 0, $tobool75 = 0, $flags80 = 0, $29 = 0, $and81 = 0, $tobool82 = 0, $call85 = 0, $tobool86 = 0, $30 = 0, $tobool91 = 0, label = 0, __stackBase__ = 0;
   39088   __stackBase__ = STACKTOP;
   39089   STACKTOP = STACKTOP + 136 | 0;
   39090   label = 2;
   39091   while (1) switch (label | 0) {
   39092    case 2:
   39093     $pts = __stackBase__ | 0;
   39094     $saved = __stackBase__ + 32 | 0;
   39095     $AF = __stackBase__ + 72 | 0;
   39096     $data = $tbl | 0;
   39097     $box = $tbl + 40 | 0;
   39098     $0 = $pts;
   39099     $1 = $box;
   39100     HEAP32[$0 >> 2] = HEAP32[$1 >> 2] | 0;
   39101     HEAP32[$0 + 4 >> 2] = HEAP32[$1 + 4 >> 2] | 0;
   39102     HEAP32[$0 + 8 >> 2] = HEAP32[$1 + 8 >> 2] | 0;
   39103     HEAP32[$0 + 12 >> 2] = HEAP32[$1 + 12 >> 2] | 0;
   39104     HEAP32[$0 + 16 >> 2] = HEAP32[$1 + 16 >> 2] | 0;
   39105     HEAP32[$0 + 20 >> 2] = HEAP32[$1 + 20 >> 2] | 0;
   39106     HEAP32[$0 + 24 >> 2] = HEAP32[$1 + 24 >> 2] | 0;
   39107     HEAP32[$0 + 28 >> 2] = HEAP32[$1 + 28 >> 2] | 0;
   39108     $pos_sroa_0_0__idx = $env | 0;
   39109     $pos_sroa_0_0_copyload = +HEAPF64[$pos_sroa_0_0__idx >> 3];
   39110     $pos_sroa_1_8__idx6 = $env + 8 | 0;
   39111     $pos_sroa_1_8_copyload = +HEAPF64[$pos_sroa_1_8__idx6 >> 3];
   39112     $cells2 = $tbl + 76 | 0;
   39113     $2 = HEAP32[$cells2 >> 2] | 0;
   39114     $href = $tbl | 0;
   39115     $3 = HEAP32[$href >> 2] | 0;
   39116     $tobool = ($3 | 0) == 0;
   39117     if ($tobool) {
   39118       label = 3;
   39119       break;
   39120     } else {
   39121       $5 = 1;
   39122       label = 4;
   39123       break;
   39124     }
   39125    case 3:
   39126     $target = $tbl + 8 | 0;
   39127     $4 = HEAP32[$target >> 2] | 0;
   39128     $tobool5 = ($4 | 0) != 0;
   39129     $5 = $tobool5;
   39130     label = 4;
   39131     break;
   39132    case 4:
   39133     $font = $tbl + 100 | 0;
   39134     $6 = HEAP32[$font >> 2] | 0;
   39135     $tobool6 = ($6 | 0) == 0;
   39136     if ($tobool6) {
   39137       label = 6;
   39138       break;
   39139     } else {
   39140       label = 5;
   39141       break;
   39142     }
   39143    case 5:
   39144     _pushFontInfo($env, $6, 20296);
   39145     label = 6;
   39146     break;
   39147    case 6:
   39148     $x8 = $pts | 0;
   39149     $7 = +HEAPF64[$x8 >> 3];
   39150     $add = $pos_sroa_0_0_copyload + $7;
   39151     HEAPF64[$x8 >> 3] = $add;
   39152     $UR = $pts + 16 | 0;
   39153     $x10 = $UR | 0;
   39154     $8 = +HEAPF64[$x10 >> 3];
   39155     $add11 = $pos_sroa_0_0_copyload + $8;
   39156     HEAPF64[$x10 >> 3] = $add11;
   39157     $y13 = $pts + 8 | 0;
   39158     $9 = +HEAPF64[$y13 >> 3];
   39159     $add14 = $pos_sroa_1_8_copyload + $9;
   39160     HEAPF64[$y13 >> 3] = $add14;
   39161     $y17 = $pts + 24 | 0;
   39162     $10 = +HEAPF64[$y17 >> 3];
   39163     $add18 = $pos_sroa_1_8_copyload + $10;
   39164     HEAPF64[$y17 >> 3] = $add18;
   39165     if ($5) {
   39166       label = 7;
   39167       break;
   39168     } else {
   39169       $anchor_0 = 0;
   39170       label = 9;
   39171       break;
   39172     }
   39173    case 7:
   39174     $flags = $job + 152 | 0;
   39175     $11 = HEAP32[$flags >> 2] | 0;
   39176     $and = $11 & 4;
   39177     $tobool20 = ($and | 0) == 0;
   39178     if ($tobool20) {
   39179       label = 8;
   39180       break;
   39181     } else {
   39182       $anchor_0 = 0;
   39183       label = 9;
   39184       break;
   39185     }
   39186    case 8:
   39187     $call = _initAnchor($job, $env, $data, $pts, $saved, 1) | 0;
   39188     $anchor_0 = $call;
   39189     label = 9;
   39190     break;
   39191    case 9:
   39192     $style = $tbl + 104 | 0;
   39193     $12 = HEAP8[$style] | 0;
   39194     $and24 = $12 & 2;
   39195     $tobool25 = $and24 << 24 >> 24 == 0;
   39196     if ($tobool25) {
   39197       label = 11;
   39198       break;
   39199     } else {
   39200       label = 10;
   39201       break;
   39202     }
   39203    case 10:
   39204     $pencolor = $tbl + 24 | 0;
   39205     $13 = HEAP32[$pencolor >> 2] | 0;
   39206     $tobool28 = ($13 | 0) == 0;
   39207     $_ = $tobool28 ? 121304 : $13;
   39208     $arrayidx = $AF | 0;
   39209     $14 = $AF;
   39210     HEAP32[$14 >> 2] = HEAP32[$0 >> 2] | 0;
   39211     HEAP32[$14 + 4 >> 2] = HEAP32[$0 + 4 >> 2] | 0;
   39212     HEAP32[$14 + 8 >> 2] = HEAP32[$0 + 8 >> 2] | 0;
   39213     HEAP32[$14 + 12 >> 2] = HEAP32[$0 + 12 >> 2] | 0;
   39214     $arrayidx32 = $AF + 32 | 0;
   39215     $15 = $arrayidx32;
   39216     $16 = $UR;
   39217     HEAP32[$15 >> 2] = HEAP32[$16 >> 2] | 0;
   39218     HEAP32[$15 + 4 >> 2] = HEAP32[$16 + 4 >> 2] | 0;
   39219     HEAP32[$15 + 8 >> 2] = HEAP32[$16 + 8 >> 2] | 0;
   39220     HEAP32[$15 + 12 >> 2] = HEAP32[$16 + 12 >> 2] | 0;
   39221     $x35 = $arrayidx32 | 0;
   39222     $17 = +HEAPF64[$x35 >> 3];
   39223     $x37 = $AF + 16 | 0;
   39224     HEAPF64[$x37 >> 3] = $17;
   39225     $y39 = $AF + 8 | 0;
   39226     $18 = +HEAPF64[$y39 >> 3];
   39227     $y41 = $AF + 24 | 0;
   39228     HEAPF64[$y41 >> 3] = $18;
   39229     $x43 = $AF | 0;
   39230     $19 = +HEAPF64[$x43 >> 3];
   39231     $x45 = $AF + 48 | 0;
   39232     HEAPF64[$x45 >> 3] = $19;
   39233     $y47 = $AF + 40 | 0;
   39234     $20 = +HEAPF64[$y47 >> 3];
   39235     $y49 = $AF + 56 | 0;
   39236     HEAPF64[$y49 >> 3] = $20;
   39237     $bgcolor = $tbl + 20 | 0;
   39238     $21 = HEAP32[$bgcolor >> 2] | 0;
   39239     $22 = HEAP8[$style] | 0;
   39240     $conv52 = $22 & 255;
   39241     $cmp = ($21 | 0) != 0;
   39242     $conv55 = $cmp & 1;
   39243     _round_corners($job, $21, $_, $arrayidx, 4, $conv52, $conv55);
   39244     label = 15;
   39245     break;
   39246    case 11:
   39247     $bgcolor58 = $tbl + 20 | 0;
   39248     $23 = HEAP32[$bgcolor58 >> 2] | 0;
   39249     $tobool59 = ($23 | 0) == 0;
   39250     if ($tobool59) {
   39251       label = 13;
   39252       break;
   39253     } else {
   39254       label = 12;
   39255       break;
   39256     }
   39257    case 12:
   39258     _doFill($job, $23, $pts);
   39259     label = 13;
   39260     break;
   39261    case 13:
   39262     $border = $tbl + 29 | 0;
   39263     $24 = HEAP8[$border] | 0;
   39264     $tobool65 = $24 << 24 >> 24 == 0;
   39265     if ($tobool65) {
   39266       label = 15;
   39267       break;
   39268     } else {
   39269       label = 14;
   39270       break;
   39271     }
   39272    case 14:
   39273     $pencolor68 = $tbl + 24 | 0;
   39274     $25 = HEAP32[$pencolor68 >> 2] | 0;
   39275     $conv71 = $24 & 255;
   39276     _doBorder($job, $25, $conv71, $pts);
   39277     label = 15;
   39278     break;
   39279    case 15:
   39280     $26 = HEAP32[$2 >> 2] | 0;
   39281     $tobool7439 = ($26 | 0) == 0;
   39282     if ($tobool7439) {
   39283       label = 17;
   39284       break;
   39285     } else {
   39286       $cells_040 = $2;
   39287       $27 = $26;
   39288       label = 16;
   39289       break;
   39290     }
   39291    case 16:
   39292     _emit_html_cell($job, $27, $env);
   39293     $incdec_ptr = $cells_040 + 4 | 0;
   39294     $28 = HEAP32[$incdec_ptr >> 2] | 0;
   39295     $tobool74 = ($28 | 0) == 0;
   39296     if ($tobool74) {
   39297       label = 17;
   39298       break;
   39299     } else {
   39300       $cells_040 = $incdec_ptr;
   39301       $27 = $28;
   39302       label = 16;
   39303       break;
   39304     }
   39305    case 17:
   39306     $tobool75 = ($anchor_0 | 0) == 0;
   39307     if ($tobool75) {
   39308       label = 19;
   39309       break;
   39310     } else {
   39311       label = 18;
   39312       break;
   39313     }
   39314    case 18:
   39315     _endAnchor($job, $saved, 1);
   39316     label = 19;
   39317     break;
   39318    case 19:
   39319     if ($5) {
   39320       label = 20;
   39321       break;
   39322     } else {
   39323       label = 23;
   39324       break;
   39325     }
   39326    case 20:
   39327     $flags80 = $job + 152 | 0;
   39328     $29 = HEAP32[$flags80 >> 2] | 0;
   39329     $and81 = $29 & 4;
   39330     $tobool82 = ($and81 | 0) == 0;
   39331     if ($tobool82) {
   39332       label = 23;
   39333       break;
   39334     } else {
   39335       label = 21;
   39336       break;
   39337     }
   39338    case 21:
   39339     $call85 = _initAnchor($job, $env, $data, $pts, $saved, 0) | 0;
   39340     $tobool86 = ($call85 | 0) == 0;
   39341     if ($tobool86) {
   39342       label = 23;
   39343       break;
   39344     } else {
   39345       label = 22;
   39346       break;
   39347     }
   39348    case 22:
   39349     _endAnchor($job, $saved, 0);
   39350     label = 23;
   39351     break;
   39352    case 23:
   39353     $30 = HEAP32[$font >> 2] | 0;
   39354     $tobool91 = ($30 | 0) == 0;
   39355     if ($tobool91) {
   39356       label = 25;
   39357       break;
   39358     } else {
   39359       label = 24;
   39360       break;
   39361     }
   39362    case 24:
   39363     _popFontInfo($env, 20296);
   39364     label = 25;
   39365     break;
   39366    case 25:
   39367     STACKTOP = __stackBase__;
   39368     return;
   39369   }
   39370 }
   39371 function _emit_html_txt($job, $tp, $env) {
   39372   $job = $job | 0;
   39373   $tp = $tp | 0;
   39374   $env = $env | 0;
   39375   var $p = 0, $nparas = 0, $0 = 0, $cmp = 0, $box = 0, $x = 0, $1 = 0.0, $x3 = 0, $2 = 0.0, $sub = 0.0, $div = 0.0, $x4 = 0, $3 = 0.0, $add = 0.0, $div11 = 0.0, $add12 = 0.0, $x13 = 0, $y = 0, $4 = 0.0, $y17 = 0, $5 = 0.0, $y20 = 0, $6 = 0.0, $add21 = 0.0, $div22 = 0.0, $add23 = 0.0, $y24 = 0, $7 = 0, $conv26 = 0, $paras = 0, $8 = 0, $finfo = 0, label = 0, __stackBase__ = 0;
   39376   __stackBase__ = STACKTOP;
   39377   STACKTOP = STACKTOP + 16 | 0;
   39378   label = 2;
   39379   while (1) switch (label | 0) {
   39380    case 2:
   39381     $p = __stackBase__ | 0;
   39382     $nparas = $tp + 4 | 0;
   39383     $0 = HEAP16[$nparas >> 1] | 0;
   39384     $cmp = $0 << 16 >> 16 < 1;
   39385     if ($cmp) {
   39386       label = 4;
   39387       break;
   39388     } else {
   39389       label = 3;
   39390       break;
   39391     }
   39392    case 3:
   39393     $box = $tp + 8 | 0;
   39394     $x = $tp + 24 | 0;
   39395     $1 = +HEAPF64[$x >> 3];
   39396     $x3 = $box | 0;
   39397     $2 = +HEAPF64[$x3 >> 3];
   39398     $sub = $1 - $2;
   39399     $div = $sub * .5;
   39400     $x4 = $env | 0;
   39401     $3 = +HEAPF64[$x4 >> 3];
   39402     $add = $1 + $2;
   39403     $div11 = $add * .5;
   39404     $add12 = $3 + $div11;
   39405     $x13 = $p | 0;
   39406     HEAPF64[$x13 >> 3] = $add12;
   39407     $y = $env + 8 | 0;
   39408     $4 = +HEAPF64[$y >> 3];
   39409     $y17 = $tp + 32 | 0;
   39410     $5 = +HEAPF64[$y17 >> 3];
   39411     $y20 = $tp + 16 | 0;
   39412     $6 = +HEAPF64[$y20 >> 3];
   39413     $add21 = $5 + $6;
   39414     $div22 = $add21 * .5;
   39415     $add23 = $4 + $div22;
   39416     $y24 = $p + 8 | 0;
   39417     HEAPF64[$y24 >> 3] = $add23;
   39418     $7 = HEAP16[$nparas >> 1] | 0;
   39419     $conv26 = $7 << 16 >> 16;
   39420     $paras = $tp | 0;
   39421     $8 = HEAP32[$paras >> 2] | 0;
   39422     $finfo = $env + 16 | 0;
   39423     _emit_htextparas($job, $conv26, $8, $p, $div, $finfo, $box);
   39424     label = 4;
   39425     break;
   39426    case 4:
   39427     STACKTOP = __stackBase__;
   39428     return;
   39429   }
   39430 }
   39431 function _freeObj($job) {
   39432   $job = $job | 0;
   39433   var $0 = 0;
   39434   $0 = HEAP32[$job + 16 >> 2] | 0;
   39435   HEAP32[$0 + 160 >> 2] = 0;
   39436   HEAP32[$0 + 180 >> 2] = 0;
   39437   HEAP32[$0 + 196 >> 2] = 0;
   39438   HEAP32[$0 + 164 >> 2] = 0;
   39439   _pop_obj_state($job);
   39440   return;
   39441 }
   39442 function _free_html_font($fp) {
   39443   $fp = $fp | 0;
   39444   var $cnt = 0, $0 = 0, $dec = 0, $cmp = 0, $name = 0, $1 = 0, $tobool = 0, $color = 0, $2 = 0, $tobool4 = 0, $3 = 0, label = 0;
   39445   label = 2;
   39446   while (1) switch (label | 0) {
   39447    case 2:
   39448     $cnt = $fp + 12 | 0;
   39449     $0 = HEAP32[$cnt >> 2] | 0;
   39450     $dec = $0 - 1 | 0;
   39451     HEAP32[$cnt >> 2] = $dec;
   39452     $cmp = ($dec | 0) == 0;
   39453     if ($cmp) {
   39454       label = 3;
   39455       break;
   39456     } else {
   39457       label = 8;
   39458       break;
   39459     }
   39460    case 3:
   39461     $name = $fp | 0;
   39462     $1 = HEAP32[$name >> 2] | 0;
   39463     $tobool = ($1 | 0) == 0;
   39464     if ($tobool) {
   39465       label = 5;
   39466       break;
   39467     } else {
   39468       label = 4;
   39469       break;
   39470     }
   39471    case 4:
   39472     _free($1);
   39473     label = 5;
   39474     break;
   39475    case 5:
   39476     $color = $fp + 4 | 0;
   39477     $2 = HEAP32[$color >> 2] | 0;
   39478     $tobool4 = ($2 | 0) == 0;
   39479     if ($tobool4) {
   39480       label = 7;
   39481       break;
   39482     } else {
   39483       label = 6;
   39484       break;
   39485     }
   39486    case 6:
   39487     _free($2);
   39488     label = 7;
   39489     break;
   39490    case 7:
   39491     $3 = $fp;
   39492     _free($3);
   39493     label = 8;
   39494     break;
   39495    case 8:
   39496     return;
   39497   }
   39498 }
   39499 function _free_html_data($dp) {
   39500   $dp = $dp | 0;
   39501   _free(HEAP32[$dp >> 2] | 0);
   39502   _free(HEAP32[$dp + 4 >> 2] | 0);
   39503   _free(HEAP32[$dp + 8 >> 2] | 0);
   39504   _free(HEAP32[$dp + 16 >> 2] | 0);
   39505   _free(HEAP32[$dp + 12 >> 2] | 0);
   39506   _free(HEAP32[$dp + 20 >> 2] | 0);
   39507   _free(HEAP32[$dp + 24 >> 2] | 0);
   39508   return;
   39509 }
   39510 function _free_html_text($t) {
   39511   $t = $t | 0;
   39512   var $tobool = 0, $paras = 0, $nparas = 0, $0 = 0, $cmp23 = 0, $1 = 0, $i_025 = 0, $tl_024 = 0, $nitems = 0, $2 = 0, $cmp419 = 0, $items = 0, $3 = 0, $j_021 = 0, $ti_020 = 0, $str = 0, $4 = 0, $tobool7 = 0, $font = 0, $5 = 0, $tobool11 = 0, $layout = 0, $6 = 0, $tobool15 = 0, $free_layout = 0, $7 = 0, $tobool16 = 0, $incdec_ptr = 0, $inc = 0, $8 = 0, $conv3 = 0, $cmp4 = 0, $incdec_ptr21 = 0, $inc23 = 0, $9 = 0, $conv = 0, $cmp = 0, $10 = 0, $tobool26 = 0, $11 = 0, $12 = 0, label = 0;
   39513   label = 2;
   39514   while (1) switch (label | 0) {
   39515    case 2:
   39516     $tobool = ($t | 0) == 0;
   39517     if ($tobool) {
   39518       label = 19;
   39519       break;
   39520     } else {
   39521       label = 3;
   39522       break;
   39523     }
   39524    case 3:
   39525     $paras = $t | 0;
   39526     $nparas = $t + 4 | 0;
   39527     $0 = HEAP16[$nparas >> 1] | 0;
   39528     $cmp23 = $0 << 16 >> 16 > 0;
   39529     if ($cmp23) {
   39530       label = 4;
   39531       break;
   39532     } else {
   39533       label = 16;
   39534       break;
   39535     }
   39536    case 4:
   39537     $1 = HEAP32[$paras >> 2] | 0;
   39538     $tl_024 = $1;
   39539     $i_025 = 0;
   39540     label = 5;
   39541     break;
   39542    case 5:
   39543     $nitems = $tl_024 + 4 | 0;
   39544     $2 = HEAP16[$nitems >> 1] | 0;
   39545     $cmp419 = $2 << 16 >> 16 > 0;
   39546     if ($cmp419) {
   39547       label = 6;
   39548       break;
   39549     } else {
   39550       label = 15;
   39551       break;
   39552     }
   39553    case 6:
   39554     $items = $tl_024 | 0;
   39555     $3 = HEAP32[$items >> 2] | 0;
   39556     $ti_020 = $3;
   39557     $j_021 = 0;
   39558     label = 7;
   39559     break;
   39560    case 7:
   39561     $str = $ti_020 | 0;
   39562     $4 = HEAP32[$str >> 2] | 0;
   39563     $tobool7 = ($4 | 0) == 0;
   39564     if ($tobool7) {
   39565       label = 9;
   39566       break;
   39567     } else {
   39568       label = 8;
   39569       break;
   39570     }
   39571    case 8:
   39572     _free($4);
   39573     label = 9;
   39574     break;
   39575    case 9:
   39576     $font = $ti_020 + 16 | 0;
   39577     $5 = HEAP32[$font >> 2] | 0;
   39578     $tobool11 = ($5 | 0) == 0;
   39579     if ($tobool11) {
   39580       label = 11;
   39581       break;
   39582     } else {
   39583       label = 10;
   39584       break;
   39585     }
   39586    case 10:
   39587     _free_html_font($5);
   39588     label = 11;
   39589     break;
   39590    case 11:
   39591     $layout = $ti_020 + 8 | 0;
   39592     $6 = HEAP32[$layout >> 2] | 0;
   39593     $tobool15 = ($6 | 0) == 0;
   39594     if ($tobool15) {
   39595       label = 14;
   39596       break;
   39597     } else {
   39598       label = 12;
   39599       break;
   39600     }
   39601    case 12:
   39602     $free_layout = $ti_020 + 12 | 0;
   39603     $7 = HEAP32[$free_layout >> 2] | 0;
   39604     $tobool16 = ($7 | 0) == 0;
   39605     if ($tobool16) {
   39606       label = 14;
   39607       break;
   39608     } else {
   39609       label = 13;
   39610       break;
   39611     }
   39612    case 13:
   39613     FUNCTION_TABLE_vi[$7 & 1023]($6);
   39614     label = 14;
   39615     break;
   39616    case 14:
   39617     $incdec_ptr = $ti_020 + 80 | 0;
   39618     $inc = $j_021 + 1 | 0;
   39619     $8 = HEAP16[$nitems >> 1] | 0;
   39620     $conv3 = $8 << 16 >> 16;
   39621     $cmp4 = ($inc | 0) < ($conv3 | 0);
   39622     if ($cmp4) {
   39623       $ti_020 = $incdec_ptr;
   39624       $j_021 = $inc;
   39625       label = 7;
   39626       break;
   39627     } else {
   39628       label = 15;
   39629       break;
   39630     }
   39631    case 15:
   39632     $incdec_ptr21 = $tl_024 + 24 | 0;
   39633     $inc23 = $i_025 + 1 | 0;
   39634     $9 = HEAP16[$nparas >> 1] | 0;
   39635     $conv = $9 << 16 >> 16;
   39636     $cmp = ($inc23 | 0) < ($conv | 0);
   39637     if ($cmp) {
   39638       $tl_024 = $incdec_ptr21;
   39639       $i_025 = $inc23;
   39640       label = 5;
   39641       break;
   39642     } else {
   39643       label = 16;
   39644       break;
   39645     }
   39646    case 16:
   39647     $10 = HEAP32[$paras >> 2] | 0;
   39648     $tobool26 = ($10 | 0) == 0;
   39649     if ($tobool26) {
   39650       label = 18;
   39651       break;
   39652     } else {
   39653       label = 17;
   39654       break;
   39655     }
   39656    case 17:
   39657     $11 = $10;
   39658     _free($11);
   39659     label = 18;
   39660     break;
   39661    case 18:
   39662     $12 = $t;
   39663     _free($12);
   39664     label = 19;
   39665     break;
   39666    case 19:
   39667     return;
   39668   }
   39669 }
   39670 function _free_html_img($ip) {
   39671   $ip = $ip | 0;
   39672   _free(HEAP32[$ip + 32 >> 2] | 0);
   39673   _free($ip);
   39674   return;
   39675 }
   39676 function _free_html_label($lp, $root) {
   39677   $lp = $lp | 0;
   39678   $root = $root | 0;
   39679   var $kind = 0, $0 = 0, $tbl = 0, $1 = 0, $img = 0, $2 = 0, $txt = 0, $3 = 0, $tobool = 0, $4 = 0, label = 0;
   39680   label = 2;
   39681   while (1) switch (label | 0) {
   39682    case 2:
   39683     $kind = $lp + 4 | 0;
   39684     $0 = HEAP8[$kind] | 0;
   39685     if (($0 << 24 >> 24 | 0) == 1) {
   39686       label = 3;
   39687       break;
   39688     } else if (($0 << 24 >> 24 | 0) == 3) {
   39689       label = 4;
   39690       break;
   39691     } else {
   39692       label = 5;
   39693       break;
   39694     }
   39695    case 3:
   39696     $tbl = $lp | 0;
   39697     $1 = HEAP32[$tbl >> 2] | 0;
   39698     _free_html_tbl($1);
   39699     label = 6;
   39700     break;
   39701    case 4:
   39702     $img = $lp;
   39703     $2 = HEAP32[$img >> 2] | 0;
   39704     _free_html_img($2);
   39705     label = 6;
   39706     break;
   39707    case 5:
   39708     $txt = $lp;
   39709     $3 = HEAP32[$txt >> 2] | 0;
   39710     _free_html_text($3);
   39711     label = 6;
   39712     break;
   39713    case 6:
   39714     $tobool = ($root | 0) == 0;
   39715     if ($tobool) {
   39716       label = 8;
   39717       break;
   39718     } else {
   39719       label = 7;
   39720       break;
   39721     }
   39722    case 7:
   39723     $4 = $lp;
   39724     _free($4);
   39725     label = 8;
   39726     break;
   39727    case 8:
   39728     return;
   39729   }
   39730 }
   39731 function _free_html_tbl($tbl) {
   39732   $tbl = $tbl | 0;
   39733   var $rc = 0, $0 = 0, $cmp = 0, $rows = 0, $1 = 0, $2 = 0, $call = 0, $heights = 0, $3 = 0, $4 = 0, $widths = 0, $5 = 0, $6 = 0, $7 = 0, $tobool11 = 0, $8 = 0, $cells_012 = 0, $incdec_ptr = 0, $9 = 0, $tobool = 0, $10 = 0, $11 = 0, $font = 0, $12 = 0, $tobool6 = 0, $data = 0, $13 = 0, label = 0;
   39734   label = 2;
   39735   while (1) switch (label | 0) {
   39736    case 2:
   39737     $rc = $tbl + 92 | 0;
   39738     $0 = HEAP32[$rc >> 2] | 0;
   39739     $cmp = ($0 | 0) == -1;
   39740     $rows = $tbl + 76 | 0;
   39741     $1 = HEAP32[$rows >> 2] | 0;
   39742     if ($cmp) {
   39743       label = 3;
   39744       break;
   39745     } else {
   39746       label = 4;
   39747       break;
   39748     }
   39749    case 3:
   39750     $2 = $1;
   39751     $call = _dtclose($2) | 0;
   39752     label = 7;
   39753     break;
   39754    case 4:
   39755     $heights = $tbl + 84 | 0;
   39756     $3 = HEAP32[$heights >> 2] | 0;
   39757     $4 = $3;
   39758     _free($4);
   39759     $widths = $tbl + 88 | 0;
   39760     $5 = HEAP32[$widths >> 2] | 0;
   39761     $6 = $5;
   39762     _free($6);
   39763     $7 = HEAP32[$1 >> 2] | 0;
   39764     $tobool11 = ($7 | 0) == 0;
   39765     if ($tobool11) {
   39766       label = 6;
   39767       break;
   39768     } else {
   39769       $cells_012 = $1;
   39770       $8 = $7;
   39771       label = 5;
   39772       break;
   39773     }
   39774    case 5:
   39775     _free_html_cell($8);
   39776     $incdec_ptr = $cells_012 + 4 | 0;
   39777     $9 = HEAP32[$incdec_ptr >> 2] | 0;
   39778     $tobool = ($9 | 0) == 0;
   39779     if ($tobool) {
   39780       label = 6;
   39781       break;
   39782     } else {
   39783       $cells_012 = $incdec_ptr;
   39784       $8 = $9;
   39785       label = 5;
   39786       break;
   39787     }
   39788    case 6:
   39789     $10 = HEAP32[$rows >> 2] | 0;
   39790     $11 = $10;
   39791     _free($11);
   39792     label = 7;
   39793     break;
   39794    case 7:
   39795     $font = $tbl + 100 | 0;
   39796     $12 = HEAP32[$font >> 2] | 0;
   39797     $tobool6 = ($12 | 0) == 0;
   39798     if ($tobool6) {
   39799       label = 9;
   39800       break;
   39801     } else {
   39802       label = 8;
   39803       break;
   39804     }
   39805    case 8:
   39806     _free_html_font($12);
   39807     label = 9;
   39808     break;
   39809    case 9:
   39810     $data = $tbl | 0;
   39811     _free_html_data($data);
   39812     $13 = $tbl;
   39813     _free($13);
   39814     return;
   39815   }
   39816 }
   39817 function _html_port($n, $pname, $sides) {
   39818   $n = $n | 0;
   39819   $pname = $pname | 0;
   39820   $sides = $sides | 0;
   39821   var $label = 0, $0 = 0, $u1 = 0, $html = 0, $1 = 0, $kind = 0, $2 = 0, $cmp = 0, $tbl = 0, $3 = 0, $call = 0, $tobool = 0, $box = 0, $sides5 = 0, $4 = 0, $conv6 = 0, $retval_0 = 0, label = 0;
   39822   label = 2;
   39823   while (1) switch (label | 0) {
   39824    case 2:
   39825     $label = $n + 120 | 0;
   39826     $0 = HEAP32[$label >> 2] | 0;
   39827     $u1 = $0 + 72 | 0;
   39828     $html = $u1;
   39829     $1 = HEAP32[$html >> 2] | 0;
   39830     $kind = $1 + 4 | 0;
   39831     $2 = HEAP8[$kind] | 0;
   39832     $cmp = $2 << 24 >> 24 == 2;
   39833     if ($cmp) {
   39834       $retval_0 = 0;
   39835       label = 5;
   39836       break;
   39837     } else {
   39838       label = 3;
   39839       break;
   39840     }
   39841    case 3:
   39842     $tbl = $1 | 0;
   39843     $3 = HEAP32[$tbl >> 2] | 0;
   39844     $call = _portToTbl($3, $pname) | 0;
   39845     $tobool = ($call | 0) == 0;
   39846     if ($tobool) {
   39847       $retval_0 = 0;
   39848       label = 5;
   39849       break;
   39850     } else {
   39851       label = 4;
   39852       break;
   39853     }
   39854    case 4:
   39855     $box = $call + 40 | 0;
   39856     $sides5 = $call + 31 | 0;
   39857     $4 = HEAP8[$sides5] | 0;
   39858     $conv6 = $4 & 255;
   39859     HEAP32[$sides >> 2] = $conv6;
   39860     $retval_0 = $box;
   39861     label = 5;
   39862     break;
   39863    case 5:
   39864     return $retval_0 | 0;
   39865   }
   39866   return 0;
   39867 }
   39868 function _portToTbl($tp, $id) {
   39869   $tp = $tp | 0;
   39870   $id = $id | 0;
   39871   var $port = 0, $0 = 0, $tobool = 0, $data = 0, $call = 0, $cmp = 0, $cells4 = 0, $1 = 0, $cells_0 = 0, $2 = 0, $tobool5 = 0, $incdec_ptr = 0, $call6 = 0, $tobool7 = 0, $rv_1 = 0, label = 0;
   39872   label = 2;
   39873   while (1) switch (label | 0) {
   39874    case 2:
   39875     $port = $tp + 4 | 0;
   39876     $0 = HEAP32[$port >> 2] | 0;
   39877     $tobool = ($0 | 0) == 0;
   39878     if ($tobool) {
   39879       label = 4;
   39880       break;
   39881     } else {
   39882       label = 3;
   39883       break;
   39884     }
   39885    case 3:
   39886     $data = $tp | 0;
   39887     $call = _strcasecmp($0, $id) | 0;
   39888     $cmp = ($call | 0) == 0;
   39889     if ($cmp) {
   39890       $rv_1 = $data;
   39891       label = 7;
   39892       break;
   39893     } else {
   39894       label = 4;
   39895       break;
   39896     }
   39897    case 4:
   39898     $cells4 = $tp + 76 | 0;
   39899     $1 = HEAP32[$cells4 >> 2] | 0;
   39900     $cells_0 = $1;
   39901     label = 5;
   39902     break;
   39903    case 5:
   39904     $2 = HEAP32[$cells_0 >> 2] | 0;
   39905     $tobool5 = ($2 | 0) == 0;
   39906     if ($tobool5) {
   39907       $rv_1 = 0;
   39908       label = 7;
   39909       break;
   39910     } else {
   39911       label = 6;
   39912       break;
   39913     }
   39914    case 6:
   39915     $incdec_ptr = $cells_0 + 4 | 0;
   39916     $call6 = _portToCell($2, $id) | 0;
   39917     $tobool7 = ($call6 | 0) == 0;
   39918     if ($tobool7) {
   39919       $cells_0 = $incdec_ptr;
   39920       label = 5;
   39921       break;
   39922     } else {
   39923       $rv_1 = $call6;
   39924       label = 7;
   39925       break;
   39926     }
   39927    case 7:
   39928     return $rv_1 | 0;
   39929   }
   39930   return 0;
   39931 }
   39932 function _sizeLinearArray($tbl) {
   39933   $tbl = $tbl | 0;
   39934   var $rc = 0, $0 = 0, $add = 0, $mul = 0, $call = 0, $1 = 0, $heights = 0, $cc = 0, $2 = 0, $add1 = 0, $mul2 = 0, $call3 = 0, $3 = 0, $widths = 0, $cells4 = 0, $4 = 0, $5 = 0, $tobool51 = 0, $space41 = 0, $space = 0, $6 = 0, $cells_052 = 0, $rspan = 0, $7 = 0, $conv = 0, $cmp = 0, $y6 = 0, $8 = 0.0, $conv7 = 0, $9 = 0, $conv13 = 0, $sub = 0, $sub16 = 0, $mul17 = 0, $conv18 = 0.0, $sub19 = 0.0, $conv22 = 0.0, $div = 0.0, $conv23 = 0, $cmp24 = 0, $cond = 0, $ht_0 = 0, $cspan = 0, $10 = 0, $conv26 = 0, $cmp27 = 0, $x33 = 0, $11 = 0.0, $conv34 = 0, $12 = 0, $conv42 = 0, $sub43 = 0, $sub46 = 0, $mul47 = 0, $conv48 = 0.0, $sub49 = 0.0, $conv52 = 0.0, $div53 = 0.0, $conv54 = 0, $cmp55 = 0, $cond60 = 0, $wd_0 = 0, $row = 0, $13 = 0, $conv62 = 0, $conv6541 = 0, $14 = 0, $conv6742 = 0, $add6843 = 0, $cmp6944 = 0, $i_045 = 0, $15 = 0, $arrayidx = 0, $16 = 0, $cmp73 = 0, $cond78 = 0, $inc = 0, $17 = 0, $conv65 = 0, $18 = 0, $conv67 = 0, $add68 = 0, $cmp69 = 0, $col = 0, $19 = 0, $conv81 = 0, $conv8446 = 0, $20 = 0, $conv8647 = 0, $add8748 = 0, $cmp8849 = 0, $i_150 = 0, $21 = 0, $arrayidx92 = 0, $22 = 0, $cmp93 = 0, $cond98 = 0, $inc102 = 0, $23 = 0, $conv84 = 0, $24 = 0, $conv86 = 0, $add87 = 0, $cmp88 = 0, $incdec_ptr = 0, $25 = 0, $tobool = 0, label = 0;
   39935   label = 2;
   39936   while (1) switch (label | 0) {
   39937    case 2:
   39938     $rc = $tbl + 92 | 0;
   39939     $0 = HEAP32[$rc >> 2] | 0;
   39940     $add = $0 << 2;
   39941     $mul = $add + 4 | 0;
   39942     $call = _zmalloc($mul) | 0;
   39943     $1 = $call;
   39944     $heights = $tbl + 84 | 0;
   39945     HEAP32[$heights >> 2] = $1;
   39946     $cc = $tbl + 96 | 0;
   39947     $2 = HEAP32[$cc >> 2] | 0;
   39948     $add1 = $2 << 2;
   39949     $mul2 = $add1 + 4 | 0;
   39950     $call3 = _zmalloc($mul2) | 0;
   39951     $3 = $call3;
   39952     $widths = $tbl + 88 | 0;
   39953     HEAP32[$widths >> 2] = $3;
   39954     $cells4 = $tbl + 76 | 0;
   39955     $4 = HEAP32[$cells4 >> 2] | 0;
   39956     $5 = HEAP32[$4 >> 2] | 0;
   39957     $tobool51 = ($5 | 0) == 0;
   39958     if ($tobool51) {
   39959       label = 15;
   39960       break;
   39961     } else {
   39962       label = 3;
   39963       break;
   39964     }
   39965    case 3:
   39966     $space41 = $tbl + 28 | 0;
   39967     $space = $tbl + 28 | 0;
   39968     $cells_052 = $4;
   39969     $6 = $5;
   39970     label = 4;
   39971     break;
   39972    case 4:
   39973     $rspan = $6 + 74 | 0;
   39974     $7 = HEAP16[$rspan >> 1] | 0;
   39975     $conv = $7 & 65535;
   39976     $cmp = $7 << 16 >> 16 == 1;
   39977     $y6 = $6 + 64 | 0;
   39978     $8 = +HEAPF64[$y6 >> 3];
   39979     if ($cmp) {
   39980       label = 5;
   39981       break;
   39982     } else {
   39983       label = 6;
   39984       break;
   39985     }
   39986    case 5:
   39987     $conv7 = ~~$8;
   39988     $ht_0 = $conv7;
   39989     label = 7;
   39990     break;
   39991    case 6:
   39992     $9 = HEAP8[$space] | 0;
   39993     $conv13 = $9 << 24 >> 24;
   39994     $sub = $conv13 - 1 | 0;
   39995     $sub16 = $conv - 1 | 0;
   39996     $mul17 = Math_imul($sub, $sub16);
   39997     $conv18 = +($mul17 | 0);
   39998     $sub19 = $8 - $conv18;
   39999     $conv22 = +($conv | 0);
   40000     $div = $sub19 / $conv22;
   40001     $conv23 = ~~$div;
   40002     $cmp24 = ($conv23 | 0) > 1;
   40003     $cond = $cmp24 ? $conv23 : 1;
   40004     $ht_0 = $cond;
   40005     label = 7;
   40006     break;
   40007    case 7:
   40008     $cspan = $6 + 72 | 0;
   40009     $10 = HEAP16[$cspan >> 1] | 0;
   40010     $conv26 = $10 & 65535;
   40011     $cmp27 = $10 << 16 >> 16 == 1;
   40012     $x33 = $6 + 56 | 0;
   40013     $11 = +HEAPF64[$x33 >> 3];
   40014     if ($cmp27) {
   40015       label = 8;
   40016       break;
   40017     } else {
   40018       label = 9;
   40019       break;
   40020     }
   40021    case 8:
   40022     $conv34 = ~~$11;
   40023     $wd_0 = $conv34;
   40024     label = 10;
   40025     break;
   40026    case 9:
   40027     $12 = HEAP8[$space41] | 0;
   40028     $conv42 = $12 << 24 >> 24;
   40029     $sub43 = $conv42 - 1 | 0;
   40030     $sub46 = $conv26 - 1 | 0;
   40031     $mul47 = Math_imul($sub43, $sub46);
   40032     $conv48 = +($mul47 | 0);
   40033     $sub49 = $11 - $conv48;
   40034     $conv52 = +($conv26 | 0);
   40035     $div53 = $sub49 / $conv52;
   40036     $conv54 = ~~$div53;
   40037     $cmp55 = ($conv54 | 0) > 1;
   40038     $cond60 = $cmp55 ? $conv54 : 1;
   40039     $wd_0 = $cond60;
   40040     label = 10;
   40041     break;
   40042    case 10:
   40043     $row = $6 + 78 | 0;
   40044     $13 = HEAP16[$row >> 1] | 0;
   40045     $conv62 = $13 & 65535;
   40046     $conv6541 = $13 & 65535;
   40047     $14 = HEAP16[$rspan >> 1] | 0;
   40048     $conv6742 = $14 & 65535;
   40049     $add6843 = $conv6742 + $conv6541 | 0;
   40050     $cmp6944 = ($conv62 | 0) < ($add6843 | 0);
   40051     if ($cmp6944) {
   40052       $i_045 = $conv62;
   40053       label = 11;
   40054       break;
   40055     } else {
   40056       label = 12;
   40057       break;
   40058     }
   40059    case 11:
   40060     $15 = HEAP32[$heights >> 2] | 0;
   40061     $arrayidx = $15 + ($i_045 << 2) | 0;
   40062     $16 = HEAP32[$arrayidx >> 2] | 0;
   40063     $cmp73 = ($16 | 0) > ($ht_0 | 0);
   40064     $cond78 = $cmp73 ? $16 : $ht_0;
   40065     HEAP32[$arrayidx >> 2] = $cond78;
   40066     $inc = $i_045 + 1 | 0;
   40067     $17 = HEAP16[$row >> 1] | 0;
   40068     $conv65 = $17 & 65535;
   40069     $18 = HEAP16[$rspan >> 1] | 0;
   40070     $conv67 = $18 & 65535;
   40071     $add68 = $conv67 + $conv65 | 0;
   40072     $cmp69 = ($inc | 0) < ($add68 | 0);
   40073     if ($cmp69) {
   40074       $i_045 = $inc;
   40075       label = 11;
   40076       break;
   40077     } else {
   40078       label = 12;
   40079       break;
   40080     }
   40081    case 12:
   40082     $col = $6 + 76 | 0;
   40083     $19 = HEAP16[$col >> 1] | 0;
   40084     $conv81 = $19 & 65535;
   40085     $conv8446 = $19 & 65535;
   40086     $20 = HEAP16[$cspan >> 1] | 0;
   40087     $conv8647 = $20 & 65535;
   40088     $add8748 = $conv8647 + $conv8446 | 0;
   40089     $cmp8849 = ($conv81 | 0) < ($add8748 | 0);
   40090     if ($cmp8849) {
   40091       $i_150 = $conv81;
   40092       label = 13;
   40093       break;
   40094     } else {
   40095       label = 14;
   40096       break;
   40097     }
   40098    case 13:
   40099     $21 = HEAP32[$widths >> 2] | 0;
   40100     $arrayidx92 = $21 + ($i_150 << 2) | 0;
   40101     $22 = HEAP32[$arrayidx92 >> 2] | 0;
   40102     $cmp93 = ($22 | 0) > ($wd_0 | 0);
   40103     $cond98 = $cmp93 ? $22 : $wd_0;
   40104     HEAP32[$arrayidx92 >> 2] = $cond98;
   40105     $inc102 = $i_150 + 1 | 0;
   40106     $23 = HEAP16[$col >> 1] | 0;
   40107     $conv84 = $23 & 65535;
   40108     $24 = HEAP16[$cspan >> 1] | 0;
   40109     $conv86 = $24 & 65535;
   40110     $add87 = $conv86 + $conv84 | 0;
   40111     $cmp88 = ($inc102 | 0) < ($add87 | 0);
   40112     if ($cmp88) {
   40113       $i_150 = $inc102;
   40114       label = 13;
   40115       break;
   40116     } else {
   40117       label = 14;
   40118       break;
   40119     }
   40120    case 14:
   40121     $incdec_ptr = $cells_052 + 4 | 0;
   40122     $25 = HEAP32[$incdec_ptr >> 2] | 0;
   40123     $tobool = ($25 | 0) == 0;
   40124     if ($tobool) {
   40125       label = 15;
   40126       break;
   40127     } else {
   40128       $cells_052 = $incdec_ptr;
   40129       $6 = $25;
   40130       label = 4;
   40131       break;
   40132     }
   40133    case 15:
   40134     return;
   40135   }
   40136 }
   40137 function _nToName($c) {
   40138   $c = $c | 0;
   40139   var $cmp = 0, $arrayidx = 0, $0 = 0, $call = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   40140   __stackBase__ = STACKTOP;
   40141   label = 2;
   40142   while (1) switch (label | 0) {
   40143    case 2:
   40144     $cmp = $c >>> 0 < 21;
   40145     if ($cmp) {
   40146       label = 3;
   40147       break;
   40148     } else {
   40149       label = 4;
   40150       break;
   40151     }
   40152    case 3:
   40153     $arrayidx = 9184 + ($c << 2) | 0;
   40154     $0 = HEAP32[$arrayidx >> 2] | 0;
   40155     $retval_0 = $0;
   40156     label = 5;
   40157     break;
   40158    case 4:
   40159     $call = _sprintf(11088, 115528, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $c, tempInt) | 0) | 0;
   40160     $retval_0 = 11088;
   40161     label = 5;
   40162     break;
   40163    case 5:
   40164     STACKTOP = __stackBase__;
   40165     return $retval_0 | 0;
   40166   }
   40167   return 0;
   40168 }
   40169 function _setSizes($tbl, $rowg, $colg) {
   40170   $tbl = $tbl | 0;
   40171   $rowg = $rowg | 0;
   40172   $colg = $colg | 0;
   40173   var $nlist = 0, $0 = 0, $n_0_in22 = 0, $n_023 = 0, $tobool24 = 0, $heights = 0, $n_027 = 0, $prev_026 = 0, $i_025 = 0, $rank = 0, $1 = 0, $sub = 0, $2 = 0, $arrayidx = 0, $3 = 0, $inc = 0, $n_0_in = 0, $n_0 = 0, $tobool = 0, $nlist8 = 0, $4 = 0, $n_1_in16 = 0, $n_117 = 0, $tobool1218 = 0, $widths = 0, $n_121 = 0, $prev_120 = 0, $i_119 = 0, $rank15 = 0, $5 = 0, $sub16 = 0, $6 = 0, $arrayidx17 = 0, $7 = 0, $inc21 = 0, $n_1_in = 0, $n_1 = 0, $tobool12 = 0, label = 0;
   40174   label = 2;
   40175   while (1) switch (label | 0) {
   40176    case 2:
   40177     $nlist = $rowg + 220 | 0;
   40178     $0 = HEAP32[$nlist >> 2] | 0;
   40179     $n_0_in22 = $0 + 168 | 0;
   40180     $n_023 = HEAP32[$n_0_in22 >> 2] | 0;
   40181     $tobool24 = ($n_023 | 0) == 0;
   40182     if ($tobool24) {
   40183       label = 5;
   40184       break;
   40185     } else {
   40186       label = 3;
   40187       break;
   40188     }
   40189    case 3:
   40190     $heights = $tbl + 84 | 0;
   40191     $i_025 = 0;
   40192     $prev_026 = 0;
   40193     $n_027 = $n_023;
   40194     label = 4;
   40195     break;
   40196    case 4:
   40197     $rank = $n_027 + 236 | 0;
   40198     $1 = HEAP32[$rank >> 2] | 0;
   40199     $sub = $1 - $prev_026 | 0;
   40200     $2 = HEAP32[$heights >> 2] | 0;
   40201     $arrayidx = $2 + ($i_025 << 2) | 0;
   40202     HEAP32[$arrayidx >> 2] = $sub;
   40203     $3 = HEAP32[$rank >> 2] | 0;
   40204     $inc = $i_025 + 1 | 0;
   40205     $n_0_in = $n_027 + 168 | 0;
   40206     $n_0 = HEAP32[$n_0_in >> 2] | 0;
   40207     $tobool = ($n_0 | 0) == 0;
   40208     if ($tobool) {
   40209       label = 5;
   40210       break;
   40211     } else {
   40212       $i_025 = $inc;
   40213       $prev_026 = $3;
   40214       $n_027 = $n_0;
   40215       label = 4;
   40216       break;
   40217     }
   40218    case 5:
   40219     $nlist8 = $colg + 220 | 0;
   40220     $4 = HEAP32[$nlist8 >> 2] | 0;
   40221     $n_1_in16 = $4 + 168 | 0;
   40222     $n_117 = HEAP32[$n_1_in16 >> 2] | 0;
   40223     $tobool1218 = ($n_117 | 0) == 0;
   40224     if ($tobool1218) {
   40225       label = 8;
   40226       break;
   40227     } else {
   40228       label = 6;
   40229       break;
   40230     }
   40231    case 6:
   40232     $widths = $tbl + 88 | 0;
   40233     $i_119 = 0;
   40234     $prev_120 = 0;
   40235     $n_121 = $n_117;
   40236     label = 7;
   40237     break;
   40238    case 7:
   40239     $rank15 = $n_121 + 236 | 0;
   40240     $5 = HEAP32[$rank15 >> 2] | 0;
   40241     $sub16 = $5 - $prev_120 | 0;
   40242     $6 = HEAP32[$widths >> 2] | 0;
   40243     $arrayidx17 = $6 + ($i_119 << 2) | 0;
   40244     HEAP32[$arrayidx17 >> 2] = $sub16;
   40245     $7 = HEAP32[$rank15 >> 2] | 0;
   40246     $inc21 = $i_119 + 1 | 0;
   40247     $n_1_in = $n_121 + 168 | 0;
   40248     $n_1 = HEAP32[$n_1_in >> 2] | 0;
   40249     $tobool12 = ($n_1 | 0) == 0;
   40250     if ($tobool12) {
   40251       label = 8;
   40252       break;
   40253     } else {
   40254       $i_119 = $inc21;
   40255       $prev_120 = $7;
   40256       $n_121 = $n_1;
   40257       label = 7;
   40258       break;
   40259     }
   40260    case 8:
   40261     return;
   40262   }
   40263 }
   40264 function _makeGraphs($tbl, $rowg, $colg) {
   40265   $tbl = $tbl | 0;
   40266   $rowg = $rowg | 0;
   40267   $colg = $colg | 0;
   40268   var $cc = 0, $0 = 0, $cmp153 = 0, $1 = 0, $rc = 0, $nlist = 0, $rc19 = 0, $2 = 0, $cmp20149 = 0, $3 = 0, $nlist54 = 0, $lastn_0155 = 0, $i_0154 = 0, $call = 0, $call1 = 0, $4 = 0, $u = 0, $in = 0, $size = 0, $5 = 0, $6 = 0, $add = 0, $mul = 0, $call2 = 0, $7 = 0, $list = 0, $out = 0, $size7 = 0, $8 = 0, $9 = 0, $add9 = 0, $mul10 = 0, $call11 = 0, $10 = 0, $list14 = 0, $tobool = 0, $next = 0, $inc = 0, $11 = 0, $cmp = 0, $lastn_2151 = 0, $i_1150 = 0, $call22 = 0, $call23 = 0, $12 = 0, $u25 = 0, $in26 = 0, $size27 = 0, $13 = 0, $14 = 0, $add29 = 0, $mul30 = 0, $call31 = 0, $15 = 0, $list34 = 0, $out38 = 0, $size39 = 0, $16 = 0, $17 = 0, $add41 = 0, $mul42 = 0, $call43 = 0, $18 = 0, $list46 = 0, $tobool48 = 0, $next51 = 0, $inc57 = 0, $19 = 0, $cmp20 = 0, $_lcssa = 0, $mul60 = 0, $call61 = 0, $20 = 0, $21 = 0, $mul63 = 0, $call64 = 0, $22 = 0, $cells66 = 0, $23 = 0, $24 = 0, $tobool68147 = 0, $25 = 0, $cells_0148 = 0, $x70 = 0, $26 = 0.0, $cspan = 0, $27 = 0, $conv = 0, $sub = 0, $conv71 = 0.0, $add72 = 0.0, $conv75 = 0.0, $div = 0.0, $conv76 = 0, $28 = 0, $cmp80142 = 0, $col = 0, $c_0143 = 0, $29 = 0, $conv83 = 0, $add84 = 0, $arrayidx = 0, $30 = 0, $cmp85 = 0, $_conv76 = 0, $inc96 = 0, $31 = 0, $conv79 = 0, $cmp80 = 0, $y101 = 0, $32 = 0.0, $rspan = 0, $33 = 0, $conv102 = 0, $sub103 = 0, $conv104 = 0.0, $add105 = 0.0, $conv108 = 0.0, $div109 = 0.0, $conv110 = 0, $34 = 0, $cmp114145 = 0, $row = 0, $r_0146 = 0, $35 = 0, $conv117 = 0, $add118 = 0, $arrayidx119 = 0, $36 = 0, $cmp120 = 0, $_conv110 = 0, $inc135 = 0, $37 = 0, $conv113 = 0, $cmp114 = 0, $incdec_ptr = 0, $38 = 0, $tobool68 = 0, $39 = 0, $40 = 0, $tobool143139 = 0, $41 = 0, $42 = 0, $43 = 0, $cells_1140 = 0, $col149 = 0, $44 = 0, $conv150 = 0, $call151 = 0, $call152 = 0, $45 = 0, $conv154 = 0, $cspan155 = 0, $46 = 0, $conv156 = 0, $add157 = 0, $call158 = 0, $call159 = 0, $call160 = 0, $47 = 0, $48 = 0, $cmp164131 = 0, $49 = 0, $conv168 = 0, $50 = 0, $conv163 = 0, $c147_0133 = 0, $x145_0132 = 0, $add169 = 0, $arrayidx170 = 0, $51 = 0, $add171 = 0, $inc173 = 0, $cmp164 = 0, $extract_t = 0, $x145_0_lcssa_off0 = 0, $u176 = 0, $minlen = 0, $52 = 0, $u178 = 0, $out179 = 0, $list180 = 0, $53 = 0, $tobool181 = 0, $54 = 0, $size188 = 0, $55 = 0, $56 = 0, $add189 = 0, $mul190 = 0, $call191 = 0, $size195 = 0, $57 = 0, $58 = 0, $add196 = 0, $mul197 = 0, $call198 = 0, $call191_sink = 0, $59 = 0, $size206 = 0, $60 = 0, $61 = 0, $inc207 = 0, $62 = 0, $arrayidx211 = 0, $63 = 0, $64 = 0, $arrayidx218 = 0, $u221 = 0, $in222 = 0, $list223 = 0, $65 = 0, $tobool224 = 0, $66 = 0, $size231 = 0, $67 = 0, $68 = 0, $add232 = 0, $mul233 = 0, $call234 = 0, $size238 = 0, $69 = 0, $70 = 0, $add239 = 0, $mul240 = 0, $call241 = 0, $call234_sink = 0, $71 = 0, $size249 = 0, $72 = 0, $73 = 0, $inc250 = 0, $74 = 0, $arrayidx254 = 0, $75 = 0, $76 = 0, $arrayidx261 = 0, $row263 = 0, $77 = 0, $conv264 = 0, $call265 = 0, $call266 = 0, $78 = 0, $conv268 = 0, $rspan269 = 0, $79 = 0, $conv270 = 0, $add271 = 0, $call272 = 0, $call273 = 0, $call274 = 0, $80 = 0, $81 = 0, $cmp278135 = 0, $82 = 0, $conv282 = 0, $83 = 0, $conv277 = 0, $r148_0137 = 0, $y146_0136 = 0, $add283 = 0, $arrayidx284 = 0, $84 = 0, $add285 = 0, $inc287 = 0, $cmp278 = 0, $extract_t157 = 0, $y146_0_lcssa_off0 = 0, $u290 = 0, $minlen291 = 0, $85 = 0, $u293 = 0, $out294 = 0, $list295 = 0, $86 = 0, $tobool296 = 0, $87 = 0, $size303 = 0, $88 = 0, $89 = 0, $add304 = 0, $mul305 = 0, $call306 = 0, $size310 = 0, $90 = 0, $91 = 0, $add311 = 0, $mul312 = 0, $call313 = 0, $call306_sink = 0, $92 = 0, $size321 = 0, $93 = 0, $94 = 0, $inc322 = 0, $95 = 0, $arrayidx326 = 0, $96 = 0, $97 = 0, $arrayidx333 = 0, $u336 = 0, $in337 = 0, $list338 = 0, $98 = 0, $tobool339 = 0, $99 = 0, $size346 = 0, $100 = 0, $101 = 0, $add347 = 0, $mul348 = 0, $call349 = 0, $size353 = 0, $102 = 0, $103 = 0, $add354 = 0, $mul355 = 0, $call356 = 0, $call349_sink = 0, $104 = 0, $size364 = 0, $105 = 0, $106 = 0, $inc365 = 0, $107 = 0, $arrayidx369 = 0, $108 = 0, $109 = 0, $arrayidx376 = 0, $incdec_ptr379 = 0, $110 = 0, $tobool143 = 0, label = 0;
   40269   label = 2;
   40270   while (1) switch (label | 0) {
   40271    case 2:
   40272     $cc = $tbl + 96 | 0;
   40273     $0 = HEAP32[$cc >> 2] | 0;
   40274     $cmp153 = ($0 | 0) < 0;
   40275     if ($cmp153) {
   40276       label = 4;
   40277       break;
   40278     } else {
   40279       label = 3;
   40280       break;
   40281     }
   40282    case 3:
   40283     $1 = $colg;
   40284     $rc = $tbl + 92 | 0;
   40285     $nlist = $colg + 220 | 0;
   40286     $i_0154 = 0;
   40287     $lastn_0155 = 0;
   40288     label = 6;
   40289     break;
   40290    case 4:
   40291     $rc19 = $tbl + 92 | 0;
   40292     $2 = HEAP32[$rc19 >> 2] | 0;
   40293     $cmp20149 = ($2 | 0) < 0;
   40294     if ($cmp20149) {
   40295       $_lcssa = $2;
   40296       label = 14;
   40297       break;
   40298     } else {
   40299       label = 5;
   40300       break;
   40301     }
   40302    case 5:
   40303     $3 = $rowg;
   40304     $nlist54 = $rowg + 220 | 0;
   40305     $i_1150 = 0;
   40306     $lastn_2151 = 0;
   40307     label = 10;
   40308     break;
   40309    case 6:
   40310     $call = _nToName($i_0154) | 0;
   40311     $call1 = _agnode($1, $call) | 0;
   40312     $4 = $call1;
   40313     $u = $call1 + 24 | 0;
   40314     $in = $u + 152 | 0;
   40315     $size = $u + 156 | 0;
   40316     $5 = $size;
   40317     HEAP32[$5 >> 2] = 0;
   40318     $6 = HEAP32[$rc >> 2] | 0;
   40319     $add = $6 << 2;
   40320     $mul = $add + 4 | 0;
   40321     $call2 = _zmalloc($mul) | 0;
   40322     $7 = $call2;
   40323     $list = $in;
   40324     HEAP32[$list >> 2] = $7;
   40325     $out = $u + 160 | 0;
   40326     $size7 = $u + 164 | 0;
   40327     $8 = $size7;
   40328     HEAP32[$8 >> 2] = 0;
   40329     $9 = HEAP32[$rc >> 2] | 0;
   40330     $add9 = $9 << 2;
   40331     $mul10 = $add9 + 4 | 0;
   40332     $call11 = _zmalloc($mul10) | 0;
   40333     $10 = $call11;
   40334     $list14 = $out;
   40335     HEAP32[$list14 >> 2] = $10;
   40336     $tobool = ($lastn_0155 | 0) == 0;
   40337     if ($tobool) {
   40338       label = 8;
   40339       break;
   40340     } else {
   40341       label = 7;
   40342       break;
   40343     }
   40344    case 7:
   40345     $next = $lastn_0155 + 168 | 0;
   40346     HEAP32[$next >> 2] = $4;
   40347     label = 9;
   40348     break;
   40349    case 8:
   40350     HEAP32[$nlist >> 2] = $4;
   40351     label = 9;
   40352     break;
   40353    case 9:
   40354     $inc = $i_0154 + 1 | 0;
   40355     $11 = HEAP32[$cc >> 2] | 0;
   40356     $cmp = ($inc | 0) > ($11 | 0);
   40357     if ($cmp) {
   40358       label = 4;
   40359       break;
   40360     } else {
   40361       $i_0154 = $inc;
   40362       $lastn_0155 = $4;
   40363       label = 6;
   40364       break;
   40365     }
   40366    case 10:
   40367     $call22 = _nToName($i_1150) | 0;
   40368     $call23 = _agnode($3, $call22) | 0;
   40369     $12 = $call23;
   40370     $u25 = $call23 + 24 | 0;
   40371     $in26 = $u25 + 152 | 0;
   40372     $size27 = $u25 + 156 | 0;
   40373     $13 = $size27;
   40374     HEAP32[$13 >> 2] = 0;
   40375     $14 = HEAP32[$cc >> 2] | 0;
   40376     $add29 = $14 << 2;
   40377     $mul30 = $add29 + 4 | 0;
   40378     $call31 = _zmalloc($mul30) | 0;
   40379     $15 = $call31;
   40380     $list34 = $in26;
   40381     HEAP32[$list34 >> 2] = $15;
   40382     $out38 = $u25 + 160 | 0;
   40383     $size39 = $u25 + 164 | 0;
   40384     $16 = $size39;
   40385     HEAP32[$16 >> 2] = 0;
   40386     $17 = HEAP32[$cc >> 2] | 0;
   40387     $add41 = $17 << 2;
   40388     $mul42 = $add41 + 4 | 0;
   40389     $call43 = _zmalloc($mul42) | 0;
   40390     $18 = $call43;
   40391     $list46 = $out38;
   40392     HEAP32[$list46 >> 2] = $18;
   40393     $tobool48 = ($lastn_2151 | 0) == 0;
   40394     if ($tobool48) {
   40395       label = 12;
   40396       break;
   40397     } else {
   40398       label = 11;
   40399       break;
   40400     }
   40401    case 11:
   40402     $next51 = $lastn_2151 + 168 | 0;
   40403     HEAP32[$next51 >> 2] = $12;
   40404     label = 13;
   40405     break;
   40406    case 12:
   40407     HEAP32[$nlist54 >> 2] = $12;
   40408     label = 13;
   40409     break;
   40410    case 13:
   40411     $inc57 = $i_1150 + 1 | 0;
   40412     $19 = HEAP32[$rc19 >> 2] | 0;
   40413     $cmp20 = ($inc57 | 0) > ($19 | 0);
   40414     if ($cmp20) {
   40415       $_lcssa = $19;
   40416       label = 14;
   40417       break;
   40418     } else {
   40419       $i_1150 = $inc57;
   40420       $lastn_2151 = $12;
   40421       label = 10;
   40422       break;
   40423     }
   40424    case 14:
   40425     $mul60 = $_lcssa << 2;
   40426     $call61 = _zmalloc($mul60) | 0;
   40427     $20 = $call61;
   40428     $21 = HEAP32[$cc >> 2] | 0;
   40429     $mul63 = $21 << 2;
   40430     $call64 = _zmalloc($mul63) | 0;
   40431     $22 = $call64;
   40432     $cells66 = $tbl + 76 | 0;
   40433     $23 = HEAP32[$cells66 >> 2] | 0;
   40434     $24 = HEAP32[$23 >> 2] | 0;
   40435     $tobool68147 = ($24 | 0) == 0;
   40436     if ($tobool68147) {
   40437       label = 22;
   40438       break;
   40439     } else {
   40440       $cells_0148 = $23;
   40441       $25 = $24;
   40442       label = 15;
   40443       break;
   40444     }
   40445    case 15:
   40446     $x70 = $25 + 56 | 0;
   40447     $26 = +HEAPF64[$x70 >> 3];
   40448     $cspan = $25 + 72 | 0;
   40449     $27 = HEAP16[$cspan >> 1] | 0;
   40450     $conv = $27 & 65535;
   40451     $sub = $conv - 1 | 0;
   40452     $conv71 = +($sub | 0);
   40453     $add72 = $26 + $conv71;
   40454     $conv75 = +($conv | 0);
   40455     $div = $add72 / $conv75;
   40456     $conv76 = ~~$div;
   40457     $28 = HEAP16[$cspan >> 1] | 0;
   40458     $cmp80142 = $28 << 16 >> 16 == 0;
   40459     if ($cmp80142) {
   40460       label = 18;
   40461       break;
   40462     } else {
   40463       label = 16;
   40464       break;
   40465     }
   40466    case 16:
   40467     $col = $25 + 76 | 0;
   40468     $c_0143 = 0;
   40469     label = 17;
   40470     break;
   40471    case 17:
   40472     $29 = HEAP16[$col >> 1] | 0;
   40473     $conv83 = $29 & 65535;
   40474     $add84 = $conv83 + $c_0143 | 0;
   40475     $arrayidx = $22 + ($add84 << 2) | 0;
   40476     $30 = HEAP32[$arrayidx >> 2] | 0;
   40477     $cmp85 = ($30 | 0) > ($conv76 | 0);
   40478     $_conv76 = $cmp85 ? $30 : $conv76;
   40479     HEAP32[$arrayidx >> 2] = $_conv76;
   40480     $inc96 = $c_0143 + 1 | 0;
   40481     $31 = HEAP16[$cspan >> 1] | 0;
   40482     $conv79 = $31 & 65535;
   40483     $cmp80 = ($inc96 | 0) < ($conv79 | 0);
   40484     if ($cmp80) {
   40485       $c_0143 = $inc96;
   40486       label = 17;
   40487       break;
   40488     } else {
   40489       label = 18;
   40490       break;
   40491     }
   40492    case 18:
   40493     $y101 = $25 + 64 | 0;
   40494     $32 = +HEAPF64[$y101 >> 3];
   40495     $rspan = $25 + 74 | 0;
   40496     $33 = HEAP16[$rspan >> 1] | 0;
   40497     $conv102 = $33 & 65535;
   40498     $sub103 = $conv102 - 1 | 0;
   40499     $conv104 = +($sub103 | 0);
   40500     $add105 = $32 + $conv104;
   40501     $conv108 = +($conv102 | 0);
   40502     $div109 = $add105 / $conv108;
   40503     $conv110 = ~~$div109;
   40504     $34 = HEAP16[$rspan >> 1] | 0;
   40505     $cmp114145 = $34 << 16 >> 16 == 0;
   40506     if ($cmp114145) {
   40507       label = 21;
   40508       break;
   40509     } else {
   40510       label = 19;
   40511       break;
   40512     }
   40513    case 19:
   40514     $row = $25 + 78 | 0;
   40515     $r_0146 = 0;
   40516     label = 20;
   40517     break;
   40518    case 20:
   40519     $35 = HEAP16[$row >> 1] | 0;
   40520     $conv117 = $35 & 65535;
   40521     $add118 = $conv117 + $r_0146 | 0;
   40522     $arrayidx119 = $20 + ($add118 << 2) | 0;
   40523     $36 = HEAP32[$arrayidx119 >> 2] | 0;
   40524     $cmp120 = ($36 | 0) > ($conv110 | 0);
   40525     $_conv110 = $cmp120 ? $36 : $conv110;
   40526     HEAP32[$arrayidx119 >> 2] = $_conv110;
   40527     $inc135 = $r_0146 + 1 | 0;
   40528     $37 = HEAP16[$rspan >> 1] | 0;
   40529     $conv113 = $37 & 65535;
   40530     $cmp114 = ($inc135 | 0) < ($conv113 | 0);
   40531     if ($cmp114) {
   40532       $r_0146 = $inc135;
   40533       label = 20;
   40534       break;
   40535     } else {
   40536       label = 21;
   40537       break;
   40538     }
   40539    case 21:
   40540     $incdec_ptr = $cells_0148 + 4 | 0;
   40541     $38 = HEAP32[$incdec_ptr >> 2] | 0;
   40542     $tobool68 = ($38 | 0) == 0;
   40543     if ($tobool68) {
   40544       label = 22;
   40545       break;
   40546     } else {
   40547       $cells_0148 = $incdec_ptr;
   40548       $25 = $38;
   40549       label = 15;
   40550       break;
   40551     }
   40552    case 22:
   40553     $39 = HEAP32[$cells66 >> 2] | 0;
   40554     $40 = HEAP32[$39 >> 2] | 0;
   40555     $tobool143139 = ($40 | 0) == 0;
   40556     if ($tobool143139) {
   40557       label = 45;
   40558       break;
   40559     } else {
   40560       label = 23;
   40561       break;
   40562     }
   40563    case 23:
   40564     $41 = $colg;
   40565     $42 = $rowg;
   40566     $cells_1140 = $39;
   40567     $43 = $40;
   40568     label = 24;
   40569     break;
   40570    case 24:
   40571     $col149 = $43 + 76 | 0;
   40572     $44 = HEAP16[$col149 >> 1] | 0;
   40573     $conv150 = $44 & 65535;
   40574     $call151 = _nToName($conv150) | 0;
   40575     $call152 = _agfindnode($41, $call151) | 0;
   40576     $45 = HEAP16[$col149 >> 1] | 0;
   40577     $conv154 = $45 & 65535;
   40578     $cspan155 = $43 + 72 | 0;
   40579     $46 = HEAP16[$cspan155 >> 1] | 0;
   40580     $conv156 = $46 & 65535;
   40581     $add157 = $conv156 + $conv154 | 0;
   40582     $call158 = _nToName($add157) | 0;
   40583     $call159 = _agfindnode($41, $call158) | 0;
   40584     $call160 = _agedge($41, $call152, $call159) | 0;
   40585     $47 = $call160;
   40586     $48 = HEAP16[$cspan155 >> 1] | 0;
   40587     $cmp164131 = $48 << 16 >> 16 == 0;
   40588     if ($cmp164131) {
   40589       $x145_0_lcssa_off0 = 0;
   40590       label = 28;
   40591       break;
   40592     } else {
   40593       label = 25;
   40594       break;
   40595     }
   40596    case 25:
   40597     $49 = HEAP16[$col149 >> 1] | 0;
   40598     $conv168 = $49 & 65535;
   40599     $50 = HEAP16[$cspan155 >> 1] | 0;
   40600     $conv163 = $50 & 65535;
   40601     $x145_0132 = 0;
   40602     $c147_0133 = 0;
   40603     label = 26;
   40604     break;
   40605    case 26:
   40606     $add169 = $conv168 + $c147_0133 | 0;
   40607     $arrayidx170 = $22 + ($add169 << 2) | 0;
   40608     $51 = HEAP32[$arrayidx170 >> 2] | 0;
   40609     $add171 = $51 + $x145_0132 | 0;
   40610     $inc173 = $c147_0133 + 1 | 0;
   40611     $cmp164 = ($inc173 | 0) < ($conv163 | 0);
   40612     if ($cmp164) {
   40613       $x145_0132 = $add171;
   40614       $c147_0133 = $inc173;
   40615       label = 26;
   40616       break;
   40617     } else {
   40618       label = 27;
   40619       break;
   40620     }
   40621    case 27:
   40622     $extract_t = $add171 & 65535;
   40623     $x145_0_lcssa_off0 = $extract_t;
   40624     label = 28;
   40625     break;
   40626    case 28:
   40627     $u176 = $call160 + 24 | 0;
   40628     $minlen = $u176 + 162 | 0;
   40629     $52 = $minlen;
   40630     HEAP16[$52 >> 1] = $x145_0_lcssa_off0;
   40631     $u178 = $call152 + 24 | 0;
   40632     $out179 = $u178 + 160 | 0;
   40633     $list180 = $out179;
   40634     $53 = HEAP32[$list180 >> 2] | 0;
   40635     $tobool181 = ($53 | 0) == 0;
   40636     if ($tobool181) {
   40637       label = 30;
   40638       break;
   40639     } else {
   40640       label = 29;
   40641       break;
   40642     }
   40643    case 29:
   40644     $54 = $53;
   40645     $size188 = $u178 + 164 | 0;
   40646     $55 = $size188;
   40647     $56 = HEAP32[$55 >> 2] | 0;
   40648     $add189 = $56 << 2;
   40649     $mul190 = $add189 + 8 | 0;
   40650     $call191 = _grealloc($54, $mul190) | 0;
   40651     $call191_sink = $call191;
   40652     label = 31;
   40653     break;
   40654    case 30:
   40655     $size195 = $u178 + 164 | 0;
   40656     $57 = $size195;
   40657     $58 = HEAP32[$57 >> 2] | 0;
   40658     $add196 = $58 << 2;
   40659     $mul197 = $add196 + 8 | 0;
   40660     $call198 = _gmalloc($mul197) | 0;
   40661     $call191_sink = $call198;
   40662     label = 31;
   40663     break;
   40664    case 31:
   40665     $59 = $call191_sink;
   40666     HEAP32[$list180 >> 2] = $59;
   40667     $size206 = $u178 + 164 | 0;
   40668     $60 = $size206;
   40669     $61 = HEAP32[$60 >> 2] | 0;
   40670     $inc207 = $61 + 1 | 0;
   40671     HEAP32[$60 >> 2] = $inc207;
   40672     $62 = HEAP32[$list180 >> 2] | 0;
   40673     $arrayidx211 = $62 + ($61 << 2) | 0;
   40674     HEAP32[$arrayidx211 >> 2] = $47;
   40675     $63 = HEAP32[$60 >> 2] | 0;
   40676     $64 = HEAP32[$list180 >> 2] | 0;
   40677     $arrayidx218 = $64 + ($63 << 2) | 0;
   40678     HEAP32[$arrayidx218 >> 2] = 0;
   40679     $u221 = $call159 + 24 | 0;
   40680     $in222 = $u221 + 152 | 0;
   40681     $list223 = $in222;
   40682     $65 = HEAP32[$list223 >> 2] | 0;
   40683     $tobool224 = ($65 | 0) == 0;
   40684     if ($tobool224) {
   40685       label = 33;
   40686       break;
   40687     } else {
   40688       label = 32;
   40689       break;
   40690     }
   40691    case 32:
   40692     $66 = $65;
   40693     $size231 = $u221 + 156 | 0;
   40694     $67 = $size231;
   40695     $68 = HEAP32[$67 >> 2] | 0;
   40696     $add232 = $68 << 2;
   40697     $mul233 = $add232 + 8 | 0;
   40698     $call234 = _grealloc($66, $mul233) | 0;
   40699     $call234_sink = $call234;
   40700     label = 34;
   40701     break;
   40702    case 33:
   40703     $size238 = $u221 + 156 | 0;
   40704     $69 = $size238;
   40705     $70 = HEAP32[$69 >> 2] | 0;
   40706     $add239 = $70 << 2;
   40707     $mul240 = $add239 + 8 | 0;
   40708     $call241 = _gmalloc($mul240) | 0;
   40709     $call234_sink = $call241;
   40710     label = 34;
   40711     break;
   40712    case 34:
   40713     $71 = $call234_sink;
   40714     HEAP32[$list223 >> 2] = $71;
   40715     $size249 = $u221 + 156 | 0;
   40716     $72 = $size249;
   40717     $73 = HEAP32[$72 >> 2] | 0;
   40718     $inc250 = $73 + 1 | 0;
   40719     HEAP32[$72 >> 2] = $inc250;
   40720     $74 = HEAP32[$list223 >> 2] | 0;
   40721     $arrayidx254 = $74 + ($73 << 2) | 0;
   40722     HEAP32[$arrayidx254 >> 2] = $47;
   40723     $75 = HEAP32[$72 >> 2] | 0;
   40724     $76 = HEAP32[$list223 >> 2] | 0;
   40725     $arrayidx261 = $76 + ($75 << 2) | 0;
   40726     HEAP32[$arrayidx261 >> 2] = 0;
   40727     $row263 = $43 + 78 | 0;
   40728     $77 = HEAP16[$row263 >> 1] | 0;
   40729     $conv264 = $77 & 65535;
   40730     $call265 = _nToName($conv264) | 0;
   40731     $call266 = _agfindnode($42, $call265) | 0;
   40732     $78 = HEAP16[$row263 >> 1] | 0;
   40733     $conv268 = $78 & 65535;
   40734     $rspan269 = $43 + 74 | 0;
   40735     $79 = HEAP16[$rspan269 >> 1] | 0;
   40736     $conv270 = $79 & 65535;
   40737     $add271 = $conv270 + $conv268 | 0;
   40738     $call272 = _nToName($add271) | 0;
   40739     $call273 = _agfindnode($42, $call272) | 0;
   40740     $call274 = _agedge($42, $call266, $call273) | 0;
   40741     $80 = $call274;
   40742     $81 = HEAP16[$rspan269 >> 1] | 0;
   40743     $cmp278135 = $81 << 16 >> 16 == 0;
   40744     if ($cmp278135) {
   40745       $y146_0_lcssa_off0 = 0;
   40746       label = 38;
   40747       break;
   40748     } else {
   40749       label = 35;
   40750       break;
   40751     }
   40752    case 35:
   40753     $82 = HEAP16[$row263 >> 1] | 0;
   40754     $conv282 = $82 & 65535;
   40755     $83 = HEAP16[$rspan269 >> 1] | 0;
   40756     $conv277 = $83 & 65535;
   40757     $y146_0136 = 0;
   40758     $r148_0137 = 0;
   40759     label = 36;
   40760     break;
   40761    case 36:
   40762     $add283 = $conv282 + $r148_0137 | 0;
   40763     $arrayidx284 = $20 + ($add283 << 2) | 0;
   40764     $84 = HEAP32[$arrayidx284 >> 2] | 0;
   40765     $add285 = $84 + $y146_0136 | 0;
   40766     $inc287 = $r148_0137 + 1 | 0;
   40767     $cmp278 = ($inc287 | 0) < ($conv277 | 0);
   40768     if ($cmp278) {
   40769       $y146_0136 = $add285;
   40770       $r148_0137 = $inc287;
   40771       label = 36;
   40772       break;
   40773     } else {
   40774       label = 37;
   40775       break;
   40776     }
   40777    case 37:
   40778     $extract_t157 = $add285 & 65535;
   40779     $y146_0_lcssa_off0 = $extract_t157;
   40780     label = 38;
   40781     break;
   40782    case 38:
   40783     $u290 = $call274 + 24 | 0;
   40784     $minlen291 = $u290 + 162 | 0;
   40785     $85 = $minlen291;
   40786     HEAP16[$85 >> 1] = $y146_0_lcssa_off0;
   40787     $u293 = $call266 + 24 | 0;
   40788     $out294 = $u293 + 160 | 0;
   40789     $list295 = $out294;
   40790     $86 = HEAP32[$list295 >> 2] | 0;
   40791     $tobool296 = ($86 | 0) == 0;
   40792     if ($tobool296) {
   40793       label = 40;
   40794       break;
   40795     } else {
   40796       label = 39;
   40797       break;
   40798     }
   40799    case 39:
   40800     $87 = $86;
   40801     $size303 = $u293 + 164 | 0;
   40802     $88 = $size303;
   40803     $89 = HEAP32[$88 >> 2] | 0;
   40804     $add304 = $89 << 2;
   40805     $mul305 = $add304 + 8 | 0;
   40806     $call306 = _grealloc($87, $mul305) | 0;
   40807     $call306_sink = $call306;
   40808     label = 41;
   40809     break;
   40810    case 40:
   40811     $size310 = $u293 + 164 | 0;
   40812     $90 = $size310;
   40813     $91 = HEAP32[$90 >> 2] | 0;
   40814     $add311 = $91 << 2;
   40815     $mul312 = $add311 + 8 | 0;
   40816     $call313 = _gmalloc($mul312) | 0;
   40817     $call306_sink = $call313;
   40818     label = 41;
   40819     break;
   40820    case 41:
   40821     $92 = $call306_sink;
   40822     HEAP32[$list295 >> 2] = $92;
   40823     $size321 = $u293 + 164 | 0;
   40824     $93 = $size321;
   40825     $94 = HEAP32[$93 >> 2] | 0;
   40826     $inc322 = $94 + 1 | 0;
   40827     HEAP32[$93 >> 2] = $inc322;
   40828     $95 = HEAP32[$list295 >> 2] | 0;
   40829     $arrayidx326 = $95 + ($94 << 2) | 0;
   40830     HEAP32[$arrayidx326 >> 2] = $80;
   40831     $96 = HEAP32[$93 >> 2] | 0;
   40832     $97 = HEAP32[$list295 >> 2] | 0;
   40833     $arrayidx333 = $97 + ($96 << 2) | 0;
   40834     HEAP32[$arrayidx333 >> 2] = 0;
   40835     $u336 = $call273 + 24 | 0;
   40836     $in337 = $u336 + 152 | 0;
   40837     $list338 = $in337;
   40838     $98 = HEAP32[$list338 >> 2] | 0;
   40839     $tobool339 = ($98 | 0) == 0;
   40840     if ($tobool339) {
   40841       label = 43;
   40842       break;
   40843     } else {
   40844       label = 42;
   40845       break;
   40846     }
   40847    case 42:
   40848     $99 = $98;
   40849     $size346 = $u336 + 156 | 0;
   40850     $100 = $size346;
   40851     $101 = HEAP32[$100 >> 2] | 0;
   40852     $add347 = $101 << 2;
   40853     $mul348 = $add347 + 8 | 0;
   40854     $call349 = _grealloc($99, $mul348) | 0;
   40855     $call349_sink = $call349;
   40856     label = 44;
   40857     break;
   40858    case 43:
   40859     $size353 = $u336 + 156 | 0;
   40860     $102 = $size353;
   40861     $103 = HEAP32[$102 >> 2] | 0;
   40862     $add354 = $103 << 2;
   40863     $mul355 = $add354 + 8 | 0;
   40864     $call356 = _gmalloc($mul355) | 0;
   40865     $call349_sink = $call356;
   40866     label = 44;
   40867     break;
   40868    case 44:
   40869     $104 = $call349_sink;
   40870     HEAP32[$list338 >> 2] = $104;
   40871     $size364 = $u336 + 156 | 0;
   40872     $105 = $size364;
   40873     $106 = HEAP32[$105 >> 2] | 0;
   40874     $inc365 = $106 + 1 | 0;
   40875     HEAP32[$105 >> 2] = $inc365;
   40876     $107 = HEAP32[$list338 >> 2] | 0;
   40877     $arrayidx369 = $107 + ($106 << 2) | 0;
   40878     HEAP32[$arrayidx369 >> 2] = $80;
   40879     $108 = HEAP32[$105 >> 2] | 0;
   40880     $109 = HEAP32[$list338 >> 2] | 0;
   40881     $arrayidx376 = $109 + ($108 << 2) | 0;
   40882     HEAP32[$arrayidx376 >> 2] = 0;
   40883     $incdec_ptr379 = $cells_1140 + 4 | 0;
   40884     $110 = HEAP32[$incdec_ptr379 >> 2] | 0;
   40885     $tobool143 = ($110 | 0) == 0;
   40886     if ($tobool143) {
   40887       label = 45;
   40888       break;
   40889     } else {
   40890       $cells_1140 = $incdec_ptr379;
   40891       $43 = $110;
   40892       label = 24;
   40893       break;
   40894     }
   40895    case 45:
   40896     _checkChain($colg);
   40897     _checkChain($rowg);
   40898     _free($call64);
   40899     _free($call61);
   40900     return;
   40901   }
   40902 }
   40903 function _checkChain($g) {
   40904   $g = $g | 0;
   40905   var $nlist = 0, $0 = 0, $h_0_in27 = 0, $h_028 = 0, $tobool29 = 0, $1 = 0, $h_031 = 0, $_pn30 = 0, $2 = 0, $3 = 0, $call = 0, $tobool2 = 0, $h_0_in = 0, $h_0 = 0, $tobool = 0, $call3 = 0, $4 = 0, $u4 = 0, $minlen = 0, $5 = 0, $list = 0, $6 = 0, $tobool6 = 0, $7 = 0, $size = 0, $8 = 0, $add = 0, $mul = 0, $call12 = 0, $size15 = 0, $9 = 0, $add16 = 0, $mul17 = 0, $call18 = 0, $call12_sink = 0, $10 = 0, $size24 = 0, $11 = 0, $inc = 0, $12 = 0, $arrayidx = 0, $13 = 0, $14 = 0, $arrayidx34 = 0, $list37 = 0, $15 = 0, $tobool38 = 0, $16 = 0, $size45 = 0, $17 = 0, $add46 = 0, $mul47 = 0, $call48 = 0, $size52 = 0, $18 = 0, $add53 = 0, $mul54 = 0, $call55 = 0, $call48_sink = 0, $19 = 0, $size63 = 0, $20 = 0, $inc64 = 0, $21 = 0, $arrayidx68 = 0, $22 = 0, $23 = 0, $arrayidx75 = 0, label = 0;
   40906   label = 2;
   40907   while (1) switch (label | 0) {
   40908    case 2:
   40909     $nlist = $g + 220 | 0;
   40910     $0 = HEAP32[$nlist >> 2] | 0;
   40911     $h_0_in27 = $0 + 168 | 0;
   40912     $h_028 = HEAP32[$h_0_in27 >> 2] | 0;
   40913     $tobool29 = ($h_028 | 0) == 0;
   40914     if ($tobool29) {
   40915       label = 13;
   40916       break;
   40917     } else {
   40918       label = 3;
   40919       break;
   40920     }
   40921    case 3:
   40922     $1 = $g;
   40923     $_pn30 = $0;
   40924     $h_031 = $h_028;
   40925     label = 4;
   40926     break;
   40927    case 4:
   40928     $2 = $_pn30;
   40929     $3 = $h_031;
   40930     $call = _agfindedge($1, $2, $3) | 0;
   40931     $tobool2 = ($call | 0) == 0;
   40932     if ($tobool2) {
   40933       label = 6;
   40934       break;
   40935     } else {
   40936       label = 5;
   40937       break;
   40938     }
   40939    case 5:
   40940     $h_0_in = $h_031 + 168 | 0;
   40941     $h_0 = HEAP32[$h_0_in >> 2] | 0;
   40942     $tobool = ($h_0 | 0) == 0;
   40943     if ($tobool) {
   40944       label = 13;
   40945       break;
   40946     } else {
   40947       $_pn30 = $h_031;
   40948       $h_031 = $h_0;
   40949       label = 4;
   40950       break;
   40951     }
   40952    case 6:
   40953     $call3 = _agedge($1, $2, $3) | 0;
   40954     $4 = $call3;
   40955     $u4 = $call3 + 24 | 0;
   40956     $minlen = $u4 + 162 | 0;
   40957     $5 = $minlen;
   40958     HEAP16[$5 >> 1] = 0;
   40959     $list = $_pn30 + 184 | 0;
   40960     $6 = HEAP32[$list >> 2] | 0;
   40961     $tobool6 = ($6 | 0) == 0;
   40962     if ($tobool6) {
   40963       label = 8;
   40964       break;
   40965     } else {
   40966       label = 7;
   40967       break;
   40968     }
   40969    case 7:
   40970     $7 = $6;
   40971     $size = $_pn30 + 188 | 0;
   40972     $8 = HEAP32[$size >> 2] | 0;
   40973     $add = $8 << 2;
   40974     $mul = $add + 8 | 0;
   40975     $call12 = _grealloc($7, $mul) | 0;
   40976     $call12_sink = $call12;
   40977     label = 9;
   40978     break;
   40979    case 8:
   40980     $size15 = $_pn30 + 188 | 0;
   40981     $9 = HEAP32[$size15 >> 2] | 0;
   40982     $add16 = $9 << 2;
   40983     $mul17 = $add16 + 8 | 0;
   40984     $call18 = _gmalloc($mul17) | 0;
   40985     $call12_sink = $call18;
   40986     label = 9;
   40987     break;
   40988    case 9:
   40989     $10 = $call12_sink;
   40990     HEAP32[$list >> 2] = $10;
   40991     $size24 = $_pn30 + 188 | 0;
   40992     $11 = HEAP32[$size24 >> 2] | 0;
   40993     $inc = $11 + 1 | 0;
   40994     HEAP32[$size24 >> 2] = $inc;
   40995     $12 = HEAP32[$list >> 2] | 0;
   40996     $arrayidx = $12 + ($11 << 2) | 0;
   40997     HEAP32[$arrayidx >> 2] = $4;
   40998     $13 = HEAP32[$size24 >> 2] | 0;
   40999     $14 = HEAP32[$list >> 2] | 0;
   41000     $arrayidx34 = $14 + ($13 << 2) | 0;
   41001     HEAP32[$arrayidx34 >> 2] = 0;
   41002     $list37 = $h_031 + 176 | 0;
   41003     $15 = HEAP32[$list37 >> 2] | 0;
   41004     $tobool38 = ($15 | 0) == 0;
   41005     if ($tobool38) {
   41006       label = 11;
   41007       break;
   41008     } else {
   41009       label = 10;
   41010       break;
   41011     }
   41012    case 10:
   41013     $16 = $15;
   41014     $size45 = $h_031 + 180 | 0;
   41015     $17 = HEAP32[$size45 >> 2] | 0;
   41016     $add46 = $17 << 2;
   41017     $mul47 = $add46 + 8 | 0;
   41018     $call48 = _grealloc($16, $mul47) | 0;
   41019     $call48_sink = $call48;
   41020     label = 12;
   41021     break;
   41022    case 11:
   41023     $size52 = $h_031 + 180 | 0;
   41024     $18 = HEAP32[$size52 >> 2] | 0;
   41025     $add53 = $18 << 2;
   41026     $mul54 = $add53 + 8 | 0;
   41027     $call55 = _gmalloc($mul54) | 0;
   41028     $call48_sink = $call55;
   41029     label = 12;
   41030     break;
   41031    case 12:
   41032     $19 = $call48_sink;
   41033     HEAP32[$list37 >> 2] = $19;
   41034     $size63 = $h_031 + 180 | 0;
   41035     $20 = HEAP32[$size63 >> 2] | 0;
   41036     $inc64 = $20 + 1 | 0;
   41037     HEAP32[$size63 >> 2] = $inc64;
   41038     $21 = HEAP32[$list37 >> 2] | 0;
   41039     $arrayidx68 = $21 + ($20 << 2) | 0;
   41040     HEAP32[$arrayidx68 >> 2] = $4;
   41041     $22 = HEAP32[$size63 >> 2] | 0;
   41042     $23 = HEAP32[$list37 >> 2] | 0;
   41043     $arrayidx75 = $23 + ($22 << 2) | 0;
   41044     HEAP32[$arrayidx75 >> 2] = 0;
   41045     label = 5;
   41046     break;
   41047    case 13:
   41048     return;
   41049   }
   41050 }
   41051 function _sizeArray($tbl) {
   41052   $tbl = $tbl | 0;
   41053   var $rc = 0, $0 = 0, $cmp = 0, $cc = 0, $1 = 0, $cmp1 = 0, $add = 0, $mul = 0, $call = 0, $2 = 0, $heights = 0, $3 = 0, $add4 = 0, $mul5 = 0, $call6 = 0, $4 = 0, $widths = 0, $call7 = 0, $5 = 0, $call8 = 0, $6 = 0, $call9 = 0, $call10 = 0, label = 0;
   41054   label = 2;
   41055   while (1) switch (label | 0) {
   41056    case 2:
   41057     $rc = $tbl + 92 | 0;
   41058     $0 = HEAP32[$rc >> 2] | 0;
   41059     $cmp = ($0 | 0) == 1;
   41060     if ($cmp) {
   41061       label = 4;
   41062       break;
   41063     } else {
   41064       label = 3;
   41065       break;
   41066     }
   41067    case 3:
   41068     $cc = $tbl + 96 | 0;
   41069     $1 = HEAP32[$cc >> 2] | 0;
   41070     $cmp1 = ($1 | 0) == 1;
   41071     if ($cmp1) {
   41072       label = 4;
   41073       break;
   41074     } else {
   41075       label = 5;
   41076       break;
   41077     }
   41078    case 4:
   41079     _sizeLinearArray($tbl);
   41080     label = 6;
   41081     break;
   41082    case 5:
   41083     $add = $0 << 2;
   41084     $mul = $add + 4 | 0;
   41085     $call = _zmalloc($mul) | 0;
   41086     $2 = $call;
   41087     $heights = $tbl + 84 | 0;
   41088     HEAP32[$heights >> 2] = $2;
   41089     $3 = HEAP32[$cc >> 2] | 0;
   41090     $add4 = $3 << 2;
   41091     $mul5 = $add4 + 4 | 0;
   41092     $call6 = _zmalloc($mul5) | 0;
   41093     $4 = $call6;
   41094     $widths = $tbl + 88 | 0;
   41095     HEAP32[$widths >> 2] = $4;
   41096     $call7 = _agopen(110608, 1) | 0;
   41097     $5 = $call7;
   41098     $call8 = _agopen(106400, 1) | 0;
   41099     $6 = $call8;
   41100     _makeGraphs($tbl, $5, $6);
   41101     $call9 = _rank($5, 2, 2147483647) | 0;
   41102     $call10 = _rank($6, 2, 2147483647) | 0;
   41103     _setSizes($tbl, $5, $6);
   41104     _closeGraphs($5, $6);
   41105     label = 6;
   41106     break;
   41107    case 6:
   41108     return;
   41109   }
   41110 }
   41111 function _closeGraphs($rowg, $colg) {
   41112   $rowg = $rowg | 0;
   41113   $colg = $colg | 0;
   41114   var $nlist = 0, $n_07 = 0, $tobool8 = 0, $n_09 = 0, $list = 0, $0 = 0, $tobool2 = 0, $1 = 0, $list8 = 0, $2 = 0, $tobool9 = 0, $3 = 0, $next = 0, $n_0 = 0, $tobool = 0, $4 = 0, $5 = 0, label = 0;
   41115   label = 2;
   41116   while (1) switch (label | 0) {
   41117    case 2:
   41118     $nlist = $colg + 220 | 0;
   41119     $n_07 = HEAP32[$nlist >> 2] | 0;
   41120     $tobool8 = ($n_07 | 0) == 0;
   41121     if ($tobool8) {
   41122       label = 8;
   41123       break;
   41124     } else {
   41125       $n_09 = $n_07;
   41126       label = 3;
   41127       break;
   41128     }
   41129    case 3:
   41130     $list = $n_09 + 176 | 0;
   41131     $0 = HEAP32[$list >> 2] | 0;
   41132     $tobool2 = ($0 | 0) == 0;
   41133     if ($tobool2) {
   41134       label = 5;
   41135       break;
   41136     } else {
   41137       label = 4;
   41138       break;
   41139     }
   41140    case 4:
   41141     $1 = $0;
   41142     _free($1);
   41143     label = 5;
   41144     break;
   41145    case 5:
   41146     $list8 = $n_09 + 184 | 0;
   41147     $2 = HEAP32[$list8 >> 2] | 0;
   41148     $tobool9 = ($2 | 0) == 0;
   41149     if ($tobool9) {
   41150       label = 7;
   41151       break;
   41152     } else {
   41153       label = 6;
   41154       break;
   41155     }
   41156    case 6:
   41157     $3 = $2;
   41158     _free($3);
   41159     label = 7;
   41160     break;
   41161    case 7:
   41162     $next = $n_09 + 168 | 0;
   41163     $n_0 = HEAP32[$next >> 2] | 0;
   41164     $tobool = ($n_0 | 0) == 0;
   41165     if ($tobool) {
   41166       label = 8;
   41167       break;
   41168     } else {
   41169       $n_09 = $n_0;
   41170       label = 3;
   41171       break;
   41172     }
   41173    case 8:
   41174     $4 = $rowg;
   41175     _agclose($4);
   41176     $5 = $colg;
   41177     _agclose($5);
   41178     return;
   41179   }
   41180 }
   41181 function _make_html_label($obj, $lp) {
   41182   $obj = $obj | 0;
   41183   $lp = $lp | 0;
   41184   var $rv = 0, $box = 0, $env = 0, $xb = 0, $buf = 0, $obj1 = 0, $0 = 0, $1 = 0, $2 = 0, $bf_val_sext = 0, $root = 0, $3 = 0, $4 = 0, $g2 = 0, $graph = 0, $5 = 0, $6 = 0, $g4 = 0, $head = 0, $7 = 0, $8 = 0, $graph6 = 0, $9 = 0, $g7 = 0, $g8 = 0, $10 = 0, $root9 = 0, $11 = 0, $fontsize = 0, $12 = 0.0, $size = 0, $fontname = 0, $13 = 0, $name = 0, $fontcolor = 0, $14 = 0, $color = 0, $text = 0, $15 = 0, $16 = 0, $charset = 0, $17 = 0, $conv = 0, $call = 0, $tobool = 0, $arraydecay = 0, $html = 0, $call13 = 0, $call14 = 0, $charset16 = 0, $18 = 0, $cond = 0, $call19 = 0, $call21 = 0, $s_0 = 0, $19 = 0, $kind = 0, $20 = 0, $cmp = 0, $tbl = 0, $21 = 0, $pencolor = 0, $22 = 0, $tobool29 = 0, $call30 = 0, $tobool31 = 0, $call33 = 0, $call34 = 0, $23 = 0, $pencolor38 = 0, $24 = 0, $call42 = 0, $25 = 0, $or = 0, $26 = 0, $x = 0, $27 = 0.0, $add = 0.0, $div = 0.0, $y = 0, $28 = 0.0, $add52 = 0.0, $div53 = 0.0, $sub = 0.0, $sub54 = 0.0, $29 = 0, $x58 = 0, $30 = 0.0, $x59 = 0, $31 = 0.0, $sub60 = 0.0, $x61 = 0, $y63 = 0, $32 = 0.0, $y65 = 0, $33 = 0.0, $sub66 = 0.0, $y68 = 0, $txt = 0, $34 = 0, $35 = 0, $x76 = 0, $36 = 0.0, $add77 = 0.0, $div78 = 0.0, $y83 = 0, $37 = 0.0, $add84 = 0.0, $div85 = 0.0, $sub86 = 0.0, $sub87 = 0.0, $38 = 0, $39 = 0, $box91 = 0, $40 = 0, $x93 = 0, $41 = 0.0, $x95 = 0, $42 = 0.0, $sub96 = 0.0, $x98 = 0, $y100 = 0, $43 = 0.0, $y102 = 0, $44 = 0.0, $sub103 = 0.0, $y105 = 0, $45 = 0, $call_c = 0, $46 = 0, $cmp111 = 0, $47 = 0, $call115 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   41185   __stackBase__ = STACKTOP;
   41186   STACKTOP = STACKTOP + 248 | 0;
   41187   label = 2;
   41188   while (1) switch (label | 0) {
   41189    case 2:
   41190     $rv = __stackBase__ | 0;
   41191     $box = __stackBase__ + 8 | 0;
   41192     $env = __stackBase__ + 40 | 0;
   41193     $xb = __stackBase__ + 104 | 0;
   41194     $buf = __stackBase__ + 120 | 0;
   41195     $obj1 = $env + 40 | 0;
   41196     HEAP32[$obj1 >> 2] = $obj;
   41197     $0 = $obj;
   41198     $1 = HEAP32[$0 >> 2] | 0;
   41199     $2 = $1 << 28;
   41200     $bf_val_sext = $2 >> 28;
   41201     if (($bf_val_sext | 0) == 3) {
   41202       label = 3;
   41203       break;
   41204     } else if (($bf_val_sext | 0) == 1) {
   41205       label = 4;
   41206       break;
   41207     } else if (($bf_val_sext | 0) == 2) {
   41208       label = 5;
   41209       break;
   41210     } else {
   41211       label = 6;
   41212       break;
   41213     }
   41214    case 3:
   41215     $root = $obj + 32 | 0;
   41216     $3 = $root;
   41217     $4 = HEAP32[$3 >> 2] | 0;
   41218     $g2 = $env + 44 | 0;
   41219     HEAP32[$g2 >> 2] = $4;
   41220     label = 6;
   41221     break;
   41222    case 4:
   41223     $graph = $obj + 20 | 0;
   41224     $5 = $graph;
   41225     $6 = HEAP32[$5 >> 2] | 0;
   41226     $g4 = $env + 44 | 0;
   41227     HEAP32[$g4 >> 2] = $6;
   41228     label = 6;
   41229     break;
   41230    case 5:
   41231     $head = $obj + 12 | 0;
   41232     $7 = $head;
   41233     $8 = HEAP32[$7 >> 2] | 0;
   41234     $graph6 = $8 + 20 | 0;
   41235     $9 = HEAP32[$graph6 >> 2] | 0;
   41236     $g7 = $env + 44 | 0;
   41237     HEAP32[$g7 >> 2] = $9;
   41238     label = 6;
   41239     break;
   41240    case 6:
   41241     $g8 = $env + 44 | 0;
   41242     $10 = HEAP32[$g8 >> 2] | 0;
   41243     $root9 = $10 + 32 | 0;
   41244     $11 = HEAP32[$root9 >> 2] | 0;
   41245     $fontsize = $lp + 16 | 0;
   41246     $12 = +HEAPF64[$fontsize >> 3];
   41247     $size = $env + 32 | 0;
   41248     HEAPF64[$size >> 3] = $12;
   41249     $fontname = $lp + 4 | 0;
   41250     $13 = HEAP32[$fontname >> 2] | 0;
   41251     $name = $env + 16 | 0;
   41252     HEAP32[$name >> 2] = $13;
   41253     $fontcolor = $lp + 8 | 0;
   41254     $14 = HEAP32[$fontcolor >> 2] | 0;
   41255     $color = $env + 20 | 0;
   41256     HEAP32[$color >> 2] = $14;
   41257     $text = $lp | 0;
   41258     $15 = HEAP32[$text >> 2] | 0;
   41259     $16 = HEAP32[$g8 >> 2] | 0;
   41260     $charset = $16 + 155 | 0;
   41261     $17 = HEAP8[$charset] | 0;
   41262     $conv = $17 & 255;
   41263     $call = _parseHTML($15, $rv, $conv) | 0;
   41264     $tobool = ($call | 0) == 0;
   41265     if ($tobool) {
   41266       label = 7;
   41267       break;
   41268     } else {
   41269       label = 11;
   41270       break;
   41271     }
   41272    case 7:
   41273     $arraydecay = $buf | 0;
   41274     _agxbinit($xb, 128, $arraydecay);
   41275     $html = $lp + 82 | 0;
   41276     HEAP8[$html] = 0;
   41277     $call13 = _nameOf($obj, $xb) | 0;
   41278     $call14 = _strdup($call13 | 0) | 0;
   41279     HEAP32[$text >> 2] = $call14;
   41280     $charset16 = $lp + 12 | 0;
   41281     $18 = HEAP32[$charset16 >> 2] | 0;
   41282     $cond = ($18 | 0) == 1;
   41283     if ($cond) {
   41284       label = 8;
   41285       break;
   41286     } else {
   41287       label = 9;
   41288       break;
   41289     }
   41290    case 8:
   41291     $call19 = _latin1ToUTF8($call14) | 0;
   41292     $s_0 = $call19;
   41293     label = 10;
   41294     break;
   41295    case 9:
   41296     $call21 = _htmlEntityUTF8($call14) | 0;
   41297     $s_0 = $call21;
   41298     label = 10;
   41299     break;
   41300    case 10:
   41301     $19 = HEAP32[$text >> 2] | 0;
   41302     _free($19);
   41303     HEAP32[$text >> 2] = $s_0;
   41304     _make_simple_label($11, $lp);
   41305     _agxbfree($xb);
   41306     label = 19;
   41307     break;
   41308    case 11:
   41309     $kind = $call + 4 | 0;
   41310     $20 = HEAP8[$kind] | 0;
   41311     $cmp = $20 << 24 >> 24 == 1;
   41312     if ($cmp) {
   41313       label = 12;
   41314       break;
   41315     } else {
   41316       label = 16;
   41317       break;
   41318     }
   41319    case 12:
   41320     $tbl = $call | 0;
   41321     $21 = HEAP32[$tbl >> 2] | 0;
   41322     $pencolor = $21 + 24 | 0;
   41323     $22 = HEAP32[$pencolor >> 2] | 0;
   41324     $tobool29 = ($22 | 0) == 0;
   41325     if ($tobool29) {
   41326       label = 13;
   41327       break;
   41328     } else {
   41329       label = 15;
   41330       break;
   41331     }
   41332    case 13:
   41333     $call30 = _getPenColor($obj) | 0;
   41334     $tobool31 = ($call30 | 0) == 0;
   41335     if ($tobool31) {
   41336       label = 15;
   41337       break;
   41338     } else {
   41339       label = 14;
   41340       break;
   41341     }
   41342    case 14:
   41343     $call33 = _getPenColor($obj) | 0;
   41344     $call34 = _strdup($call33 | 0) | 0;
   41345     $23 = HEAP32[$tbl >> 2] | 0;
   41346     $pencolor38 = $23 + 24 | 0;
   41347     HEAP32[$pencolor38 >> 2] = $call34;
   41348     label = 15;
   41349     break;
   41350    case 15:
   41351     $24 = HEAP32[$tbl >> 2] | 0;
   41352     $call42 = _size_html_tbl($11, $24, 0, $env) | 0;
   41353     $25 = HEAP32[$rv >> 2] | 0;
   41354     $or = $25 | $call42;
   41355     HEAP32[$rv >> 2] = $or;
   41356     $26 = HEAP32[$tbl >> 2] | 0;
   41357     $x = $26 + 56 | 0;
   41358     $27 = +HEAPF64[$x >> 3];
   41359     $add = $27 + 1.0;
   41360     $div = $add * .5;
   41361     $y = $26 + 64 | 0;
   41362     $28 = +HEAPF64[$y >> 3];
   41363     $add52 = $28 + 1.0;
   41364     $div53 = $add52 * .5;
   41365     $sub = -0.0 - $div;
   41366     $sub54 = -0.0 - $div53;
   41367     _boxfof($box, $sub, $sub54, $div, $div53);
   41368     $29 = HEAP32[$tbl >> 2] | 0;
   41369     _pos_html_tbl($29, $box, 15);
   41370     $x58 = $box + 16 | 0;
   41371     $30 = +HEAPF64[$x58 >> 3];
   41372     $x59 = $box | 0;
   41373     $31 = +HEAPF64[$x59 >> 3];
   41374     $sub60 = $30 - $31;
   41375     $x61 = $lp + 24 | 0;
   41376     HEAPF64[$x61 >> 3] = $sub60;
   41377     $y63 = $box + 24 | 0;
   41378     $32 = +HEAPF64[$y63 >> 3];
   41379     $y65 = $box + 8 | 0;
   41380     $33 = +HEAPF64[$y65 >> 3];
   41381     $sub66 = $32 - $33;
   41382     $y68 = $lp + 32 | 0;
   41383     HEAPF64[$y68 >> 3] = $sub66;
   41384     label = 17;
   41385     break;
   41386    case 16:
   41387     $txt = $call;
   41388     $34 = HEAP32[$txt >> 2] | 0;
   41389     _size_html_txt($11, $34, $env);
   41390     $35 = HEAP32[$txt >> 2] | 0;
   41391     $x76 = $35 + 24 | 0;
   41392     $36 = +HEAPF64[$x76 >> 3];
   41393     $add77 = $36 + 1.0;
   41394     $div78 = $add77 * .5;
   41395     $y83 = $35 + 32 | 0;
   41396     $37 = +HEAPF64[$y83 >> 3];
   41397     $add84 = $37 + 1.0;
   41398     $div85 = $add84 * .5;
   41399     $sub86 = -0.0 - $div78;
   41400     $sub87 = -0.0 - $div85;
   41401     _boxfof($box, $sub86, $sub87, $div78, $div85);
   41402     $38 = $box;
   41403     $39 = HEAP32[$txt >> 2] | 0;
   41404     $box91 = $39 + 8 | 0;
   41405     $40 = $box91;
   41406     HEAP32[$40 >> 2] = HEAP32[$38 >> 2] | 0;
   41407     HEAP32[$40 + 4 >> 2] = HEAP32[$38 + 4 >> 2] | 0;
   41408     HEAP32[$40 + 8 >> 2] = HEAP32[$38 + 8 >> 2] | 0;
   41409     HEAP32[$40 + 12 >> 2] = HEAP32[$38 + 12 >> 2] | 0;
   41410     HEAP32[$40 + 16 >> 2] = HEAP32[$38 + 16 >> 2] | 0;
   41411     HEAP32[$40 + 20 >> 2] = HEAP32[$38 + 20 >> 2] | 0;
   41412     HEAP32[$40 + 24 >> 2] = HEAP32[$38 + 24 >> 2] | 0;
   41413     HEAP32[$40 + 28 >> 2] = HEAP32[$38 + 28 >> 2] | 0;
   41414     $x93 = $box + 16 | 0;
   41415     $41 = +HEAPF64[$x93 >> 3];
   41416     $x95 = $box | 0;
   41417     $42 = +HEAPF64[$x95 >> 3];
   41418     $sub96 = $41 - $42;
   41419     $x98 = $lp + 24 | 0;
   41420     HEAPF64[$x98 >> 3] = $sub96;
   41421     $y100 = $box + 24 | 0;
   41422     $43 = +HEAPF64[$y100 >> 3];
   41423     $y102 = $box + 8 | 0;
   41424     $44 = +HEAPF64[$y102 >> 3];
   41425     $sub103 = $43 - $44;
   41426     $y105 = $lp + 32 | 0;
   41427     HEAPF64[$y105 >> 3] = $sub103;
   41428     label = 17;
   41429     break;
   41430    case 17:
   41431     $45 = $lp + 72 | 0;
   41432     $call_c = $call;
   41433     HEAP32[$45 >> 2] = $call_c;
   41434     $46 = HEAP8[$kind] | 0;
   41435     $cmp111 = $46 << 24 >> 24 == 1;
   41436     if ($cmp111) {
   41437       label = 18;
   41438       break;
   41439     } else {
   41440       label = 19;
   41441       break;
   41442     }
   41443    case 18:
   41444     $47 = HEAP32[$text >> 2] | 0;
   41445     _free($47);
   41446     $call115 = _strdup(102544) | 0;
   41447     HEAP32[$text >> 2] = $call115;
   41448     label = 19;
   41449     break;
   41450    case 19:
   41451     $retval_0 = HEAP32[$rv >> 2] | 0;
   41452     STACKTOP = __stackBase__;
   41453     return $retval_0 | 0;
   41454   }
   41455   return 0;
   41456 }
   41457 function _boxfof($agg_result, $llx, $lly, $urx, $ury) {
   41458   $agg_result = $agg_result | 0;
   41459   $llx = +$llx;
   41460   $lly = +$lly;
   41461   $urx = +$urx;
   41462   $ury = +$ury;
   41463   HEAPF64[$agg_result >> 3] = $llx;
   41464   HEAPF64[$agg_result + 8 >> 3] = $lly;
   41465   HEAPF64[$agg_result + 16 >> 3] = $urx;
   41466   HEAPF64[$agg_result + 24 >> 3] = $ury;
   41467   return;
   41468 }
   41469 function _nameOf($obj, $xb) {
   41470   $obj = $obj | 0;
   41471   $xb = $xb | 0;
   41472   var $0 = 0, $1 = 0, $2 = 0, $bf_val_sext = 0, $name = 0, $3 = 0, $4 = 0, $call = 0, $name2 = 0, $5 = 0, $6 = 0, $call3 = 0, $tail = 0, $7 = 0, $8 = 0, $name5 = 0, $9 = 0, $call6 = 0, $head = 0, $10 = 0, $11 = 0, $name7 = 0, $12 = 0, $call8 = 0, $13 = 0, $graph = 0, $14 = 0, $15 = 0, $16 = 0, $and = 0, $tobool = 0, $call12 = 0, $call13 = 0, $ptr = 0, $17 = 0, $eptr = 0, $18 = 0, $cmp = 0, $call14 = 0, $19 = 0, $buf = 0, $20 = 0, label = 0;
   41473   label = 2;
   41474   while (1) switch (label | 0) {
   41475    case 2:
   41476     $0 = $obj;
   41477     $1 = HEAP32[$0 >> 2] | 0;
   41478     $2 = $1 << 28;
   41479     $bf_val_sext = $2 >> 28;
   41480     if (($bf_val_sext | 0) == 3) {
   41481       label = 3;
   41482       break;
   41483     } else if (($bf_val_sext | 0) == 1) {
   41484       label = 4;
   41485       break;
   41486     } else if (($bf_val_sext | 0) == 2) {
   41487       label = 5;
   41488       break;
   41489     } else {
   41490       label = 8;
   41491       break;
   41492     }
   41493    case 3:
   41494     $name = $obj + 12 | 0;
   41495     $3 = $name;
   41496     $4 = HEAP32[$3 >> 2] | 0;
   41497     $call = _agxbput($xb, $4) | 0;
   41498     label = 8;
   41499     break;
   41500    case 4:
   41501     $name2 = $obj + 12 | 0;
   41502     $5 = $name2;
   41503     $6 = HEAP32[$5 >> 2] | 0;
   41504     $call3 = _agxbput($xb, $6) | 0;
   41505     label = 8;
   41506     break;
   41507    case 5:
   41508     $tail = $obj + 16 | 0;
   41509     $7 = $tail;
   41510     $8 = HEAP32[$7 >> 2] | 0;
   41511     $name5 = $8 + 12 | 0;
   41512     $9 = HEAP32[$name5 >> 2] | 0;
   41513     $call6 = _agxbput($xb, $9) | 0;
   41514     $head = $obj + 12 | 0;
   41515     $10 = $head;
   41516     $11 = HEAP32[$10 >> 2] | 0;
   41517     $name7 = $11 + 12 | 0;
   41518     $12 = HEAP32[$name7 >> 2] | 0;
   41519     $call8 = _agxbput($xb, $12) | 0;
   41520     $13 = HEAP32[$10 >> 2] | 0;
   41521     $graph = $13 + 20 | 0;
   41522     $14 = HEAP32[$graph >> 2] | 0;
   41523     $15 = $14;
   41524     $16 = HEAP32[$15 >> 2] | 0;
   41525     $and = $16 & 16;
   41526     $tobool = ($and | 0) == 0;
   41527     if ($tobool) {
   41528       label = 7;
   41529       break;
   41530     } else {
   41531       label = 6;
   41532       break;
   41533     }
   41534    case 6:
   41535     $call12 = _agxbput($xb, 138144) | 0;
   41536     label = 8;
   41537     break;
   41538    case 7:
   41539     $call13 = _agxbput($xb, 135536) | 0;
   41540     label = 8;
   41541     break;
   41542    case 8:
   41543     $ptr = $xb + 4 | 0;
   41544     $17 = HEAP32[$ptr >> 2] | 0;
   41545     $eptr = $xb + 8 | 0;
   41546     $18 = HEAP32[$eptr >> 2] | 0;
   41547     $cmp = $17 >>> 0 < $18 >>> 0;
   41548     if ($cmp) {
   41549       label = 10;
   41550       break;
   41551     } else {
   41552       label = 9;
   41553       break;
   41554     }
   41555    case 9:
   41556     $call14 = _agxbmore($xb, 1) | 0;
   41557     label = 10;
   41558     break;
   41559    case 10:
   41560     $19 = HEAP32[$ptr >> 2] | 0;
   41561     HEAP8[$19] = 0;
   41562     $buf = $xb | 0;
   41563     $20 = HEAP32[$buf >> 2] | 0;
   41564     HEAP32[$ptr >> 2] = $20;
   41565     return $20 | 0;
   41566   }
   41567   return 0;
   41568 }
   41569 function _getPenColor($obj) {
   41570   $obj = $obj | 0;
   41571   var $call = 0, $cmp = 0, $0 = 0, $tobool = 0, $call1 = 0, $cmp2 = 0, $1 = 0, $tobool7 = 0, $retval_0 = 0, label = 0;
   41572   label = 2;
   41573   while (1) switch (label | 0) {
   41574    case 2:
   41575     $call = _agget($obj, 144744) | 0;
   41576     $cmp = ($call | 0) == 0;
   41577     if ($cmp) {
   41578       label = 4;
   41579       break;
   41580     } else {
   41581       label = 3;
   41582       break;
   41583     }
   41584    case 3:
   41585     $0 = HEAP8[$call] | 0;
   41586     $tobool = $0 << 24 >> 24 == 0;
   41587     if ($tobool) {
   41588       label = 4;
   41589       break;
   41590     } else {
   41591       $retval_0 = $call;
   41592       label = 7;
   41593       break;
   41594     }
   41595    case 4:
   41596     $call1 = _agget($obj, 141696) | 0;
   41597     $cmp2 = ($call1 | 0) == 0;
   41598     if ($cmp2) {
   41599       label = 6;
   41600       break;
   41601     } else {
   41602       label = 5;
   41603       break;
   41604     }
   41605    case 5:
   41606     $1 = HEAP8[$call1] | 0;
   41607     $tobool7 = $1 << 24 >> 24 == 0;
   41608     if ($tobool7) {
   41609       label = 6;
   41610       break;
   41611     } else {
   41612       $retval_0 = $call1;
   41613       label = 7;
   41614       break;
   41615     }
   41616    case 6:
   41617     $retval_0 = 0;
   41618     label = 7;
   41619     break;
   41620    case 7:
   41621     return $retval_0 | 0;
   41622   }
   41623   return 0;
   41624 }
   41625 function _size_html_tbl($g, $tbl, $parent, $env) {
   41626   $g = $g | 0;
   41627   $tbl = $tbl | 0;
   41628   $parent = $parent | 0;
   41629   $env = $env | 0;
   41630   var $font = 0, $0 = 0, $tobool = 0, $parent2 = 0, $call = 0, $flags = 0, $1 = 0, $and = 0, $tobool3 = 0, $space = 0, $2 = 0, $and10 = 0, $tobool11 = 0, $border = 0, $cc = 0, $3 = 0, $add = 0, $space16 = 0, $4 = 0, $conv17 = 0, $mul = 0, $border19 = 0, $5 = 0, $conv20 = 0, $mul21 = 0, $add22 = 0, $rc = 0, $6 = 0, $add23 = 0, $mul27 = 0, $add32 = 0, $7 = 0, $cmp47 = 0, $widths = 0, $8 = 0, $9 = 0, $wd_0_lcssa = 0, $10 = 0, $cmp3844 = 0, $heights = 0, $11 = 0, $12 = 0, $wd_049 = 0, $i_048 = 0, $arrayidx = 0, $13 = 0, $add35 = 0, $inc = 0, $cmp = 0, $ht_046 = 0, $i_145 = 0, $arrayidx41 = 0, $14 = 0, $add42 = 0, $inc44 = 0, $cmp38 = 0, $ht_0_lcssa = 0, $15 = 0, $and49 = 0, $tobool50 = 0, $width = 0, $16 = 0, $conv53 = 0, $tobool54 = 0, $height = 0, $17 = 0, $tobool57 = 0, $conv56 = 0, $cmp62 = 0, $cmp67 = 0, $or_cond = 0, $call70 = 0, $call72 = 0, $wd_1 = 0, $ht_1 = 0, $rv_1 = 0, $width76 = 0, $18 = 0, $conv77 = 0, $cmp78 = 0, $wd_1_conv77 = 0, $conv83 = 0.0, $x = 0, $height86 = 0, $19 = 0, $conv87 = 0, $cmp88 = 0, $cond96 = 0, $conv97 = 0.0, $y = 0, $20 = 0, $tobool102 = 0, label = 0, __stackBase__ = 0;
   41631   __stackBase__ = STACKTOP;
   41632   label = 2;
   41633   while (1) switch (label | 0) {
   41634    case 2:
   41635     $font = $tbl + 100 | 0;
   41636     $0 = HEAP32[$font >> 2] | 0;
   41637     $tobool = ($0 | 0) == 0;
   41638     if ($tobool) {
   41639       label = 4;
   41640       break;
   41641     } else {
   41642       label = 3;
   41643       break;
   41644     }
   41645    case 3:
   41646     _pushFontInfo($env, $0, 4184);
   41647     label = 4;
   41648     break;
   41649    case 4:
   41650     $parent2 = $tbl + 72 | 0;
   41651     HEAP32[$parent2 >> 2] = $parent;
   41652     $call = _processTbl($g, $tbl, $env) | 0;
   41653     $flags = $tbl + 32 | 0;
   41654     $1 = HEAP16[$flags >> 1] | 0;
   41655     $and = $1 & 128;
   41656     $tobool3 = $and << 16 >> 16 == 0;
   41657     if ($tobool3) {
   41658       label = 5;
   41659       break;
   41660     } else {
   41661       label = 6;
   41662       break;
   41663     }
   41664    case 5:
   41665     $space = $tbl + 28 | 0;
   41666     HEAP8[$space] = 2;
   41667     label = 6;
   41668     break;
   41669    case 6:
   41670     $2 = HEAP16[$flags >> 1] | 0;
   41671     $and10 = $2 & 32;
   41672     $tobool11 = $and10 << 16 >> 16 == 0;
   41673     if ($tobool11) {
   41674       label = 7;
   41675       break;
   41676     } else {
   41677       label = 8;
   41678       break;
   41679     }
   41680    case 7:
   41681     $border = $tbl + 29 | 0;
   41682     HEAP8[$border] = 1;
   41683     label = 8;
   41684     break;
   41685    case 8:
   41686     _sizeArray($tbl);
   41687     $cc = $tbl + 96 | 0;
   41688     $3 = HEAP32[$cc >> 2] | 0;
   41689     $add = $3 + 1 | 0;
   41690     $space16 = $tbl + 28 | 0;
   41691     $4 = HEAP8[$space16] | 0;
   41692     $conv17 = $4 << 24 >> 24;
   41693     $mul = Math_imul($conv17, $add);
   41694     $border19 = $tbl + 29 | 0;
   41695     $5 = HEAP8[$border19] | 0;
   41696     $conv20 = $5 & 255;
   41697     $mul21 = $conv20 << 1;
   41698     $add22 = $mul21 + $mul | 0;
   41699     $rc = $tbl + 92 | 0;
   41700     $6 = HEAP32[$rc >> 2] | 0;
   41701     $add23 = $6 + 1 | 0;
   41702     $mul27 = Math_imul($add23, $conv17);
   41703     $add32 = $mul27 + $mul21 | 0;
   41704     $7 = HEAP32[$cc >> 2] | 0;
   41705     $cmp47 = ($7 | 0) > 0;
   41706     if ($cmp47) {
   41707       label = 9;
   41708       break;
   41709     } else {
   41710       $wd_0_lcssa = $add22;
   41711       label = 10;
   41712       break;
   41713     }
   41714    case 9:
   41715     $widths = $tbl + 88 | 0;
   41716     $8 = HEAP32[$widths >> 2] | 0;
   41717     $9 = HEAP32[$cc >> 2] | 0;
   41718     $i_048 = 0;
   41719     $wd_049 = $add22;
   41720     label = 12;
   41721     break;
   41722    case 10:
   41723     $10 = HEAP32[$rc >> 2] | 0;
   41724     $cmp3844 = ($10 | 0) > 0;
   41725     if ($cmp3844) {
   41726       label = 11;
   41727       break;
   41728     } else {
   41729       $ht_0_lcssa = $add32;
   41730       label = 14;
   41731       break;
   41732     }
   41733    case 11:
   41734     $heights = $tbl + 84 | 0;
   41735     $11 = HEAP32[$heights >> 2] | 0;
   41736     $12 = HEAP32[$rc >> 2] | 0;
   41737     $i_145 = 0;
   41738     $ht_046 = $add32;
   41739     label = 13;
   41740     break;
   41741    case 12:
   41742     $arrayidx = $8 + ($i_048 << 2) | 0;
   41743     $13 = HEAP32[$arrayidx >> 2] | 0;
   41744     $add35 = $13 + $wd_049 | 0;
   41745     $inc = $i_048 + 1 | 0;
   41746     $cmp = ($inc | 0) < ($9 | 0);
   41747     if ($cmp) {
   41748       $i_048 = $inc;
   41749       $wd_049 = $add35;
   41750       label = 12;
   41751       break;
   41752     } else {
   41753       $wd_0_lcssa = $add35;
   41754       label = 10;
   41755       break;
   41756     }
   41757    case 13:
   41758     $arrayidx41 = $11 + ($i_145 << 2) | 0;
   41759     $14 = HEAP32[$arrayidx41 >> 2] | 0;
   41760     $add42 = $14 + $ht_046 | 0;
   41761     $inc44 = $i_145 + 1 | 0;
   41762     $cmp38 = ($inc44 | 0) < ($12 | 0);
   41763     if ($cmp38) {
   41764       $i_145 = $inc44;
   41765       $ht_046 = $add42;
   41766       label = 13;
   41767       break;
   41768     } else {
   41769       $ht_0_lcssa = $add42;
   41770       label = 14;
   41771       break;
   41772     }
   41773    case 14:
   41774     $15 = HEAP16[$flags >> 1] | 0;
   41775     $and49 = $15 & 1;
   41776     $tobool50 = $and49 << 16 >> 16 == 0;
   41777     if ($tobool50) {
   41778       $rv_1 = $call;
   41779       $ht_1 = $ht_0_lcssa;
   41780       $wd_1 = $wd_0_lcssa;
   41781       label = 20;
   41782       break;
   41783     } else {
   41784       label = 15;
   41785       break;
   41786     }
   41787    case 15:
   41788     $width = $tbl + 34 | 0;
   41789     $16 = HEAP16[$width >> 1] | 0;
   41790     $conv53 = $16 & 65535;
   41791     $tobool54 = $16 << 16 >> 16 == 0;
   41792     if ($tobool54) {
   41793       label = 19;
   41794       break;
   41795     } else {
   41796       label = 16;
   41797       break;
   41798     }
   41799    case 16:
   41800     $height = $tbl + 36 | 0;
   41801     $17 = HEAP16[$height >> 1] | 0;
   41802     $tobool57 = $17 << 16 >> 16 == 0;
   41803     if ($tobool57) {
   41804       label = 19;
   41805       break;
   41806     } else {
   41807       label = 17;
   41808       break;
   41809     }
   41810    case 17:
   41811     $conv56 = $17 & 65535;
   41812     $cmp62 = ($conv53 | 0) < ($wd_0_lcssa | 0);
   41813     $cmp67 = ($conv56 | 0) < ($ht_0_lcssa | 0);
   41814     $or_cond = $cmp62 | $cmp67;
   41815     if ($or_cond) {
   41816       label = 18;
   41817       break;
   41818     } else {
   41819       $rv_1 = $call;
   41820       $ht_1 = 0;
   41821       $wd_1 = 0;
   41822       label = 20;
   41823       break;
   41824     }
   41825    case 18:
   41826     $call70 = _agerr(0, 161096, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0) | 0;
   41827     $rv_1 = 1;
   41828     $ht_1 = 0;
   41829     $wd_1 = 0;
   41830     label = 20;
   41831     break;
   41832    case 19:
   41833     $call72 = _agerr(0, 157376, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0) | 0;
   41834     $rv_1 = 1;
   41835     $ht_1 = $ht_0_lcssa;
   41836     $wd_1 = $wd_0_lcssa;
   41837     label = 20;
   41838     break;
   41839    case 20:
   41840     $width76 = $tbl + 34 | 0;
   41841     $18 = HEAP16[$width76 >> 1] | 0;
   41842     $conv77 = $18 & 65535;
   41843     $cmp78 = ($wd_1 | 0) > ($conv77 | 0);
   41844     $wd_1_conv77 = $cmp78 ? $wd_1 : $conv77;
   41845     $conv83 = +($wd_1_conv77 | 0);
   41846     $x = $tbl + 56 | 0;
   41847     HEAPF64[$x >> 3] = $conv83;
   41848     $height86 = $tbl + 36 | 0;
   41849     $19 = HEAP16[$height86 >> 1] | 0;
   41850     $conv87 = $19 & 65535;
   41851     $cmp88 = ($ht_1 | 0) > ($conv87 | 0);
   41852     $cond96 = $cmp88 ? $ht_1 : $conv87;
   41853     $conv97 = +($cond96 | 0);
   41854     $y = $tbl + 64 | 0;
   41855     HEAPF64[$y >> 3] = $conv97;
   41856     $20 = HEAP32[$font >> 2] | 0;
   41857     $tobool102 = ($20 | 0) == 0;
   41858     if ($tobool102) {
   41859       label = 22;
   41860       break;
   41861     } else {
   41862       label = 21;
   41863       break;
   41864     }
   41865    case 21:
   41866     _popFontInfo($env, 4184);
   41867     label = 22;
   41868     break;
   41869    case 22:
   41870     STACKTOP = __stackBase__;
   41871     return $rv_1 | 0;
   41872   }
   41873   return 0;
   41874 }
   41875 function _size_html_txt($g, $ftxt, $env) {
   41876   $g = $g | 0;
   41877   $ftxt = $ftxt | 0;
   41878   $env = $env | 0;
   41879   var $lp = 0, $lhf = 0, $tmp = 0, $font = 0, $nparas = 0, $0 = 0, $cmp15 = 0, $paras = 0, $obj = 0, $str10 = 0, $size88 = 0, $name90 = 0, $sz_sroa_0_0__idx = 0, $yoffset_layout = 0, $yoffset_centerline = 0, $postscript_alias = 0, $layout = 0, $free_layout = 0, $1 = 0, $2 = 0, $name84 = 0, $size67 = 0, $xsize_020 = 0.0, $i_018 = 0, $ysize_017 = 0.0, $curbline_016 = 0.0, $3 = 0, $nitems2 = 0, $4 = 0, $cmp44 = 0, $5 = 0, $maxoffset_09 = 0.0, $width_08 = 0.0, $j_06 = 0, $mxfsize_15 = 0.0, $items = 0, $6 = 0, $str = 0, $7 = 0, $8 = 0, $call = 0, $9 = 0, $items13 = 0, $10 = 0, $font15 = 0, $11 = 0, $tobool = 0, $12 = 0, $13 = 0, $14 = 0, $15 = 0, $bf_val_sext = 0, $tobool21 = 0, $16 = 0, $bf_value = 0, $17 = 0, $18 = 0, $19 = 0, $20 = 0, $21 = 0, $22 = 0, $23 = 0, $cmp36 = 0, $bf_val_sext351 = 0, $24 = 0, $25 = 0, $26 = 0, $27 = 0, $28 = 0, $29 = 0, $30 = 0, $31 = 0, $32 = 0, $33 = 0, $34 = 0, $35 = 0, $items53 = 0, $36 = 0, $font55 = 0, $37 = 0, $size = 0, $38 = 0.0, $cmp56 = 0, $39 = 0.0, $fsize_0 = 0.0, $40 = 0, $items71 = 0, $41 = 0, $font73 = 0, $42 = 0, $name = 0, $43 = 0, $tobool74 = 0, $44 = 0, $45 = 0.0, $46 = 0, $47 = 0, $48 = 0, $49 = 0, $50 = 0, $51 = 0, $fsize_1 = 0.0, $fname_0 = 0, $sz_sroa_0_0_copyload = 0.0, $52 = 0, $items96 = 0, $53 = 0, $str98 = 0, $54 = 0, $55 = 0, $56 = 0, $items102 = 0, $57 = 0, $str104 = 0, $58 = 0, $items107 = 0, $59 = 0, $size109 = 0, $60 = 0.0, $61 = 0, $items112 = 0, $62 = 0, $yoffset_layout114 = 0, $63 = 0.0, $64 = 0, $items117 = 0, $65 = 0, $yoffset_centerline119 = 0, $66 = 0, $67 = 0, $items122 = 0, $68 = 0, $postscript_alias124 = 0, $69 = 0, $70 = 0, $items127 = 0, $71 = 0, $layout129 = 0, $72 = 0, $73 = 0, $items132 = 0, $74 = 0, $free_layout134 = 0, $add = 0.0, $conv137 = 0, $cmp138 = 0, $cond = 0.0, $75 = 0.0, $cmp141 = 0, $_maxoffset_0 = 0.0, $inc = 0, $phitmp = 0.0, $76 = 0, $nitems = 0, $77 = 0, $conv3 = 0, $cmp4 = 0, $_lcssa = 0, $maxoffset_0_lcssa = 0.0, $width_0_lcssa = 0.0, $mxfsize_1_lcssa = 0.0, $size151 = 0, $add152 = 0.0, $sub = 0.0, $sub153 = 0.0, $78 = 0, $lfsize = 0, $79 = 0, $lfsize158 = 0, $80 = 0.0, $add159 = 0.0, $cmp161 = 0, $width_0_xsize_0 = 0.0, $inc170 = 0, $81 = 0, $conv = 0, $cmp = 0, $phitmp25 = 0, $phitmp26 = 0.0, $xsize_0_lcssa = 0.0, $ysize_0_lcssa = 0.0, $mxfsize_0_lcssa = 0.0, $x172 = 0, $82 = 0, $cmp175 = 0, $conv178 = 0, $conv179 = 0.0, $y = 0, $y187 = 0, label = 0, __stackBase__ = 0;
   41880   __stackBase__ = STACKTOP;
   41881   STACKTOP = STACKTOP + 120 | 0;
   41882   label = 2;
   41883   while (1) switch (label | 0) {
   41884    case 2:
   41885     $lp = __stackBase__ | 0;
   41886     $lhf = __stackBase__ + 80 | 0;
   41887     $tmp = __stackBase__ + 104 | 0;
   41888     $font = $lp + 16 | 0;
   41889     HEAP32[$font >> 2] = $lhf;
   41890     $nparas = $ftxt + 4 | 0;
   41891     $0 = HEAP16[$nparas >> 1] | 0;
   41892     $cmp15 = $0 << 16 >> 16 > 0;
   41893     if ($cmp15) {
   41894       label = 3;
   41895       break;
   41896     } else {
   41897       $mxfsize_0_lcssa = 0.0;
   41898       $ysize_0_lcssa = 0.0;
   41899       $xsize_0_lcssa = 0.0;
   41900       label = 19;
   41901       break;
   41902     }
   41903    case 3:
   41904     $paras = $ftxt | 0;
   41905     $obj = $env + 40 | 0;
   41906     $str10 = $lp | 0;
   41907     $size88 = $env + 32 | 0;
   41908     $name90 = $env + 16 | 0;
   41909     $sz_sroa_0_0__idx = $tmp | 0;
   41910     $yoffset_layout = $lp + 40 | 0;
   41911     $yoffset_centerline = $lp + 48 | 0;
   41912     $postscript_alias = $lp + 4 | 0;
   41913     $layout = $lp + 8 | 0;
   41914     $free_layout = $lp + 12 | 0;
   41915     $1 = $env + 24 | 0;
   41916     $2 = $1;
   41917     $name84 = $env + 16 | 0;
   41918     $size67 = $env + 32 | 0;
   41919     $curbline_016 = 0.0;
   41920     $ysize_017 = 0.0;
   41921     $i_018 = 0;
   41922     $xsize_020 = 0.0;
   41923     label = 4;
   41924     break;
   41925    case 4:
   41926     $3 = HEAP32[$paras >> 2] | 0;
   41927     $nitems2 = $3 + ($i_018 * 24 & -1) + 4 | 0;
   41928     $4 = HEAP16[$nitems2 >> 1] | 0;
   41929     $cmp44 = $4 << 16 >> 16 > 0;
   41930     if ($cmp44) {
   41931       $mxfsize_15 = 0.0;
   41932       $j_06 = 0;
   41933       $width_08 = 0.0;
   41934       $maxoffset_09 = 0.0;
   41935       $5 = $3;
   41936       label = 5;
   41937       break;
   41938     } else {
   41939       $mxfsize_1_lcssa = 0.0;
   41940       $width_0_lcssa = 0.0;
   41941       $maxoffset_0_lcssa = 0.0;
   41942       $_lcssa = $3;
   41943       label = 17;
   41944       break;
   41945     }
   41946    case 5:
   41947     $items = $5 + ($i_018 * 24 & -1) | 0;
   41948     $6 = HEAP32[$items >> 2] | 0;
   41949     $str = $6 + ($j_06 * 80 & -1) | 0;
   41950     $7 = HEAP32[$str >> 2] | 0;
   41951     $8 = HEAP32[$obj >> 2] | 0;
   41952     $call = _strdup_and_subst_obj($7, $8) | 0;
   41953     HEAP32[$str10 >> 2] = $call;
   41954     $9 = HEAP32[$paras >> 2] | 0;
   41955     $items13 = $9 + ($i_018 * 24 & -1) | 0;
   41956     $10 = HEAP32[$items13 >> 2] | 0;
   41957     $font15 = $10 + ($j_06 * 80 & -1) + 16 | 0;
   41958     $11 = HEAP32[$font15 >> 2] | 0;
   41959     $tobool = ($11 | 0) == 0;
   41960     if ($tobool) {
   41961       label = 15;
   41962       break;
   41963     } else {
   41964       label = 6;
   41965       break;
   41966     }
   41967    case 6:
   41968     $12 = $11 + 8 | 0;
   41969     $13 = $12;
   41970     $14 = HEAP32[$13 >> 2] | 0;
   41971     $15 = $14 << 25;
   41972     $bf_val_sext = $15 >> 25;
   41973     $tobool21 = ($bf_val_sext | 0) == 0;
   41974     if ($tobool21) {
   41975       label = 8;
   41976       break;
   41977     } else {
   41978       label = 7;
   41979       break;
   41980     }
   41981    case 7:
   41982     $16 = HEAP32[$font >> 2] | 0;
   41983     $bf_value = $bf_val_sext & 127;
   41984     $17 = $16 + 8 | 0;
   41985     $18 = $17;
   41986     $19 = HEAP32[$18 >> 2] | 0;
   41987     $20 = $19 & -128;
   41988     $21 = $20 | $bf_value;
   41989     HEAP32[$18 >> 2] = $21;
   41990     label = 11;
   41991     break;
   41992    case 8:
   41993     $22 = HEAP32[$2 >> 2] | 0;
   41994     $23 = $22 << 25;
   41995     $cmp36 = ($23 | 0) > 0;
   41996     if ($cmp36) {
   41997       label = 9;
   41998       break;
   41999     } else {
   42000       label = 10;
   42001       break;
   42002     }
   42003    case 9:
   42004     $bf_val_sext351 = $22 & 127;
   42005     $24 = HEAP32[$font >> 2] | 0;
   42006     $25 = $24 + 8 | 0;
   42007     $26 = $25;
   42008     $27 = HEAP32[$26 >> 2] | 0;
   42009     $28 = $27 & -128;
   42010     $29 = $28 | $bf_val_sext351;
   42011     HEAP32[$26 >> 2] = $29;
   42012     label = 11;
   42013     break;
   42014    case 10:
   42015     $30 = HEAP32[$font >> 2] | 0;
   42016     $31 = $30 + 8 | 0;
   42017     $32 = $31;
   42018     $33 = HEAP32[$32 >> 2] | 0;
   42019     $34 = $33 & -128;
   42020     HEAP32[$32 >> 2] = $34;
   42021     label = 11;
   42022     break;
   42023    case 11:
   42024     $35 = HEAP32[$paras >> 2] | 0;
   42025     $items53 = $35 + ($i_018 * 24 & -1) | 0;
   42026     $36 = HEAP32[$items53 >> 2] | 0;
   42027     $font55 = $36 + ($j_06 * 80 & -1) + 16 | 0;
   42028     $37 = HEAP32[$font55 >> 2] | 0;
   42029     $size = $37 + 16 | 0;
   42030     $38 = +HEAPF64[$size >> 3];
   42031     $cmp56 = $38 > 0.0;
   42032     if ($cmp56) {
   42033       $fsize_0 = $38;
   42034       label = 13;
   42035       break;
   42036     } else {
   42037       label = 12;
   42038       break;
   42039     }
   42040    case 12:
   42041     $39 = +HEAPF64[$size67 >> 3];
   42042     $fsize_0 = $39;
   42043     label = 13;
   42044     break;
   42045    case 13:
   42046     $40 = HEAP32[$paras >> 2] | 0;
   42047     $items71 = $40 + ($i_018 * 24 & -1) | 0;
   42048     $41 = HEAP32[$items71 >> 2] | 0;
   42049     $font73 = $41 + ($j_06 * 80 & -1) + 16 | 0;
   42050     $42 = HEAP32[$font73 >> 2] | 0;
   42051     $name = $42 | 0;
   42052     $43 = HEAP32[$name >> 2] | 0;
   42053     $tobool74 = ($43 | 0) == 0;
   42054     if ($tobool74) {
   42055       label = 14;
   42056       break;
   42057     } else {
   42058       $fname_0 = $43;
   42059       $fsize_1 = $fsize_0;
   42060       label = 16;
   42061       break;
   42062     }
   42063    case 14:
   42064     $44 = HEAP32[$name84 >> 2] | 0;
   42065     $fname_0 = $44;
   42066     $fsize_1 = $fsize_0;
   42067     label = 16;
   42068     break;
   42069    case 15:
   42070     $45 = +HEAPF64[$size88 >> 3];
   42071     $46 = HEAP32[$name90 >> 2] | 0;
   42072     $47 = HEAP32[$font >> 2] | 0;
   42073     $48 = $47 + 8 | 0;
   42074     $49 = $48;
   42075     $50 = HEAP32[$49 >> 2] | 0;
   42076     $51 = $50 & -128;
   42077     HEAP32[$49 >> 2] = $51;
   42078     $fname_0 = $46;
   42079     $fsize_1 = $45;
   42080     label = 16;
   42081     break;
   42082    case 16:
   42083     _textsize($tmp, $g, $lp, $fname_0, $fsize_1);
   42084     $sz_sroa_0_0_copyload = +HEAPF64[$sz_sroa_0_0__idx >> 3];
   42085     $52 = HEAP32[$paras >> 2] | 0;
   42086     $items96 = $52 + ($i_018 * 24 & -1) | 0;
   42087     $53 = HEAP32[$items96 >> 2] | 0;
   42088     $str98 = $53 + ($j_06 * 80 & -1) | 0;
   42089     $54 = HEAP32[$str98 >> 2] | 0;
   42090     _free($54);
   42091     $55 = HEAP32[$str10 >> 2] | 0;
   42092     $56 = HEAP32[$paras >> 2] | 0;
   42093     $items102 = $56 + ($i_018 * 24 & -1) | 0;
   42094     $57 = HEAP32[$items102 >> 2] | 0;
   42095     $str104 = $57 + ($j_06 * 80 & -1) | 0;
   42096     HEAP32[$str104 >> 2] = $55;
   42097     $58 = HEAP32[$paras >> 2] | 0;
   42098     $items107 = $58 + ($i_018 * 24 & -1) | 0;
   42099     $59 = HEAP32[$items107 >> 2] | 0;
   42100     $size109 = $59 + ($j_06 * 80 & -1) + 32 | 0;
   42101     HEAPF64[$size109 >> 3] = $sz_sroa_0_0_copyload;
   42102     $60 = +HEAPF64[$yoffset_layout >> 3];
   42103     $61 = HEAP32[$paras >> 2] | 0;
   42104     $items112 = $61 + ($i_018 * 24 & -1) | 0;
   42105     $62 = HEAP32[$items112 >> 2] | 0;
   42106     $yoffset_layout114 = $62 + ($j_06 * 80 & -1) + 40 | 0;
   42107     HEAPF64[$yoffset_layout114 >> 3] = $60;
   42108     $63 = +HEAPF64[$yoffset_centerline >> 3];
   42109     $64 = HEAP32[$paras >> 2] | 0;
   42110     $items117 = $64 + ($i_018 * 24 & -1) | 0;
   42111     $65 = HEAP32[$items117 >> 2] | 0;
   42112     $yoffset_centerline119 = $65 + ($j_06 * 80 & -1) + 48 | 0;
   42113     HEAPF64[$yoffset_centerline119 >> 3] = $63;
   42114     $66 = HEAP32[$postscript_alias >> 2] | 0;
   42115     $67 = HEAP32[$paras >> 2] | 0;
   42116     $items122 = $67 + ($i_018 * 24 & -1) | 0;
   42117     $68 = HEAP32[$items122 >> 2] | 0;
   42118     $postscript_alias124 = $68 + ($j_06 * 80 & -1) + 4 | 0;
   42119     HEAP32[$postscript_alias124 >> 2] = $66;
   42120     $69 = HEAP32[$layout >> 2] | 0;
   42121     $70 = HEAP32[$paras >> 2] | 0;
   42122     $items127 = $70 + ($i_018 * 24 & -1) | 0;
   42123     $71 = HEAP32[$items127 >> 2] | 0;
   42124     $layout129 = $71 + ($j_06 * 80 & -1) + 8 | 0;
   42125     HEAP32[$layout129 >> 2] = $69;
   42126     $72 = HEAP32[$free_layout >> 2] | 0;
   42127     $73 = HEAP32[$paras >> 2] | 0;
   42128     $items132 = $73 + ($i_018 * 24 & -1) | 0;
   42129     $74 = HEAP32[$items132 >> 2] | 0;
   42130     $free_layout134 = $74 + ($j_06 * 80 & -1) + 12 | 0;
   42131     HEAP32[$free_layout134 >> 2] = $72;
   42132     $add = $width_08 + $sz_sroa_0_0_copyload;
   42133     $conv137 = ~~$add;
   42134     $cmp138 = $fsize_1 > $mxfsize_15;
   42135     $cond = $cmp138 ? $fsize_1 : $mxfsize_15;
   42136     $75 = +HEAPF64[$yoffset_centerline >> 3];
   42137     $cmp141 = $75 > $maxoffset_09;
   42138     $_maxoffset_0 = $cmp141 ? $75 : $maxoffset_09;
   42139     $inc = $j_06 + 1 | 0;
   42140     $phitmp = +($conv137 | 0);
   42141     $76 = HEAP32[$paras >> 2] | 0;
   42142     $nitems = $76 + ($i_018 * 24 & -1) + 4 | 0;
   42143     $77 = HEAP16[$nitems >> 1] | 0;
   42144     $conv3 = $77 << 16 >> 16;
   42145     $cmp4 = ($inc | 0) < ($conv3 | 0);
   42146     if ($cmp4) {
   42147       $mxfsize_15 = $cond;
   42148       $j_06 = $inc;
   42149       $width_08 = $phitmp;
   42150       $maxoffset_09 = $_maxoffset_0;
   42151       $5 = $76;
   42152       label = 5;
   42153       break;
   42154     } else {
   42155       $mxfsize_1_lcssa = $cond;
   42156       $width_0_lcssa = $phitmp;
   42157       $maxoffset_0_lcssa = $_maxoffset_0;
   42158       $_lcssa = $76;
   42159       label = 17;
   42160       break;
   42161     }
   42162    case 17:
   42163     $size151 = $_lcssa + ($i_018 * 24 & -1) + 8 | 0;
   42164     HEAPF64[$size151 >> 3] = $width_0_lcssa;
   42165     $add152 = $ysize_017 + $mxfsize_1_lcssa;
   42166     $sub = $add152 - $curbline_016;
   42167     $sub153 = $sub - $maxoffset_0_lcssa;
   42168     $78 = HEAP32[$paras >> 2] | 0;
   42169     $lfsize = $78 + ($i_018 * 24 & -1) + 16 | 0;
   42170     HEAPF64[$lfsize >> 3] = $sub153;
   42171     $79 = HEAP32[$paras >> 2] | 0;
   42172     $lfsize158 = $79 + ($i_018 * 24 & -1) + 16 | 0;
   42173     $80 = +HEAPF64[$lfsize158 >> 3];
   42174     $add159 = $curbline_016 + $80;
   42175     $cmp161 = $width_0_lcssa > $xsize_020;
   42176     $width_0_xsize_0 = $cmp161 ? $width_0_lcssa : $xsize_020;
   42177     $inc170 = $i_018 + 1 | 0;
   42178     $81 = HEAP16[$nparas >> 1] | 0;
   42179     $conv = $81 << 16 >> 16;
   42180     $cmp = ($inc170 | 0) < ($conv | 0);
   42181     if ($cmp) {
   42182       $curbline_016 = $add159;
   42183       $ysize_017 = $add152;
   42184       $i_018 = $inc170;
   42185       $xsize_020 = $width_0_xsize_0;
   42186       label = 4;
   42187       break;
   42188     } else {
   42189       label = 18;
   42190       break;
   42191     }
   42192    case 18:
   42193     $phitmp25 = ~~$add152;
   42194     $phitmp26 = +($phitmp25 | 0);
   42195     $mxfsize_0_lcssa = $mxfsize_1_lcssa;
   42196     $ysize_0_lcssa = $phitmp26;
   42197     $xsize_0_lcssa = $width_0_xsize_0;
   42198     label = 19;
   42199     break;
   42200    case 19:
   42201     $x172 = $ftxt + 24 | 0;
   42202     HEAPF64[$x172 >> 3] = $xsize_0_lcssa;
   42203     $82 = HEAP16[$nparas >> 1] | 0;
   42204     $cmp175 = $82 << 16 >> 16 == 1;
   42205     if ($cmp175) {
   42206       label = 20;
   42207       break;
   42208     } else {
   42209       label = 21;
   42210       break;
   42211     }
   42212    case 20:
   42213     $conv178 = ~~$mxfsize_0_lcssa;
   42214     $conv179 = +($conv178 | 0);
   42215     $y = $ftxt + 32 | 0;
   42216     HEAPF64[$y >> 3] = $conv179;
   42217     label = 22;
   42218     break;
   42219    case 21:
   42220     $y187 = $ftxt + 32 | 0;
   42221     HEAPF64[$y187 >> 3] = $ysize_0_lcssa;
   42222     label = 22;
   42223     break;
   42224    case 22:
   42225     STACKTOP = __stackBase__;
   42226     return;
   42227   }
   42228 }
   42229 function _pos_html_tbl($tbl, $pos, $sides) {
   42230   $tbl = $tbl | 0;
   42231   $pos = $pos | 0;
   42232   $sides = $sides | 0;
   42233   var $cbox = 0, $cells1 = 0, $0 = 0, $parent = 0, $1 = 0, $tobool = 0, $pencolor = 0, $2 = 0, $tobool7 = 0, $pencolor10 = 0, $3 = 0, $tobool11 = 0, $call = 0, $box = 0, $x20 = 0, $4 = 0.0, $conv = 0, $x22 = 0, $5 = 0.0, $x23 = 0, $6 = 0.0, $sub = 0.0, $conv24 = 0.0, $sub25 = 0.0, $conv26 = 0, $cmp = 0, $y31 = 0, $7 = 0.0, $conv32 = 0, $y34 = 0, $8 = 0.0, $y36 = 0, $9 = 0.0, $sub37 = 0.0, $conv38 = 0.0, $sub39 = 0.0, $conv40 = 0, $cmp41 = 0, $flags = 0, $10 = 0, $conv47 = 0, $and = 0, $tobool48 = 0, $cmp50 = 0, $and56 = 0, $add = 0.0, $conv63 = 0.0, $add66 = 0.0, $11 = 0.0, $add70 = 0.0, $div = 0, $conv71 = 0.0, $add74 = 0.0, $12 = 0.0, $sub79 = 0.0, $delx_0 = 0, $cmp81 = 0, $13 = 0, $conv86 = 0, $and87 = 0, $14 = 0.0, $add92 = 0.0, $conv96 = 0.0, $15 = 0.0, $add99 = 0.0, $16 = 0.0, $add103 = 0.0, $div105 = 0, $conv106 = 0.0, $17 = 0.0, $add109 = 0.0, $18 = 0.0, $sub114 = 0.0, $delx_1 = 0, $dely_0 = 0, $19 = 0.0, $border = 0, $20 = 0, $conv121 = 0, $conv122 = 0.0, $add123 = 0.0, $space = 0, $21 = 0, $conv126 = 0.0, $add127 = 0.0, $conv128 = 0, $cc = 0, $22 = 0, $div129 = 0, $mul = 0, $sub131 = 0, $cmp132 = 0, $conv138 = 0.0, $add139 = 0.0, $sub146 = 0.0, $add139_sink = 0.0, $conv140 = 0, $23 = 0, $cmp150101 = 0, $widths = 0, $x_0103 = 0, $i_0102 = 0, $24 = 0, $arrayidx = 0, $25 = 0, $cmp153 = 0, $cond155 = 0, $26 = 0, $conv161 = 0, $add152 = 0, $add156 = 0, $add162 = 0, $add163 = 0, $inc = 0, $27 = 0, $cmp150 = 0, $28 = 0.0, $29 = 0, $conv168 = 0, $conv169 = 0.0, $sub170 = 0.0, $30 = 0, $conv174 = 0.0, $sub175 = 0.0, $conv176 = 0, $rc = 0, $31 = 0, $div177 = 0, $mul179 = 0, $sub180 = 0, $cmp181 = 0, $conv187 = 0.0, $add188 = 0.0, $sub195 = 0.0, $add188_sink = 0.0, $conv189 = 0, $32 = 0, $cmp20198 = 0, $heights = 0, $33 = 0, $tobool22096 = 0, $tobool221 = 0, $widths256 = 0, $x260 = 0, $x274 = 0, $heights277 = 0, $y281 = 0, $y295 = 0, $i_1100 = 0, $y_099 = 0, $34 = 0, $arrayidx204 = 0, $35 = 0, $cmp206 = 0, $cond208 = 0, $36 = 0, $conv214 = 0, $add205_neg = 0, $add209_neg = 0, $add215_neg = 0, $sub216 = 0, $inc218 = 0, $37 = 0, $cmp201 = 0, $38 = 0, $_pn = 0, $incdec_ptr97 = 0, $col = 0, $39 = 0, $cmp224 = 0, $_ = 0, $row = 0, $40 = 0, $cmp229 = 0, $or232 = 0, $mask_1 = 0, $conv235 = 0, $cspan = 0, $41 = 0, $conv236 = 0, $add237 = 0, $42 = 0, $cmp239 = 0, $or242 = 0, $or242_mask_1 = 0, $conv245 = 0, $rspan = 0, $43 = 0, $conv246 = 0, $add247 = 0, $44 = 0, $cmp249 = 0, $or252 = 0, $mask_3 = 0, $col255 = 0, $45 = 0, $idxprom = 0, $46 = 0, $arrayidx257 = 0, $47 = 0, $conv258 = 0.0, $48 = 0, $conv262 = 0, $cspan263 = 0, $49 = 0, $conv264 = 0, $add265 = 0, $50 = 0, $arrayidx267 = 0, $51 = 0, $52 = 0, $conv270 = 0, $sub271 = 0, $conv272 = 0.0, $row275 = 0, $53 = 0, $idxprom276 = 0, $54 = 0, $arrayidx278 = 0, $55 = 0, $conv279 = 0.0, $56 = 0, $conv283 = 0, $rspan284 = 0, $57 = 0, $conv285 = 0, $add286 = 0, $58 = 0, $arrayidx288 = 0, $59 = 0, $60 = 0, $conv291 = 0, $add292 = 0, $conv293 = 0.0, $and296 = 0, $61 = 0, $tobool220 = 0, $conv297 = 0, $sides299 = 0, $62 = 0, $63 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   42234   __stackBase__ = STACKTOP;
   42235   STACKTOP = STACKTOP + 32 | 0;
   42236   tempParam = $pos;
   42237   $pos = STACKTOP;
   42238   STACKTOP = STACKTOP + 32 | 0;
   42239   _memcpy($pos, tempParam, 32);
   42240   label = 2;
   42241   while (1) switch (label | 0) {
   42242    case 2:
   42243     $cbox = __stackBase__ | 0;
   42244     $cells1 = $tbl + 76 | 0;
   42245     $0 = HEAP32[$cells1 >> 2] | 0;
   42246     $parent = $tbl + 72 | 0;
   42247     $1 = HEAP32[$parent >> 2] | 0;
   42248     $tobool = ($1 | 0) == 0;
   42249     if ($tobool) {
   42250       label = 6;
   42251       break;
   42252     } else {
   42253       label = 3;
   42254       break;
   42255     }
   42256    case 3:
   42257     $pencolor = $1 + 24 | 0;
   42258     $2 = HEAP32[$pencolor >> 2] | 0;
   42259     $tobool7 = ($2 | 0) == 0;
   42260     if ($tobool7) {
   42261       label = 6;
   42262       break;
   42263     } else {
   42264       label = 4;
   42265       break;
   42266     }
   42267    case 4:
   42268     $pencolor10 = $tbl + 24 | 0;
   42269     $3 = HEAP32[$pencolor10 >> 2] | 0;
   42270     $tobool11 = ($3 | 0) == 0;
   42271     if ($tobool11) {
   42272       label = 5;
   42273       break;
   42274     } else {
   42275       label = 6;
   42276       break;
   42277     }
   42278    case 5:
   42279     $call = _strdup($2 | 0) | 0;
   42280     HEAP32[$pencolor10 >> 2] = $call;
   42281     label = 6;
   42282     break;
   42283    case 6:
   42284     $box = $tbl + 40 | 0;
   42285     $x20 = $tbl + 56 | 0;
   42286     $4 = +HEAPF64[$x20 >> 3];
   42287     $conv = ~~$4;
   42288     $x22 = $pos + 16 | 0;
   42289     $5 = +HEAPF64[$x22 >> 3];
   42290     $x23 = $pos | 0;
   42291     $6 = +HEAPF64[$x23 >> 3];
   42292     $sub = $5 - $6;
   42293     $conv24 = +($conv | 0);
   42294     $sub25 = $sub - $conv24;
   42295     $conv26 = ~~$sub25;
   42296     $cmp = ($conv26 | 0) > -1;
   42297     if ($cmp) {
   42298       label = 8;
   42299       break;
   42300     } else {
   42301       label = 7;
   42302       break;
   42303     }
   42304    case 7:
   42305     ___assert_func(98192, 1509, 163936, 94448);
   42306    case 8:
   42307     $y31 = $tbl + 64 | 0;
   42308     $7 = +HEAPF64[$y31 >> 3];
   42309     $conv32 = ~~$7;
   42310     $y34 = $pos + 24 | 0;
   42311     $8 = +HEAPF64[$y34 >> 3];
   42312     $y36 = $pos + 8 | 0;
   42313     $9 = +HEAPF64[$y36 >> 3];
   42314     $sub37 = $8 - $9;
   42315     $conv38 = +($conv32 | 0);
   42316     $sub39 = $sub37 - $conv38;
   42317     $conv40 = ~~$sub39;
   42318     $cmp41 = ($conv40 | 0) > -1;
   42319     if ($cmp41) {
   42320       label = 10;
   42321       break;
   42322     } else {
   42323       label = 9;
   42324       break;
   42325     }
   42326    case 9:
   42327     ___assert_func(98192, 1512, 163936, 90880);
   42328    case 10:
   42329     $flags = $tbl + 32 | 0;
   42330     $10 = HEAP16[$flags >> 1] | 0;
   42331     $conv47 = $10 & 65535;
   42332     $and = $conv47 & 1;
   42333     $tobool48 = ($and | 0) == 0;
   42334     if ($tobool48) {
   42335       $dely_0 = $conv40;
   42336       $delx_1 = $conv26;
   42337       label = 21;
   42338       break;
   42339     } else {
   42340       label = 11;
   42341       break;
   42342     }
   42343    case 11:
   42344     $cmp50 = ($conv26 | 0) > 0;
   42345     if ($cmp50) {
   42346       label = 12;
   42347       break;
   42348     } else {
   42349       $delx_0 = $conv26;
   42350       label = 16;
   42351       break;
   42352     }
   42353    case 12:
   42354     $and56 = $conv47 & 6;
   42355     if (($and56 | 0) == 4) {
   42356       label = 13;
   42357       break;
   42358     } else if (($and56 | 0) == 2) {
   42359       label = 14;
   42360       break;
   42361     } else {
   42362       label = 15;
   42363       break;
   42364     }
   42365    case 13:
   42366     $add = $6 + $conv38;
   42367     HEAPF64[$x22 >> 3] = $add;
   42368     $delx_0 = 0;
   42369     label = 16;
   42370     break;
   42371    case 14:
   42372     $conv63 = +($conv26 | 0);
   42373     $add66 = $5 + $conv63;
   42374     HEAPF64[$x22 >> 3] = $add66;
   42375     $11 = +HEAPF64[$x23 >> 3];
   42376     $add70 = $conv63 + $11;
   42377     HEAPF64[$x23 >> 3] = $add70;
   42378     $delx_0 = 0;
   42379     label = 16;
   42380     break;
   42381    case 15:
   42382     $div = ($conv26 | 0) / 2 & -1;
   42383     $conv71 = +($div | 0);
   42384     $add74 = $6 + $conv71;
   42385     HEAPF64[$x23 >> 3] = $add74;
   42386     $12 = +HEAPF64[$x22 >> 3];
   42387     $sub79 = $12 - $conv71;
   42388     HEAPF64[$x22 >> 3] = $sub79;
   42389     $delx_0 = 0;
   42390     label = 16;
   42391     break;
   42392    case 16:
   42393     $cmp81 = ($conv40 | 0) > 0;
   42394     if ($cmp81) {
   42395       label = 17;
   42396       break;
   42397     } else {
   42398       $dely_0 = $conv40;
   42399       $delx_1 = $delx_0;
   42400       label = 21;
   42401       break;
   42402     }
   42403    case 17:
   42404     $13 = HEAP16[$flags >> 1] | 0;
   42405     $conv86 = $13 & 65535;
   42406     $and87 = $conv86 & 24;
   42407     if (($and87 | 0) == 16) {
   42408       label = 18;
   42409       break;
   42410     } else if (($and87 | 0) == 8) {
   42411       label = 19;
   42412       break;
   42413     } else {
   42414       label = 20;
   42415       break;
   42416     }
   42417    case 18:
   42418     $14 = +HEAPF64[$y36 >> 3];
   42419     $add92 = $conv38 + $14;
   42420     HEAPF64[$y34 >> 3] = $add92;
   42421     $dely_0 = 0;
   42422     $delx_1 = $delx_0;
   42423     label = 21;
   42424     break;
   42425    case 19:
   42426     $conv96 = +($conv40 | 0);
   42427     $15 = +HEAPF64[$y34 >> 3];
   42428     $add99 = $conv96 + $15;
   42429     HEAPF64[$y34 >> 3] = $add99;
   42430     $16 = +HEAPF64[$y36 >> 3];
   42431     $add103 = $conv96 + $16;
   42432     HEAPF64[$y36 >> 3] = $add103;
   42433     $dely_0 = 0;
   42434     $delx_1 = $delx_0;
   42435     label = 21;
   42436     break;
   42437    case 20:
   42438     $div105 = ($conv40 | 0) / 2 & -1;
   42439     $conv106 = +($div105 | 0);
   42440     $17 = +HEAPF64[$y36 >> 3];
   42441     $add109 = $17 + $conv106;
   42442     HEAPF64[$y36 >> 3] = $add109;
   42443     $18 = +HEAPF64[$y34 >> 3];
   42444     $sub114 = $18 - $conv106;
   42445     HEAPF64[$y34 >> 3] = $sub114;
   42446     $dely_0 = 0;
   42447     $delx_1 = $delx_0;
   42448     label = 21;
   42449     break;
   42450    case 21:
   42451     $19 = +HEAPF64[$x23 >> 3];
   42452     $border = $tbl + 29 | 0;
   42453     $20 = HEAP8[$border] | 0;
   42454     $conv121 = $20 & 255;
   42455     $conv122 = +($conv121 | 0);
   42456     $add123 = $19 + $conv122;
   42457     $space = $tbl + 28 | 0;
   42458     $21 = HEAP8[$space] | 0;
   42459     $conv126 = +($21 << 24 >> 24 | 0);
   42460     $add127 = $conv126 + $add123;
   42461     $conv128 = ~~$add127;
   42462     $cc = $tbl + 96 | 0;
   42463     $22 = HEAP32[$cc >> 2] | 0;
   42464     $div129 = ($delx_1 | 0) / ($22 | 0) & -1;
   42465     $mul = Math_imul($div129, $22);
   42466     $sub131 = $delx_1 - $mul | 0;
   42467     $cmp132 = ($sub131 | 0) > -1;
   42468     $conv138 = +($sub131 | 0);
   42469     if ($cmp132) {
   42470       label = 22;
   42471       break;
   42472     } else {
   42473       label = 23;
   42474       break;
   42475     }
   42476    case 22:
   42477     $add139 = $conv138 + .5;
   42478     $add139_sink = $add139;
   42479     label = 24;
   42480     break;
   42481    case 23:
   42482     $sub146 = $conv138 + -.5;
   42483     $add139_sink = $sub146;
   42484     label = 24;
   42485     break;
   42486    case 24:
   42487     $conv140 = ~~$add139_sink;
   42488     $23 = HEAP32[$cc >> 2] | 0;
   42489     $cmp150101 = ($23 | 0) < 0;
   42490     if ($cmp150101) {
   42491       label = 27;
   42492       break;
   42493     } else {
   42494       label = 25;
   42495       break;
   42496     }
   42497    case 25:
   42498     $widths = $tbl + 88 | 0;
   42499     $i_0102 = 0;
   42500     $x_0103 = $conv128;
   42501     label = 26;
   42502     break;
   42503    case 26:
   42504     $24 = HEAP32[$widths >> 2] | 0;
   42505     $arrayidx = $24 + ($i_0102 << 2) | 0;
   42506     $25 = HEAP32[$arrayidx >> 2] | 0;
   42507     $cmp153 = ($i_0102 | 0) < ($conv140 | 0);
   42508     $cond155 = $cmp153 & 1;
   42509     HEAP32[$arrayidx >> 2] = $x_0103;
   42510     $26 = HEAP8[$space] | 0;
   42511     $conv161 = $26 << 24 >> 24;
   42512     $add152 = $x_0103 + $div129 | 0;
   42513     $add156 = $add152 + $cond155 | 0;
   42514     $add162 = $add156 + $25 | 0;
   42515     $add163 = $add162 + $conv161 | 0;
   42516     $inc = $i_0102 + 1 | 0;
   42517     $27 = HEAP32[$cc >> 2] | 0;
   42518     $cmp150 = ($inc | 0) > ($27 | 0);
   42519     if ($cmp150) {
   42520       label = 27;
   42521       break;
   42522     } else {
   42523       $i_0102 = $inc;
   42524       $x_0103 = $add163;
   42525       label = 26;
   42526       break;
   42527     }
   42528    case 27:
   42529     $28 = +HEAPF64[$y34 >> 3];
   42530     $29 = HEAP8[$border] | 0;
   42531     $conv168 = $29 & 255;
   42532     $conv169 = +($conv168 | 0);
   42533     $sub170 = $28 - $conv169;
   42534     $30 = HEAP8[$space] | 0;
   42535     $conv174 = +($30 << 24 >> 24 | 0);
   42536     $sub175 = $sub170 - $conv174;
   42537     $conv176 = ~~$sub175;
   42538     $rc = $tbl + 92 | 0;
   42539     $31 = HEAP32[$rc >> 2] | 0;
   42540     $div177 = ($dely_0 | 0) / ($31 | 0) & -1;
   42541     $mul179 = Math_imul($div177, $31);
   42542     $sub180 = $dely_0 - $mul179 | 0;
   42543     $cmp181 = ($sub180 | 0) > -1;
   42544     $conv187 = +($sub180 | 0);
   42545     if ($cmp181) {
   42546       label = 28;
   42547       break;
   42548     } else {
   42549       label = 29;
   42550       break;
   42551     }
   42552    case 28:
   42553     $add188 = $conv187 + .5;
   42554     $add188_sink = $add188;
   42555     label = 30;
   42556     break;
   42557    case 29:
   42558     $sub195 = $conv187 + -.5;
   42559     $add188_sink = $sub195;
   42560     label = 30;
   42561     break;
   42562    case 30:
   42563     $conv189 = ~~$add188_sink;
   42564     $32 = HEAP32[$rc >> 2] | 0;
   42565     $cmp20198 = ($32 | 0) < 0;
   42566     if ($cmp20198) {
   42567       label = 32;
   42568       break;
   42569     } else {
   42570       label = 31;
   42571       break;
   42572     }
   42573    case 31:
   42574     $heights = $tbl + 84 | 0;
   42575     $y_099 = $conv176;
   42576     $i_1100 = 0;
   42577     label = 34;
   42578     break;
   42579    case 32:
   42580     $33 = HEAP32[$0 >> 2] | 0;
   42581     $tobool22096 = ($33 | 0) == 0;
   42582     if ($tobool22096) {
   42583       label = 39;
   42584       break;
   42585     } else {
   42586       label = 33;
   42587       break;
   42588     }
   42589    case 33:
   42590     $tobool221 = ($sides | 0) == 0;
   42591     $widths256 = $tbl + 88 | 0;
   42592     $x260 = $cbox | 0;
   42593     $x274 = $cbox + 16 | 0;
   42594     $heights277 = $tbl + 84 | 0;
   42595     $y281 = $cbox + 24 | 0;
   42596     $y295 = $cbox + 8 | 0;
   42597     $_pn = $0;
   42598     $38 = $33;
   42599     label = 35;
   42600     break;
   42601    case 34:
   42602     $34 = HEAP32[$heights >> 2] | 0;
   42603     $arrayidx204 = $34 + ($i_1100 << 2) | 0;
   42604     $35 = HEAP32[$arrayidx204 >> 2] | 0;
   42605     $cmp206 = ($i_1100 | 0) < ($conv189 | 0);
   42606     $cond208 = $cmp206 & 1;
   42607     HEAP32[$arrayidx204 >> 2] = $y_099;
   42608     $36 = HEAP8[$space] | 0;
   42609     $conv214 = $36 << 24 >> 24;
   42610     $add205_neg = $y_099 - $div177 | 0;
   42611     $add209_neg = $add205_neg - $cond208 | 0;
   42612     $add215_neg = $add209_neg - $35 | 0;
   42613     $sub216 = $add215_neg - $conv214 | 0;
   42614     $inc218 = $i_1100 + 1 | 0;
   42615     $37 = HEAP32[$rc >> 2] | 0;
   42616     $cmp201 = ($inc218 | 0) > ($37 | 0);
   42617     if ($cmp201) {
   42618       label = 32;
   42619       break;
   42620     } else {
   42621       $y_099 = $sub216;
   42622       $i_1100 = $inc218;
   42623       label = 34;
   42624       break;
   42625     }
   42626    case 35:
   42627     $incdec_ptr97 = $_pn + 4 | 0;
   42628     if ($tobool221) {
   42629       $mask_3 = 0;
   42630       label = 38;
   42631       break;
   42632     } else {
   42633       label = 36;
   42634       break;
   42635     }
   42636    case 36:
   42637     $col = $38 + 76 | 0;
   42638     $39 = HEAP16[$col >> 1] | 0;
   42639     $cmp224 = $39 << 16 >> 16 == 0;
   42640     $_ = $cmp224 ? 8 : 0;
   42641     $row = $38 + 78 | 0;
   42642     $40 = HEAP16[$row >> 1] | 0;
   42643     $cmp229 = $40 << 16 >> 16 == 0;
   42644     $or232 = $_ | 4;
   42645     $mask_1 = $cmp229 ? $or232 : $_;
   42646     $conv235 = $39 & 65535;
   42647     $cspan = $38 + 72 | 0;
   42648     $41 = HEAP16[$cspan >> 1] | 0;
   42649     $conv236 = $41 & 65535;
   42650     $add237 = $conv236 + $conv235 | 0;
   42651     $42 = HEAP32[$cc >> 2] | 0;
   42652     $cmp239 = ($add237 | 0) == ($42 | 0);
   42653     $or242 = $mask_1 | 2;
   42654     $or242_mask_1 = $cmp239 ? $or242 : $mask_1;
   42655     $conv245 = $40 & 65535;
   42656     $rspan = $38 + 74 | 0;
   42657     $43 = HEAP16[$rspan >> 1] | 0;
   42658     $conv246 = $43 & 65535;
   42659     $add247 = $conv246 + $conv245 | 0;
   42660     $44 = HEAP32[$rc >> 2] | 0;
   42661     $cmp249 = ($add247 | 0) == ($44 | 0);
   42662     if ($cmp249) {
   42663       label = 37;
   42664       break;
   42665     } else {
   42666       $mask_3 = $or242_mask_1;
   42667       label = 38;
   42668       break;
   42669     }
   42670    case 37:
   42671     $or252 = $or242_mask_1 | 1;
   42672     $mask_3 = $or252;
   42673     label = 38;
   42674     break;
   42675    case 38:
   42676     $col255 = $38 + 76 | 0;
   42677     $45 = HEAP16[$col255 >> 1] | 0;
   42678     $idxprom = $45 & 65535;
   42679     $46 = HEAP32[$widths256 >> 2] | 0;
   42680     $arrayidx257 = $46 + ($idxprom << 2) | 0;
   42681     $47 = HEAP32[$arrayidx257 >> 2] | 0;
   42682     $conv258 = +($47 | 0);
   42683     HEAPF64[$x260 >> 3] = $conv258;
   42684     $48 = HEAP16[$col255 >> 1] | 0;
   42685     $conv262 = $48 & 65535;
   42686     $cspan263 = $38 + 72 | 0;
   42687     $49 = HEAP16[$cspan263 >> 1] | 0;
   42688     $conv264 = $49 & 65535;
   42689     $add265 = $conv264 + $conv262 | 0;
   42690     $50 = HEAP32[$widths256 >> 2] | 0;
   42691     $arrayidx267 = $50 + ($add265 << 2) | 0;
   42692     $51 = HEAP32[$arrayidx267 >> 2] | 0;
   42693     $52 = HEAP8[$space] | 0;
   42694     $conv270 = $52 << 24 >> 24;
   42695     $sub271 = $51 - $conv270 | 0;
   42696     $conv272 = +($sub271 | 0);
   42697     HEAPF64[$x274 >> 3] = $conv272;
   42698     $row275 = $38 + 78 | 0;
   42699     $53 = HEAP16[$row275 >> 1] | 0;
   42700     $idxprom276 = $53 & 65535;
   42701     $54 = HEAP32[$heights277 >> 2] | 0;
   42702     $arrayidx278 = $54 + ($idxprom276 << 2) | 0;
   42703     $55 = HEAP32[$arrayidx278 >> 2] | 0;
   42704     $conv279 = +($55 | 0);
   42705     HEAPF64[$y281 >> 3] = $conv279;
   42706     $56 = HEAP16[$row275 >> 1] | 0;
   42707     $conv283 = $56 & 65535;
   42708     $rspan284 = $38 + 74 | 0;
   42709     $57 = HEAP16[$rspan284 >> 1] | 0;
   42710     $conv285 = $57 & 65535;
   42711     $add286 = $conv285 + $conv283 | 0;
   42712     $58 = HEAP32[$heights277 >> 2] | 0;
   42713     $arrayidx288 = $58 + ($add286 << 2) | 0;
   42714     $59 = HEAP32[$arrayidx288 >> 2] | 0;
   42715     $60 = HEAP8[$space] | 0;
   42716     $conv291 = $60 << 24 >> 24;
   42717     $add292 = $conv291 + $59 | 0;
   42718     $conv293 = +($add292 | 0);
   42719     HEAPF64[$y295 >> 3] = $conv293;
   42720     $and296 = $mask_3 & $sides;
   42721     _pos_html_cell($38, $cbox, $and296);
   42722     $61 = HEAP32[$incdec_ptr97 >> 2] | 0;
   42723     $tobool220 = ($61 | 0) == 0;
   42724     if ($tobool220) {
   42725       label = 39;
   42726       break;
   42727     } else {
   42728       $_pn = $incdec_ptr97;
   42729       $38 = $61;
   42730       label = 35;
   42731       break;
   42732     }
   42733    case 39:
   42734     $conv297 = $sides & 255;
   42735     $sides299 = $tbl + 31 | 0;
   42736     HEAP8[$sides299] = $conv297;
   42737     $62 = $box;
   42738     $63 = $pos;
   42739     HEAP32[$62 >> 2] = HEAP32[$63 >> 2] | 0;
   42740     HEAP32[$62 + 4 >> 2] = HEAP32[$63 + 4 >> 2] | 0;
   42741     HEAP32[$62 + 8 >> 2] = HEAP32[$63 + 8 >> 2] | 0;
   42742     HEAP32[$62 + 12 >> 2] = HEAP32[$63 + 12 >> 2] | 0;
   42743     HEAP32[$62 + 16 >> 2] = HEAP32[$63 + 16 >> 2] | 0;
   42744     HEAP32[$62 + 20 >> 2] = HEAP32[$63 + 20 >> 2] | 0;
   42745     HEAP32[$62 + 24 >> 2] = HEAP32[$63 + 24 >> 2] | 0;
   42746     HEAP32[$62 + 28 >> 2] = HEAP32[$63 + 28 >> 2] | 0;
   42747     STACKTOP = __stackBase__;
   42748     return;
   42749   }
   42750 }
   42751 function _pos_html_txt($ftxt, $c) {
   42752   $ftxt = $ftxt | 0;
   42753   $c = $c | 0;
   42754   var $nparas = 0, $0 = 0, $cmp7 = 0, $paras = 0, $i_08 = 0, $1 = 0, $just = 0, $2 = 0, $cmp3 = 0, $inc = 0, $3 = 0, $conv = 0, $cmp = 0, label = 0;
   42755   label = 2;
   42756   while (1) switch (label | 0) {
   42757    case 2:
   42758     $nparas = $ftxt + 4 | 0;
   42759     $0 = HEAP16[$nparas >> 1] | 0;
   42760     $cmp7 = $0 << 16 >> 16 > 0;
   42761     if ($cmp7) {
   42762       label = 3;
   42763       break;
   42764     } else {
   42765       label = 7;
   42766       break;
   42767     }
   42768    case 3:
   42769     $paras = $ftxt | 0;
   42770     $i_08 = 0;
   42771     label = 4;
   42772     break;
   42773    case 4:
   42774     $1 = HEAP32[$paras >> 2] | 0;
   42775     $just = $1 + ($i_08 * 24 & -1) + 6 | 0;
   42776     $2 = HEAP8[$just] | 0;
   42777     $cmp3 = $2 << 24 >> 24 == 0;
   42778     if ($cmp3) {
   42779       label = 5;
   42780       break;
   42781     } else {
   42782       label = 6;
   42783       break;
   42784     }
   42785    case 5:
   42786     HEAP8[$just] = $c;
   42787     label = 6;
   42788     break;
   42789    case 6:
   42790     $inc = $i_08 + 1 | 0;
   42791     $3 = HEAP16[$nparas >> 1] | 0;
   42792     $conv = $3 << 16 >> 16;
   42793     $cmp = ($inc | 0) < ($conv | 0);
   42794     if ($cmp) {
   42795       $i_08 = $inc;
   42796       label = 4;
   42797       break;
   42798     } else {
   42799       label = 7;
   42800       break;
   42801     }
   42802    case 7:
   42803     return;
   42804   }
   42805 }
   42806 function _pushFontInfo($env, $fp, $savp) {
   42807   $env = $env | 0;
   42808   $fp = $fp | 0;
   42809   $savp = $savp | 0;
   42810   var $name = 0, $0 = 0, $tobool = 0, $name1 = 0, $1 = 0, $tobool2 = 0, $name10 = 0, $2 = 0, $color = 0, $3 = 0, $tobool13 = 0, $color15 = 0, $4 = 0, $tobool16 = 0, $color25 = 0, $5 = 0, $size = 0, $6 = 0.0, $cmp = 0, $size30 = 0, $7 = 0.0, $cmp31 = 0, $size40 = 0, $8 = 0.0, label = 0;
   42811   label = 2;
   42812   while (1) switch (label | 0) {
   42813    case 2:
   42814     $name = $env + 16 | 0;
   42815     $0 = HEAP32[$name >> 2] | 0;
   42816     $tobool = ($0 | 0) == 0;
   42817     if ($tobool) {
   42818       label = 6;
   42819       break;
   42820     } else {
   42821       label = 3;
   42822       break;
   42823     }
   42824    case 3:
   42825     $name1 = $fp | 0;
   42826     $1 = HEAP32[$name1 >> 2] | 0;
   42827     $tobool2 = ($1 | 0) == 0;
   42828     $name10 = $savp | 0;
   42829     if ($tobool2) {
   42830       label = 5;
   42831       break;
   42832     } else {
   42833       label = 4;
   42834       break;
   42835     }
   42836    case 4:
   42837     HEAP32[$name10 >> 2] = $0;
   42838     $2 = HEAP32[$name1 >> 2] | 0;
   42839     HEAP32[$name >> 2] = $2;
   42840     label = 6;
   42841     break;
   42842    case 5:
   42843     HEAP32[$name10 >> 2] = 0;
   42844     label = 6;
   42845     break;
   42846    case 6:
   42847     $color = $env + 20 | 0;
   42848     $3 = HEAP32[$color >> 2] | 0;
   42849     $tobool13 = ($3 | 0) == 0;
   42850     if ($tobool13) {
   42851       label = 10;
   42852       break;
   42853     } else {
   42854       label = 7;
   42855       break;
   42856     }
   42857    case 7:
   42858     $color15 = $fp + 4 | 0;
   42859     $4 = HEAP32[$color15 >> 2] | 0;
   42860     $tobool16 = ($4 | 0) == 0;
   42861     $color25 = $savp + 4 | 0;
   42862     if ($tobool16) {
   42863       label = 9;
   42864       break;
   42865     } else {
   42866       label = 8;
   42867       break;
   42868     }
   42869    case 8:
   42870     HEAP32[$color25 >> 2] = $3;
   42871     $5 = HEAP32[$color15 >> 2] | 0;
   42872     HEAP32[$color >> 2] = $5;
   42873     label = 10;
   42874     break;
   42875    case 9:
   42876     HEAP32[$color25 >> 2] = 0;
   42877     label = 10;
   42878     break;
   42879    case 10:
   42880     $size = $env + 32 | 0;
   42881     $6 = +HEAPF64[$size >> 3];
   42882     $cmp = $6 < 0.0;
   42883     if ($cmp) {
   42884       label = 14;
   42885       break;
   42886     } else {
   42887       label = 11;
   42888       break;
   42889     }
   42890    case 11:
   42891     $size30 = $fp + 16 | 0;
   42892     $7 = +HEAPF64[$size30 >> 3];
   42893     $cmp31 = $7 < 0.0;
   42894     $size40 = $savp + 16 | 0;
   42895     if ($cmp31) {
   42896       label = 13;
   42897       break;
   42898     } else {
   42899       label = 12;
   42900       break;
   42901     }
   42902    case 12:
   42903     HEAPF64[$size40 >> 3] = $6;
   42904     $8 = +HEAPF64[$size30 >> 3];
   42905     HEAPF64[$size >> 3] = $8;
   42906     label = 14;
   42907     break;
   42908    case 13:
   42909     HEAPF64[$size40 >> 3] = -1.0;
   42910     label = 14;
   42911     break;
   42912    case 14:
   42913     return;
   42914   }
   42915 }
   42916 function _popFontInfo($env, $savp) {
   42917   $env = $env | 0;
   42918   $savp = $savp | 0;
   42919   var $name = 0, $0 = 0, $tobool = 0, $name2 = 0, $color = 0, $1 = 0, $tobool3 = 0, $color7 = 0, $size = 0, $2 = 0.0, $cmp = 0, $size12 = 0, label = 0;
   42920   label = 2;
   42921   while (1) switch (label | 0) {
   42922    case 2:
   42923     $name = $savp | 0;
   42924     $0 = HEAP32[$name >> 2] | 0;
   42925     $tobool = ($0 | 0) == 0;
   42926     if ($tobool) {
   42927       label = 4;
   42928       break;
   42929     } else {
   42930       label = 3;
   42931       break;
   42932     }
   42933    case 3:
   42934     $name2 = $env + 16 | 0;
   42935     HEAP32[$name2 >> 2] = $0;
   42936     label = 4;
   42937     break;
   42938    case 4:
   42939     $color = $savp + 4 | 0;
   42940     $1 = HEAP32[$color >> 2] | 0;
   42941     $tobool3 = ($1 | 0) == 0;
   42942     if ($tobool3) {
   42943       label = 6;
   42944       break;
   42945     } else {
   42946       label = 5;
   42947       break;
   42948     }
   42949    case 5:
   42950     $color7 = $env + 20 | 0;
   42951     HEAP32[$color7 >> 2] = $1;
   42952     label = 6;
   42953     break;
   42954    case 6:
   42955     $size = $savp + 16 | 0;
   42956     $2 = +HEAPF64[$size >> 3];
   42957     $cmp = $2 < 0.0;
   42958     if ($cmp) {
   42959       label = 8;
   42960       break;
   42961     } else {
   42962       label = 7;
   42963       break;
   42964     }
   42965    case 7:
   42966     $size12 = $env + 32 | 0;
   42967     HEAPF64[$size12 >> 3] = $2;
   42968     label = 8;
   42969     break;
   42970    case 8:
   42971     return;
   42972   }
   42973 }
   42974 function _pos_html_cell($cp, $pos, $sides) {
   42975   $cp = $cp | 0;
   42976   $pos = $pos | 0;
   42977   $sides = $sides | 0;
   42978   var $cbox = 0, $pencolor = 0, $0 = 0, $tobool = 0, $parent = 0, $1 = 0, $pencolor2 = 0, $2 = 0, $tobool3 = 0, $call = 0, $flags = 0, $3 = 0, $conv = 0, $and = 0, $tobool10 = 0, $oldsz_sroa_0_0__idx6 = 0, $oldsz_sroa_0_0_copyload7 = 0.0, $oldsz_sroa_1_8__idx14 = 0, $oldsz_sroa_1_8_copyload15 = 0.0, $x = 0, $4 = 0.0, $x14 = 0, $5 = 0.0, $sub = 0.0, $sub16 = 0.0, $cmp = 0, $and22 = 0, $add = 0.0, $add31 = 0.0, $6 = 0.0, $add34 = 0.0, $div = 0.0, $add37 = 0.0, $7 = 0.0, $sub41 = 0.0, $y = 0, $8 = 0.0, $y45 = 0, $9 = 0.0, $sub46 = 0.0, $sub48 = 0.0, $cmp49 = 0, $10 = 0, $conv54 = 0, $and55 = 0, $add60 = 0.0, $add66 = 0.0, $11 = 0.0, $add69 = 0.0, $div71 = 0.0, $add74 = 0.0, $12 = 0.0, $sub78 = 0.0, $box83 = 0, $13 = 0, $14 = 0, $conv84 = 0, $sides86 = 0, $x88 = 0, $15 = 0.0, $border = 0, $16 = 0, $conv90 = 0, $conv91 = 0.0, $add92 = 0.0, $pad = 0, $17 = 0, $conv94 = 0, $conv95 = 0.0, $add96 = 0.0, $x98 = 0, $y100 = 0, $18 = 0.0, $19 = 0, $conv103 = 0, $conv104 = 0.0, $add105 = 0.0, $20 = 0, $conv108 = 0, $conv109 = 0.0, $add110 = 0.0, $y112 = 0, $x114 = 0, $21 = 0.0, $22 = 0, $conv117 = 0, $conv118 = 0.0, $sub119 = 0.0, $23 = 0, $conv122 = 0, $conv123 = 0.0, $sub124 = 0.0, $x126 = 0, $y128 = 0, $24 = 0.0, $25 = 0, $conv131 = 0, $conv132 = 0.0, $sub133 = 0.0, $26 = 0, $conv136 = 0, $conv137 = 0.0, $sub138 = 0.0, $y140 = 0, $child = 0, $kind = 0, $27 = 0, $tbl = 0, $28 = 0, $img = 0, $29 = 0, $oldsz_sroa_0_0__idx2 = 0, $oldsz_sroa_0_0_copyload3 = 0.0, $oldsz_sroa_1_8__idx10 = 0, $oldsz_sroa_1_8_copyload11 = 0.0, $30 = 0.0, $31 = 0.0, $sub160 = 0.0, $sub162 = 0.0, $cmp163 = 0, $32 = 0, $conv168 = 0, $and169 = 0, $sub173 = 0.0, $add177 = 0.0, $33 = 0.0, $34 = 0.0, $sub184 = 0.0, $sub186 = 0.0, $cmp187 = 0, $35 = 0, $conv192 = 0, $and193 = 0, $sub197 = 0.0, $add201 = 0.0, $36 = 0, $txt = 0, $37 = 0, $oldsz_sroa_0_0__idx = 0, $oldsz_sroa_0_0_copyload = 0.0, $oldsz_sroa_1_8__idx8 = 0, $oldsz_sroa_1_8_copyload = 0.0, $38 = 0.0, $39 = 0.0, $sub216 = 0.0, $sub218 = 0.0, $cmp219 = 0, $40 = 0, $conv224 = 0, $and225 = 0, $sub232 = 0.0, $add236 = 0.0, $div238 = 0.0, $add241 = 0.0, $41 = 0.0, $sub245 = 0.0, $42 = 0.0, $43 = 0.0, $sub252 = 0.0, $sub254 = 0.0, $cmp255 = 0, $44 = 0, $conv260 = 0, $and261 = 0, $sub265 = 0.0, $add269 = 0.0, $div271 = 0.0, $add274 = 0.0, $45 = 0.0, $sub278 = 0.0, $46 = 0, $box284 = 0, $47 = 0, $48 = 0, $49 = 0, $conv287 = 0, $and288 = 0, $dfltalign_0 = 0, $50 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   42979   __stackBase__ = STACKTOP;
   42980   STACKTOP = STACKTOP + 32 | 0;
   42981   tempParam = $pos;
   42982   $pos = STACKTOP;
   42983   STACKTOP = STACKTOP + 32 | 0;
   42984   _memcpy($pos, tempParam, 32);
   42985   label = 2;
   42986   while (1) switch (label | 0) {
   42987    case 2:
   42988     $cbox = __stackBase__ | 0;
   42989     $pencolor = $cp + 24 | 0;
   42990     $0 = HEAP32[$pencolor >> 2] | 0;
   42991     $tobool = ($0 | 0) == 0;
   42992     if ($tobool) {
   42993       label = 3;
   42994       break;
   42995     } else {
   42996       label = 5;
   42997       break;
   42998     }
   42999    case 3:
   43000     $parent = $cp + 88 | 0;
   43001     $1 = HEAP32[$parent >> 2] | 0;
   43002     $pencolor2 = $1 + 24 | 0;
   43003     $2 = HEAP32[$pencolor2 >> 2] | 0;
   43004     $tobool3 = ($2 | 0) == 0;
   43005     if ($tobool3) {
   43006       label = 5;
   43007       break;
   43008     } else {
   43009       label = 4;
   43010       break;
   43011     }
   43012    case 4:
   43013     $call = _strdup($2 | 0) | 0;
   43014     HEAP32[$pencolor >> 2] = $call;
   43015     label = 5;
   43016     break;
   43017    case 5:
   43018     $flags = $cp + 32 | 0;
   43019     $3 = HEAP16[$flags >> 1] | 0;
   43020     $conv = $3 & 65535;
   43021     $and = $conv & 1;
   43022     $tobool10 = ($and | 0) == 0;
   43023     if ($tobool10) {
   43024       label = 16;
   43025       break;
   43026     } else {
   43027       label = 6;
   43028       break;
   43029     }
   43030    case 6:
   43031     $oldsz_sroa_0_0__idx6 = $cp + 56 | 0;
   43032     $oldsz_sroa_0_0_copyload7 = +HEAPF64[$oldsz_sroa_0_0__idx6 >> 3];
   43033     $oldsz_sroa_1_8__idx14 = $cp + 64 | 0;
   43034     $oldsz_sroa_1_8_copyload15 = +HEAPF64[$oldsz_sroa_1_8__idx14 >> 3];
   43035     $x = $pos + 16 | 0;
   43036     $4 = +HEAPF64[$x >> 3];
   43037     $x14 = $pos | 0;
   43038     $5 = +HEAPF64[$x14 >> 3];
   43039     $sub = $4 - $5;
   43040     $sub16 = $sub - $oldsz_sroa_0_0_copyload7;
   43041     $cmp = $sub16 > 0.0;
   43042     if ($cmp) {
   43043       label = 7;
   43044       break;
   43045     } else {
   43046       label = 11;
   43047       break;
   43048     }
   43049    case 7:
   43050     $and22 = $conv & 6;
   43051     if (($and22 | 0) == 4) {
   43052       label = 8;
   43053       break;
   43054     } else if (($and22 | 0) == 2) {
   43055       label = 9;
   43056       break;
   43057     } else {
   43058       label = 10;
   43059       break;
   43060     }
   43061    case 8:
   43062     $add = $oldsz_sroa_0_0_copyload7 + $5;
   43063     HEAPF64[$x >> 3] = $add;
   43064     label = 11;
   43065     break;
   43066    case 9:
   43067     $add31 = $4 + $sub16;
   43068     HEAPF64[$x >> 3] = $add31;
   43069     $6 = +HEAPF64[$x14 >> 3];
   43070     $add34 = $sub16 + $6;
   43071     HEAPF64[$x14 >> 3] = $add34;
   43072     label = 11;
   43073     break;
   43074    case 10:
   43075     $div = $sub16 * .5;
   43076     $add37 = $5 + $div;
   43077     HEAPF64[$x14 >> 3] = $add37;
   43078     $7 = +HEAPF64[$x >> 3];
   43079     $sub41 = $7 - $div;
   43080     HEAPF64[$x >> 3] = $sub41;
   43081     label = 11;
   43082     break;
   43083    case 11:
   43084     $y = $pos + 24 | 0;
   43085     $8 = +HEAPF64[$y >> 3];
   43086     $y45 = $pos + 8 | 0;
   43087     $9 = +HEAPF64[$y45 >> 3];
   43088     $sub46 = $8 - $9;
   43089     $sub48 = $sub46 - $oldsz_sroa_1_8_copyload15;
   43090     $cmp49 = $sub48 > 0.0;
   43091     if ($cmp49) {
   43092       label = 12;
   43093       break;
   43094     } else {
   43095       label = 16;
   43096       break;
   43097     }
   43098    case 12:
   43099     $10 = HEAP16[$flags >> 1] | 0;
   43100     $conv54 = $10 & 65535;
   43101     $and55 = $conv54 & 24;
   43102     if (($and55 | 0) == 16) {
   43103       label = 13;
   43104       break;
   43105     } else if (($and55 | 0) == 8) {
   43106       label = 14;
   43107       break;
   43108     } else {
   43109       label = 15;
   43110       break;
   43111     }
   43112    case 13:
   43113     $add60 = $oldsz_sroa_1_8_copyload15 + $9;
   43114     HEAPF64[$y >> 3] = $add60;
   43115     label = 16;
   43116     break;
   43117    case 14:
   43118     $add66 = $8 + $sub48;
   43119     HEAPF64[$y >> 3] = $add66;
   43120     $11 = +HEAPF64[$y45 >> 3];
   43121     $add69 = $sub48 + $11;
   43122     HEAPF64[$y45 >> 3] = $add69;
   43123     label = 16;
   43124     break;
   43125    case 15:
   43126     $div71 = $sub48 * .5;
   43127     $add74 = $9 + $div71;
   43128     HEAPF64[$y45 >> 3] = $add74;
   43129     $12 = +HEAPF64[$y >> 3];
   43130     $sub78 = $12 - $div71;
   43131     HEAPF64[$y >> 3] = $sub78;
   43132     label = 16;
   43133     break;
   43134    case 16:
   43135     $box83 = $cp + 40 | 0;
   43136     $13 = $box83;
   43137     $14 = $pos;
   43138     HEAP32[$13 >> 2] = HEAP32[$14 >> 2] | 0;
   43139     HEAP32[$13 + 4 >> 2] = HEAP32[$14 + 4 >> 2] | 0;
   43140     HEAP32[$13 + 8 >> 2] = HEAP32[$14 + 8 >> 2] | 0;
   43141     HEAP32[$13 + 12 >> 2] = HEAP32[$14 + 12 >> 2] | 0;
   43142     HEAP32[$13 + 16 >> 2] = HEAP32[$14 + 16 >> 2] | 0;
   43143     HEAP32[$13 + 20 >> 2] = HEAP32[$14 + 20 >> 2] | 0;
   43144     HEAP32[$13 + 24 >> 2] = HEAP32[$14 + 24 >> 2] | 0;
   43145     HEAP32[$13 + 28 >> 2] = HEAP32[$14 + 28 >> 2] | 0;
   43146     $conv84 = $sides & 255;
   43147     $sides86 = $cp + 31 | 0;
   43148     HEAP8[$sides86] = $conv84;
   43149     $x88 = $pos | 0;
   43150     $15 = +HEAPF64[$x88 >> 3];
   43151     $border = $cp + 29 | 0;
   43152     $16 = HEAP8[$border] | 0;
   43153     $conv90 = $16 & 255;
   43154     $conv91 = +($conv90 | 0);
   43155     $add92 = $15 + $conv91;
   43156     $pad = $cp + 30 | 0;
   43157     $17 = HEAP8[$pad] | 0;
   43158     $conv94 = $17 & 255;
   43159     $conv95 = +($conv94 | 0);
   43160     $add96 = $add92 + $conv95;
   43161     $x98 = $cbox | 0;
   43162     HEAPF64[$x98 >> 3] = $add96;
   43163     $y100 = $pos + 8 | 0;
   43164     $18 = +HEAPF64[$y100 >> 3];
   43165     $19 = HEAP8[$border] | 0;
   43166     $conv103 = $19 & 255;
   43167     $conv104 = +($conv103 | 0);
   43168     $add105 = $18 + $conv104;
   43169     $20 = HEAP8[$pad] | 0;
   43170     $conv108 = $20 & 255;
   43171     $conv109 = +($conv108 | 0);
   43172     $add110 = $add105 + $conv109;
   43173     $y112 = $cbox + 8 | 0;
   43174     HEAPF64[$y112 >> 3] = $add110;
   43175     $x114 = $pos + 16 | 0;
   43176     $21 = +HEAPF64[$x114 >> 3];
   43177     $22 = HEAP8[$border] | 0;
   43178     $conv117 = $22 & 255;
   43179     $conv118 = +($conv117 | 0);
   43180     $sub119 = $21 - $conv118;
   43181     $23 = HEAP8[$pad] | 0;
   43182     $conv122 = $23 & 255;
   43183     $conv123 = +($conv122 | 0);
   43184     $sub124 = $sub119 - $conv123;
   43185     $x126 = $cbox + 16 | 0;
   43186     HEAPF64[$x126 >> 3] = $sub124;
   43187     $y128 = $pos + 24 | 0;
   43188     $24 = +HEAPF64[$y128 >> 3];
   43189     $25 = HEAP8[$border] | 0;
   43190     $conv131 = $25 & 255;
   43191     $conv132 = +($conv131 | 0);
   43192     $sub133 = $24 - $conv132;
   43193     $26 = HEAP8[$pad] | 0;
   43194     $conv136 = $26 & 255;
   43195     $conv137 = +($conv136 | 0);
   43196     $sub138 = $sub133 - $conv137;
   43197     $y140 = $cbox + 24 | 0;
   43198     HEAPF64[$y140 >> 3] = $sub138;
   43199     $child = $cp + 80 | 0;
   43200     $kind = $cp + 84 | 0;
   43201     $27 = HEAP8[$kind] | 0;
   43202     if (($27 << 24 >> 24 | 0) == 1) {
   43203       label = 17;
   43204       break;
   43205     } else if (($27 << 24 >> 24 | 0) == 3) {
   43206       label = 18;
   43207       break;
   43208     } else {
   43209       label = 27;
   43210       break;
   43211     }
   43212    case 17:
   43213     $tbl = $child | 0;
   43214     $28 = HEAP32[$tbl >> 2] | 0;
   43215     _pos_html_tbl($28, $cbox, $sides);
   43216     label = 41;
   43217     break;
   43218    case 18:
   43219     $img = $child;
   43220     $29 = HEAP32[$img >> 2] | 0;
   43221     $oldsz_sroa_0_0__idx2 = $29 + 16 | 0;
   43222     $oldsz_sroa_0_0_copyload3 = +HEAPF64[$oldsz_sroa_0_0__idx2 >> 3];
   43223     $oldsz_sroa_1_8__idx10 = $29 + 24 | 0;
   43224     $oldsz_sroa_1_8_copyload11 = +HEAPF64[$oldsz_sroa_1_8__idx10 >> 3];
   43225     $30 = +HEAPF64[$x126 >> 3];
   43226     $31 = +HEAPF64[$x98 >> 3];
   43227     $sub160 = $30 - $31;
   43228     $sub162 = $sub160 - $oldsz_sroa_0_0_copyload3;
   43229     $cmp163 = $sub162 > 0.0;
   43230     if ($cmp163) {
   43231       label = 19;
   43232       break;
   43233     } else {
   43234       label = 22;
   43235       break;
   43236     }
   43237    case 19:
   43238     $32 = HEAP16[$flags >> 1] | 0;
   43239     $conv168 = $32 & 65535;
   43240     $and169 = $conv168 & 6;
   43241     if (($and169 | 0) == 4) {
   43242       label = 20;
   43243       break;
   43244     } else if (($and169 | 0) == 2) {
   43245       label = 21;
   43246       break;
   43247     } else {
   43248       label = 22;
   43249       break;
   43250     }
   43251    case 20:
   43252     $sub173 = $30 - $sub162;
   43253     HEAPF64[$x126 >> 3] = $sub173;
   43254     label = 22;
   43255     break;
   43256    case 21:
   43257     $add177 = $31 + $sub162;
   43258     HEAPF64[$x98 >> 3] = $add177;
   43259     label = 22;
   43260     break;
   43261    case 22:
   43262     $33 = +HEAPF64[$y140 >> 3];
   43263     $34 = +HEAPF64[$y112 >> 3];
   43264     $sub184 = $33 - $34;
   43265     $sub186 = $sub184 - $oldsz_sroa_1_8_copyload11;
   43266     $cmp187 = $sub186 > 0.0;
   43267     if ($cmp187) {
   43268       label = 23;
   43269       break;
   43270     } else {
   43271       label = 26;
   43272       break;
   43273     }
   43274    case 23:
   43275     $35 = HEAP16[$flags >> 1] | 0;
   43276     $conv192 = $35 & 65535;
   43277     $and193 = $conv192 & 24;
   43278     if (($and193 | 0) == 16) {
   43279       label = 24;
   43280       break;
   43281     } else if (($and193 | 0) == 8) {
   43282       label = 25;
   43283       break;
   43284     } else {
   43285       label = 26;
   43286       break;
   43287     }
   43288    case 24:
   43289     $sub197 = $33 - $sub186;
   43290     HEAPF64[$y140 >> 3] = $sub197;
   43291     label = 26;
   43292     break;
   43293    case 25:
   43294     $add201 = $34 + $sub186;
   43295     HEAPF64[$y112 >> 3] = $add201;
   43296     label = 26;
   43297     break;
   43298    case 26:
   43299     $36 = HEAP32[$img >> 2] | 0;
   43300     _pos_html_img($36, $cbox);
   43301     label = 41;
   43302     break;
   43303    case 27:
   43304     $txt = $child;
   43305     $37 = HEAP32[$txt >> 2] | 0;
   43306     $oldsz_sroa_0_0__idx = $37 + 24 | 0;
   43307     $oldsz_sroa_0_0_copyload = +HEAPF64[$oldsz_sroa_0_0__idx >> 3];
   43308     $oldsz_sroa_1_8__idx8 = $37 + 32 | 0;
   43309     $oldsz_sroa_1_8_copyload = +HEAPF64[$oldsz_sroa_1_8__idx8 >> 3];
   43310     $38 = +HEAPF64[$x126 >> 3];
   43311     $39 = +HEAPF64[$x98 >> 3];
   43312     $sub216 = $38 - $39;
   43313     $sub218 = $sub216 - $oldsz_sroa_0_0_copyload;
   43314     $cmp219 = $sub218 > 0.0;
   43315     if ($cmp219) {
   43316       label = 28;
   43317       break;
   43318     } else {
   43319       label = 32;
   43320       break;
   43321     }
   43322    case 28:
   43323     $40 = HEAP16[$flags >> 1] | 0;
   43324     $conv224 = $40 & 65535;
   43325     $and225 = $conv224 & 6;
   43326     if (($and225 | 0) == 4) {
   43327       label = 29;
   43328       break;
   43329     } else if (($and225 | 0) == 2) {
   43330       label = 30;
   43331       break;
   43332     } else if (($and225 | 0) == 6) {
   43333       label = 32;
   43334       break;
   43335     } else {
   43336       label = 31;
   43337       break;
   43338     }
   43339    case 29:
   43340     $sub232 = $38 - $sub218;
   43341     HEAPF64[$x126 >> 3] = $sub232;
   43342     label = 32;
   43343     break;
   43344    case 30:
   43345     $add236 = $39 + $sub218;
   43346     HEAPF64[$x98 >> 3] = $add236;
   43347     label = 32;
   43348     break;
   43349    case 31:
   43350     $div238 = $sub218 * .5;
   43351     $add241 = $39 + $div238;
   43352     HEAPF64[$x98 >> 3] = $add241;
   43353     $41 = +HEAPF64[$x126 >> 3];
   43354     $sub245 = $41 - $div238;
   43355     HEAPF64[$x126 >> 3] = $sub245;
   43356     label = 32;
   43357     break;
   43358    case 32:
   43359     $42 = +HEAPF64[$y140 >> 3];
   43360     $43 = +HEAPF64[$y112 >> 3];
   43361     $sub252 = $42 - $43;
   43362     $sub254 = $sub252 - $oldsz_sroa_1_8_copyload;
   43363     $cmp255 = $sub254 > 0.0;
   43364     if ($cmp255) {
   43365       label = 33;
   43366       break;
   43367     } else {
   43368       label = 37;
   43369       break;
   43370     }
   43371    case 33:
   43372     $44 = HEAP16[$flags >> 1] | 0;
   43373     $conv260 = $44 & 65535;
   43374     $and261 = $conv260 & 24;
   43375     if (($and261 | 0) == 16) {
   43376       label = 34;
   43377       break;
   43378     } else if (($and261 | 0) == 8) {
   43379       label = 35;
   43380       break;
   43381     } else {
   43382       label = 36;
   43383       break;
   43384     }
   43385    case 34:
   43386     $sub265 = $42 - $sub254;
   43387     HEAPF64[$y140 >> 3] = $sub265;
   43388     label = 37;
   43389     break;
   43390    case 35:
   43391     $add269 = $43 + $sub254;
   43392     HEAPF64[$y112 >> 3] = $add269;
   43393     label = 37;
   43394     break;
   43395    case 36:
   43396     $div271 = $sub254 * .5;
   43397     $add274 = $43 + $div271;
   43398     HEAPF64[$y112 >> 3] = $add274;
   43399     $45 = +HEAPF64[$y140 >> 3];
   43400     $sub278 = $45 - $div271;
   43401     HEAPF64[$y140 >> 3] = $sub278;
   43402     label = 37;
   43403     break;
   43404    case 37:
   43405     $46 = HEAP32[$txt >> 2] | 0;
   43406     $box284 = $46 + 8 | 0;
   43407     $47 = $box284;
   43408     $48 = $cbox;
   43409     HEAP32[$47 >> 2] = HEAP32[$48 >> 2] | 0;
   43410     HEAP32[$47 + 4 >> 2] = HEAP32[$48 + 4 >> 2] | 0;
   43411     HEAP32[$47 + 8 >> 2] = HEAP32[$48 + 8 >> 2] | 0;
   43412     HEAP32[$47 + 12 >> 2] = HEAP32[$48 + 12 >> 2] | 0;
   43413     HEAP32[$47 + 16 >> 2] = HEAP32[$48 + 16 >> 2] | 0;
   43414     HEAP32[$47 + 20 >> 2] = HEAP32[$48 + 20 >> 2] | 0;
   43415     HEAP32[$47 + 24 >> 2] = HEAP32[$48 + 24 >> 2] | 0;
   43416     HEAP32[$47 + 28 >> 2] = HEAP32[$48 + 28 >> 2] | 0;
   43417     $49 = HEAP16[$flags >> 1] | 0;
   43418     $conv287 = $49 & 65535;
   43419     $and288 = $conv287 & 768;
   43420     if (($and288 | 0) == 256) {
   43421       label = 38;
   43422       break;
   43423     } else if (($and288 | 0) == 512) {
   43424       $dfltalign_0 = 108;
   43425       label = 40;
   43426       break;
   43427     } else {
   43428       label = 39;
   43429       break;
   43430     }
   43431    case 38:
   43432     $dfltalign_0 = 114;
   43433     label = 40;
   43434     break;
   43435    case 39:
   43436     $dfltalign_0 = 110;
   43437     label = 40;
   43438     break;
   43439    case 40:
   43440     $50 = HEAP32[$txt >> 2] | 0;
   43441     _pos_html_txt($50, $dfltalign_0);
   43442     label = 41;
   43443     break;
   43444    case 41:
   43445     STACKTOP = __stackBase__;
   43446     return;
   43447   }
   43448 }
   43449 function _pos_html_img($cp, $pos) {
   43450   $cp = $cp | 0;
   43451   $pos = $pos | 0;
   43452   var $0 = 0, $1 = 0, tempParam = 0, __stackBase__ = 0;
   43453   __stackBase__ = STACKTOP;
   43454   tempParam = $pos;
   43455   $pos = STACKTOP;
   43456   STACKTOP = STACKTOP + 32 | 0;
   43457   _memcpy($pos, tempParam, 32);
   43458   $0 = $cp;
   43459   $1 = $pos;
   43460   HEAP32[$0 >> 2] = HEAP32[$1 >> 2] | 0;
   43461   HEAP32[$0 + 4 >> 2] = HEAP32[$1 + 4 >> 2] | 0;
   43462   HEAP32[$0 + 8 >> 2] = HEAP32[$1 + 8 >> 2] | 0;
   43463   HEAP32[$0 + 12 >> 2] = HEAP32[$1 + 12 >> 2] | 0;
   43464   HEAP32[$0 + 16 >> 2] = HEAP32[$1 + 16 >> 2] | 0;
   43465   HEAP32[$0 + 20 >> 2] = HEAP32[$1 + 20 >> 2] | 0;
   43466   HEAP32[$0 + 24 >> 2] = HEAP32[$1 + 24 >> 2] | 0;
   43467   HEAP32[$0 + 28 >> 2] = HEAP32[$1 + 28 >> 2] | 0;
   43468   STACKTOP = __stackBase__;
   43469   return;
   43470 }
   43471 function _processTbl($g, $tbl, $env) {
   43472   $g = $g | 0;
   43473   $tbl = $tbl | 0;
   43474   $env = $env | 0;
   43475   var $rows1 = 0, $0 = 0, $1 = 0, $call = 0, $call2 = 0, $tobool65 = 0, $rp_0_in67 = 0, $cnt_066 = 0, $u3 = 0, $rp4 = 0, $2 = 0, $call5 = 0, $tobool761 = 0, $cp_0_in63 = 0, $cnt_162 = 0, $inc = 0, $right = 0, $3 = 0, $tobool7 = 0, $cnt_1_lcssa = 0, $right11 = 0, $4 = 0, $tobool = 0, $cnt_0_lcssa = 0, $add = 0, $mul = 0, $call13 = 0, $5 = 0, $call16 = 0, $tobool1850 = 0, $rp_1_in57 = 0, $n_cols_056 = 0, $n_rows_055 = 0, $rv_054 = 0, $cells_053 = 0, $r_051 = 0, $u20 = 0, $rp21 = 0, $6 = 0, $call22 = 0, $tobool2440 = 0, $conv = 0, $n_cols_146 = 0, $n_rows_145 = 0, $rv_144 = 0, $cp_1_in43 = 0, $cells_142 = 0, $c_041 = 0, $u26 = 0, $cp27 = 0, $7 = 0, $incdec_ptr = 0, $call28 = 0, $or = 0, $call29 = 0, $row = 0, $conv30 = 0, $col = 0, $cspan = 0, $8 = 0, $conv31 = 0, $add32 = 0, $cmp = 0, $cond = 0, $rspan = 0, $9 = 0, $conv34 = 0, $add35 = 0, $cmp36 = 0, $add35_n_rows_1 = 0, $right45 = 0, $10 = 0, $tobool24 = 0, $n_cols_1_lcssa = 0, $n_rows_1_lcssa = 0, $rv_1_lcssa = 0, $cells_1_lcssa = 0, $right47 = 0, $11 = 0, $inc48 = 0, $tobool18 = 0, $n_cols_0_lcssa = 0, $n_rows_0_lcssa = 0, $rv_0_lcssa = 0, $rc = 0, $cc = 0, $call50 = 0, label = 0;
   43476   label = 2;
   43477   while (1) switch (label | 0) {
   43478    case 2:
   43479     $rows1 = $tbl + 76 | 0;
   43480     $0 = HEAP32[$rows1 >> 2] | 0;
   43481     $1 = $0;
   43482     $call = _newPS() | 0;
   43483     $call2 = _dtflatten($1) | 0;
   43484     $tobool65 = ($call2 | 0) == 0;
   43485     if ($tobool65) {
   43486       $cnt_0_lcssa = 0;
   43487       label = 6;
   43488       break;
   43489     } else {
   43490       $cnt_066 = 0;
   43491       $rp_0_in67 = $call2;
   43492       label = 3;
   43493       break;
   43494     }
   43495    case 3:
   43496     $u3 = $rp_0_in67 + 8 | 0;
   43497     $rp4 = $u3;
   43498     $2 = HEAP32[$rp4 >> 2] | 0;
   43499     $call5 = _dtflatten($2) | 0;
   43500     $tobool761 = ($call5 | 0) == 0;
   43501     if ($tobool761) {
   43502       $cnt_1_lcssa = $cnt_066;
   43503       label = 5;
   43504       break;
   43505     } else {
   43506       $cnt_162 = $cnt_066;
   43507       $cp_0_in63 = $call5;
   43508       label = 4;
   43509       break;
   43510     }
   43511    case 4:
   43512     $inc = $cnt_162 + 1 | 0;
   43513     $right = $cp_0_in63 | 0;
   43514     $3 = HEAP32[$right >> 2] | 0;
   43515     $tobool7 = ($3 | 0) == 0;
   43516     if ($tobool7) {
   43517       $cnt_1_lcssa = $inc;
   43518       label = 5;
   43519       break;
   43520     } else {
   43521       $cnt_162 = $inc;
   43522       $cp_0_in63 = $3;
   43523       label = 4;
   43524       break;
   43525     }
   43526    case 5:
   43527     $right11 = $rp_0_in67 | 0;
   43528     $4 = HEAP32[$right11 >> 2] | 0;
   43529     $tobool = ($4 | 0) == 0;
   43530     if ($tobool) {
   43531       $cnt_0_lcssa = $cnt_1_lcssa;
   43532       label = 6;
   43533       break;
   43534     } else {
   43535       $cnt_066 = $cnt_1_lcssa;
   43536       $rp_0_in67 = $4;
   43537       label = 3;
   43538       break;
   43539     }
   43540    case 6:
   43541     $add = $cnt_0_lcssa << 2;
   43542     $mul = $add + 4 | 0;
   43543     $call13 = _zmalloc($mul) | 0;
   43544     $5 = $call13;
   43545     HEAP32[$rows1 >> 2] = $5;
   43546     $call16 = _dtflatten($1) | 0;
   43547     $tobool1850 = ($call16 | 0) == 0;
   43548     if ($tobool1850) {
   43549       $rv_0_lcssa = 0;
   43550       $n_rows_0_lcssa = 0;
   43551       $n_cols_0_lcssa = 0;
   43552       label = 11;
   43553       break;
   43554     } else {
   43555       $r_051 = 0;
   43556       $cells_053 = $5;
   43557       $rv_054 = 0;
   43558       $n_rows_055 = 0;
   43559       $n_cols_056 = 0;
   43560       $rp_1_in57 = $call16;
   43561       label = 7;
   43562       break;
   43563     }
   43564    case 7:
   43565     $u20 = $rp_1_in57 + 8 | 0;
   43566     $rp21 = $u20;
   43567     $6 = HEAP32[$rp21 >> 2] | 0;
   43568     $call22 = _dtflatten($6) | 0;
   43569     $tobool2440 = ($call22 | 0) == 0;
   43570     if ($tobool2440) {
   43571       $cells_1_lcssa = $cells_053;
   43572       $rv_1_lcssa = $rv_054;
   43573       $n_rows_1_lcssa = $n_rows_055;
   43574       $n_cols_1_lcssa = $n_cols_056;
   43575       label = 10;
   43576       break;
   43577     } else {
   43578       label = 8;
   43579       break;
   43580     }
   43581    case 8:
   43582     $conv = $r_051 & 65535;
   43583     $c_041 = 0;
   43584     $cells_142 = $cells_053;
   43585     $cp_1_in43 = $call22;
   43586     $rv_144 = $rv_054;
   43587     $n_rows_145 = $n_rows_055;
   43588     $n_cols_146 = $n_cols_056;
   43589     label = 9;
   43590     break;
   43591    case 9:
   43592     $u26 = $cp_1_in43 + 8 | 0;
   43593     $cp27 = $u26;
   43594     $7 = HEAP32[$cp27 >> 2] | 0;
   43595     $incdec_ptr = $cells_142 + 4 | 0;
   43596     HEAP32[$cells_142 >> 2] = $7;
   43597     $call28 = _size_html_cell($g, $7, $tbl, $env) | 0;
   43598     $or = $call28 | $rv_144;
   43599     $call29 = _findCol($call, $r_051, $c_041, $7) | 0;
   43600     $row = $7 + 78 | 0;
   43601     HEAP16[$row >> 1] = $conv;
   43602     $conv30 = $call29 & 65535;
   43603     $col = $7 + 76 | 0;
   43604     HEAP16[$col >> 1] = $conv30;
   43605     $cspan = $7 + 72 | 0;
   43606     $8 = HEAP16[$cspan >> 1] | 0;
   43607     $conv31 = $8 & 65535;
   43608     $add32 = $conv31 + $call29 | 0;
   43609     $cmp = ($add32 | 0) > ($n_cols_146 | 0);
   43610     $cond = $cmp ? $add32 : $n_cols_146;
   43611     $rspan = $7 + 74 | 0;
   43612     $9 = HEAP16[$rspan >> 1] | 0;
   43613     $conv34 = $9 & 65535;
   43614     $add35 = $conv34 + $r_051 | 0;
   43615     $cmp36 = ($add35 | 0) > ($n_rows_145 | 0);
   43616     $add35_n_rows_1 = $cmp36 ? $add35 : $n_rows_145;
   43617     $right45 = $cp_1_in43 | 0;
   43618     $10 = HEAP32[$right45 >> 2] | 0;
   43619     $tobool24 = ($10 | 0) == 0;
   43620     if ($tobool24) {
   43621       $cells_1_lcssa = $incdec_ptr;
   43622       $rv_1_lcssa = $or;
   43623       $n_rows_1_lcssa = $add35_n_rows_1;
   43624       $n_cols_1_lcssa = $cond;
   43625       label = 10;
   43626       break;
   43627     } else {
   43628       $c_041 = $add32;
   43629       $cells_142 = $incdec_ptr;
   43630       $cp_1_in43 = $10;
   43631       $rv_144 = $or;
   43632       $n_rows_145 = $add35_n_rows_1;
   43633       $n_cols_146 = $cond;
   43634       label = 9;
   43635       break;
   43636     }
   43637    case 10:
   43638     $right47 = $rp_1_in57 | 0;
   43639     $11 = HEAP32[$right47 >> 2] | 0;
   43640     $inc48 = $r_051 + 1 | 0;
   43641     $tobool18 = ($11 | 0) == 0;
   43642     if ($tobool18) {
   43643       $rv_0_lcssa = $rv_1_lcssa;
   43644       $n_rows_0_lcssa = $n_rows_1_lcssa;
   43645       $n_cols_0_lcssa = $n_cols_1_lcssa;
   43646       label = 11;
   43647       break;
   43648     } else {
   43649       $r_051 = $inc48;
   43650       $cells_053 = $cells_1_lcssa;
   43651       $rv_054 = $rv_1_lcssa;
   43652       $n_rows_055 = $n_rows_1_lcssa;
   43653       $n_cols_056 = $n_cols_1_lcssa;
   43654       $rp_1_in57 = $11;
   43655       label = 7;
   43656       break;
   43657     }
   43658    case 11:
   43659     $rc = $tbl + 92 | 0;
   43660     HEAP32[$rc >> 2] = $n_rows_0_lcssa;
   43661     $cc = $tbl + 96 | 0;
   43662     HEAP32[$cc >> 2] = $n_cols_0_lcssa;
   43663     $call50 = _dtclose($1) | 0;
   43664     _freePS($call);
   43665     return $rv_0_lcssa | 0;
   43666   }
   43667   return 0;
   43668 }
   43669 function _size_html_cell($g, $cp, $parent, $env) {
   43670   $g = $g | 0;
   43671   $cp = $cp | 0;
   43672   $parent = $parent | 0;
   43673   $env = $env | 0;
   43674   var $parent1 = 0, $flags = 0, $0 = 0, $and = 0, $tobool = 0, $flags3 = 0, $1 = 0, $and5 = 0, $tobool6 = 0, $pad = 0, $2 = 0, $pad10 = 0, $pad12 = 0, $3 = 0, $and17 = 0, $tobool18 = 0, $cb = 0, $4 = 0, $cmp = 0, $border = 0, $flags27 = 0, $5 = 0, $and29 = 0, $tobool30 = 0, $border33 = 0, $6 = 0, $border35 = 0, $border38 = 0, $child = 0, $kind = 0, $7 = 0, $tbl = 0, $8 = 0, $call = 0, $9 = 0, $child_sz_sroa_0_0__idx4 = 0, $child_sz_sroa_1_8__idx9 = 0, $img = 0, $10 = 0, $call60 = 0, $11 = 0, $child_sz_sroa_0_0__idx2 = 0, $child_sz_sroa_1_8__idx7 = 0, $txt = 0, $12 = 0, $13 = 0, $child_sz_sroa_0_0__idx = 0, $child_sz_sroa_1_8__idx6 = 0, $child_sz_sroa_1_0_in = 0, $child_sz_sroa_0_0_in = 0, $rv_0 = 0, $child_sz_sroa_0_0 = 0.0, $child_sz_sroa_1_0 = 0.0, $pad78 = 0, $14 = 0, $conv79 = 0, $border81 = 0, $15 = 0, $conv82 = 0, $add = 0, $mul = 0, $conv83 = 0.0, $add84 = 0.0, $add87 = 0.0, $16 = 0, $and92 = 0, $tobool93 = 0, $width = 0, $17 = 0, $conv96 = 0, $tobool97 = 0, $height = 0, $18 = 0, $conv99 = 0, $tobool100 = 0, $conv105 = 0.0, $cmp107 = 0, $conv112 = 0.0, $cmp114 = 0, $call117 = 0, $call122 = 0, $sz_sroa_1_0 = 0.0, $sz_sroa_0_0 = 0.0, $rv_2 = 0, $width127 = 0, $19 = 0, $conv128 = 0, $conv129 = 0.0, $cmp130 = 0, $sz_sroa_0_0_conv129 = 0.0, $x140 = 0, $height143 = 0, $20 = 0, $conv144 = 0, $conv145 = 0.0, $cmp146 = 0, $cond156 = 0.0, $y160 = 0, label = 0, __stackBase__ = 0;
   43675   __stackBase__ = STACKTOP;
   43676   label = 2;
   43677   while (1) switch (label | 0) {
   43678    case 2:
   43679     $parent1 = $cp + 88 | 0;
   43680     HEAP32[$parent1 >> 2] = $parent;
   43681     $flags = $cp + 32 | 0;
   43682     $0 = HEAP16[$flags >> 1] | 0;
   43683     $and = $0 & 64;
   43684     $tobool = $and << 16 >> 16 == 0;
   43685     if ($tobool) {
   43686       label = 3;
   43687       break;
   43688     } else {
   43689       label = 6;
   43690       break;
   43691     }
   43692    case 3:
   43693     $flags3 = $parent + 32 | 0;
   43694     $1 = HEAP16[$flags3 >> 1] | 0;
   43695     $and5 = $1 & 64;
   43696     $tobool6 = $and5 << 16 >> 16 == 0;
   43697     if ($tobool6) {
   43698       label = 5;
   43699       break;
   43700     } else {
   43701       label = 4;
   43702       break;
   43703     }
   43704    case 4:
   43705     $pad = $parent + 30 | 0;
   43706     $2 = HEAP8[$pad] | 0;
   43707     $pad10 = $cp + 30 | 0;
   43708     HEAP8[$pad10] = $2;
   43709     label = 6;
   43710     break;
   43711    case 5:
   43712     $pad12 = $cp + 30 | 0;
   43713     HEAP8[$pad12] = 2;
   43714     label = 6;
   43715     break;
   43716    case 6:
   43717     $3 = HEAP16[$flags >> 1] | 0;
   43718     $and17 = $3 & 32;
   43719     $tobool18 = $and17 << 16 >> 16 == 0;
   43720     if ($tobool18) {
   43721       label = 7;
   43722       break;
   43723     } else {
   43724       label = 12;
   43725       break;
   43726     }
   43727    case 7:
   43728     $cb = $parent + 80 | 0;
   43729     $4 = HEAP8[$cb] | 0;
   43730     $cmp = $4 << 24 >> 24 > -1;
   43731     if ($cmp) {
   43732       label = 8;
   43733       break;
   43734     } else {
   43735       label = 9;
   43736       break;
   43737     }
   43738    case 8:
   43739     $border = $cp + 29 | 0;
   43740     HEAP8[$border] = $4;
   43741     label = 12;
   43742     break;
   43743    case 9:
   43744     $flags27 = $parent + 32 | 0;
   43745     $5 = HEAP16[$flags27 >> 1] | 0;
   43746     $and29 = $5 & 32;
   43747     $tobool30 = $and29 << 16 >> 16 == 0;
   43748     if ($tobool30) {
   43749       label = 11;
   43750       break;
   43751     } else {
   43752       label = 10;
   43753       break;
   43754     }
   43755    case 10:
   43756     $border33 = $parent + 29 | 0;
   43757     $6 = HEAP8[$border33] | 0;
   43758     $border35 = $cp + 29 | 0;
   43759     HEAP8[$border35] = $6;
   43760     label = 12;
   43761     break;
   43762    case 11:
   43763     $border38 = $cp + 29 | 0;
   43764     HEAP8[$border38] = 1;
   43765     label = 12;
   43766     break;
   43767    case 12:
   43768     $child = $cp + 80 | 0;
   43769     $kind = $cp + 84 | 0;
   43770     $7 = HEAP8[$kind] | 0;
   43771     if (($7 << 24 >> 24 | 0) == 1) {
   43772       label = 13;
   43773       break;
   43774     } else if (($7 << 24 >> 24 | 0) == 3) {
   43775       label = 14;
   43776       break;
   43777     } else {
   43778       label = 15;
   43779       break;
   43780     }
   43781    case 13:
   43782     $tbl = $child | 0;
   43783     $8 = HEAP32[$tbl >> 2] | 0;
   43784     $call = _size_html_tbl($g, $8, $cp, $env) | 0;
   43785     $9 = HEAP32[$tbl >> 2] | 0;
   43786     $child_sz_sroa_0_0__idx4 = $9 + 56 | 0;
   43787     $child_sz_sroa_1_8__idx9 = $9 + 64 | 0;
   43788     $rv_0 = $call;
   43789     $child_sz_sroa_0_0_in = $child_sz_sroa_0_0__idx4;
   43790     $child_sz_sroa_1_0_in = $child_sz_sroa_1_8__idx9;
   43791     label = 16;
   43792     break;
   43793    case 14:
   43794     $img = $child;
   43795     $10 = HEAP32[$img >> 2] | 0;
   43796     $call60 = _size_html_img($10, $env) | 0;
   43797     $11 = HEAP32[$img >> 2] | 0;
   43798     $child_sz_sroa_0_0__idx2 = $11 + 16 | 0;
   43799     $child_sz_sroa_1_8__idx7 = $11 + 24 | 0;
   43800     $rv_0 = $call60;
   43801     $child_sz_sroa_0_0_in = $child_sz_sroa_0_0__idx2;
   43802     $child_sz_sroa_1_0_in = $child_sz_sroa_1_8__idx7;
   43803     label = 16;
   43804     break;
   43805    case 15:
   43806     $txt = $child;
   43807     $12 = HEAP32[$txt >> 2] | 0;
   43808     _size_html_txt($g, $12, $env);
   43809     $13 = HEAP32[$txt >> 2] | 0;
   43810     $child_sz_sroa_0_0__idx = $13 + 24 | 0;
   43811     $child_sz_sroa_1_8__idx6 = $13 + 32 | 0;
   43812     $rv_0 = 0;
   43813     $child_sz_sroa_0_0_in = $child_sz_sroa_0_0__idx;
   43814     $child_sz_sroa_1_0_in = $child_sz_sroa_1_8__idx6;
   43815     label = 16;
   43816     break;
   43817    case 16:
   43818     $child_sz_sroa_0_0 = +HEAPF64[$child_sz_sroa_0_0_in >> 3];
   43819     $child_sz_sroa_1_0 = +HEAPF64[$child_sz_sroa_1_0_in >> 3];
   43820     $pad78 = $cp + 30 | 0;
   43821     $14 = HEAP8[$pad78] | 0;
   43822     $conv79 = $14 & 255;
   43823     $border81 = $cp + 29 | 0;
   43824     $15 = HEAP8[$border81] | 0;
   43825     $conv82 = $15 & 255;
   43826     $add = $conv82 + $conv79 | 0;
   43827     $mul = $add << 1;
   43828     $conv83 = +($mul | 0);
   43829     $add84 = $child_sz_sroa_0_0 + $conv83;
   43830     $add87 = $child_sz_sroa_1_0 + $conv83;
   43831     $16 = HEAP16[$flags >> 1] | 0;
   43832     $and92 = $16 & 1;
   43833     $tobool93 = $and92 << 16 >> 16 == 0;
   43834     if ($tobool93) {
   43835       $rv_2 = $rv_0;
   43836       $sz_sroa_0_0 = $add84;
   43837       $sz_sroa_1_0 = $add87;
   43838       label = 23;
   43839       break;
   43840     } else {
   43841       label = 17;
   43842       break;
   43843     }
   43844    case 17:
   43845     $width = $cp + 34 | 0;
   43846     $17 = HEAP16[$width >> 1] | 0;
   43847     $conv96 = $17 & 65535;
   43848     $tobool97 = $17 << 16 >> 16 == 0;
   43849     if ($tobool97) {
   43850       label = 22;
   43851       break;
   43852     } else {
   43853       label = 18;
   43854       break;
   43855     }
   43856    case 18:
   43857     $height = $cp + 36 | 0;
   43858     $18 = HEAP16[$height >> 1] | 0;
   43859     $conv99 = $18 & 65535;
   43860     $tobool100 = $18 << 16 >> 16 == 0;
   43861     if ($tobool100) {
   43862       label = 22;
   43863       break;
   43864     } else {
   43865       label = 19;
   43866       break;
   43867     }
   43868    case 19:
   43869     $conv105 = +($conv96 | 0);
   43870     $cmp107 = $conv105 < $add84;
   43871     if ($cmp107) {
   43872       label = 21;
   43873       break;
   43874     } else {
   43875       label = 20;
   43876       break;
   43877     }
   43878    case 20:
   43879     $conv112 = +($conv99 | 0);
   43880     $cmp114 = $conv112 < $add87;
   43881     if ($cmp114) {
   43882       label = 21;
   43883       break;
   43884     } else {
   43885       $rv_2 = $rv_0;
   43886       $sz_sroa_0_0 = 0.0;
   43887       $sz_sroa_1_0 = 0.0;
   43888       label = 23;
   43889       break;
   43890     }
   43891    case 21:
   43892     $call117 = _agerr(0, 154112, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0) | 0;
   43893     $rv_2 = 1;
   43894     $sz_sroa_0_0 = 0.0;
   43895     $sz_sroa_1_0 = 0.0;
   43896     label = 23;
   43897     break;
   43898    case 22:
   43899     $call122 = _agerr(0, 150376, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0) | 0;
   43900     $rv_2 = 1;
   43901     $sz_sroa_0_0 = $add84;
   43902     $sz_sroa_1_0 = $add87;
   43903     label = 23;
   43904     break;
   43905    case 23:
   43906     $width127 = $cp + 34 | 0;
   43907     $19 = HEAP16[$width127 >> 1] | 0;
   43908     $conv128 = $19 & 65535;
   43909     $conv129 = +($conv128 | 0);
   43910     $cmp130 = $sz_sroa_0_0 > $conv129;
   43911     $sz_sroa_0_0_conv129 = $cmp130 ? $sz_sroa_0_0 : $conv129;
   43912     $x140 = $cp + 56 | 0;
   43913     HEAPF64[$x140 >> 3] = $sz_sroa_0_0_conv129;
   43914     $height143 = $cp + 36 | 0;
   43915     $20 = HEAP16[$height143 >> 1] | 0;
   43916     $conv144 = $20 & 65535;
   43917     $conv145 = +($conv144 | 0);
   43918     $cmp146 = $sz_sroa_1_0 > $conv145;
   43919     $cond156 = $cmp146 ? $sz_sroa_1_0 : $conv145;
   43920     $y160 = $cp + 64 | 0;
   43921     HEAPF64[$y160 >> 3] = $cond156;
   43922     STACKTOP = __stackBase__;
   43923     return $rv_2 | 0;
   43924   }
   43925   return 0;
   43926 }
   43927 function _findCol($ps, $row, $col, $cellp) {
   43928   $ps = $ps | 0;
   43929   $row = $row | 0;
   43930   $col = $col | 0;
   43931   $cellp = $cellp | 0;
   43932   var $cspan = 0, $0 = 0, $conv = 0, $sub = 0, $col_addr_0_ph32 = 0, $1 = 0, $cmp1224 = 0, $rspan = 0, $col_addr_0_ph34 = 0, $add = 0, $c_0 = 0, $cmp = 0, $call = 0, $tobool2 = 0, $dec = 0, $add6 = 0, $j_025 = 0, $2 = 0, $cmp1820 = 0, $i_021 = 0, $inc = 0, $3 = 0, $conv16 = 0, $add17 = 0, $cmp18 = 0, $inc24 = 0, $4 = 0, $conv10 = 0, $add11 = 0, $cmp12 = 0, label = 0;
   43933   label = 2;
   43934   while (1) switch (label | 0) {
   43935    case 2:
   43936     $cspan = $cellp + 72 | 0;
   43937     $0 = HEAP16[$cspan >> 1] | 0;
   43938     $conv = $0 & 65535;
   43939     $sub = $conv - 1 | 0;
   43940     $col_addr_0_ph34 = $col;
   43941     label = 5;
   43942     break;
   43943    case 3:
   43944     $1 = HEAP16[$cspan >> 1] | 0;
   43945     $cmp1224 = $1 << 16 >> 16 == 0;
   43946     if ($cmp1224) {
   43947       label = 12;
   43948       break;
   43949     } else {
   43950       label = 4;
   43951       break;
   43952     }
   43953    case 4:
   43954     $rspan = $cellp + 74 | 0;
   43955     $j_025 = $col_addr_0_ph32;
   43956     label = 9;
   43957     break;
   43958    case 5:
   43959     $add = $sub + $col_addr_0_ph34 | 0;
   43960     $c_0 = $add;
   43961     label = 6;
   43962     break;
   43963    case 6:
   43964     $cmp = ($c_0 | 0) < ($col_addr_0_ph34 | 0);
   43965     if ($cmp) {
   43966       $col_addr_0_ph32 = $col_addr_0_ph34;
   43967       label = 3;
   43968       break;
   43969     } else {
   43970       label = 7;
   43971       break;
   43972     }
   43973    case 7:
   43974     $call = _isInPS($ps, $c_0, $row) | 0;
   43975     $tobool2 = ($call | 0) == 0;
   43976     $dec = $c_0 - 1 | 0;
   43977     if ($tobool2) {
   43978       $c_0 = $dec;
   43979       label = 6;
   43980       break;
   43981     } else {
   43982       label = 8;
   43983       break;
   43984     }
   43985    case 8:
   43986     $add6 = $c_0 + 1 | 0;
   43987     if (0) {
   43988       $col_addr_0_ph32 = $add6;
   43989       label = 3;
   43990       break;
   43991     } else {
   43992       $col_addr_0_ph34 = $add6;
   43993       label = 5;
   43994       break;
   43995     }
   43996    case 9:
   43997     $2 = HEAP16[$rspan >> 1] | 0;
   43998     $cmp1820 = $2 << 16 >> 16 == 0;
   43999     if ($cmp1820) {
   44000       label = 11;
   44001       break;
   44002     } else {
   44003       $i_021 = $row;
   44004       label = 10;
   44005       break;
   44006     }
   44007    case 10:
   44008     _addPS($ps, $j_025, $i_021);
   44009     $inc = $i_021 + 1 | 0;
   44010     $3 = HEAP16[$rspan >> 1] | 0;
   44011     $conv16 = $3 & 65535;
   44012     $add17 = $conv16 + $row | 0;
   44013     $cmp18 = ($inc | 0) < ($add17 | 0);
   44014     if ($cmp18) {
   44015       $i_021 = $inc;
   44016       label = 10;
   44017       break;
   44018     } else {
   44019       label = 11;
   44020       break;
   44021     }
   44022    case 11:
   44023     $inc24 = $j_025 + 1 | 0;
   44024     $4 = HEAP16[$cspan >> 1] | 0;
   44025     $conv10 = $4 & 65535;
   44026     $add11 = $conv10 + $col_addr_0_ph32 | 0;
   44027     $cmp12 = ($inc24 | 0) < ($add11 | 0);
   44028     if ($cmp12) {
   44029       $j_025 = $inc24;
   44030       label = 9;
   44031       break;
   44032     } else {
   44033       label = 12;
   44034       break;
   44035     }
   44036    case 12:
   44037     return $col_addr_0_ph32 | 0;
   44038   }
   44039   return 0;
   44040 }
   44041 function _size_html_img($img, $env) {
   44042   $img = $img | 0;
   44043   $env = $env | 0;
   44044   var $tmp = 0, $g = 0, $0 = 0, $src = 0, $1 = 0, $b_sroa_2_8__idx = 0, $b_sroa_2_8_copyload = 0, $b_sroa_3_12__idx3 = 0, $b_sroa_3_12_copyload = 0, $cmp = 0, $cmp6 = 0, $or_cond = 0, $2 = 0, $call = 0, $3 = 0, $has_images = 0, $phitmp = 0.0, $phitmp10 = 0.0, $b_sroa_2_0 = 0.0, $b_sroa_3_0 = 0.0, $rv_0 = 0, $x28 = 0, $4 = 0, $y34 = 0, label = 0, __stackBase__ = 0;
   44045   __stackBase__ = STACKTOP;
   44046   STACKTOP = STACKTOP + 8 | 0;
   44047   label = 2;
   44048   while (1) switch (label | 0) {
   44049    case 2:
   44050     $tmp = __stackBase__ | 0;
   44051     $g = $env + 44 | 0;
   44052     $0 = HEAP32[$g >> 2] | 0;
   44053     $src = $img + 32 | 0;
   44054     $1 = HEAP32[$src >> 2] | 0;
   44055     _gvusershape_size($tmp, $0, $1);
   44056     $b_sroa_2_8__idx = $tmp | 0;
   44057     $b_sroa_2_8_copyload = HEAP32[$b_sroa_2_8__idx >> 2] | 0;
   44058     $b_sroa_3_12__idx3 = $tmp + 4 | 0;
   44059     $b_sroa_3_12_copyload = HEAP32[$b_sroa_3_12__idx3 >> 2] | 0;
   44060     $cmp = ($b_sroa_2_8_copyload | 0) == -1;
   44061     $cmp6 = ($b_sroa_3_12_copyload | 0) == -1;
   44062     $or_cond = $cmp & $cmp6;
   44063     if ($or_cond) {
   44064       label = 3;
   44065       break;
   44066     } else {
   44067       label = 4;
   44068       break;
   44069     }
   44070    case 3:
   44071     $2 = HEAP32[$src >> 2] | 0;
   44072     $call = _agerr(1, 147528, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $2, tempInt) | 0) | 0;
   44073     $rv_0 = 1;
   44074     $b_sroa_3_0 = 0.0;
   44075     $b_sroa_2_0 = 0.0;
   44076     label = 5;
   44077     break;
   44078    case 4:
   44079     $3 = HEAP32[$g >> 2] | 0;
   44080     $has_images = $3 + 154 | 0;
   44081     HEAP8[$has_images] = 1;
   44082     $phitmp = +($b_sroa_2_8_copyload | 0);
   44083     $phitmp10 = +($b_sroa_3_12_copyload | 0);
   44084     $rv_0 = 0;
   44085     $b_sroa_3_0 = $phitmp10;
   44086     $b_sroa_2_0 = $phitmp;
   44087     label = 5;
   44088     break;
   44089    case 5:
   44090     $x28 = $img + 16 | 0;
   44091     $4 = $img;
   44092     _memset($4 | 0, 0, 16);
   44093     HEAPF64[$x28 >> 3] = $b_sroa_2_0;
   44094     $y34 = $img + 24 | 0;
   44095     HEAPF64[$y34 >> 3] = $b_sroa_3_0;
   44096     STACKTOP = __stackBase__;
   44097     return $rv_0 | 0;
   44098   }
   44099   return 0;
   44100 }
   44101 function _portToCell($cp, $id) {
   44102   $cp = $cp | 0;
   44103   $id = $id | 0;
   44104   var $port = 0, $0 = 0, $tobool = 0, $data = 0, $call = 0, $cmp = 0, $kind = 0, $1 = 0, $cmp4 = 0, $tbl = 0, $2 = 0, $call8 = 0, $rv_0 = 0, label = 0;
   44105   label = 2;
   44106   while (1) switch (label | 0) {
   44107    case 2:
   44108     $port = $cp + 4 | 0;
   44109     $0 = HEAP32[$port >> 2] | 0;
   44110     $tobool = ($0 | 0) == 0;
   44111     if ($tobool) {
   44112       label = 4;
   44113       break;
   44114     } else {
   44115       label = 3;
   44116       break;
   44117     }
   44118    case 3:
   44119     $data = $cp | 0;
   44120     $call = _strcasecmp($0, $id) | 0;
   44121     $cmp = ($call | 0) == 0;
   44122     if ($cmp) {
   44123       $rv_0 = $data;
   44124       label = 6;
   44125       break;
   44126     } else {
   44127       label = 4;
   44128       break;
   44129     }
   44130    case 4:
   44131     $kind = $cp + 84 | 0;
   44132     $1 = HEAP8[$kind] | 0;
   44133     $cmp4 = $1 << 24 >> 24 == 1;
   44134     if ($cmp4) {
   44135       label = 5;
   44136       break;
   44137     } else {
   44138       $rv_0 = 0;
   44139       label = 6;
   44140       break;
   44141     }
   44142    case 5:
   44143     $tbl = $cp + 80 | 0;
   44144     $2 = HEAP32[$tbl >> 2] | 0;
   44145     $call8 = _portToTbl($2, $id) | 0;
   44146     $rv_0 = $call8;
   44147     label = 6;
   44148     break;
   44149    case 6:
   44150     return $rv_0 | 0;
   44151   }
   44152   return 0;
   44153 }
   44154 function _free_html_cell($cp) {
   44155   $cp = $cp | 0;
   44156   _free_html_label($cp + 80 | 0, 0);
   44157   _free_html_data($cp | 0);
   44158   _free($cp);
   44159   return;
   44160 }
   44161 function _graphSize($g, $nn, $ne) {
   44162   $g = $g | 0;
   44163   $nn = $nn | 0;
   44164   $ne = $ne | 0;
   44165   var $nlist = 0, $n_09 = 0, $tobool10 = 0, $n_013 = 0, $nedges_012 = 0, $nnodes_011 = 0, $inc = 0, $list = 0, $0 = 0, $1 = 0, $tobool36 = 0, $2 = 0, $i_08 = 0, $nedges_17 = 0, $inc5 = 0, $inc6 = 0, $arrayidx = 0, $3 = 0, $tobool3 = 0, $nedges_1_lcssa = 0, $next = 0, $n_0 = 0, $tobool = 0, $nedges_0_lcssa = 0, $nnodes_0_lcssa = 0, label = 0;
   44166   label = 2;
   44167   while (1) switch (label | 0) {
   44168    case 2:
   44169     $nlist = $g + 220 | 0;
   44170     $n_09 = HEAP32[$nlist >> 2] | 0;
   44171     $tobool10 = ($n_09 | 0) == 0;
   44172     if ($tobool10) {
   44173       $nnodes_0_lcssa = 0;
   44174       $nedges_0_lcssa = 0;
   44175       label = 7;
   44176       break;
   44177     } else {
   44178       $nnodes_011 = 0;
   44179       $nedges_012 = 0;
   44180       $n_013 = $n_09;
   44181       label = 3;
   44182       break;
   44183     }
   44184    case 3:
   44185     $inc = $nnodes_011 + 1 | 0;
   44186     $list = $n_013 + 184 | 0;
   44187     $0 = HEAP32[$list >> 2] | 0;
   44188     $1 = HEAP32[$0 >> 2] | 0;
   44189     $tobool36 = ($1 | 0) == 0;
   44190     if ($tobool36) {
   44191       $nedges_1_lcssa = $nedges_012;
   44192       label = 6;
   44193       break;
   44194     } else {
   44195       label = 4;
   44196       break;
   44197     }
   44198    case 4:
   44199     $2 = HEAP32[$list >> 2] | 0;
   44200     $nedges_17 = $nedges_012;
   44201     $i_08 = 0;
   44202     label = 5;
   44203     break;
   44204    case 5:
   44205     $inc5 = $nedges_17 + 1 | 0;
   44206     $inc6 = $i_08 + 1 | 0;
   44207     $arrayidx = $2 + ($inc6 << 2) | 0;
   44208     $3 = HEAP32[$arrayidx >> 2] | 0;
   44209     $tobool3 = ($3 | 0) == 0;
   44210     if ($tobool3) {
   44211       $nedges_1_lcssa = $inc5;
   44212       label = 6;
   44213       break;
   44214     } else {
   44215       $nedges_17 = $inc5;
   44216       $i_08 = $inc6;
   44217       label = 5;
   44218       break;
   44219     }
   44220    case 6:
   44221     $next = $n_013 + 168 | 0;
   44222     $n_0 = HEAP32[$next >> 2] | 0;
   44223     $tobool = ($n_0 | 0) == 0;
   44224     if ($tobool) {
   44225       $nnodes_0_lcssa = $inc;
   44226       $nedges_0_lcssa = $nedges_1_lcssa;
   44227       label = 7;
   44228       break;
   44229     } else {
   44230       $nnodes_011 = $inc;
   44231       $nedges_012 = $nedges_1_lcssa;
   44232       $n_013 = $n_0;
   44233       label = 3;
   44234       break;
   44235     }
   44236    case 7:
   44237     HEAP32[$nn >> 2] = $nnodes_0_lcssa;
   44238     HEAP32[$ne >> 2] = $nedges_0_lcssa;
   44239     return;
   44240   }
   44241 }
   44242 function _emit_htextparas($job, $nparas, $paras, $p, $halfwidth_x, $finfo, $b) {
   44243   $job = $job | 0;
   44244   $nparas = $nparas | 0;
   44245   $paras = $paras | 0;
   44246   $p = $p | 0;
   44247   $halfwidth_x = +$halfwidth_x;
   44248   $finfo = $finfo | 0;
   44249   $b = $b | 0;
   44250   var $tl = 0, $p_ = 0, $0 = 0, $$etemp$0$0 = 0, $$etemp$0$1 = 0, $st$1$0 = 0, $st$2$1 = 0, $x = 0, $1 = 0.0, $sub = 0.0, $add = 0.0, $y = 0, $2 = 0.0, $y1 = 0, $3 = 0.0, $y2 = 0, $4 = 0.0, $sub3 = 0.0, $div = 0.0, $add4 = 0.0, $y5 = 0, $cmp41 = 0, $size33 = 0, $name43 = 0, $color54 = 0, $str56 = 0, $fontname = 0, $fontsize = 0, $yoffset_layout57 = 0, $yoffset_centerline = 0, $postscript_alias58 = 0, $layout59 = 0, $width = 0, $height = 0, $just63 = 0, $x65 = 0, $i_042 = 0, $just = 0, $5 = 0, $conv = 0, $size = 0, $6 = 0.0, $sub9 = 0.0, $size13 = 0, $7 = 0.0, $div14 = 0.0, $sub15 = 0.0, $lfsize = 0, $8 = 0.0, $9 = 0.0, $sub19 = 0.0, $nitems = 0, $10 = 0, $cmp2438 = 0, $items = 0, $11 = 0, $ti_040 = 0, $j_039 = 0, $font = 0, $12 = 0, $tobool = 0, $size28 = 0, $13 = 0.0, $cmp29 = 0, $14 = 0.0, $fsize__0 = 0.0, $15 = 0, $tobool35 = 0, $name = 0, $16 = 0, $tobool38 = 0, $17 = 0, $fname__0 = 0, $18 = 0, $tobool46 = 0, $color = 0, $19 = 0, $tobool49 = 0, $20 = 0, $fcolor__0 = 0, $str = 0, $21 = 0, $yoffset_layout = 0, $22 = 0.0, $postscript_alias = 0, $23 = 0, $layout = 0, $24 = 0, $size60 = 0, $25 = 0.0, $26 = 0.0, $27 = 0.0, $28 = 0.0, $29 = 0.0, $add68 = 0.0, $incdec_ptr = 0, $inc = 0, $30 = 0, $conv23 = 0, $cmp24 = 0, $inc70 = 0, $cmp = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   44251   __stackBase__ = STACKTOP;
   44252   STACKTOP = STACKTOP + 96 | 0;
   44253   tempParam = $p;
   44254   $p = STACKTOP;
   44255   STACKTOP = STACKTOP + 16 | 0;
   44256   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   44257   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   44258   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   44259   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   44260   tempParam = $finfo;
   44261   $finfo = STACKTOP;
   44262   STACKTOP = STACKTOP + 24 | 0;
   44263   HEAP32[$finfo >> 2] = HEAP32[tempParam >> 2] | 0;
   44264   HEAP32[$finfo + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   44265   HEAP32[$finfo + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   44266   HEAP32[$finfo + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   44267   HEAP32[$finfo + 16 >> 2] = HEAP32[tempParam + 16 >> 2] | 0;
   44268   HEAP32[$finfo + 20 >> 2] = HEAP32[tempParam + 20 >> 2] | 0;
   44269   tempParam = $b;
   44270   $b = STACKTOP;
   44271   STACKTOP = STACKTOP + 32 | 0;
   44272   _memcpy($b, tempParam, 32);
   44273   label = 2;
   44274   while (1) switch (label | 0) {
   44275    case 2:
   44276     $tl = __stackBase__ | 0;
   44277     $p_ = __stackBase__ + 80 | 0;
   44278     $0 = $p_;
   44279     $$etemp$0$0 = 0;
   44280     $$etemp$0$1 = 0;
   44281     $st$1$0 = $0 | 0;
   44282     HEAP32[$st$1$0 >> 2] = $$etemp$0$0;
   44283     $st$2$1 = $0 + 4 | 0;
   44284     HEAP32[$st$2$1 >> 2] = $$etemp$0$1;
   44285     $x = $p | 0;
   44286     $1 = +HEAPF64[$x >> 3];
   44287     $sub = $1 - $halfwidth_x;
   44288     $add = $1 + $halfwidth_x;
   44289     $y = $p + 8 | 0;
   44290     $2 = +HEAPF64[$y >> 3];
   44291     $y1 = $b + 24 | 0;
   44292     $3 = +HEAPF64[$y1 >> 3];
   44293     $y2 = $b + 8 | 0;
   44294     $4 = +HEAPF64[$y2 >> 3];
   44295     $sub3 = $3 - $4;
   44296     $div = $sub3 * .5;
   44297     $add4 = $2 + $div;
   44298     $y5 = $p_ + 8 | 0;
   44299     HEAPF64[$y5 >> 3] = $add4;
   44300     _gvrender_begin_label($job, 1);
   44301     $cmp41 = ($nparas | 0) > 0;
   44302     if ($cmp41) {
   44303       label = 3;
   44304       break;
   44305     } else {
   44306       label = 21;
   44307       break;
   44308     }
   44309    case 3:
   44310     $size33 = $finfo + 16 | 0;
   44311     $name43 = $finfo | 0;
   44312     $color54 = $finfo + 4 | 0;
   44313     $str56 = $tl | 0;
   44314     $fontname = $tl + 20 | 0;
   44315     $fontsize = $tl + 24 | 0;
   44316     $yoffset_layout57 = $tl + 40 | 0;
   44317     $yoffset_centerline = $tl + 48 | 0;
   44318     $postscript_alias58 = $tl + 4 | 0;
   44319     $layout59 = $tl + 8 | 0;
   44320     $width = $tl + 56 | 0;
   44321     $height = $tl + 64 | 0;
   44322     $just63 = $tl + 72 | 0;
   44323     $x65 = $p_ | 0;
   44324     $i_042 = 0;
   44325     label = 4;
   44326     break;
   44327    case 4:
   44328     $just = $paras + ($i_042 * 24 & -1) + 6 | 0;
   44329     $5 = HEAP8[$just] | 0;
   44330     $conv = $5 << 24 >> 24;
   44331     if (($conv | 0) == 108) {
   44332       label = 5;
   44333       break;
   44334     } else if (($conv | 0) == 114) {
   44335       label = 6;
   44336       break;
   44337     } else {
   44338       label = 7;
   44339       break;
   44340     }
   44341    case 5:
   44342     HEAPF64[$x >> 3] = $sub;
   44343     label = 8;
   44344     break;
   44345    case 6:
   44346     $size = $paras + ($i_042 * 24 & -1) + 8 | 0;
   44347     $6 = +HEAPF64[$size >> 3];
   44348     $sub9 = $add - $6;
   44349     HEAPF64[$x >> 3] = $sub9;
   44350     label = 8;
   44351     break;
   44352    case 7:
   44353     $size13 = $paras + ($i_042 * 24 & -1) + 8 | 0;
   44354     $7 = +HEAPF64[$size13 >> 3];
   44355     $div14 = $7 * .5;
   44356     $sub15 = $1 - $div14;
   44357     HEAPF64[$x >> 3] = $sub15;
   44358     label = 8;
   44359     break;
   44360    case 8:
   44361     $lfsize = $paras + ($i_042 * 24 & -1) + 16 | 0;
   44362     $8 = +HEAPF64[$lfsize >> 3];
   44363     $9 = +HEAPF64[$y5 >> 3];
   44364     $sub19 = $9 - $8;
   44365     HEAPF64[$y5 >> 3] = $sub19;
   44366     $nitems = $paras + ($i_042 * 24 & -1) + 4 | 0;
   44367     $10 = HEAP16[$nitems >> 1] | 0;
   44368     $cmp2438 = $10 << 16 >> 16 > 0;
   44369     if ($cmp2438) {
   44370       label = 9;
   44371       break;
   44372     } else {
   44373       label = 20;
   44374       break;
   44375     }
   44376    case 9:
   44377     $items = $paras + ($i_042 * 24 & -1) | 0;
   44378     $11 = HEAP32[$items >> 2] | 0;
   44379     $j_039 = 0;
   44380     $ti_040 = $11;
   44381     label = 10;
   44382     break;
   44383    case 10:
   44384     $font = $ti_040 + 16 | 0;
   44385     $12 = HEAP32[$font >> 2] | 0;
   44386     $tobool = ($12 | 0) == 0;
   44387     if ($tobool) {
   44388       label = 12;
   44389       break;
   44390     } else {
   44391       label = 11;
   44392       break;
   44393     }
   44394    case 11:
   44395     $size28 = $12 + 16 | 0;
   44396     $13 = +HEAPF64[$size28 >> 3];
   44397     $cmp29 = $13 > 0.0;
   44398     if ($cmp29) {
   44399       $fsize__0 = $13;
   44400       label = 13;
   44401       break;
   44402     } else {
   44403       label = 12;
   44404       break;
   44405     }
   44406    case 12:
   44407     $14 = +HEAPF64[$size33 >> 3];
   44408     $fsize__0 = $14;
   44409     label = 13;
   44410     break;
   44411    case 13:
   44412     $15 = HEAP32[$font >> 2] | 0;
   44413     $tobool35 = ($15 | 0) == 0;
   44414     if ($tobool35) {
   44415       label = 15;
   44416       break;
   44417     } else {
   44418       label = 14;
   44419       break;
   44420     }
   44421    case 14:
   44422     $name = $15 | 0;
   44423     $16 = HEAP32[$name >> 2] | 0;
   44424     $tobool38 = ($16 | 0) == 0;
   44425     if ($tobool38) {
   44426       label = 15;
   44427       break;
   44428     } else {
   44429       $fname__0 = $16;
   44430       label = 16;
   44431       break;
   44432     }
   44433    case 15:
   44434     $17 = HEAP32[$name43 >> 2] | 0;
   44435     $fname__0 = $17;
   44436     label = 16;
   44437     break;
   44438    case 16:
   44439     $18 = HEAP32[$font >> 2] | 0;
   44440     $tobool46 = ($18 | 0) == 0;
   44441     if ($tobool46) {
   44442       label = 18;
   44443       break;
   44444     } else {
   44445       label = 17;
   44446       break;
   44447     }
   44448    case 17:
   44449     $color = $18 + 4 | 0;
   44450     $19 = HEAP32[$color >> 2] | 0;
   44451     $tobool49 = ($19 | 0) == 0;
   44452     if ($tobool49) {
   44453       label = 18;
   44454       break;
   44455     } else {
   44456       $fcolor__0 = $19;
   44457       label = 19;
   44458       break;
   44459     }
   44460    case 18:
   44461     $20 = HEAP32[$color54 >> 2] | 0;
   44462     $fcolor__0 = $20;
   44463     label = 19;
   44464     break;
   44465    case 19:
   44466     _gvrender_set_pencolor($job, $fcolor__0);
   44467     $str = $ti_040 | 0;
   44468     $21 = HEAP32[$str >> 2] | 0;
   44469     HEAP32[$str56 >> 2] = $21;
   44470     HEAP32[$fontname >> 2] = $fname__0;
   44471     HEAPF64[$fontsize >> 3] = $fsize__0;
   44472     $yoffset_layout = $ti_040 + 40 | 0;
   44473     $22 = +HEAPF64[$yoffset_layout >> 3];
   44474     HEAPF64[$yoffset_layout57 >> 3] = $22;
   44475     HEAPF64[$yoffset_centerline >> 3] = 1.0;
   44476     $postscript_alias = $ti_040 + 4 | 0;
   44477     $23 = HEAP32[$postscript_alias >> 2] | 0;
   44478     HEAP32[$postscript_alias58 >> 2] = $23;
   44479     $layout = $ti_040 + 8 | 0;
   44480     $24 = HEAP32[$layout >> 2] | 0;
   44481     HEAP32[$layout59 >> 2] = $24;
   44482     $size60 = $ti_040 + 32 | 0;
   44483     $25 = +HEAPF64[$size60 >> 3];
   44484     HEAPF64[$width >> 3] = $25;
   44485     $26 = +HEAPF64[$lfsize >> 3];
   44486     HEAPF64[$height >> 3] = $26;
   44487     HEAP8[$just63] = 108;
   44488     $27 = +HEAPF64[$x >> 3];
   44489     HEAPF64[$x65 >> 3] = $27;
   44490     _gvrender_textpara($job, $p_, $tl);
   44491     $28 = +HEAPF64[$size60 >> 3];
   44492     $29 = +HEAPF64[$x >> 3];
   44493     $add68 = $28 + $29;
   44494     HEAPF64[$x >> 3] = $add68;
   44495     $incdec_ptr = $ti_040 + 80 | 0;
   44496     $inc = $j_039 + 1 | 0;
   44497     $30 = HEAP16[$nitems >> 1] | 0;
   44498     $conv23 = $30 << 16 >> 16;
   44499     $cmp24 = ($inc | 0) < ($conv23 | 0);
   44500     if ($cmp24) {
   44501       $j_039 = $inc;
   44502       $ti_040 = $incdec_ptr;
   44503       label = 10;
   44504       break;
   44505     } else {
   44506       label = 20;
   44507       break;
   44508     }
   44509    case 20:
   44510     $inc70 = $i_042 + 1 | 0;
   44511     $cmp = ($inc70 | 0) < ($nparas | 0);
   44512     if ($cmp) {
   44513       $i_042 = $inc70;
   44514       label = 4;
   44515       break;
   44516     } else {
   44517       label = 21;
   44518       break;
   44519     }
   44520    case 21:
   44521     _gvrender_end_label($job);
   44522     STACKTOP = __stackBase__;
   44523     return;
   44524   }
   44525 }
   44526 function _initAnchor($job, $env, $data, $b, $save, $closePrev) {
   44527   $job = $job | 0;
   44528   $env = $env | 0;
   44529   $data = $data | 0;
   44530   $b = $b | 0;
   44531   $save = $save | 0;
   44532   $closePrev = $closePrev | 0;
   44533   var $xb = 0, $intbuf = 0, $buf = 0, $obj1 = 0, $0 = 0, $url = 0, $1 = 0, $url2 = 0, $tooltip = 0, $2 = 0, $tooltip3 = 0, $target = 0, $3 = 0, $target4 = 0, $id5 = 0, $4 = 0, $id6 = 0, $5 = 0, $6 = 0, $7 = 0, $8 = 0, $bf_val_sext = 0, $conv = 0, $explicit_tooltip = 0, $id7 = 0, $9 = 0, $tobool = 0, $10 = 0, $tobool8 = 0, $arraydecay = 0, $objid = 0, $11 = 0, $tobool9 = 0, $u = 0, $n = 0, $12 = 0, $13 = 0, $call = 0, $call11 = 0, $objid_set = 0, $14 = 0, $call14 = 0, $arraydecay15 = 0, $15 = 0, $inc = 0, $call16 = 0, $call18 = 0, $ptr = 0, $16 = 0, $eptr = 0, $17 = 0, $cmp = 0, $call20 = 0, $18 = 0, $buf22 = 0, $19 = 0, $id_0 = 0, $internalId_0 = 0, $href = 0, $20 = 0, $title = 0, $21 = 0, $target25 = 0, $22 = 0, $g = 0, $23 = 0, $24 = 0, $call27 = 0, $tobool31 = 0, $tobool33 = 0, $25 = 0, $tobool35 = 0, $26 = 0, $tobool39 = 0, $27 = 0, $tobool43 = 0, $28 = 0, $_mask = 0, $tobool48 = 0, $29 = 0, $30 = 0, $31 = 0, $32 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   44534   __stackBase__ = STACKTOP;
   44535   STACKTOP = STACKTOP + 176 | 0;
   44536   tempParam = $b;
   44537   $b = STACKTOP;
   44538   STACKTOP = STACKTOP + 32 | 0;
   44539   _memcpy($b, tempParam, 32);
   44540   label = 2;
   44541   while (1) switch (label | 0) {
   44542    case 2:
   44543     $xb = __stackBase__ | 0;
   44544     $intbuf = __stackBase__ + 16 | 0;
   44545     $buf = __stackBase__ + 48 | 0;
   44546     $obj1 = $job + 16 | 0;
   44547     $0 = HEAP32[$obj1 >> 2] | 0;
   44548     $url = $0 + 160 | 0;
   44549     $1 = HEAP32[$url >> 2] | 0;
   44550     $url2 = $save | 0;
   44551     HEAP32[$url2 >> 2] = $1;
   44552     $tooltip = $0 + 180 | 0;
   44553     $2 = HEAP32[$tooltip >> 2] | 0;
   44554     $tooltip3 = $save + 4 | 0;
   44555     HEAP32[$tooltip3 >> 2] = $2;
   44556     $target = $0 + 196 | 0;
   44557     $3 = HEAP32[$target >> 2] | 0;
   44558     $target4 = $save + 8 | 0;
   44559     HEAP32[$target4 >> 2] = $3;
   44560     $id5 = $0 + 164 | 0;
   44561     $4 = HEAP32[$id5 >> 2] | 0;
   44562     $id6 = $save + 12 | 0;
   44563     HEAP32[$id6 >> 2] = $4;
   44564     $5 = $0 + 212 | 0;
   44565     $6 = $5;
   44566     $7 = HEAP32[$6 >> 2] | 0;
   44567     $8 = $7 << 31;
   44568     $bf_val_sext = $8 >> 31;
   44569     $conv = $bf_val_sext & 255;
   44570     $explicit_tooltip = $save + 16 | 0;
   44571     HEAP8[$explicit_tooltip] = $conv;
   44572     $id7 = $data + 16 | 0;
   44573     $9 = HEAP32[$id7 >> 2] | 0;
   44574     $tobool = ($9 | 0) == 0;
   44575     if ($tobool) {
   44576       label = 4;
   44577       break;
   44578     } else {
   44579       label = 3;
   44580       break;
   44581     }
   44582    case 3:
   44583     $10 = HEAP8[$9] | 0;
   44584     $tobool8 = $10 << 24 >> 24 == 0;
   44585     if ($tobool8) {
   44586       label = 4;
   44587       break;
   44588     } else {
   44589       $internalId_0 = 0;
   44590       $id_0 = $9;
   44591       label = 9;
   44592       break;
   44593     }
   44594    case 4:
   44595     $arraydecay = $buf | 0;
   44596     _agxbinit($xb, 128, $arraydecay);
   44597     $objid = $env + 52 | 0;
   44598     $11 = HEAP32[$objid >> 2] | 0;
   44599     $tobool9 = ($11 | 0) == 0;
   44600     if ($tobool9) {
   44601       label = 5;
   44602       break;
   44603     } else {
   44604       label = 6;
   44605       break;
   44606     }
   44607    case 5:
   44608     $u = $0 + 8 | 0;
   44609     $n = $u;
   44610     $12 = HEAP32[$n >> 2] | 0;
   44611     $13 = $12 | 0;
   44612     $call = _getObjId($job, $13, $xb) | 0;
   44613     $call11 = _strdup($call | 0) | 0;
   44614     HEAP32[$objid >> 2] = $call11;
   44615     $objid_set = $env + 56 | 0;
   44616     HEAP8[$objid_set] = 1;
   44617     label = 6;
   44618     break;
   44619    case 6:
   44620     $14 = HEAP32[$objid >> 2] | 0;
   44621     $call14 = _agxbput($xb, $14) | 0;
   44622     $arraydecay15 = $intbuf | 0;
   44623     $15 = HEAP32[3192] | 0;
   44624     $inc = $15 + 1 | 0;
   44625     HEAP32[3192] = $inc;
   44626     $call16 = _sprintf($arraydecay15 | 0, 113208, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $15, tempInt) | 0) | 0;
   44627     $call18 = _agxbput($xb, $arraydecay15) | 0;
   44628     $ptr = $xb + 4 | 0;
   44629     $16 = HEAP32[$ptr >> 2] | 0;
   44630     $eptr = $xb + 8 | 0;
   44631     $17 = HEAP32[$eptr >> 2] | 0;
   44632     $cmp = $16 >>> 0 < $17 >>> 0;
   44633     if ($cmp) {
   44634       label = 8;
   44635       break;
   44636     } else {
   44637       label = 7;
   44638       break;
   44639     }
   44640    case 7:
   44641     $call20 = _agxbmore($xb, 1) | 0;
   44642     label = 8;
   44643     break;
   44644    case 8:
   44645     $18 = HEAP32[$ptr >> 2] | 0;
   44646     HEAP8[$18] = 0;
   44647     $buf22 = $xb | 0;
   44648     $19 = HEAP32[$buf22 >> 2] | 0;
   44649     HEAP32[$ptr >> 2] = $19;
   44650     $internalId_0 = 1;
   44651     $id_0 = $19;
   44652     label = 9;
   44653     break;
   44654    case 9:
   44655     $href = $data | 0;
   44656     $20 = HEAP32[$href >> 2] | 0;
   44657     $title = $data + 12 | 0;
   44658     $21 = HEAP32[$title >> 2] | 0;
   44659     $target25 = $data + 8 | 0;
   44660     $22 = HEAP32[$target25 >> 2] | 0;
   44661     $g = $0 + 8 | 0;
   44662     $23 = HEAP32[$g >> 2] | 0;
   44663     $24 = $23 | 0;
   44664     $call27 = _initMapData($job, 0, $20, $21, $22, $id_0, $24) | 0;
   44665     if ($internalId_0) {
   44666       label = 10;
   44667       break;
   44668     } else {
   44669       label = 11;
   44670       break;
   44671     }
   44672    case 10:
   44673     _agxbfree($xb);
   44674     label = 11;
   44675     break;
   44676    case 11:
   44677     $tobool31 = ($call27 | 0) == 0;
   44678     if ($tobool31) {
   44679       label = 19;
   44680       break;
   44681     } else {
   44682       label = 12;
   44683       break;
   44684     }
   44685    case 12:
   44686     $tobool33 = ($closePrev | 0) == 0;
   44687     if ($tobool33) {
   44688       label = 16;
   44689       break;
   44690     } else {
   44691       label = 13;
   44692       break;
   44693     }
   44694    case 13:
   44695     $25 = HEAP32[$url2 >> 2] | 0;
   44696     $tobool35 = ($25 | 0) == 0;
   44697     if ($tobool35) {
   44698       label = 14;
   44699       break;
   44700     } else {
   44701       label = 15;
   44702       break;
   44703     }
   44704    case 14:
   44705     $26 = HEAP8[$explicit_tooltip] | 0;
   44706     $tobool39 = $26 << 24 >> 24 == 0;
   44707     if ($tobool39) {
   44708       label = 16;
   44709       break;
   44710     } else {
   44711       label = 15;
   44712       break;
   44713     }
   44714    case 15:
   44715     _gvrender_end_anchor($job);
   44716     label = 16;
   44717     break;
   44718    case 16:
   44719     $27 = HEAP32[$url >> 2] | 0;
   44720     $tobool43 = ($27 | 0) == 0;
   44721     if ($tobool43) {
   44722       label = 17;
   44723       break;
   44724     } else {
   44725       label = 18;
   44726       break;
   44727     }
   44728    case 17:
   44729     $28 = HEAP32[$6 >> 2] | 0;
   44730     $_mask = $28 & 1;
   44731     $tobool48 = ($_mask | 0) == 0;
   44732     if ($tobool48) {
   44733       label = 19;
   44734       break;
   44735     } else {
   44736       label = 18;
   44737       break;
   44738     }
   44739    case 18:
   44740     _emit_map_rect($job, $b);
   44741     $29 = HEAP32[$url >> 2] | 0;
   44742     $30 = HEAP32[$tooltip >> 2] | 0;
   44743     $31 = HEAP32[$target >> 2] | 0;
   44744     $32 = HEAP32[$id5 >> 2] | 0;
   44745     _gvrender_begin_anchor($job, $29, $30, $31, $32);
   44746     label = 19;
   44747     break;
   44748    case 19:
   44749     STACKTOP = __stackBase__;
   44750     return $call27 | 0;
   44751   }
   44752   return 0;
   44753 }
   44754 function _doFill($job, $color, $BF) {
   44755   $job = $job | 0;
   44756   $color = $color | 0;
   44757   $BF = $BF | 0;
   44758   var tempParam = 0, __stackBase__ = 0;
   44759   __stackBase__ = STACKTOP;
   44760   tempParam = $BF;
   44761   $BF = STACKTOP;
   44762   STACKTOP = STACKTOP + 32 | 0;
   44763   _memcpy($BF, tempParam, 32);
   44764   _gvrender_set_fillcolor($job, $color);
   44765   _gvrender_set_pencolor($job, $color);
   44766   _gvrender_box($job, $BF, 1);
   44767   STACKTOP = __stackBase__;
   44768   return;
   44769 }
   44770 function _doBorder($job, $color, $border, $BF) {
   44771   $job = $job | 0;
   44772   $color = $color | 0;
   44773   $border = $border | 0;
   44774   $BF = $BF | 0;
   44775   var $pt = 0, $tobool = 0, $_color = 0, $cmp = 0, $dec = 0, $UR = 0, $y = 0, $0 = 0.0, $LL = 0, $y2 = 0, $1 = 0.0, $sub = 0.0, $x = 0, $2 = 0.0, $x5 = 0, $3 = 0.0, $sub6 = 0.0, $conv = 0.0, $4 = 0.0, $x10 = 0, $5 = 0.0, $y13 = 0, $sub14 = 0, $conv15 = 0.0, $sub19 = 0.0, $6 = 0.0, $7 = 0.0, $sub26 = 0.0, label = 0, tempParam = 0, __stackBase__ = 0;
   44776   __stackBase__ = STACKTOP;
   44777   STACKTOP = STACKTOP + 16 | 0;
   44778   tempParam = $BF;
   44779   $BF = STACKTOP;
   44780   STACKTOP = STACKTOP + 32 | 0;
   44781   _memcpy($BF, tempParam, 32);
   44782   label = 2;
   44783   while (1) switch (label | 0) {
   44784    case 2:
   44785     $pt = __stackBase__ | 0;
   44786     $tobool = ($color | 0) == 0;
   44787     $_color = $tobool ? 121304 : $color;
   44788     _gvrender_set_fillcolor($job, $_color);
   44789     _gvrender_set_pencolor($job, $_color);
   44790     $cmp = ($border | 0) == 1;
   44791     if ($cmp) {
   44792       label = 3;
   44793       break;
   44794     } else {
   44795       label = 4;
   44796       break;
   44797     }
   44798    case 3:
   44799     _gvrender_box($job, $BF, 0);
   44800     label = 5;
   44801     break;
   44802    case 4:
   44803     $dec = $border - 1 | 0;
   44804     $UR = $BF + 16 | 0;
   44805     $y = $BF + 24 | 0;
   44806     $0 = +HEAPF64[$y >> 3];
   44807     $LL = $BF | 0;
   44808     $y2 = $BF + 8 | 0;
   44809     $1 = +HEAPF64[$y2 >> 3];
   44810     $sub = $0 - $1;
   44811     $x = $UR | 0;
   44812     $2 = +HEAPF64[$x >> 3];
   44813     $x5 = $BF | 0;
   44814     $3 = +HEAPF64[$x5 >> 3];
   44815     $sub6 = $2 - $3;
   44816     $conv = +($dec | 0);
   44817     _doSide($job, $LL, $conv, $sub);
   44818     $4 = +HEAPF64[$x5 >> 3];
   44819     $x10 = $pt | 0;
   44820     HEAPF64[$x10 >> 3] = $4;
   44821     $5 = +HEAPF64[$y >> 3];
   44822     $y13 = $pt + 8 | 0;
   44823     HEAPF64[$y13 >> 3] = $5;
   44824     $sub14 = 1 - $border | 0;
   44825     $conv15 = +($sub14 | 0);
   44826     _doSide($job, $pt, $sub6, $conv15);
   44827     $sub19 = -0.0 - $sub;
   44828     _doSide($job, $UR, $conv15, $sub19);
   44829     $6 = +HEAPF64[$x >> 3];
   44830     HEAPF64[$x10 >> 3] = $6;
   44831     $7 = +HEAPF64[$y2 >> 3];
   44832     HEAPF64[$y13 >> 3] = $7;
   44833     $sub26 = -0.0 - $sub6;
   44834     _doSide($job, $pt, $sub26, $conv);
   44835     label = 5;
   44836     break;
   44837    case 5:
   44838     STACKTOP = __stackBase__;
   44839     return;
   44840   }
   44841 }
   44842 function _emit_html_cell($job, $cp, $env) {
   44843   $job = $job | 0;
   44844   $cp = $cp | 0;
   44845   $env = $env | 0;
   44846   var $saved = 0, $pts = 0, $data = 0, $box = 0, $0 = 0, $1 = 0, $pos_sroa_0_0__idx = 0, $pos_sroa_0_0_copyload = 0.0, $pos_sroa_1_8__idx4 = 0, $pos_sroa_1_8_copyload = 0.0, $href = 0, $2 = 0, $tobool = 0, $target = 0, $3 = 0, $tobool4 = 0, $4 = 0, $x5 = 0, $5 = 0.0, $add = 0.0, $x7 = 0, $6 = 0.0, $add8 = 0.0, $y10 = 0, $7 = 0.0, $add11 = 0.0, $y14 = 0, $8 = 0.0, $add15 = 0.0, $flags = 0, $9 = 0, $and = 0, $tobool17 = 0, $call = 0, $inAnchor_0 = 0, $bgcolor = 0, $10 = 0, $tobool20 = 0, $border = 0, $11 = 0, $tobool26 = 0, $pencolor = 0, $12 = 0, $conv = 0, $child = 0, $kind = 0, $13 = 0, $tbl = 0, $14 = 0, $img = 0, $15 = 0, $txt = 0, $16 = 0, $tobool50 = 0, $flags55 = 0, $17 = 0, $and56 = 0, $tobool57 = 0, $call60 = 0, $tobool61 = 0, label = 0, __stackBase__ = 0;
   44847   __stackBase__ = STACKTOP;
   44848   STACKTOP = STACKTOP + 72 | 0;
   44849   label = 2;
   44850   while (1) switch (label | 0) {
   44851    case 2:
   44852     $saved = __stackBase__ | 0;
   44853     $pts = __stackBase__ + 40 | 0;
   44854     $data = $cp | 0;
   44855     $box = $cp + 40 | 0;
   44856     $0 = $pts;
   44857     $1 = $box;
   44858     HEAP32[$0 >> 2] = HEAP32[$1 >> 2] | 0;
   44859     HEAP32[$0 + 4 >> 2] = HEAP32[$1 + 4 >> 2] | 0;
   44860     HEAP32[$0 + 8 >> 2] = HEAP32[$1 + 8 >> 2] | 0;
   44861     HEAP32[$0 + 12 >> 2] = HEAP32[$1 + 12 >> 2] | 0;
   44862     HEAP32[$0 + 16 >> 2] = HEAP32[$1 + 16 >> 2] | 0;
   44863     HEAP32[$0 + 20 >> 2] = HEAP32[$1 + 20 >> 2] | 0;
   44864     HEAP32[$0 + 24 >> 2] = HEAP32[$1 + 24 >> 2] | 0;
   44865     HEAP32[$0 + 28 >> 2] = HEAP32[$1 + 28 >> 2] | 0;
   44866     $pos_sroa_0_0__idx = $env | 0;
   44867     $pos_sroa_0_0_copyload = +HEAPF64[$pos_sroa_0_0__idx >> 3];
   44868     $pos_sroa_1_8__idx4 = $env + 8 | 0;
   44869     $pos_sroa_1_8_copyload = +HEAPF64[$pos_sroa_1_8__idx4 >> 3];
   44870     $href = $cp | 0;
   44871     $2 = HEAP32[$href >> 2] | 0;
   44872     $tobool = ($2 | 0) == 0;
   44873     if ($tobool) {
   44874       label = 3;
   44875       break;
   44876     } else {
   44877       $4 = 1;
   44878       label = 4;
   44879       break;
   44880     }
   44881    case 3:
   44882     $target = $cp + 8 | 0;
   44883     $3 = HEAP32[$target >> 2] | 0;
   44884     $tobool4 = ($3 | 0) != 0;
   44885     $4 = $tobool4;
   44886     label = 4;
   44887     break;
   44888    case 4:
   44889     $x5 = $pts | 0;
   44890     $5 = +HEAPF64[$x5 >> 3];
   44891     $add = $pos_sroa_0_0_copyload + $5;
   44892     HEAPF64[$x5 >> 3] = $add;
   44893     $x7 = $pts + 16 | 0;
   44894     $6 = +HEAPF64[$x7 >> 3];
   44895     $add8 = $pos_sroa_0_0_copyload + $6;
   44896     HEAPF64[$x7 >> 3] = $add8;
   44897     $y10 = $pts + 8 | 0;
   44898     $7 = +HEAPF64[$y10 >> 3];
   44899     $add11 = $pos_sroa_1_8_copyload + $7;
   44900     HEAPF64[$y10 >> 3] = $add11;
   44901     $y14 = $pts + 24 | 0;
   44902     $8 = +HEAPF64[$y14 >> 3];
   44903     $add15 = $pos_sroa_1_8_copyload + $8;
   44904     HEAPF64[$y14 >> 3] = $add15;
   44905     if ($4) {
   44906       label = 5;
   44907       break;
   44908     } else {
   44909       $inAnchor_0 = 0;
   44910       label = 7;
   44911       break;
   44912     }
   44913    case 5:
   44914     $flags = $job + 152 | 0;
   44915     $9 = HEAP32[$flags >> 2] | 0;
   44916     $and = $9 & 4;
   44917     $tobool17 = ($and | 0) == 0;
   44918     if ($tobool17) {
   44919       label = 6;
   44920       break;
   44921     } else {
   44922       $inAnchor_0 = 0;
   44923       label = 7;
   44924       break;
   44925     }
   44926    case 6:
   44927     $call = _initAnchor($job, $env, $data, $pts, $saved, 1) | 0;
   44928     $inAnchor_0 = $call;
   44929     label = 7;
   44930     break;
   44931    case 7:
   44932     $bgcolor = $cp + 20 | 0;
   44933     $10 = HEAP32[$bgcolor >> 2] | 0;
   44934     $tobool20 = ($10 | 0) == 0;
   44935     if ($tobool20) {
   44936       label = 9;
   44937       break;
   44938     } else {
   44939       label = 8;
   44940       break;
   44941     }
   44942    case 8:
   44943     _doFill($job, $10, $pts);
   44944     label = 9;
   44945     break;
   44946    case 9:
   44947     $border = $cp + 29 | 0;
   44948     $11 = HEAP8[$border] | 0;
   44949     $tobool26 = $11 << 24 >> 24 == 0;
   44950     if ($tobool26) {
   44951       label = 11;
   44952       break;
   44953     } else {
   44954       label = 10;
   44955       break;
   44956     }
   44957    case 10:
   44958     $pencolor = $cp + 24 | 0;
   44959     $12 = HEAP32[$pencolor >> 2] | 0;
   44960     $conv = $11 & 255;
   44961     _doBorder($job, $12, $conv, $pts);
   44962     label = 11;
   44963     break;
   44964    case 11:
   44965     $child = $cp + 80 | 0;
   44966     $kind = $cp + 84 | 0;
   44967     $13 = HEAP8[$kind] | 0;
   44968     if (($13 << 24 >> 24 | 0) == 1) {
   44969       label = 12;
   44970       break;
   44971     } else if (($13 << 24 >> 24 | 0) == 3) {
   44972       label = 13;
   44973       break;
   44974     } else {
   44975       label = 14;
   44976       break;
   44977     }
   44978    case 12:
   44979     $tbl = $child | 0;
   44980     $14 = HEAP32[$tbl >> 2] | 0;
   44981     _emit_html_tbl($job, $14, $env);
   44982     label = 15;
   44983     break;
   44984    case 13:
   44985     $img = $child;
   44986     $15 = HEAP32[$img >> 2] | 0;
   44987     _emit_html_img($job, $15, $env);
   44988     label = 15;
   44989     break;
   44990    case 14:
   44991     $txt = $child;
   44992     $16 = HEAP32[$txt >> 2] | 0;
   44993     _emit_html_txt($job, $16, $env);
   44994     label = 15;
   44995     break;
   44996    case 15:
   44997     $tobool50 = ($inAnchor_0 | 0) == 0;
   44998     if ($tobool50) {
   44999       label = 17;
   45000       break;
   45001     } else {
   45002       label = 16;
   45003       break;
   45004     }
   45005    case 16:
   45006     _endAnchor($job, $saved, 1);
   45007     label = 17;
   45008     break;
   45009    case 17:
   45010     if ($4) {
   45011       label = 18;
   45012       break;
   45013     } else {
   45014       label = 21;
   45015       break;
   45016     }
   45017    case 18:
   45018     $flags55 = $job + 152 | 0;
   45019     $17 = HEAP32[$flags55 >> 2] | 0;
   45020     $and56 = $17 & 4;
   45021     $tobool57 = ($and56 | 0) == 0;
   45022     if ($tobool57) {
   45023       label = 21;
   45024       break;
   45025     } else {
   45026       label = 19;
   45027       break;
   45028     }
   45029    case 19:
   45030     $call60 = _initAnchor($job, $env, $data, $pts, $saved, 0) | 0;
   45031     $tobool61 = ($call60 | 0) == 0;
   45032     if ($tobool61) {
   45033       label = 21;
   45034       break;
   45035     } else {
   45036       label = 20;
   45037       break;
   45038     }
   45039    case 20:
   45040     _endAnchor($job, $saved, 0);
   45041     label = 21;
   45042     break;
   45043    case 21:
   45044     STACKTOP = __stackBase__;
   45045     return;
   45046   }
   45047 }
   45048 function _endAnchor($job, $save, $openPrev) {
   45049   $job = $job | 0;
   45050   $save = $save | 0;
   45051   $openPrev = $openPrev | 0;
   45052   var $obj1 = 0, $0 = 0, $url = 0, $1 = 0, $tobool = 0, $2 = 0, $3 = 0, $4 = 0, $_mask = 0, $tobool2 = 0, $5 = 0, $url4 = 0, $6 = 0, $cmp = 0, $7 = 0, $tooltip = 0, $8 = 0, $tooltip10 = 0, $9 = 0, $cmp11 = 0, $10 = 0, $target = 0, $11 = 0, $target17 = 0, $12 = 0, $cmp18 = 0, $13 = 0, $id = 0, $14 = 0, $id24 = 0, $15 = 0, $cmp25 = 0, $16 = 0, $explicit_tooltip = 0, $17 = 0, $conv = 0, $bf_value = 0, $18 = 0, $19 = 0, $20 = 0, $21 = 0, $22 = 0, $tobool32 = 0, $23 = 0, $tobool34 = 0, $tobool39 = 0, $or_cond = 0, $24 = 0, $25 = 0, $26 = 0, $27 = 0, label = 0;
   45053   label = 2;
   45054   while (1) switch (label | 0) {
   45055    case 2:
   45056     $obj1 = $job + 16 | 0;
   45057     $0 = HEAP32[$obj1 >> 2] | 0;
   45058     $url = $0 + 160 | 0;
   45059     $1 = HEAP32[$url >> 2] | 0;
   45060     $tobool = ($1 | 0) == 0;
   45061     if ($tobool) {
   45062       label = 3;
   45063       break;
   45064     } else {
   45065       label = 4;
   45066       break;
   45067     }
   45068    case 3:
   45069     $2 = $0 + 212 | 0;
   45070     $3 = $2;
   45071     $4 = HEAP32[$3 >> 2] | 0;
   45072     $_mask = $4 & 1;
   45073     $tobool2 = ($_mask | 0) == 0;
   45074     if ($tobool2) {
   45075       label = 5;
   45076       break;
   45077     } else {
   45078       label = 4;
   45079       break;
   45080     }
   45081    case 4:
   45082     _gvrender_end_anchor($job);
   45083     label = 5;
   45084     break;
   45085    case 5:
   45086     $5 = HEAP32[$url >> 2] | 0;
   45087     $url4 = $save | 0;
   45088     $6 = HEAP32[$url4 >> 2] | 0;
   45089     $cmp = ($5 | 0) == ($6 | 0);
   45090     if ($cmp) {
   45091       label = 7;
   45092       break;
   45093     } else {
   45094       label = 6;
   45095       break;
   45096     }
   45097    case 6:
   45098     _free($5);
   45099     $7 = HEAP32[$url4 >> 2] | 0;
   45100     HEAP32[$url >> 2] = $7;
   45101     label = 7;
   45102     break;
   45103    case 7:
   45104     $tooltip = $0 + 180 | 0;
   45105     $8 = HEAP32[$tooltip >> 2] | 0;
   45106     $tooltip10 = $save + 4 | 0;
   45107     $9 = HEAP32[$tooltip10 >> 2] | 0;
   45108     $cmp11 = ($8 | 0) == ($9 | 0);
   45109     if ($cmp11) {
   45110       label = 9;
   45111       break;
   45112     } else {
   45113       label = 8;
   45114       break;
   45115     }
   45116    case 8:
   45117     _free($8);
   45118     $10 = HEAP32[$tooltip10 >> 2] | 0;
   45119     HEAP32[$tooltip >> 2] = $10;
   45120     label = 9;
   45121     break;
   45122    case 9:
   45123     $target = $0 + 196 | 0;
   45124     $11 = HEAP32[$target >> 2] | 0;
   45125     $target17 = $save + 8 | 0;
   45126     $12 = HEAP32[$target17 >> 2] | 0;
   45127     $cmp18 = ($11 | 0) == ($12 | 0);
   45128     if ($cmp18) {
   45129       label = 11;
   45130       break;
   45131     } else {
   45132       label = 10;
   45133       break;
   45134     }
   45135    case 10:
   45136     _free($11);
   45137     $13 = HEAP32[$target17 >> 2] | 0;
   45138     HEAP32[$target >> 2] = $13;
   45139     label = 11;
   45140     break;
   45141    case 11:
   45142     $id = $0 + 164 | 0;
   45143     $14 = HEAP32[$id >> 2] | 0;
   45144     $id24 = $save + 12 | 0;
   45145     $15 = HEAP32[$id24 >> 2] | 0;
   45146     $cmp25 = ($14 | 0) == ($15 | 0);
   45147     if ($cmp25) {
   45148       label = 13;
   45149       break;
   45150     } else {
   45151       label = 12;
   45152       break;
   45153     }
   45154    case 12:
   45155     _free($14);
   45156     $16 = HEAP32[$id24 >> 2] | 0;
   45157     HEAP32[$id >> 2] = $16;
   45158     label = 13;
   45159     break;
   45160    case 13:
   45161     $explicit_tooltip = $save + 16 | 0;
   45162     $17 = HEAP8[$explicit_tooltip] | 0;
   45163     $conv = $17 & 255;
   45164     $bf_value = $conv & 1;
   45165     $18 = $0 + 212 | 0;
   45166     $19 = $18;
   45167     $20 = HEAP32[$19 >> 2] | 0;
   45168     $21 = $20 & -2;
   45169     $22 = $21 | $bf_value;
   45170     HEAP32[$19 >> 2] = $22;
   45171     $tobool32 = ($openPrev | 0) == 0;
   45172     if ($tobool32) {
   45173       label = 16;
   45174       break;
   45175     } else {
   45176       label = 14;
   45177       break;
   45178     }
   45179    case 14:
   45180     $23 = HEAP32[$url >> 2] | 0;
   45181     $tobool34 = ($23 | 0) == 0;
   45182     $tobool39 = ($bf_value | 0) == 0;
   45183     $or_cond = $tobool34 & $tobool39;
   45184     if ($or_cond) {
   45185       label = 16;
   45186       break;
   45187     } else {
   45188       label = 15;
   45189       break;
   45190     }
   45191    case 15:
   45192     $24 = HEAP32[$url >> 2] | 0;
   45193     $25 = HEAP32[$tooltip >> 2] | 0;
   45194     $26 = HEAP32[$target >> 2] | 0;
   45195     $27 = HEAP32[$id >> 2] | 0;
   45196     _gvrender_begin_anchor($job, $24, $25, $26, $27);
   45197     label = 16;
   45198     break;
   45199    case 16:
   45200     return;
   45201   }
   45202 }
   45203 function _emit_html_img($job, $cp, $env) {
   45204   $job = $job | 0;
   45205   $cp = $cp | 0;
   45206   $env = $env | 0;
   45207   var $A = 0, $bb_sroa_0_0__idx = 0, $bb_sroa_0_0_copyload = 0.0, $bb_sroa_1_8__idx3 = 0, $bb_sroa_1_8_copyload = 0.0, $bb_sroa_2_16__idx6 = 0, $bb_sroa_2_16_copyload = 0.0, $bb_sroa_3_24__idx8 = 0, $bb_sroa_3_24_copyload = 0.0, $x = 0, $0 = 0.0, $add = 0.0, $y = 0, $1 = 0.0, $add5 = 0.0, $add9 = 0.0, $add14 = 0.0, $bb_sroa_2_16__idx = 0, $bb_sroa_3_24__idx9 = 0, $bb_sroa_0_0__idx1 = 0, $bb_sroa_1_8__idx4 = 0, $2 = 0.0, $x21 = 0, $arrayidx22 = 0, $3 = 0.0, $y25 = 0, $4 = 0.0, $x29 = 0, $5 = 0.0, $y33 = 0, $scale34 = 0, $6 = 0, $tobool = 0, $imgscale = 0, $7 = 0, $scale_0 = 0, $src = 0, $8 = 0, label = 0, __stackBase__ = 0;
   45208   __stackBase__ = STACKTOP;
   45209   STACKTOP = STACKTOP + 64 | 0;
   45210   label = 2;
   45211   while (1) switch (label | 0) {
   45212    case 2:
   45213     $A = __stackBase__ | 0;
   45214     $bb_sroa_0_0__idx = $cp | 0;
   45215     $bb_sroa_0_0_copyload = +HEAPF64[$bb_sroa_0_0__idx >> 3];
   45216     $bb_sroa_1_8__idx3 = $cp + 8 | 0;
   45217     $bb_sroa_1_8_copyload = +HEAPF64[$bb_sroa_1_8__idx3 >> 3];
   45218     $bb_sroa_2_16__idx6 = $cp + 16 | 0;
   45219     $bb_sroa_2_16_copyload = +HEAPF64[$bb_sroa_2_16__idx6 >> 3];
   45220     $bb_sroa_3_24__idx8 = $cp + 24 | 0;
   45221     $bb_sroa_3_24_copyload = +HEAPF64[$bb_sroa_3_24__idx8 >> 3];
   45222     $x = $env | 0;
   45223     $0 = +HEAPF64[$x >> 3];
   45224     $add = $bb_sroa_0_0_copyload + $0;
   45225     $y = $env + 8 | 0;
   45226     $1 = +HEAPF64[$y >> 3];
   45227     $add5 = $bb_sroa_1_8_copyload + $1;
   45228     $add9 = $bb_sroa_2_16_copyload + $0;
   45229     $add14 = $bb_sroa_3_24_copyload + $1;
   45230     $bb_sroa_2_16__idx = $A | 0;
   45231     HEAPF64[$bb_sroa_2_16__idx >> 3] = $add9;
   45232     $bb_sroa_3_24__idx9 = $A + 8 | 0;
   45233     HEAPF64[$bb_sroa_3_24__idx9 >> 3] = $add14;
   45234     $bb_sroa_0_0__idx1 = $A + 32 | 0;
   45235     HEAPF64[$bb_sroa_0_0__idx1 >> 3] = $add;
   45236     $bb_sroa_1_8__idx4 = $A + 40 | 0;
   45237     HEAPF64[$bb_sroa_1_8__idx4 >> 3] = $add5;
   45238     $2 = +HEAPF64[$bb_sroa_0_0__idx1 >> 3];
   45239     $x21 = $A + 16 | 0;
   45240     HEAPF64[$x21 >> 3] = $2;
   45241     $arrayidx22 = $A | 0;
   45242     $3 = +HEAPF64[$bb_sroa_3_24__idx9 >> 3];
   45243     $y25 = $A + 24 | 0;
   45244     HEAPF64[$y25 >> 3] = $3;
   45245     $4 = +HEAPF64[$bb_sroa_2_16__idx >> 3];
   45246     $x29 = $A + 48 | 0;
   45247     HEAPF64[$x29 >> 3] = $4;
   45248     $5 = +HEAPF64[$bb_sroa_1_8__idx4 >> 3];
   45249     $y33 = $A + 56 | 0;
   45250     HEAPF64[$y33 >> 3] = $5;
   45251     $scale34 = $cp + 36 | 0;
   45252     $6 = HEAP32[$scale34 >> 2] | 0;
   45253     $tobool = ($6 | 0) == 0;
   45254     if ($tobool) {
   45255       label = 3;
   45256       break;
   45257     } else {
   45258       $scale_0 = $6;
   45259       label = 4;
   45260       break;
   45261     }
   45262    case 3:
   45263     $imgscale = $env + 48 | 0;
   45264     $7 = HEAP32[$imgscale >> 2] | 0;
   45265     $scale_0 = $7;
   45266     label = 4;
   45267     break;
   45268    case 4:
   45269     $src = $cp + 32 | 0;
   45270     $8 = HEAP32[$src >> 2] | 0;
   45271     _gvrender_usershape($job, $8, $arrayidx22, 4, 1, $scale_0);
   45272     STACKTOP = __stackBase__;
   45273     return;
   45274   }
   45275 }
   45276 function _doSide($job, $p, $wd, $ht) {
   45277   $job = $job | 0;
   45278   $p = $p | 0;
   45279   $wd = +$wd;
   45280   $ht = +$ht;
   45281   var $BF = 0, $0 = 0, $1 = 0, tempParam = 0, __stackBase__ = 0;
   45282   __stackBase__ = STACKTOP;
   45283   STACKTOP = STACKTOP + 32 | 0;
   45284   tempParam = $p;
   45285   $p = STACKTOP;
   45286   STACKTOP = STACKTOP + 16 | 0;
   45287   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   45288   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   45289   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   45290   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   45291   $BF = __stackBase__ | 0;
   45292   $0 = $BF;
   45293   $1 = $p;
   45294   HEAP32[$0 >> 2] = HEAP32[$1 >> 2] | 0;
   45295   HEAP32[$0 + 4 >> 2] = HEAP32[$1 + 4 >> 2] | 0;
   45296   HEAP32[$0 + 8 >> 2] = HEAP32[$1 + 8 >> 2] | 0;
   45297   HEAP32[$0 + 12 >> 2] = HEAP32[$1 + 12 >> 2] | 0;
   45298   HEAPF64[$BF + 16 >> 3] = +HEAPF64[$p >> 3] + $wd;
   45299   HEAPF64[$BF + 24 >> 3] = +HEAPF64[$p + 8 >> 3] + $ht;
   45300   _gvrender_box($job, $BF, 1);
   45301   STACKTOP = __stackBase__;
   45302   return;
   45303 }
   45304 function _rank($g, $balance, $maxiter) {
   45305   $g = $g | 0;
   45306   $balance = $balance | 0;
   45307   $maxiter = $maxiter | 0;
   45308   var $nn = 0, $ne = 0, $0 = 0, $tobool = 0, $1 = 0, $2 = 0, $3 = 0, $call = 0, $call1 = 0, $tobool2 = 0, $cmp = 0, $4 = 0, $call7 = 0, $tobool8 = 0, $call10 = 0, $storemerge = 0, $call12 = 0, $tobool13 = 0, $iter_0 = 0, $call16 = 0, $tobool17 = 0, $call18 = 0, $inc = 0, $5 = 0, $tobool19 = 0, $rem = 0, $cmp20 = 0, $rem23 = 0, $cmp24 = 0, $6 = 0, $7 = 0, $8 = 0, $call2917 = 0, $call29 = 0, $cmp31 = 0, $9 = 0, $call34 = 0, $cmp37 = 0, $iter_1 = 0, $10 = 0, $tobool42 = 0, $cmp44 = 0, $11 = 0, $call47 = 0, $12 = 0, $13 = 0, $14 = 0, $call49 = 0.0, $call50 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   45309   __stackBase__ = STACKTOP;
   45310   STACKTOP = STACKTOP + 16 | 0;
   45311   label = 2;
   45312   while (1) switch (label | 0) {
   45313    case 2:
   45314     $nn = __stackBase__ | 0;
   45315     $ne = __stackBase__ + 8 | 0;
   45316     $0 = HEAP8[164912] | 0;
   45317     $tobool = $0 << 24 >> 24 == 0;
   45318     if ($tobool) {
   45319       label = 4;
   45320       break;
   45321     } else {
   45322       label = 3;
   45323       break;
   45324     }
   45325    case 3:
   45326     _graphSize($g, $nn, $ne);
   45327     $1 = HEAP32[_stderr >> 2] | 0;
   45328     $2 = HEAP32[$nn >> 2] | 0;
   45329     $3 = HEAP32[$ne >> 2] | 0;
   45330     $call = _fprintf($1 | 0, 141440, (tempInt = STACKTOP, STACKTOP = STACKTOP + 40 | 0, HEAP32[tempInt >> 2] = 102112, HEAP32[tempInt + 8 >> 2] = $2, HEAP32[tempInt + 16 >> 2] = $3, HEAP32[tempInt + 24 >> 2] = $maxiter, HEAP32[tempInt + 32 >> 2] = $balance, tempInt) | 0) | 0;
   45331     _start_timer();
   45332     label = 4;
   45333     break;
   45334    case 4:
   45335     $call1 = _init_graph($g) | 0;
   45336     $tobool2 = ($call1 | 0) == 0;
   45337     if ($tobool2) {
   45338       label = 5;
   45339       break;
   45340     } else {
   45341       label = 6;
   45342       break;
   45343     }
   45344    case 5:
   45345     _init_rank();
   45346     label = 6;
   45347     break;
   45348    case 6:
   45349     $cmp = ($maxiter | 0) < 1;
   45350     if ($cmp) {
   45351       label = 7;
   45352       break;
   45353     } else {
   45354       label = 8;
   45355       break;
   45356     }
   45357    case 7:
   45358     _freeTreeList();
   45359     $retval_0 = 0;
   45360     label = 28;
   45361     break;
   45362    case 8:
   45363     $4 = $g | 0;
   45364     $call7 = _agget($4, 120848) | 0;
   45365     $tobool8 = ($call7 | 0) == 0;
   45366     if ($tobool8) {
   45367       $storemerge = 30;
   45368       label = 10;
   45369       break;
   45370     } else {
   45371       label = 9;
   45372       break;
   45373     }
   45374    case 9:
   45375     $call10 = _atoi($call7 | 0) | 0;
   45376     $storemerge = $call10;
   45377     label = 10;
   45378     break;
   45379    case 10:
   45380     HEAP32[41520] = $storemerge;
   45381     $call12 = _feasible_tree() | 0;
   45382     $tobool13 = ($call12 | 0) == 0;
   45383     if ($tobool13) {
   45384       $iter_0 = 0;
   45385       label = 12;
   45386       break;
   45387     } else {
   45388       label = 11;
   45389       break;
   45390     }
   45391    case 11:
   45392     _freeTreeList();
   45393     $retval_0 = 1;
   45394     label = 28;
   45395     break;
   45396    case 12:
   45397     $call16 = _leave_edge() | 0;
   45398     $tobool17 = ($call16 | 0) == 0;
   45399     if ($tobool17) {
   45400       $iter_1 = $iter_0;
   45401       label = 20;
   45402       break;
   45403     } else {
   45404       label = 13;
   45405       break;
   45406     }
   45407    case 13:
   45408     $call18 = _enter_edge($call16) | 0;
   45409     _update($call16, $call18);
   45410     $inc = $iter_0 + 1 | 0;
   45411     $5 = HEAP8[164912] | 0;
   45412     $tobool19 = $5 << 24 >> 24 == 0;
   45413     if ($tobool19) {
   45414       label = 19;
   45415       break;
   45416     } else {
   45417       label = 14;
   45418       break;
   45419     }
   45420    case 14:
   45421     $rem = ($inc | 0) % 100;
   45422     $cmp20 = ($rem | 0) == 0;
   45423     if ($cmp20) {
   45424       label = 15;
   45425       break;
   45426     } else {
   45427       label = 19;
   45428       break;
   45429     }
   45430    case 15:
   45431     $rem23 = ($inc | 0) % 1e3;
   45432     $cmp24 = ($rem23 | 0) == 100;
   45433     $6 = HEAP32[_stderr >> 2] | 0;
   45434     if ($cmp24) {
   45435       label = 16;
   45436       break;
   45437     } else {
   45438       label = 17;
   45439       break;
   45440     }
   45441    case 16:
   45442     $7 = _fwrite(102112, 17, 1, $6 | 0) | 0;
   45443     $8 = HEAP32[_stderr >> 2] | 0;
   45444     $call2917 = _fprintf($8 | 0, 115224, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $inc, tempInt) | 0) | 0;
   45445     label = 19;
   45446     break;
   45447    case 17:
   45448     $call29 = _fprintf($6 | 0, 115224, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $inc, tempInt) | 0) | 0;
   45449     $cmp31 = ($rem23 | 0) == 0;
   45450     if ($cmp31) {
   45451       label = 18;
   45452       break;
   45453     } else {
   45454       label = 19;
   45455       break;
   45456     }
   45457    case 18:
   45458     $9 = HEAP32[_stderr >> 2] | 0;
   45459     $call34 = _fputc(10, $9 | 0) | 0;
   45460     label = 19;
   45461     break;
   45462    case 19:
   45463     $cmp37 = ($inc | 0) < ($maxiter | 0);
   45464     if ($cmp37) {
   45465       $iter_0 = $inc;
   45466       label = 12;
   45467       break;
   45468     } else {
   45469       $iter_1 = $inc;
   45470       label = 20;
   45471       break;
   45472     }
   45473    case 20:
   45474     if (($balance | 0) == 1) {
   45475       label = 21;
   45476       break;
   45477     } else if (($balance | 0) == 2) {
   45478       label = 22;
   45479       break;
   45480     } else {
   45481       label = 23;
   45482       break;
   45483     }
   45484    case 21:
   45485     _TB_balance();
   45486     label = 24;
   45487     break;
   45488    case 22:
   45489     _LR_balance();
   45490     label = 24;
   45491     break;
   45492    case 23:
   45493     _scan_and_normalize();
   45494     label = 24;
   45495     break;
   45496    case 24:
   45497     $10 = HEAP8[164912] | 0;
   45498     $tobool42 = $10 << 24 >> 24 == 0;
   45499     if ($tobool42) {
   45500       $retval_0 = 0;
   45501       label = 28;
   45502       break;
   45503     } else {
   45504       label = 25;
   45505       break;
   45506     }
   45507    case 25:
   45508     $cmp44 = ($iter_1 | 0) > 99;
   45509     if ($cmp44) {
   45510       label = 26;
   45511       break;
   45512     } else {
   45513       label = 27;
   45514       break;
   45515     }
   45516    case 26:
   45517     $11 = HEAP32[_stderr >> 2] | 0;
   45518     $call47 = _fputc(10, $11 | 0) | 0;
   45519     label = 27;
   45520     break;
   45521    case 27:
   45522     $12 = HEAP32[_stderr >> 2] | 0;
   45523     $13 = HEAP32[41584] | 0;
   45524     $14 = HEAP32[41606] | 0;
   45525     $call49 = +_elapsed_sec();
   45526     $call50 = _fprintf($12 | 0, 110368, (tempInt = STACKTOP, STACKTOP = STACKTOP + 40 | 0, HEAP32[tempInt >> 2] = 102112, HEAP32[tempInt + 8 >> 2] = $13, HEAP32[tempInt + 16 >> 2] = $14, HEAP32[tempInt + 24 >> 2] = $iter_1, HEAPF64[tempInt + 32 >> 3] = $call49, tempInt) | 0) | 0;
   45527     $retval_0 = 0;
   45528     label = 28;
   45529     break;
   45530    case 28:
   45531     STACKTOP = __stackBase__;
   45532     return $retval_0 | 0;
   45533   }
   45534   return 0;
   45535 }
   45536 function _leave_edge() {
   45537   var $0 = 0, $1 = 0, $cmp20 = 0, $2 = 0, $cnt_022 = 0, $rv_021 = 0, $3 = 0, $arrayidx = 0, $4 = 0, $cutvalue = 0, $5 = 0, $cmp1 = 0, $tobool = 0, $cutvalue4 = 0, $6 = 0, $cmp7 = 0, $_rv_0 = 0, $rv_1 = 0, $inc = 0, $7 = 0, $cmp11 = 0, $rv_2 = 0, $cnt_1 = 0, $8 = 0, $inc15 = 0, $9 = 0, $cmp = 0, $cnt_0_lcssa = 0, $rv_0_lcssa = 0, $cmp16 = 0, $cnt_215 = 0, $rv_314 = 0, $storemerge13 = 0, $10 = 0, $arrayidx21 = 0, $11 = 0, $cutvalue23 = 0, $12 = 0, $cmp24 = 0, $tobool26 = 0, $cutvalue29 = 0, $13 = 0, $cmp32 = 0, $_rv_3 = 0, $rv_4 = 0, $inc38 = 0, $14 = 0, $cmp39 = 0, $rv_5 = 0, $cnt_3 = 0, $15 = 0, $inc43 = 0, $cmp19 = 0, $retval_0 = 0, label = 0;
   45538   label = 2;
   45539   while (1) switch (label | 0) {
   45540    case 2:
   45541     $0 = HEAP32[41522] | 0;
   45542     $1 = HEAP32[41338] | 0;
   45543     $cmp20 = ($0 | 0) < ($1 | 0);
   45544     if ($cmp20) {
   45545       $rv_021 = 0;
   45546       $cnt_022 = 0;
   45547       $2 = $0;
   45548       label = 3;
   45549       break;
   45550     } else {
   45551       $rv_0_lcssa = 0;
   45552       $cnt_0_lcssa = 0;
   45553       label = 8;
   45554       break;
   45555     }
   45556    case 3:
   45557     $3 = HEAP32[41340] | 0;
   45558     $arrayidx = $3 + ($2 << 2) | 0;
   45559     $4 = HEAP32[$arrayidx >> 2] | 0;
   45560     $cutvalue = $4 + 176 | 0;
   45561     $5 = HEAP32[$cutvalue >> 2] | 0;
   45562     $cmp1 = ($5 | 0) < 0;
   45563     if ($cmp1) {
   45564       label = 4;
   45565       break;
   45566     } else {
   45567       $cnt_1 = $cnt_022;
   45568       $rv_2 = $rv_021;
   45569       label = 7;
   45570       break;
   45571     }
   45572    case 4:
   45573     $tobool = ($rv_021 | 0) == 0;
   45574     if ($tobool) {
   45575       $rv_1 = $4;
   45576       label = 6;
   45577       break;
   45578     } else {
   45579       label = 5;
   45580       break;
   45581     }
   45582    case 5:
   45583     $cutvalue4 = $rv_021 + 176 | 0;
   45584     $6 = HEAP32[$cutvalue4 >> 2] | 0;
   45585     $cmp7 = ($6 | 0) > ($5 | 0);
   45586     $_rv_0 = $cmp7 ? $4 : $rv_021;
   45587     $rv_1 = $_rv_0;
   45588     label = 6;
   45589     break;
   45590    case 6:
   45591     $inc = $cnt_022 + 1 | 0;
   45592     $7 = HEAP32[41520] | 0;
   45593     $cmp11 = ($inc | 0) < ($7 | 0);
   45594     if ($cmp11) {
   45595       $cnt_1 = $inc;
   45596       $rv_2 = $rv_1;
   45597       label = 7;
   45598       break;
   45599     } else {
   45600       $retval_0 = $rv_1;
   45601       label = 15;
   45602       break;
   45603     }
   45604    case 7:
   45605     $8 = HEAP32[41522] | 0;
   45606     $inc15 = $8 + 1 | 0;
   45607     HEAP32[41522] = $inc15;
   45608     $9 = HEAP32[41338] | 0;
   45609     $cmp = ($inc15 | 0) < ($9 | 0);
   45610     if ($cmp) {
   45611       $rv_021 = $rv_2;
   45612       $cnt_022 = $cnt_1;
   45613       $2 = $inc15;
   45614       label = 3;
   45615       break;
   45616     } else {
   45617       $rv_0_lcssa = $rv_2;
   45618       $cnt_0_lcssa = $cnt_1;
   45619       label = 8;
   45620       break;
   45621     }
   45622    case 8:
   45623     $cmp16 = ($0 | 0) > 0;
   45624     if ($cmp16) {
   45625       label = 9;
   45626       break;
   45627     } else {
   45628       $retval_0 = $rv_0_lcssa;
   45629       label = 15;
   45630       break;
   45631     }
   45632    case 9:
   45633     HEAP32[41522] = 0;
   45634     $storemerge13 = 0;
   45635     $rv_314 = $rv_0_lcssa;
   45636     $cnt_215 = $cnt_0_lcssa;
   45637     label = 10;
   45638     break;
   45639    case 10:
   45640     $10 = HEAP32[41340] | 0;
   45641     $arrayidx21 = $10 + ($storemerge13 << 2) | 0;
   45642     $11 = HEAP32[$arrayidx21 >> 2] | 0;
   45643     $cutvalue23 = $11 + 176 | 0;
   45644     $12 = HEAP32[$cutvalue23 >> 2] | 0;
   45645     $cmp24 = ($12 | 0) < 0;
   45646     if ($cmp24) {
   45647       label = 11;
   45648       break;
   45649     } else {
   45650       $cnt_3 = $cnt_215;
   45651       $rv_5 = $rv_314;
   45652       label = 14;
   45653       break;
   45654     }
   45655    case 11:
   45656     $tobool26 = ($rv_314 | 0) == 0;
   45657     if ($tobool26) {
   45658       $rv_4 = $11;
   45659       label = 13;
   45660       break;
   45661     } else {
   45662       label = 12;
   45663       break;
   45664     }
   45665    case 12:
   45666     $cutvalue29 = $rv_314 + 176 | 0;
   45667     $13 = HEAP32[$cutvalue29 >> 2] | 0;
   45668     $cmp32 = ($13 | 0) > ($12 | 0);
   45669     $_rv_3 = $cmp32 ? $11 : $rv_314;
   45670     $rv_4 = $_rv_3;
   45671     label = 13;
   45672     break;
   45673    case 13:
   45674     $inc38 = $cnt_215 + 1 | 0;
   45675     $14 = HEAP32[41520] | 0;
   45676     $cmp39 = ($inc38 | 0) < ($14 | 0);
   45677     if ($cmp39) {
   45678       $cnt_3 = $inc38;
   45679       $rv_5 = $rv_4;
   45680       label = 14;
   45681       break;
   45682     } else {
   45683       $retval_0 = $rv_4;
   45684       label = 15;
   45685       break;
   45686     }
   45687    case 14:
   45688     $15 = HEAP32[41522] | 0;
   45689     $inc43 = $15 + 1 | 0;
   45690     HEAP32[41522] = $inc43;
   45691     $cmp19 = ($inc43 | 0) < ($0 | 0);
   45692     if ($cmp19) {
   45693       $storemerge13 = $inc43;
   45694       $rv_314 = $rv_5;
   45695       $cnt_215 = $cnt_3;
   45696       label = 10;
   45697       break;
   45698     } else {
   45699       $retval_0 = $rv_5;
   45700       label = 15;
   45701       break;
   45702     }
   45703    case 15:
   45704     return $retval_0 | 0;
   45705   }
   45706   return 0;
   45707 }
   45708 function _init_graph($g) {
   45709   $g = $g | 0;
   45710   var $nlist = 0, $n_040 = 0, $tobool41 = 0, $n_042 = 0, $mark = 0, $0 = 0, $inc = 0, $list = 0, $1 = 0, $2 = 0, $tobool438 = 0, $i_039 = 0, $3 = 0, $inc6 = 0, $inc7 = 0, $4 = 0, $arrayidx = 0, $5 = 0, $tobool4 = 0, $next = 0, $n_0 = 0, $tobool = 0, $6 = 0, $tobool11 = 0, $7 = 0, $8 = 0, $mul = 0, $call = 0, $9 = 0, $mul12 = 0, $call13 = 0, $call_sink = 0, $10 = 0, $11 = 0, $tobool14 = 0, $12 = 0, $13 = 0, $mul16 = 0, $call17 = 0, $14 = 0, $mul19 = 0, $call20 = 0, $call17_sink = 0, $15 = 0, $n_132 = 0, $tobool2633 = 0, $n_135 = 0, $feasible_034 = 0, $priority = 0, $list31 = 0, $16 = 0, $17 = 0, $tobool3328 = 0, $18 = 0, $feasible_130 = 0, $i_129 = 0, $19 = 0, $inc37 = 0, $cutvalue = 0, $tree_index = 0, $tobool40 = 0, $head = 0, $20 = 0, $rank = 0, $21 = 0, $tail = 0, $22 = 0, $rank43 = 0, $23 = 0, $sub = 0, $minlen = 0, $24 = 0, $conv = 0, $cmp = 0, $_feasible_1 = 0, $feasible_2 = 0, $inc47 = 0, $25 = 0, $arrayidx32 = 0, $26 = 0, $tobool33 = 0, $phitmp = 0, $phitmp43 = 0, $feasible_1_lcssa = 0, $i_1_lcssa = 0, $call50 = 0, $27 = 0, $list52 = 0, $size = 0, $list58 = 0, $28 = 0, $i_2 = 0, $arrayidx59 = 0, $29 = 0, $tobool60 = 0, $inc63 = 0, $mul66 = 0, $call67 = 0, $30 = 0, $list69 = 0, $size72 = 0, $next75 = 0, $n_1 = 0, $tobool26 = 0, $feasible_0_lcssa = 0, label = 0;
   45711   label = 2;
   45712   while (1) switch (label | 0) {
   45713    case 2:
   45714     HEAP32[41882] = $g;
   45715     HEAP32[41522] = 0;
   45716     HEAP32[41606] = 0;
   45717     HEAP32[41584] = 0;
   45718     $nlist = $g + 220 | 0;
   45719     $n_040 = HEAP32[$nlist >> 2] | 0;
   45720     $tobool41 = ($n_040 | 0) == 0;
   45721     if ($tobool41) {
   45722       label = 6;
   45723       break;
   45724     } else {
   45725       $n_042 = $n_040;
   45726       label = 3;
   45727       break;
   45728     }
   45729    case 3:
   45730     $mark = $n_042 + 163 | 0;
   45731     HEAP8[$mark] = 0;
   45732     $0 = HEAP32[41584] | 0;
   45733     $inc = $0 + 1 | 0;
   45734     HEAP32[41584] = $inc;
   45735     $list = $n_042 + 184 | 0;
   45736     $1 = HEAP32[$list >> 2] | 0;
   45737     $2 = HEAP32[$1 >> 2] | 0;
   45738     $tobool438 = ($2 | 0) == 0;
   45739     if ($tobool438) {
   45740       label = 5;
   45741       break;
   45742     } else {
   45743       $i_039 = 0;
   45744       label = 4;
   45745       break;
   45746     }
   45747    case 4:
   45748     $3 = HEAP32[41606] | 0;
   45749     $inc6 = $3 + 1 | 0;
   45750     HEAP32[41606] = $inc6;
   45751     $inc7 = $i_039 + 1 | 0;
   45752     $4 = HEAP32[$list >> 2] | 0;
   45753     $arrayidx = $4 + ($inc7 << 2) | 0;
   45754     $5 = HEAP32[$arrayidx >> 2] | 0;
   45755     $tobool4 = ($5 | 0) == 0;
   45756     if ($tobool4) {
   45757       label = 5;
   45758       break;
   45759     } else {
   45760       $i_039 = $inc7;
   45761       label = 4;
   45762       break;
   45763     }
   45764    case 5:
   45765     $next = $n_042 + 168 | 0;
   45766     $n_0 = HEAP32[$next >> 2] | 0;
   45767     $tobool = ($n_0 | 0) == 0;
   45768     if ($tobool) {
   45769       label = 6;
   45770       break;
   45771     } else {
   45772       $n_042 = $n_0;
   45773       label = 3;
   45774       break;
   45775     }
   45776    case 6:
   45777     $6 = HEAP32[41336] | 0;
   45778     $tobool11 = ($6 | 0) == 0;
   45779     if ($tobool11) {
   45780       label = 8;
   45781       break;
   45782     } else {
   45783       label = 7;
   45784       break;
   45785     }
   45786    case 7:
   45787     $7 = $6;
   45788     $8 = HEAP32[41584] | 0;
   45789     $mul = $8 << 2;
   45790     $call = _grealloc($7, $mul) | 0;
   45791     $call_sink = $call;
   45792     label = 9;
   45793     break;
   45794    case 8:
   45795     $9 = HEAP32[41584] | 0;
   45796     $mul12 = $9 << 2;
   45797     $call13 = _gmalloc($mul12) | 0;
   45798     $call_sink = $call13;
   45799     label = 9;
   45800     break;
   45801    case 9:
   45802     $10 = $call_sink;
   45803     HEAP32[41336] = $10;
   45804     HEAP32[41334] = 0;
   45805     $11 = HEAP32[41340] | 0;
   45806     $tobool14 = ($11 | 0) == 0;
   45807     if ($tobool14) {
   45808       label = 11;
   45809       break;
   45810     } else {
   45811       label = 10;
   45812       break;
   45813     }
   45814    case 10:
   45815     $12 = $11;
   45816     $13 = HEAP32[41584] | 0;
   45817     $mul16 = $13 << 2;
   45818     $call17 = _grealloc($12, $mul16) | 0;
   45819     $call17_sink = $call17;
   45820     label = 12;
   45821     break;
   45822    case 11:
   45823     $14 = HEAP32[41584] | 0;
   45824     $mul19 = $14 << 2;
   45825     $call20 = _gmalloc($mul19) | 0;
   45826     $call17_sink = $call20;
   45827     label = 12;
   45828     break;
   45829    case 12:
   45830     $15 = $call17_sink;
   45831     HEAP32[41340] = $15;
   45832     HEAP32[41338] = 0;
   45833     $n_132 = HEAP32[$nlist >> 2] | 0;
   45834     $tobool2633 = ($n_132 | 0) == 0;
   45835     if ($tobool2633) {
   45836       $feasible_0_lcssa = 1;
   45837       label = 21;
   45838       break;
   45839     } else {
   45840       $feasible_034 = 1;
   45841       $n_135 = $n_132;
   45842       label = 13;
   45843       break;
   45844     }
   45845    case 13:
   45846     $priority = $n_135 + 292 | 0;
   45847     HEAP32[$priority >> 2] = 0;
   45848     $list31 = $n_135 + 176 | 0;
   45849     $16 = HEAP32[$list31 >> 2] | 0;
   45850     $17 = HEAP32[$16 >> 2] | 0;
   45851     $tobool3328 = ($17 | 0) == 0;
   45852     if ($tobool3328) {
   45853       $i_1_lcssa = 4;
   45854       $feasible_1_lcssa = $feasible_034;
   45855       label = 18;
   45856       break;
   45857     } else {
   45858       $i_129 = 0;
   45859       $feasible_130 = $feasible_034;
   45860       $18 = $17;
   45861       label = 14;
   45862       break;
   45863     }
   45864    case 14:
   45865     $19 = HEAP32[$priority >> 2] | 0;
   45866     $inc37 = $19 + 1 | 0;
   45867     HEAP32[$priority >> 2] = $inc37;
   45868     $cutvalue = $18 + 176 | 0;
   45869     HEAP32[$cutvalue >> 2] = 0;
   45870     $tree_index = $18 + 180 | 0;
   45871     HEAP32[$tree_index >> 2] = -1;
   45872     $tobool40 = ($feasible_130 | 0) == 0;
   45873     if ($tobool40) {
   45874       $feasible_2 = 0;
   45875       label = 16;
   45876       break;
   45877     } else {
   45878       label = 15;
   45879       break;
   45880     }
   45881    case 15:
   45882     $head = $18 + 12 | 0;
   45883     $20 = HEAP32[$head >> 2] | 0;
   45884     $rank = $20 + 236 | 0;
   45885     $21 = HEAP32[$rank >> 2] | 0;
   45886     $tail = $18 + 16 | 0;
   45887     $22 = HEAP32[$tail >> 2] | 0;
   45888     $rank43 = $22 + 236 | 0;
   45889     $23 = HEAP32[$rank43 >> 2] | 0;
   45890     $sub = $21 - $23 | 0;
   45891     $minlen = $18 + 186 | 0;
   45892     $24 = HEAP16[$minlen >> 1] | 0;
   45893     $conv = $24 & 65535;
   45894     $cmp = ($sub | 0) < ($conv | 0);
   45895     $_feasible_1 = $cmp ? 0 : $feasible_130;
   45896     $feasible_2 = $_feasible_1;
   45897     label = 16;
   45898     break;
   45899    case 16:
   45900     $inc47 = $i_129 + 1 | 0;
   45901     $25 = HEAP32[$list31 >> 2] | 0;
   45902     $arrayidx32 = $25 + ($inc47 << 2) | 0;
   45903     $26 = HEAP32[$arrayidx32 >> 2] | 0;
   45904     $tobool33 = ($26 | 0) == 0;
   45905     if ($tobool33) {
   45906       label = 17;
   45907       break;
   45908     } else {
   45909       $i_129 = $inc47;
   45910       $feasible_130 = $feasible_2;
   45911       $18 = $26;
   45912       label = 14;
   45913       break;
   45914     }
   45915    case 17:
   45916     $phitmp = $inc47 << 2;
   45917     $phitmp43 = $phitmp + 4 | 0;
   45918     $i_1_lcssa = $phitmp43;
   45919     $feasible_1_lcssa = $feasible_2;
   45920     label = 18;
   45921     break;
   45922    case 18:
   45923     $call50 = _zmalloc($i_1_lcssa) | 0;
   45924     $27 = $call50;
   45925     $list52 = $n_135 + 264 | 0;
   45926     HEAP32[$list52 >> 2] = $27;
   45927     $size = $n_135 + 268 | 0;
   45928     HEAP32[$size >> 2] = 0;
   45929     $list58 = $n_135 + 184 | 0;
   45930     $28 = HEAP32[$list58 >> 2] | 0;
   45931     $i_2 = 0;
   45932     label = 19;
   45933     break;
   45934    case 19:
   45935     $arrayidx59 = $28 + ($i_2 << 2) | 0;
   45936     $29 = HEAP32[$arrayidx59 >> 2] | 0;
   45937     $tobool60 = ($29 | 0) == 0;
   45938     $inc63 = $i_2 + 1 | 0;
   45939     if ($tobool60) {
   45940       label = 20;
   45941       break;
   45942     } else {
   45943       $i_2 = $inc63;
   45944       label = 19;
   45945       break;
   45946     }
   45947    case 20:
   45948     $mul66 = $inc63 << 2;
   45949     $call67 = _zmalloc($mul66) | 0;
   45950     $30 = $call67;
   45951     $list69 = $n_135 + 272 | 0;
   45952     HEAP32[$list69 >> 2] = $30;
   45953     $size72 = $n_135 + 276 | 0;
   45954     HEAP32[$size72 >> 2] = 0;
   45955     $next75 = $n_135 + 168 | 0;
   45956     $n_1 = HEAP32[$next75 >> 2] | 0;
   45957     $tobool26 = ($n_1 | 0) == 0;
   45958     if ($tobool26) {
   45959       $feasible_0_lcssa = $feasible_1_lcssa;
   45960       label = 21;
   45961       break;
   45962     } else {
   45963       $feasible_034 = $feasible_1_lcssa;
   45964       $n_135 = $n_1;
   45965       label = 13;
   45966       break;
   45967     }
   45968    case 21:
   45969     return $feasible_0_lcssa | 0;
   45970   }
   45971   return 0;
   45972 }
   45973 function _init_rank() {
   45974   var $0 = 0, $call = 0, $1 = 0, $nlist = 0, $v_040 = 0, $tobool41 = 0, $call336 = 0, $tobool437 = 0, $v_042 = 0, $priority = 0, $2 = 0, $cmp = 0, $next = 0, $v_0 = 0, $tobool = 0, $call3 = 0, $tobool4 = 0, $call339 = 0, $ctr_038 = 0, $rank = 0, $inc = 0, $list = 0, $3 = 0, $4 = 0, $tobool831 = 0, $5 = 0, $i_032 = 0, $6 = 0, $tail = 0, $7 = 0, $rank13 = 0, $8 = 0, $minlen = 0, $9 = 0, $conv = 0, $add = 0, $cmp15 = 0, $_add = 0, $inc29 = 0, $10 = 0, $arrayidx = 0, $11 = 0, $tobool8 = 0, $list33 = 0, $12 = 0, $13 = 0, $tobool3534 = 0, $14 = 0, $i_135 = 0, $head = 0, $15 = 0, $priority38 = 0, $16 = 0, $dec = 0, $cmp39 = 0, $17 = 0, $inc45 = 0, $18 = 0, $arrayidx34 = 0, $19 = 0, $tobool35 = 0, $ctr_0_lcssa = 0, $20 = 0, $cmp47 = 0, $call50 = 0, $21 = 0, $nlist52 = 0, $v_127 = 0, $tobool5428 = 0, $v_129 = 0, $priority57 = 0, $22 = 0, $tobool58 = 0, $name = 0, $23 = 0, $call62 = 0, $next66 = 0, $v_1 = 0, $tobool54 = 0, label = 0, __stackBase__ = 0;
   45975   __stackBase__ = STACKTOP;
   45976   label = 2;
   45977   while (1) switch (label | 0) {
   45978    case 2:
   45979     $0 = HEAP32[41584] | 0;
   45980     $call = _new_queue($0) | 0;
   45981     $1 = HEAP32[41882] | 0;
   45982     $nlist = $1 + 220 | 0;
   45983     $v_040 = HEAP32[$nlist >> 2] | 0;
   45984     $tobool41 = ($v_040 | 0) == 0;
   45985     if ($tobool41) {
   45986       label = 3;
   45987       break;
   45988     } else {
   45989       $v_042 = $v_040;
   45990       label = 4;
   45991       break;
   45992     }
   45993    case 3:
   45994     $call336 = _dequeue($call) | 0;
   45995     $tobool437 = ($call336 | 0) == 0;
   45996     if ($tobool437) {
   45997       $ctr_0_lcssa = 0;
   45998       label = 14;
   45999       break;
   46000     } else {
   46001       $ctr_038 = 0;
   46002       $call339 = $call336;
   46003       label = 8;
   46004       break;
   46005     }
   46006    case 4:
   46007     $priority = $v_042 + 292 | 0;
   46008     $2 = HEAP32[$priority >> 2] | 0;
   46009     $cmp = ($2 | 0) == 0;
   46010     if ($cmp) {
   46011       label = 5;
   46012       break;
   46013     } else {
   46014       label = 6;
   46015       break;
   46016     }
   46017    case 5:
   46018     _enqueue($call, $v_042);
   46019     label = 6;
   46020     break;
   46021    case 6:
   46022     $next = $v_042 + 168 | 0;
   46023     $v_0 = HEAP32[$next >> 2] | 0;
   46024     $tobool = ($v_0 | 0) == 0;
   46025     if ($tobool) {
   46026       label = 3;
   46027       break;
   46028     } else {
   46029       $v_042 = $v_0;
   46030       label = 4;
   46031       break;
   46032     }
   46033    case 7:
   46034     $call3 = _dequeue($call) | 0;
   46035     $tobool4 = ($call3 | 0) == 0;
   46036     if ($tobool4) {
   46037       $ctr_0_lcssa = $inc;
   46038       label = 14;
   46039       break;
   46040     } else {
   46041       $ctr_038 = $inc;
   46042       $call339 = $call3;
   46043       label = 8;
   46044       break;
   46045     }
   46046    case 8:
   46047     $rank = $call339 + 236 | 0;
   46048     HEAP32[$rank >> 2] = 0;
   46049     $inc = $ctr_038 + 1 | 0;
   46050     $list = $call339 + 176 | 0;
   46051     $3 = HEAP32[$list >> 2] | 0;
   46052     $4 = HEAP32[$3 >> 2] | 0;
   46053     $tobool831 = ($4 | 0) == 0;
   46054     if ($tobool831) {
   46055       label = 10;
   46056       break;
   46057     } else {
   46058       $i_032 = 0;
   46059       $5 = $4;
   46060       label = 9;
   46061       break;
   46062     }
   46063    case 9:
   46064     $6 = HEAP32[$rank >> 2] | 0;
   46065     $tail = $5 + 16 | 0;
   46066     $7 = HEAP32[$tail >> 2] | 0;
   46067     $rank13 = $7 + 236 | 0;
   46068     $8 = HEAP32[$rank13 >> 2] | 0;
   46069     $minlen = $5 + 186 | 0;
   46070     $9 = HEAP16[$minlen >> 1] | 0;
   46071     $conv = $9 & 65535;
   46072     $add = $conv + $8 | 0;
   46073     $cmp15 = ($6 | 0) > ($add | 0);
   46074     $_add = $cmp15 ? $6 : $add;
   46075     HEAP32[$rank >> 2] = $_add;
   46076     $inc29 = $i_032 + 1 | 0;
   46077     $10 = HEAP32[$list >> 2] | 0;
   46078     $arrayidx = $10 + ($inc29 << 2) | 0;
   46079     $11 = HEAP32[$arrayidx >> 2] | 0;
   46080     $tobool8 = ($11 | 0) == 0;
   46081     if ($tobool8) {
   46082       label = 10;
   46083       break;
   46084     } else {
   46085       $i_032 = $inc29;
   46086       $5 = $11;
   46087       label = 9;
   46088       break;
   46089     }
   46090    case 10:
   46091     $list33 = $call339 + 184 | 0;
   46092     $12 = HEAP32[$list33 >> 2] | 0;
   46093     $13 = HEAP32[$12 >> 2] | 0;
   46094     $tobool3534 = ($13 | 0) == 0;
   46095     if ($tobool3534) {
   46096       label = 7;
   46097       break;
   46098     } else {
   46099       $i_135 = 0;
   46100       $14 = $13;
   46101       label = 11;
   46102       break;
   46103     }
   46104    case 11:
   46105     $head = $14 + 12 | 0;
   46106     $15 = HEAP32[$head >> 2] | 0;
   46107     $priority38 = $15 + 292 | 0;
   46108     $16 = HEAP32[$priority38 >> 2] | 0;
   46109     $dec = $16 - 1 | 0;
   46110     HEAP32[$priority38 >> 2] = $dec;
   46111     $cmp39 = ($dec | 0) < 1;
   46112     if ($cmp39) {
   46113       label = 12;
   46114       break;
   46115     } else {
   46116       label = 13;
   46117       break;
   46118     }
   46119    case 12:
   46120     $17 = HEAP32[$head >> 2] | 0;
   46121     _enqueue($call, $17);
   46122     label = 13;
   46123     break;
   46124    case 13:
   46125     $inc45 = $i_135 + 1 | 0;
   46126     $18 = HEAP32[$list33 >> 2] | 0;
   46127     $arrayidx34 = $18 + ($inc45 << 2) | 0;
   46128     $19 = HEAP32[$arrayidx34 >> 2] | 0;
   46129     $tobool35 = ($19 | 0) == 0;
   46130     if ($tobool35) {
   46131       label = 7;
   46132       break;
   46133     } else {
   46134       $i_135 = $inc45;
   46135       $14 = $19;
   46136       label = 11;
   46137       break;
   46138     }
   46139    case 14:
   46140     $20 = HEAP32[41584] | 0;
   46141     $cmp47 = ($ctr_0_lcssa | 0) == ($20 | 0);
   46142     if ($cmp47) {
   46143       label = 19;
   46144       break;
   46145     } else {
   46146       label = 15;
   46147       break;
   46148     }
   46149    case 15:
   46150     $call50 = _agerr(1, 106192, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0) | 0;
   46151     $21 = HEAP32[41882] | 0;
   46152     $nlist52 = $21 + 220 | 0;
   46153     $v_127 = HEAP32[$nlist52 >> 2] | 0;
   46154     $tobool5428 = ($v_127 | 0) == 0;
   46155     if ($tobool5428) {
   46156       label = 19;
   46157       break;
   46158     } else {
   46159       $v_129 = $v_127;
   46160       label = 16;
   46161       break;
   46162     }
   46163    case 16:
   46164     $priority57 = $v_129 + 292 | 0;
   46165     $22 = HEAP32[$priority57 >> 2] | 0;
   46166     $tobool58 = ($22 | 0) == 0;
   46167     if ($tobool58) {
   46168       label = 18;
   46169       break;
   46170     } else {
   46171       label = 17;
   46172       break;
   46173     }
   46174    case 17:
   46175     $name = $v_129 + 12 | 0;
   46176     $23 = HEAP32[$name >> 2] | 0;
   46177     $call62 = _agerr(3, 102288, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $23, HEAP32[tempInt + 8 >> 2] = $22, tempInt) | 0) | 0;
   46178     label = 18;
   46179     break;
   46180    case 18:
   46181     $next66 = $v_129 + 168 | 0;
   46182     $v_1 = HEAP32[$next66 >> 2] | 0;
   46183     $tobool54 = ($v_1 | 0) == 0;
   46184     if ($tobool54) {
   46185       label = 19;
   46186       break;
   46187     } else {
   46188       $v_129 = $v_1;
   46189       label = 16;
   46190       break;
   46191     }
   46192    case 19:
   46193     _free_queue($call);
   46194     STACKTOP = __stackBase__;
   46195     return;
   46196   }
   46197 }
   46198 function _freeTreeList() {
   46199   var $0 = 0, $nlist = 0, $n_01 = 0, $tobool3 = 0, $n_04 = 0, $list = 0, $1 = 0, $tobool2 = 0, $2 = 0, $list8 = 0, $3 = 0, $tobool9 = 0, $4 = 0, $mark = 0, $next = 0, $n_0 = 0, $tobool = 0, label = 0;
   46200   label = 2;
   46201   while (1) switch (label | 0) {
   46202    case 2:
   46203     $0 = HEAP32[41882] | 0;
   46204     $nlist = $0 + 220 | 0;
   46205     $n_01 = HEAP32[$nlist >> 2] | 0;
   46206     $tobool3 = ($n_01 | 0) == 0;
   46207     if ($tobool3) {
   46208       label = 8;
   46209       break;
   46210     } else {
   46211       $n_04 = $n_01;
   46212       label = 3;
   46213       break;
   46214     }
   46215    case 3:
   46216     $list = $n_04 + 264 | 0;
   46217     $1 = HEAP32[$list >> 2] | 0;
   46218     $tobool2 = ($1 | 0) == 0;
   46219     if ($tobool2) {
   46220       label = 5;
   46221       break;
   46222     } else {
   46223       label = 4;
   46224       break;
   46225     }
   46226    case 4:
   46227     $2 = $1;
   46228     _free($2);
   46229     label = 5;
   46230     break;
   46231    case 5:
   46232     $list8 = $n_04 + 272 | 0;
   46233     $3 = HEAP32[$list8 >> 2] | 0;
   46234     $tobool9 = ($3 | 0) == 0;
   46235     if ($tobool9) {
   46236       label = 7;
   46237       break;
   46238     } else {
   46239       label = 6;
   46240       break;
   46241     }
   46242    case 6:
   46243     $4 = $3;
   46244     _free($4);
   46245     label = 7;
   46246     break;
   46247    case 7:
   46248     $mark = $n_04 + 163 | 0;
   46249     HEAP8[$mark] = 0;
   46250     $next = $n_04 + 168 | 0;
   46251     $n_0 = HEAP32[$next >> 2] | 0;
   46252     $tobool = ($n_0 | 0) == 0;
   46253     if ($tobool) {
   46254       label = 8;
   46255       break;
   46256     } else {
   46257       $n_04 = $n_0;
   46258       label = 3;
   46259       break;
   46260     }
   46261    case 8:
   46262     return;
   46263   }
   46264 }
   46265 function _feasible_tree() {
   46266   var $0 = 0, $cmp = 0, $call35 = 0, $1 = 0, $cmp136 = 0, $2 = 0, $nlist = 0, $n_028 = 0, $tobool29 = 0, $n_031 = 0, $e_030 = 0, $list = 0, $3 = 0, $4 = 0, $tobool425 = 0, $5 = 0, $6 = 0, $e_127 = 0, $i_026 = 0, $tree_index = 0, $7 = 0, $cmp8 = 0, $call10 = 0, $tobool11 = 0, $cmp13 = 0, $head = 0, $8 = 0, $rank = 0, $9 = 0, $tail = 0, $10 = 0, $rank17 = 0, $11 = 0, $sub = 0, $minlen = 0, $12 = 0, $conv19 = 0, $sub20 = 0, $head21 = 0, $13 = 0, $rank23 = 0, $14 = 0, $tail24 = 0, $15 = 0, $rank26 = 0, $16 = 0, $sub27 = 0, $minlen29 = 0, $17 = 0, $conv30 = 0, $sub31 = 0, $cmp32 = 0, $e_2 = 0, $inc = 0, $arrayidx = 0, $18 = 0, $tobool4 = 0, $e_1_lcssa = 0, $next = 0, $n_0 = 0, $tobool = 0, $tobool39 = 0, $head41 = 0, $19 = 0, $rank43 = 0, $20 = 0, $tail44 = 0, $21 = 0, $rank46 = 0, $22 = 0, $sub47 = 0, $minlen49 = 0, $23 = 0, $conv50 = 0, $sub51 = 0, $tobool52 = 0, $call = 0, $24 = 0, $cmp1 = 0, $call54 = 0, $cmp56 = 0, $sub59 = 0, $sub59_sub51 = 0, $25 = 0, $cmp6233 = 0, $i_134 = 0, $26 = 0, $arrayidx65 = 0, $27 = 0, $rank67 = 0, $28 = 0, $add = 0, $inc69 = 0, $29 = 0, $cmp62 = 0, $retval_0 = 0, label = 0;
   46267   label = 2;
   46268   while (1) switch (label | 0) {
   46269    case 2:
   46270     $0 = HEAP32[41584] | 0;
   46271     $cmp = ($0 | 0) < 2;
   46272     if ($cmp) {
   46273       $retval_0 = 0;
   46274       label = 20;
   46275       break;
   46276     } else {
   46277       label = 3;
   46278       break;
   46279     }
   46280    case 3:
   46281     $call35 = _tight_tree() | 0;
   46282     $1 = HEAP32[41584] | 0;
   46283     $cmp136 = ($call35 | 0) < ($1 | 0);
   46284     if ($cmp136) {
   46285       label = 4;
   46286       break;
   46287     } else {
   46288       label = 19;
   46289       break;
   46290     }
   46291    case 4:
   46292     $2 = HEAP32[41882] | 0;
   46293     $nlist = $2 + 220 | 0;
   46294     $n_028 = HEAP32[$nlist >> 2] | 0;
   46295     $tobool29 = ($n_028 | 0) == 0;
   46296     if ($tobool29) {
   46297       $retval_0 = 1;
   46298       label = 20;
   46299       break;
   46300     } else {
   46301       $e_030 = 0;
   46302       $n_031 = $n_028;
   46303       label = 5;
   46304       break;
   46305     }
   46306    case 5:
   46307     $list = $n_031 + 184 | 0;
   46308     $3 = HEAP32[$list >> 2] | 0;
   46309     $4 = HEAP32[$3 >> 2] | 0;
   46310     $tobool425 = ($4 | 0) == 0;
   46311     if ($tobool425) {
   46312       $e_1_lcssa = $e_030;
   46313       label = 13;
   46314       break;
   46315     } else {
   46316       label = 6;
   46317       break;
   46318     }
   46319    case 6:
   46320     $5 = HEAP32[$list >> 2] | 0;
   46321     $i_026 = 0;
   46322     $e_127 = $e_030;
   46323     $6 = $4;
   46324     label = 7;
   46325     break;
   46326    case 7:
   46327     $tree_index = $6 + 180 | 0;
   46328     $7 = HEAP32[$tree_index >> 2] | 0;
   46329     $cmp8 = ($7 | 0) < 0;
   46330     if ($cmp8) {
   46331       label = 8;
   46332       break;
   46333     } else {
   46334       $e_2 = $e_127;
   46335       label = 12;
   46336       break;
   46337     }
   46338    case 8:
   46339     $call10 = _incident($6) | 0;
   46340     $tobool11 = ($call10 | 0) == 0;
   46341     if ($tobool11) {
   46342       $e_2 = $e_127;
   46343       label = 12;
   46344       break;
   46345     } else {
   46346       label = 9;
   46347       break;
   46348     }
   46349    case 9:
   46350     $cmp13 = ($e_127 | 0) == 0;
   46351     if ($cmp13) {
   46352       label = 11;
   46353       break;
   46354     } else {
   46355       label = 10;
   46356       break;
   46357     }
   46358    case 10:
   46359     $head = $6 + 12 | 0;
   46360     $8 = HEAP32[$head >> 2] | 0;
   46361     $rank = $8 + 236 | 0;
   46362     $9 = HEAP32[$rank >> 2] | 0;
   46363     $tail = $6 + 16 | 0;
   46364     $10 = HEAP32[$tail >> 2] | 0;
   46365     $rank17 = $10 + 236 | 0;
   46366     $11 = HEAP32[$rank17 >> 2] | 0;
   46367     $sub = $9 - $11 | 0;
   46368     $minlen = $6 + 186 | 0;
   46369     $12 = HEAP16[$minlen >> 1] | 0;
   46370     $conv19 = $12 & 65535;
   46371     $sub20 = $sub - $conv19 | 0;
   46372     $head21 = $e_127 + 12 | 0;
   46373     $13 = HEAP32[$head21 >> 2] | 0;
   46374     $rank23 = $13 + 236 | 0;
   46375     $14 = HEAP32[$rank23 >> 2] | 0;
   46376     $tail24 = $e_127 + 16 | 0;
   46377     $15 = HEAP32[$tail24 >> 2] | 0;
   46378     $rank26 = $15 + 236 | 0;
   46379     $16 = HEAP32[$rank26 >> 2] | 0;
   46380     $sub27 = $14 - $16 | 0;
   46381     $minlen29 = $e_127 + 186 | 0;
   46382     $17 = HEAP16[$minlen29 >> 1] | 0;
   46383     $conv30 = $17 & 65535;
   46384     $sub31 = $sub27 - $conv30 | 0;
   46385     $cmp32 = ($sub20 | 0) < ($sub31 | 0);
   46386     if ($cmp32) {
   46387       label = 11;
   46388       break;
   46389     } else {
   46390       $e_2 = $e_127;
   46391       label = 12;
   46392       break;
   46393     }
   46394    case 11:
   46395     $e_2 = $6;
   46396     label = 12;
   46397     break;
   46398    case 12:
   46399     $inc = $i_026 + 1 | 0;
   46400     $arrayidx = $5 + ($inc << 2) | 0;
   46401     $18 = HEAP32[$arrayidx >> 2] | 0;
   46402     $tobool4 = ($18 | 0) == 0;
   46403     if ($tobool4) {
   46404       $e_1_lcssa = $e_2;
   46405       label = 13;
   46406       break;
   46407     } else {
   46408       $i_026 = $inc;
   46409       $e_127 = $e_2;
   46410       $6 = $18;
   46411       label = 7;
   46412       break;
   46413     }
   46414    case 13:
   46415     $next = $n_031 + 168 | 0;
   46416     $n_0 = HEAP32[$next >> 2] | 0;
   46417     $tobool = ($n_0 | 0) == 0;
   46418     if ($tobool) {
   46419       label = 14;
   46420       break;
   46421     } else {
   46422       $e_030 = $e_1_lcssa;
   46423       $n_031 = $n_0;
   46424       label = 5;
   46425       break;
   46426     }
   46427    case 14:
   46428     $tobool39 = ($e_1_lcssa | 0) == 0;
   46429     if ($tobool39) {
   46430       $retval_0 = 1;
   46431       label = 20;
   46432       break;
   46433     } else {
   46434       label = 15;
   46435       break;
   46436     }
   46437    case 15:
   46438     $head41 = $e_1_lcssa + 12 | 0;
   46439     $19 = HEAP32[$head41 >> 2] | 0;
   46440     $rank43 = $19 + 236 | 0;
   46441     $20 = HEAP32[$rank43 >> 2] | 0;
   46442     $tail44 = $e_1_lcssa + 16 | 0;
   46443     $21 = HEAP32[$tail44 >> 2] | 0;
   46444     $rank46 = $21 + 236 | 0;
   46445     $22 = HEAP32[$rank46 >> 2] | 0;
   46446     $sub47 = $20 - $22 | 0;
   46447     $minlen49 = $e_1_lcssa + 186 | 0;
   46448     $23 = HEAP16[$minlen49 >> 1] | 0;
   46449     $conv50 = $23 & 65535;
   46450     $sub51 = $sub47 - $conv50 | 0;
   46451     $tobool52 = ($sub47 | 0) == ($conv50 | 0);
   46452     if ($tobool52) {
   46453       label = 16;
   46454       break;
   46455     } else {
   46456       label = 17;
   46457       break;
   46458     }
   46459    case 16:
   46460     $call = _tight_tree() | 0;
   46461     $24 = HEAP32[41584] | 0;
   46462     $cmp1 = ($call | 0) < ($24 | 0);
   46463     if ($cmp1) {
   46464       label = 4;
   46465       break;
   46466     } else {
   46467       label = 19;
   46468       break;
   46469     }
   46470    case 17:
   46471     $call54 = _incident($e_1_lcssa) | 0;
   46472     $cmp56 = ($call54 | 0) == ($19 | 0);
   46473     $sub59 = -$sub51 | 0;
   46474     $sub59_sub51 = $cmp56 ? $sub59 : $sub51;
   46475     $25 = HEAP32[41334] | 0;
   46476     $cmp6233 = ($25 | 0) > 0;
   46477     if ($cmp6233) {
   46478       $i_134 = 0;
   46479       label = 18;
   46480       break;
   46481     } else {
   46482       label = 16;
   46483       break;
   46484     }
   46485    case 18:
   46486     $26 = HEAP32[41336] | 0;
   46487     $arrayidx65 = $26 + ($i_134 << 2) | 0;
   46488     $27 = HEAP32[$arrayidx65 >> 2] | 0;
   46489     $rank67 = $27 + 236 | 0;
   46490     $28 = HEAP32[$rank67 >> 2] | 0;
   46491     $add = $28 + $sub59_sub51 | 0;
   46492     HEAP32[$rank67 >> 2] = $add;
   46493     $inc69 = $i_134 + 1 | 0;
   46494     $29 = HEAP32[41334] | 0;
   46495     $cmp62 = ($inc69 | 0) < ($29 | 0);
   46496     if ($cmp62) {
   46497       $i_134 = $inc69;
   46498       label = 18;
   46499       break;
   46500     } else {
   46501       label = 16;
   46502       break;
   46503     }
   46504    case 19:
   46505     _init_cutvalues();
   46506     $retval_0 = 0;
   46507     label = 20;
   46508     break;
   46509    case 20:
   46510     return $retval_0 | 0;
   46511   }
   46512   return 0;
   46513 }
   46514 function _enter_edge($e) {
   46515   $e = $e | 0;
   46516   var $tail = 0, $0 = 0, $lim = 0, $1 = 0, $head = 0, $2 = 0, $lim2 = 0, $3 = 0, $cmp = 0, $_ = 0, $low = 0, $4 = 0, $lim7 = 0, $5 = 0, $6 = 0, label = 0;
   46517   label = 2;
   46518   while (1) switch (label | 0) {
   46519    case 2:
   46520     $tail = $e + 16 | 0;
   46521     $0 = HEAP32[$tail >> 2] | 0;
   46522     $lim = $0 + 288 | 0;
   46523     $1 = HEAP32[$lim >> 2] | 0;
   46524     $head = $e + 12 | 0;
   46525     $2 = HEAP32[$head >> 2] | 0;
   46526     $lim2 = $2 + 288 | 0;
   46527     $3 = HEAP32[$lim2 >> 2] | 0;
   46528     $cmp = ($1 | 0) < ($3 | 0);
   46529     $_ = $cmp ? $0 : $2;
   46530     HEAP32[41890] = 0;
   46531     HEAP32[41360] = 2147483647;
   46532     $low = $_ + 284 | 0;
   46533     $4 = HEAP32[$low >> 2] | 0;
   46534     HEAP32[41636] = $4;
   46535     $lim7 = $_ + 288 | 0;
   46536     $5 = HEAP32[$lim7 >> 2] | 0;
   46537     HEAP32[41642] = $5;
   46538     if ($cmp) {
   46539       label = 4;
   46540       break;
   46541     } else {
   46542       label = 3;
   46543       break;
   46544     }
   46545    case 3:
   46546     _dfs_enter_outedge($_);
   46547     label = 5;
   46548     break;
   46549    case 4:
   46550     _dfs_enter_inedge($_);
   46551     label = 5;
   46552     break;
   46553    case 5:
   46554     $6 = HEAP32[41890] | 0;
   46555     return $6 | 0;
   46556   }
   46557   return 0;
   46558 }
   46559 function _TB_balance() {
   46560   var $0 = 0, $add = 0, $mul = 0, $call = 0, $1 = 0, $2 = 0, $cmp77 = 0, $i_078 = 0, $arrayidx = 0, $inc = 0, $3 = 0, $cmp = 0, $4 = 0, $nlist = 0, $n_074 = 0, $tobool75 = 0, $n_076 = 0, $node_type = 0, $5 = 0, $cmp4 = 0, $rank = 0, $6 = 0, $arrayidx7 = 0, $7 = 0, $inc8 = 0, $next = 0, $n_0 = 0, $tobool = 0, $8 = 0, $nlist13 = 0, $n_169 = 0, $tobool1570 = 0, $n_171 = 0, $node_type18 = 0, $9 = 0, $cmp20 = 0, $10 = 0, $list = 0, $11 = 0, $12 = 0, $tobool2752 = 0, $13 = 0, $14 = 0, $inweight_055 = 0, $low_054 = 0, $i_153 = 0, $weight = 0, $15 = 0.0, $conv30 = 0.0, $add31 = 0.0, $conv32 = 0, $tail = 0, $16 = 0, $rank34 = 0, $17 = 0, $minlen = 0, $18 = 0, $conv36 = 0, $add37 = 0, $cmp38 = 0, $low_0_add37 = 0, $inc48 = 0, $arrayidx26 = 0, $19 = 0, $tobool27 = 0, $inweight_0_lcssa = 0, $low_0_lcssa = 0, $list52 = 0, $20 = 0, $21 = 0, $tobool5458 = 0, $22 = 0, $23 = 0, $outweight_061 = 0, $high_060 = 0, $i_259 = 0, $weight57 = 0, $24 = 0.0, $conv58 = 0.0, $add59 = 0.0, $conv60 = 0, $head = 0, $25 = 0, $rank62 = 0, $26 = 0, $minlen64 = 0, $27 = 0, $conv65 = 0, $sub = 0, $cmp66 = 0, $high_0_sub = 0, $inc80 = 0, $arrayidx53 = 0, $28 = 0, $tobool54 = 0, $outweight_0_lcssa = 0, $high_0_lcssa = 0, $cmp82 = 0, $_low_0 = 0, $cmp86 = 0, $i_364 = 0, $cmp9165 = 0, $i_367 = 0, $choice_066 = 0, $arrayidx94 = 0, $29 = 0, $arrayidx95 = 0, $30 = 0, $cmp96 = 0, $i_3_choice_0 = 0, $i_3 = 0, $cmp91 = 0, $choice_0_lcssa = 0, $rank104 = 0, $31 = 0, $arrayidx105 = 0, $32 = 0, $dec = 0, $arrayidx106 = 0, $33 = 0, $inc107 = 0, $list112 = 0, $34 = 0, $tobool113 = 0, $35 = 0, $list121 = 0, $36 = 0, $tobool122 = 0, $37 = 0, $mark = 0, $next132 = 0, $n_1 = 0, $tobool15 = 0, label = 0;
   46561   label = 2;
   46562   while (1) switch (label | 0) {
   46563    case 2:
   46564     _scan_and_normalize();
   46565     $0 = HEAP32[41632] | 0;
   46566     $add = $0 << 2;
   46567     $mul = $add + 4 | 0;
   46568     $call = _zmalloc($mul) | 0;
   46569     $1 = $call;
   46570     $2 = HEAP32[41632] | 0;
   46571     $cmp77 = ($2 | 0) < 0;
   46572     if ($cmp77) {
   46573       label = 4;
   46574       break;
   46575     } else {
   46576       $i_078 = 0;
   46577       label = 3;
   46578       break;
   46579     }
   46580    case 3:
   46581     $arrayidx = $1 + ($i_078 << 2) | 0;
   46582     HEAP32[$arrayidx >> 2] = 0;
   46583     $inc = $i_078 + 1 | 0;
   46584     $3 = HEAP32[41632] | 0;
   46585     $cmp = ($inc | 0) > ($3 | 0);
   46586     if ($cmp) {
   46587       label = 4;
   46588       break;
   46589     } else {
   46590       $i_078 = $inc;
   46591       label = 3;
   46592       break;
   46593     }
   46594    case 4:
   46595     $4 = HEAP32[41882] | 0;
   46596     $nlist = $4 + 220 | 0;
   46597     $n_074 = HEAP32[$nlist >> 2] | 0;
   46598     $tobool75 = ($n_074 | 0) == 0;
   46599     if ($tobool75) {
   46600       label = 8;
   46601       break;
   46602     } else {
   46603       $n_076 = $n_074;
   46604       label = 5;
   46605       break;
   46606     }
   46607    case 5:
   46608     $node_type = $n_076 + 162 | 0;
   46609     $5 = HEAP8[$node_type] | 0;
   46610     $cmp4 = $5 << 24 >> 24 == 0;
   46611     if ($cmp4) {
   46612       label = 6;
   46613       break;
   46614     } else {
   46615       label = 7;
   46616       break;
   46617     }
   46618    case 6:
   46619     $rank = $n_076 + 236 | 0;
   46620     $6 = HEAP32[$rank >> 2] | 0;
   46621     $arrayidx7 = $1 + ($6 << 2) | 0;
   46622     $7 = HEAP32[$arrayidx7 >> 2] | 0;
   46623     $inc8 = $7 + 1 | 0;
   46624     HEAP32[$arrayidx7 >> 2] = $inc8;
   46625     label = 7;
   46626     break;
   46627    case 7:
   46628     $next = $n_076 + 168 | 0;
   46629     $n_0 = HEAP32[$next >> 2] | 0;
   46630     $tobool = ($n_0 | 0) == 0;
   46631     if ($tobool) {
   46632       label = 8;
   46633       break;
   46634     } else {
   46635       $n_076 = $n_0;
   46636       label = 5;
   46637       break;
   46638     }
   46639    case 8:
   46640     $8 = HEAP32[41882] | 0;
   46641     $nlist13 = $8 + 220 | 0;
   46642     $n_169 = HEAP32[$nlist13 >> 2] | 0;
   46643     $tobool1570 = ($n_169 | 0) == 0;
   46644     if ($tobool1570) {
   46645       label = 26;
   46646       break;
   46647     } else {
   46648       $n_171 = $n_169;
   46649       label = 9;
   46650       break;
   46651     }
   46652    case 9:
   46653     $node_type18 = $n_171 + 162 | 0;
   46654     $9 = HEAP8[$node_type18] | 0;
   46655     $cmp20 = $9 << 24 >> 24 == 0;
   46656     if ($cmp20) {
   46657       label = 10;
   46658       break;
   46659     } else {
   46660       label = 25;
   46661       break;
   46662     }
   46663    case 10:
   46664     $10 = HEAP32[41632] | 0;
   46665     $list = $n_171 + 176 | 0;
   46666     $11 = HEAP32[$list >> 2] | 0;
   46667     $12 = HEAP32[$11 >> 2] | 0;
   46668     $tobool2752 = ($12 | 0) == 0;
   46669     if ($tobool2752) {
   46670       $low_0_lcssa = 0;
   46671       $inweight_0_lcssa = 0;
   46672       label = 13;
   46673       break;
   46674     } else {
   46675       label = 11;
   46676       break;
   46677     }
   46678    case 11:
   46679     $13 = HEAP32[$list >> 2] | 0;
   46680     $i_153 = 0;
   46681     $low_054 = 0;
   46682     $inweight_055 = 0;
   46683     $14 = $12;
   46684     label = 12;
   46685     break;
   46686    case 12:
   46687     $weight = $14 + 172 | 0;
   46688     $15 = +HEAPF32[$weight >> 2];
   46689     $conv30 = +($inweight_055 | 0);
   46690     $add31 = $conv30 + $15;
   46691     $conv32 = ~~$add31;
   46692     $tail = $14 + 16 | 0;
   46693     $16 = HEAP32[$tail >> 2] | 0;
   46694     $rank34 = $16 + 236 | 0;
   46695     $17 = HEAP32[$rank34 >> 2] | 0;
   46696     $minlen = $14 + 186 | 0;
   46697     $18 = HEAP16[$minlen >> 1] | 0;
   46698     $conv36 = $18 & 65535;
   46699     $add37 = $conv36 + $17 | 0;
   46700     $cmp38 = ($low_054 | 0) > ($add37 | 0);
   46701     $low_0_add37 = $cmp38 ? $low_054 : $add37;
   46702     $inc48 = $i_153 + 1 | 0;
   46703     $arrayidx26 = $13 + ($inc48 << 2) | 0;
   46704     $19 = HEAP32[$arrayidx26 >> 2] | 0;
   46705     $tobool27 = ($19 | 0) == 0;
   46706     if ($tobool27) {
   46707       $low_0_lcssa = $low_0_add37;
   46708       $inweight_0_lcssa = $conv32;
   46709       label = 13;
   46710       break;
   46711     } else {
   46712       $i_153 = $inc48;
   46713       $low_054 = $low_0_add37;
   46714       $inweight_055 = $conv32;
   46715       $14 = $19;
   46716       label = 12;
   46717       break;
   46718     }
   46719    case 13:
   46720     $list52 = $n_171 + 184 | 0;
   46721     $20 = HEAP32[$list52 >> 2] | 0;
   46722     $21 = HEAP32[$20 >> 2] | 0;
   46723     $tobool5458 = ($21 | 0) == 0;
   46724     if ($tobool5458) {
   46725       $high_0_lcssa = $10;
   46726       $outweight_0_lcssa = 0;
   46727       label = 16;
   46728       break;
   46729     } else {
   46730       label = 14;
   46731       break;
   46732     }
   46733    case 14:
   46734     $22 = HEAP32[$list52 >> 2] | 0;
   46735     $i_259 = 0;
   46736     $high_060 = $10;
   46737     $outweight_061 = 0;
   46738     $23 = $21;
   46739     label = 15;
   46740     break;
   46741    case 15:
   46742     $weight57 = $23 + 172 | 0;
   46743     $24 = +HEAPF32[$weight57 >> 2];
   46744     $conv58 = +($outweight_061 | 0);
   46745     $add59 = $conv58 + $24;
   46746     $conv60 = ~~$add59;
   46747     $head = $23 + 12 | 0;
   46748     $25 = HEAP32[$head >> 2] | 0;
   46749     $rank62 = $25 + 236 | 0;
   46750     $26 = HEAP32[$rank62 >> 2] | 0;
   46751     $minlen64 = $23 + 186 | 0;
   46752     $27 = HEAP16[$minlen64 >> 1] | 0;
   46753     $conv65 = $27 & 65535;
   46754     $sub = $26 - $conv65 | 0;
   46755     $cmp66 = ($high_060 | 0) < ($sub | 0);
   46756     $high_0_sub = $cmp66 ? $high_060 : $sub;
   46757     $inc80 = $i_259 + 1 | 0;
   46758     $arrayidx53 = $22 + ($inc80 << 2) | 0;
   46759     $28 = HEAP32[$arrayidx53 >> 2] | 0;
   46760     $tobool54 = ($28 | 0) == 0;
   46761     if ($tobool54) {
   46762       $high_0_lcssa = $high_0_sub;
   46763       $outweight_0_lcssa = $conv60;
   46764       label = 16;
   46765       break;
   46766     } else {
   46767       $i_259 = $inc80;
   46768       $high_060 = $high_0_sub;
   46769       $outweight_061 = $conv60;
   46770       $23 = $28;
   46771       label = 15;
   46772       break;
   46773     }
   46774    case 16:
   46775     $cmp82 = ($low_0_lcssa | 0) < 0;
   46776     $_low_0 = $cmp82 ? 0 : $low_0_lcssa;
   46777     $cmp86 = ($inweight_0_lcssa | 0) == ($outweight_0_lcssa | 0);
   46778     if ($cmp86) {
   46779       label = 17;
   46780       break;
   46781     } else {
   46782       label = 20;
   46783       break;
   46784     }
   46785    case 17:
   46786     $i_364 = $_low_0 + 1 | 0;
   46787     $cmp9165 = ($i_364 | 0) > ($high_0_lcssa | 0);
   46788     if ($cmp9165) {
   46789       $choice_0_lcssa = $_low_0;
   46790       label = 19;
   46791       break;
   46792     } else {
   46793       $choice_066 = $_low_0;
   46794       $i_367 = $i_364;
   46795       label = 18;
   46796       break;
   46797     }
   46798    case 18:
   46799     $arrayidx94 = $1 + ($i_367 << 2) | 0;
   46800     $29 = HEAP32[$arrayidx94 >> 2] | 0;
   46801     $arrayidx95 = $1 + ($choice_066 << 2) | 0;
   46802     $30 = HEAP32[$arrayidx95 >> 2] | 0;
   46803     $cmp96 = ($29 | 0) < ($30 | 0);
   46804     $i_3_choice_0 = $cmp96 ? $i_367 : $choice_066;
   46805     $i_3 = $i_367 + 1 | 0;
   46806     $cmp91 = ($i_3 | 0) > ($high_0_lcssa | 0);
   46807     if ($cmp91) {
   46808       $choice_0_lcssa = $i_3_choice_0;
   46809       label = 19;
   46810       break;
   46811     } else {
   46812       $choice_066 = $i_3_choice_0;
   46813       $i_367 = $i_3;
   46814       label = 18;
   46815       break;
   46816     }
   46817    case 19:
   46818     $rank104 = $n_171 + 236 | 0;
   46819     $31 = HEAP32[$rank104 >> 2] | 0;
   46820     $arrayidx105 = $1 + ($31 << 2) | 0;
   46821     $32 = HEAP32[$arrayidx105 >> 2] | 0;
   46822     $dec = $32 - 1 | 0;
   46823     HEAP32[$arrayidx105 >> 2] = $dec;
   46824     $arrayidx106 = $1 + ($choice_0_lcssa << 2) | 0;
   46825     $33 = HEAP32[$arrayidx106 >> 2] | 0;
   46826     $inc107 = $33 + 1 | 0;
   46827     HEAP32[$arrayidx106 >> 2] = $inc107;
   46828     HEAP32[$rank104 >> 2] = $choice_0_lcssa;
   46829     label = 20;
   46830     break;
   46831    case 20:
   46832     $list112 = $n_171 + 264 | 0;
   46833     $34 = HEAP32[$list112 >> 2] | 0;
   46834     $tobool113 = ($34 | 0) == 0;
   46835     if ($tobool113) {
   46836       label = 22;
   46837       break;
   46838     } else {
   46839       label = 21;
   46840       break;
   46841     }
   46842    case 21:
   46843     $35 = $34;
   46844     _free($35);
   46845     label = 22;
   46846     break;
   46847    case 22:
   46848     $list121 = $n_171 + 272 | 0;
   46849     $36 = HEAP32[$list121 >> 2] | 0;
   46850     $tobool122 = ($36 | 0) == 0;
   46851     if ($tobool122) {
   46852       label = 24;
   46853       break;
   46854     } else {
   46855       label = 23;
   46856       break;
   46857     }
   46858    case 23:
   46859     $37 = $36;
   46860     _free($37);
   46861     label = 24;
   46862     break;
   46863    case 24:
   46864     $mark = $n_171 + 163 | 0;
   46865     HEAP8[$mark] = 0;
   46866     label = 25;
   46867     break;
   46868    case 25:
   46869     $next132 = $n_171 + 168 | 0;
   46870     $n_1 = HEAP32[$next132 >> 2] | 0;
   46871     $tobool15 = ($n_1 | 0) == 0;
   46872     if ($tobool15) {
   46873       label = 26;
   46874       break;
   46875     } else {
   46876       $n_171 = $n_1;
   46877       label = 9;
   46878       break;
   46879     }
   46880    case 26:
   46881     _free($call);
   46882     return;
   46883   }
   46884 }
   46885 function _update($e, $f) {
   46886   $e = $e | 0;
   46887   $f = $f | 0;
   46888   var $head = 0, $0 = 0, $rank = 0, $1 = 0, $tail = 0, $2 = 0, $rank2 = 0, $3 = 0, $sub = 0, $minlen = 0, $4 = 0, $conv = 0, $sub4 = 0, $cmp = 0, $tail6 = 0, $5 = 0, $size = 0, $6 = 0, $size10 = 0, $7 = 0, $add = 0, $cmp11 = 0, $head15 = 0, $8 = 0, $size18 = 0, $9 = 0, $size22 = 0, $10 = 0, $add23 = 0, $cmp24 = 0, $sub28 = 0, $lim = 0, $11 = 0, $lim34 = 0, $12 = 0, $cmp35 = 0, $sub41 = 0, $cutvalue46 = 0, $13 = 0, $14 = 0, $15 = 0, $call = 0, $16 = 0, $17 = 0, $call51 = 0, $cmp52 = 0, $sub56 = 0, $cutvalue58 = 0, $par = 0, $18 = 0, $low = 0, $19 = 0, $call63 = 0, label = 0;
   46889   label = 2;
   46890   while (1) switch (label | 0) {
   46891    case 2:
   46892     $head = $f + 12 | 0;
   46893     $0 = HEAP32[$head >> 2] | 0;
   46894     $rank = $0 + 236 | 0;
   46895     $1 = HEAP32[$rank >> 2] | 0;
   46896     $tail = $f + 16 | 0;
   46897     $2 = HEAP32[$tail >> 2] | 0;
   46898     $rank2 = $2 + 236 | 0;
   46899     $3 = HEAP32[$rank2 >> 2] | 0;
   46900     $sub = $1 - $3 | 0;
   46901     $minlen = $f + 186 | 0;
   46902     $4 = HEAP16[$minlen >> 1] | 0;
   46903     $conv = $4 & 65535;
   46904     $sub4 = $sub - $conv | 0;
   46905     $cmp = ($sub4 | 0) > 0;
   46906     if ($cmp) {
   46907       label = 3;
   46908       break;
   46909     } else {
   46910       label = 10;
   46911       break;
   46912     }
   46913    case 3:
   46914     $tail6 = $e + 16 | 0;
   46915     $5 = HEAP32[$tail6 >> 2] | 0;
   46916     $size = $5 + 268 | 0;
   46917     $6 = HEAP32[$size >> 2] | 0;
   46918     $size10 = $5 + 276 | 0;
   46919     $7 = HEAP32[$size10 >> 2] | 0;
   46920     $add = $7 + $6 | 0;
   46921     $cmp11 = ($add | 0) == 1;
   46922     if ($cmp11) {
   46923       label = 4;
   46924       break;
   46925     } else {
   46926       label = 5;
   46927       break;
   46928     }
   46929    case 4:
   46930     _rerank($5, $sub4);
   46931     label = 10;
   46932     break;
   46933    case 5:
   46934     $head15 = $e + 12 | 0;
   46935     $8 = HEAP32[$head15 >> 2] | 0;
   46936     $size18 = $8 + 268 | 0;
   46937     $9 = HEAP32[$size18 >> 2] | 0;
   46938     $size22 = $8 + 276 | 0;
   46939     $10 = HEAP32[$size22 >> 2] | 0;
   46940     $add23 = $10 + $9 | 0;
   46941     $cmp24 = ($add23 | 0) == 1;
   46942     if ($cmp24) {
   46943       label = 6;
   46944       break;
   46945     } else {
   46946       label = 7;
   46947       break;
   46948     }
   46949    case 6:
   46950     $sub28 = -$sub4 | 0;
   46951     _rerank($8, $sub28);
   46952     label = 10;
   46953     break;
   46954    case 7:
   46955     $lim = $5 + 288 | 0;
   46956     $11 = HEAP32[$lim >> 2] | 0;
   46957     $lim34 = $8 + 288 | 0;
   46958     $12 = HEAP32[$lim34 >> 2] | 0;
   46959     $cmp35 = ($11 | 0) < ($12 | 0);
   46960     if ($cmp35) {
   46961       label = 8;
   46962       break;
   46963     } else {
   46964       label = 9;
   46965       break;
   46966     }
   46967    case 8:
   46968     _rerank($5, $sub4);
   46969     label = 10;
   46970     break;
   46971    case 9:
   46972     $sub41 = -$sub4 | 0;
   46973     _rerank($8, $sub41);
   46974     label = 10;
   46975     break;
   46976    case 10:
   46977     $cutvalue46 = $e + 176 | 0;
   46978     $13 = HEAP32[$cutvalue46 >> 2] | 0;
   46979     $14 = HEAP32[$tail >> 2] | 0;
   46980     $15 = HEAP32[$head >> 2] | 0;
   46981     $call = _treeupdate($14, $15, $13, 1) | 0;
   46982     $16 = HEAP32[$head >> 2] | 0;
   46983     $17 = HEAP32[$tail >> 2] | 0;
   46984     $call51 = _treeupdate($16, $17, $13, 0) | 0;
   46985     $cmp52 = ($call51 | 0) == ($call | 0);
   46986     if ($cmp52) {
   46987       label = 12;
   46988       break;
   46989     } else {
   46990       label = 11;
   46991       break;
   46992     }
   46993    case 11:
   46994     _abort();
   46995    case 12:
   46996     $sub56 = -$13 | 0;
   46997     $cutvalue58 = $f + 176 | 0;
   46998     HEAP32[$cutvalue58 >> 2] = $sub56;
   46999     HEAP32[$cutvalue46 >> 2] = 0;
   47000     _exchange_tree_edges($e, $f);
   47001     $par = $call + 280 | 0;
   47002     $18 = HEAP32[$par >> 2] | 0;
   47003     $low = $call + 284 | 0;
   47004     $19 = HEAP32[$low >> 2] | 0;
   47005     $call63 = _dfs_range($call, $18, $19) | 0;
   47006     return;
   47007   }
   47008 }
   47009 function _scan_and_normalize() {
   47010   var $0 = 0, $nlist = 0, $n_013 = 0, $tobool14 = 0, $n_015 = 0, $node_type = 0, $1 = 0, $cmp = 0, $2 = 0, $rank = 0, $3 = 0, $cmp4 = 0, $_ = 0, $4 = 0, $5 = 0, $cmp10 = 0, $cond17 = 0, $next = 0, $n_0 = 0, $tobool = 0, $6 = 0, $cmp19 = 0, $7 = 0, $nlist23 = 0, $n_110 = 0, $tobool2511 = 0, $8 = 0, $9 = 0, $n_112 = 0, $rank28 = 0, $10 = 0, $sub = 0, $next31 = 0, $n_1 = 0, $tobool25 = 0, $11 = 0, $_lcssa = 0, $12 = 0, $sub33 = 0, label = 0;
   47011   label = 2;
   47012   while (1) switch (label | 0) {
   47013    case 2:
   47014     HEAP32[41628] = 2147483647;
   47015     HEAP32[41632] = -2147483647;
   47016     $0 = HEAP32[41882] | 0;
   47017     $nlist = $0 + 220 | 0;
   47018     $n_013 = HEAP32[$nlist >> 2] | 0;
   47019     $tobool14 = ($n_013 | 0) == 0;
   47020     if ($tobool14) {
   47021       label = 6;
   47022       break;
   47023     } else {
   47024       $n_015 = $n_013;
   47025       label = 3;
   47026       break;
   47027     }
   47028    case 3:
   47029     $node_type = $n_015 + 162 | 0;
   47030     $1 = HEAP8[$node_type] | 0;
   47031     $cmp = $1 << 24 >> 24 == 0;
   47032     if ($cmp) {
   47033       label = 4;
   47034       break;
   47035     } else {
   47036       label = 5;
   47037       break;
   47038     }
   47039    case 4:
   47040     $2 = HEAP32[41628] | 0;
   47041     $rank = $n_015 + 236 | 0;
   47042     $3 = HEAP32[$rank >> 2] | 0;
   47043     $cmp4 = ($2 | 0) < ($3 | 0);
   47044     $_ = $cmp4 ? $2 : $3;
   47045     HEAP32[41628] = $_;
   47046     $4 = HEAP32[41632] | 0;
   47047     $5 = HEAP32[$rank >> 2] | 0;
   47048     $cmp10 = ($4 | 0) > ($5 | 0);
   47049     $cond17 = $cmp10 ? $4 : $5;
   47050     HEAP32[41632] = $cond17;
   47051     label = 5;
   47052     break;
   47053    case 5:
   47054     $next = $n_015 + 168 | 0;
   47055     $n_0 = HEAP32[$next >> 2] | 0;
   47056     $tobool = ($n_0 | 0) == 0;
   47057     if ($tobool) {
   47058       label = 6;
   47059       break;
   47060     } else {
   47061       $n_015 = $n_0;
   47062       label = 3;
   47063       break;
   47064     }
   47065    case 6:
   47066     $6 = HEAP32[41628] | 0;
   47067     $cmp19 = ($6 | 0) == 0;
   47068     if ($cmp19) {
   47069       label = 10;
   47070       break;
   47071     } else {
   47072       label = 7;
   47073       break;
   47074     }
   47075    case 7:
   47076     $7 = HEAP32[41882] | 0;
   47077     $nlist23 = $7 + 220 | 0;
   47078     $n_110 = HEAP32[$nlist23 >> 2] | 0;
   47079     $tobool2511 = ($n_110 | 0) == 0;
   47080     $8 = HEAP32[41628] | 0;
   47081     if ($tobool2511) {
   47082       $_lcssa = $8;
   47083       label = 9;
   47084       break;
   47085     } else {
   47086       $n_112 = $n_110;
   47087       $9 = $8;
   47088       label = 8;
   47089       break;
   47090     }
   47091    case 8:
   47092     $rank28 = $n_112 + 236 | 0;
   47093     $10 = HEAP32[$rank28 >> 2] | 0;
   47094     $sub = $10 - $9 | 0;
   47095     HEAP32[$rank28 >> 2] = $sub;
   47096     $next31 = $n_112 + 168 | 0;
   47097     $n_1 = HEAP32[$next31 >> 2] | 0;
   47098     $tobool25 = ($n_1 | 0) == 0;
   47099     $11 = HEAP32[41628] | 0;
   47100     if ($tobool25) {
   47101       $_lcssa = $11;
   47102       label = 9;
   47103       break;
   47104     } else {
   47105       $n_112 = $n_1;
   47106       $9 = $11;
   47107       label = 8;
   47108       break;
   47109     }
   47110    case 9:
   47111     $12 = HEAP32[41632] | 0;
   47112     $sub33 = $12 - $_lcssa | 0;
   47113     HEAP32[41632] = $sub33;
   47114     HEAP32[41628] = 0;
   47115     label = 10;
   47116     break;
   47117    case 10:
   47118     return;
   47119   }
   47120 }
   47121 function _treeupdate($v, $w, $cutvalue, $dir) {
   47122   $v = $v | 0;
   47123   $w = $w | 0;
   47124   $cutvalue = $cutvalue | 0;
   47125   $dir = $dir | 0;
   47126   var $lim = 0, $0 = 0, $lnot9 = 0, $lnot_ext = 0, $v_addr_0 = 0, $low = 0, $1 = 0, $2 = 0, $cmp = 0, $lim5 = 0, $3 = 0, $phitmp = 0, $par = 0, $4 = 0, $tail = 0, $5 = 0, $cmp8 = 0, $dir_lnot_ext = 0, $tobool10 = 0, $cutvalue13 = 0, $6 = 0, $storemerge_p = 0, $storemerge = 0, $7 = 0, $lim20 = 0, $8 = 0, $head = 0, $9 = 0, $lim22 = 0, $10 = 0, $cmp23 = 0, $_ = 0, label = 0;
   47127   label = 2;
   47128   while (1) switch (label | 0) {
   47129    case 2:
   47130     $lim = $w + 288 | 0;
   47131     $0 = -$cutvalue | 0;
   47132     $lnot9 = ($dir | 0) == 0;
   47133     $lnot_ext = $lnot9 & 1;
   47134     $v_addr_0 = $v;
   47135     label = 3;
   47136     break;
   47137    case 3:
   47138     $low = $v_addr_0 + 284 | 0;
   47139     $1 = HEAP32[$low >> 2] | 0;
   47140     $2 = HEAP32[$lim >> 2] | 0;
   47141     $cmp = ($1 | 0) > ($2 | 0);
   47142     if ($cmp) {
   47143       label = 5;
   47144       break;
   47145     } else {
   47146       label = 4;
   47147       break;
   47148     }
   47149    case 4:
   47150     $lim5 = $v_addr_0 + 288 | 0;
   47151     $3 = HEAP32[$lim5 >> 2] | 0;
   47152     $phitmp = ($2 | 0) > ($3 | 0);
   47153     if ($phitmp) {
   47154       label = 5;
   47155       break;
   47156     } else {
   47157       label = 6;
   47158       break;
   47159     }
   47160    case 5:
   47161     $par = $v_addr_0 + 280 | 0;
   47162     $4 = HEAP32[$par >> 2] | 0;
   47163     $tail = $4 + 16 | 0;
   47164     $5 = HEAP32[$tail >> 2] | 0;
   47165     $cmp8 = ($v_addr_0 | 0) == ($5 | 0);
   47166     $dir_lnot_ext = $cmp8 ? $dir : $lnot_ext;
   47167     $tobool10 = ($dir_lnot_ext | 0) == 0;
   47168     $cutvalue13 = $4 + 176 | 0;
   47169     $6 = HEAP32[$cutvalue13 >> 2] | 0;
   47170     $storemerge_p = $tobool10 ? $0 : $cutvalue;
   47171     $storemerge = $storemerge_p + $6 | 0;
   47172     HEAP32[$cutvalue13 >> 2] = $storemerge;
   47173     $7 = HEAP32[$tail >> 2] | 0;
   47174     $lim20 = $7 + 288 | 0;
   47175     $8 = HEAP32[$lim20 >> 2] | 0;
   47176     $head = $4 + 12 | 0;
   47177     $9 = HEAP32[$head >> 2] | 0;
   47178     $lim22 = $9 + 288 | 0;
   47179     $10 = HEAP32[$lim22 >> 2] | 0;
   47180     $cmp23 = ($8 | 0) > ($10 | 0);
   47181     $_ = $cmp23 ? $7 : $9;
   47182     $v_addr_0 = $_;
   47183     label = 3;
   47184     break;
   47185    case 6:
   47186     return $v_addr_0 | 0;
   47187   }
   47188   return 0;
   47189 }
   47190 function _exchange_tree_edges($e, $f) {
   47191   $e = $e | 0;
   47192   $f = $f | 0;
   47193   var $tree_index = 0, $0 = 0, $tree_index2 = 0, $1 = 0, $2 = 0, $arrayidx = 0, $tail = 0, $3 = 0, $tree_out = 0, $size = 0, $4 = 0, $dec = 0, $list = 0, $j_0 = 0, $cmp = 0, $5 = 0, $arrayidx10 = 0, $6 = 0, $cmp11 = 0, $inc = 0, $list14 = 0, $7 = 0, $arrayidx15 = 0, $8 = 0, $arrayidx19 = 0, $9 = 0, $arrayidx23 = 0, $head = 0, $10 = 0, $tree_in = 0, $size25 = 0, $11 = 0, $dec26 = 0, $list32 = 0, $j_1 = 0, $cmp28 = 0, $12 = 0, $arrayidx33 = 0, $13 = 0, $cmp34 = 0, $inc38 = 0, $list42 = 0, $14 = 0, $arrayidx43 = 0, $15 = 0, $arrayidx47 = 0, $16 = 0, $arrayidx51 = 0, $tail52 = 0, $17 = 0, $size55 = 0, $18 = 0, $inc56 = 0, $list59 = 0, $19 = 0, $arrayidx60 = 0, $20 = 0, $21 = 0, $arrayidx67 = 0, $head68 = 0, $22 = 0, $size71 = 0, $23 = 0, $inc72 = 0, $list75 = 0, $24 = 0, $arrayidx76 = 0, $25 = 0, $26 = 0, $arrayidx83 = 0, label = 0;
   47194   label = 2;
   47195   while (1) switch (label | 0) {
   47196    case 2:
   47197     $tree_index = $e + 180 | 0;
   47198     $0 = HEAP32[$tree_index >> 2] | 0;
   47199     $tree_index2 = $f + 180 | 0;
   47200     HEAP32[$tree_index2 >> 2] = $0;
   47201     $1 = HEAP32[$tree_index >> 2] | 0;
   47202     $2 = HEAP32[41340] | 0;
   47203     $arrayidx = $2 + ($1 << 2) | 0;
   47204     HEAP32[$arrayidx >> 2] = $f;
   47205     HEAP32[$tree_index >> 2] = -1;
   47206     $tail = $e + 16 | 0;
   47207     $3 = HEAP32[$tail >> 2] | 0;
   47208     $tree_out = $3 + 272 | 0;
   47209     $size = $3 + 276 | 0;
   47210     $4 = HEAP32[$size >> 2] | 0;
   47211     $dec = $4 - 1 | 0;
   47212     HEAP32[$size >> 2] = $dec;
   47213     $list = $tree_out | 0;
   47214     $j_0 = 0;
   47215     label = 3;
   47216     break;
   47217    case 3:
   47218     $cmp = ($j_0 | 0) > ($dec | 0);
   47219     if ($cmp) {
   47220       label = 5;
   47221       break;
   47222     } else {
   47223       label = 4;
   47224       break;
   47225     }
   47226    case 4:
   47227     $5 = HEAP32[$list >> 2] | 0;
   47228     $arrayidx10 = $5 + ($j_0 << 2) | 0;
   47229     $6 = HEAP32[$arrayidx10 >> 2] | 0;
   47230     $cmp11 = ($6 | 0) == ($e | 0);
   47231     $inc = $j_0 + 1 | 0;
   47232     if ($cmp11) {
   47233       label = 5;
   47234       break;
   47235     } else {
   47236       $j_0 = $inc;
   47237       label = 3;
   47238       break;
   47239     }
   47240    case 5:
   47241     $list14 = $tree_out | 0;
   47242     $7 = HEAP32[$list14 >> 2] | 0;
   47243     $arrayidx15 = $7 + ($dec << 2) | 0;
   47244     $8 = HEAP32[$arrayidx15 >> 2] | 0;
   47245     $arrayidx19 = $7 + ($j_0 << 2) | 0;
   47246     HEAP32[$arrayidx19 >> 2] = $8;
   47247     $9 = HEAP32[$list14 >> 2] | 0;
   47248     $arrayidx23 = $9 + ($dec << 2) | 0;
   47249     HEAP32[$arrayidx23 >> 2] = 0;
   47250     $head = $e + 12 | 0;
   47251     $10 = HEAP32[$head >> 2] | 0;
   47252     $tree_in = $10 + 264 | 0;
   47253     $size25 = $10 + 268 | 0;
   47254     $11 = HEAP32[$size25 >> 2] | 0;
   47255     $dec26 = $11 - 1 | 0;
   47256     HEAP32[$size25 >> 2] = $dec26;
   47257     $list32 = $tree_in | 0;
   47258     $j_1 = 0;
   47259     label = 6;
   47260     break;
   47261    case 6:
   47262     $cmp28 = ($j_1 | 0) > ($dec26 | 0);
   47263     if ($cmp28) {
   47264       label = 8;
   47265       break;
   47266     } else {
   47267       label = 7;
   47268       break;
   47269     }
   47270    case 7:
   47271     $12 = HEAP32[$list32 >> 2] | 0;
   47272     $arrayidx33 = $12 + ($j_1 << 2) | 0;
   47273     $13 = HEAP32[$arrayidx33 >> 2] | 0;
   47274     $cmp34 = ($13 | 0) == ($e | 0);
   47275     $inc38 = $j_1 + 1 | 0;
   47276     if ($cmp34) {
   47277       label = 8;
   47278       break;
   47279     } else {
   47280       $j_1 = $inc38;
   47281       label = 6;
   47282       break;
   47283     }
   47284    case 8:
   47285     $list42 = $tree_in | 0;
   47286     $14 = HEAP32[$list42 >> 2] | 0;
   47287     $arrayidx43 = $14 + ($dec26 << 2) | 0;
   47288     $15 = HEAP32[$arrayidx43 >> 2] | 0;
   47289     $arrayidx47 = $14 + ($j_1 << 2) | 0;
   47290     HEAP32[$arrayidx47 >> 2] = $15;
   47291     $16 = HEAP32[$list42 >> 2] | 0;
   47292     $arrayidx51 = $16 + ($dec26 << 2) | 0;
   47293     HEAP32[$arrayidx51 >> 2] = 0;
   47294     $tail52 = $f + 16 | 0;
   47295     $17 = HEAP32[$tail52 >> 2] | 0;
   47296     $size55 = $17 + 276 | 0;
   47297     $18 = HEAP32[$size55 >> 2] | 0;
   47298     $inc56 = $18 + 1 | 0;
   47299     HEAP32[$size55 >> 2] = $inc56;
   47300     $list59 = $17 + 272 | 0;
   47301     $19 = HEAP32[$list59 >> 2] | 0;
   47302     $arrayidx60 = $19 + ($18 << 2) | 0;
   47303     HEAP32[$arrayidx60 >> 2] = $f;
   47304     $20 = HEAP32[$size55 >> 2] | 0;
   47305     $21 = HEAP32[$list59 >> 2] | 0;
   47306     $arrayidx67 = $21 + ($20 << 2) | 0;
   47307     HEAP32[$arrayidx67 >> 2] = 0;
   47308     $head68 = $f + 12 | 0;
   47309     $22 = HEAP32[$head68 >> 2] | 0;
   47310     $size71 = $22 + 268 | 0;
   47311     $23 = HEAP32[$size71 >> 2] | 0;
   47312     $inc72 = $23 + 1 | 0;
   47313     HEAP32[$size71 >> 2] = $inc72;
   47314     $list75 = $22 + 264 | 0;
   47315     $24 = HEAP32[$list75 >> 2] | 0;
   47316     $arrayidx76 = $24 + ($23 << 2) | 0;
   47317     HEAP32[$arrayidx76 >> 2] = $f;
   47318     $25 = HEAP32[$size71 >> 2] | 0;
   47319     $26 = HEAP32[$list75 >> 2] | 0;
   47320     $arrayidx83 = $26 + ($25 << 2) | 0;
   47321     HEAP32[$arrayidx83 >> 2] = 0;
   47322     return;
   47323   }
   47324 }
   47325 function _incident($e) {
   47326   $e = $e | 0;
   47327   var $tail = 0, $0 = 0, $mark = 0, $1 = 0, $tobool = 0, $head = 0, $2 = 0, $mark2 = 0, $3 = 0, $tobool9 = 0, $retval_0 = 0, label = 0;
   47328   label = 2;
   47329   while (1) switch (label | 0) {
   47330    case 2:
   47331     $tail = $e + 16 | 0;
   47332     $0 = HEAP32[$tail >> 2] | 0;
   47333     $mark = $0 + 163 | 0;
   47334     $1 = HEAP8[$mark] | 0;
   47335     $tobool = $1 << 24 >> 24 == 0;
   47336     $head = $e + 12 | 0;
   47337     $2 = HEAP32[$head >> 2] | 0;
   47338     $mark2 = $2 + 163 | 0;
   47339     $3 = HEAP8[$mark2] | 0;
   47340     $tobool9 = $3 << 24 >> 24 == 0;
   47341     if ($tobool) {
   47342       label = 4;
   47343       break;
   47344     } else {
   47345       label = 3;
   47346       break;
   47347     }
   47348    case 3:
   47349     if ($tobool9) {
   47350       $retval_0 = $0;
   47351       label = 6;
   47352       break;
   47353     } else {
   47354       label = 5;
   47355       break;
   47356     }
   47357    case 4:
   47358     if ($tobool9) {
   47359       label = 5;
   47360       break;
   47361     } else {
   47362       $retval_0 = $2;
   47363       label = 6;
   47364       break;
   47365     }
   47366    case 5:
   47367     $retval_0 = 0;
   47368     label = 6;
   47369     break;
   47370    case 6:
   47371     return $retval_0 | 0;
   47372   }
   47373   return 0;
   47374 }
   47375 function _x_val($e, $v, $dir) {
   47376   $e = $e | 0;
   47377   $v = $v | 0;
   47378   $dir = $dir | 0;
   47379   var $tail = 0, $0 = 0, $cmp = 0, $head = 0, $1 = 0, $other_0 = 0, $low = 0, $2 = 0, $lim = 0, $3 = 0, $cmp3 = 0, $lim7 = 0, $4 = 0, $cmp8 = 0, $weight = 0, $5 = 0.0, $tree_index = 0, $6 = 0, $cmp13 = 0, $cutvalue = 0, $7 = 0, $phitmp = 0.0, $rv_0 = 0.0, $weight20 = 0, $8 = 0.0, $sub = 0.0, $rv_1_in = 0.0, $f_0 = 0, $rv_1 = 0, $cmp24 = 0, $head27 = 0, $_sink_in = 0, $_sink = 0, $cmp28 = 0, $_ = 0, $sub42 = 0, $d_1 = 0, $cmp44 = 0, $sub47 = 0, $sub47_rv_1 = 0, label = 0;
   47380   label = 2;
   47381   while (1) switch (label | 0) {
   47382    case 2:
   47383     $tail = $e + 16 | 0;
   47384     $0 = HEAP32[$tail >> 2] | 0;
   47385     $cmp = ($0 | 0) == ($v | 0);
   47386     if ($cmp) {
   47387       label = 3;
   47388       break;
   47389     } else {
   47390       $other_0 = $0;
   47391       label = 4;
   47392       break;
   47393     }
   47394    case 3:
   47395     $head = $e + 12 | 0;
   47396     $1 = HEAP32[$head >> 2] | 0;
   47397     $other_0 = $1;
   47398     label = 4;
   47399     break;
   47400    case 4:
   47401     $low = $v + 284 | 0;
   47402     $2 = HEAP32[$low >> 2] | 0;
   47403     $lim = $other_0 + 288 | 0;
   47404     $3 = HEAP32[$lim >> 2] | 0;
   47405     $cmp3 = ($2 | 0) > ($3 | 0);
   47406     if ($cmp3) {
   47407       label = 6;
   47408       break;
   47409     } else {
   47410       label = 5;
   47411       break;
   47412     }
   47413    case 5:
   47414     $lim7 = $v + 288 | 0;
   47415     $4 = HEAP32[$lim7 >> 2] | 0;
   47416     $cmp8 = ($3 | 0) > ($4 | 0);
   47417     if ($cmp8) {
   47418       label = 6;
   47419       break;
   47420     } else {
   47421       label = 7;
   47422       break;
   47423     }
   47424    case 6:
   47425     $weight = $e + 172 | 0;
   47426     $5 = +HEAPF32[$weight >> 2];
   47427     $f_0 = 0;
   47428     $rv_1_in = $5;
   47429     label = 10;
   47430     break;
   47431    case 7:
   47432     $tree_index = $e + 180 | 0;
   47433     $6 = HEAP32[$tree_index >> 2] | 0;
   47434     $cmp13 = ($6 | 0) > -1;
   47435     if ($cmp13) {
   47436       label = 8;
   47437       break;
   47438     } else {
   47439       $rv_0 = 0.0;
   47440       label = 9;
   47441       break;
   47442     }
   47443    case 8:
   47444     $cutvalue = $e + 176 | 0;
   47445     $7 = HEAP32[$cutvalue >> 2] | 0;
   47446     $phitmp = +($7 | 0);
   47447     $rv_0 = $phitmp;
   47448     label = 9;
   47449     break;
   47450    case 9:
   47451     $weight20 = $e + 172 | 0;
   47452     $8 = +HEAPF32[$weight20 >> 2];
   47453     $sub = $rv_0 - $8;
   47454     $f_0 = 1;
   47455     $rv_1_in = $sub;
   47456     label = 10;
   47457     break;
   47458    case 10:
   47459     $rv_1 = ~~$rv_1_in;
   47460     $cmp24 = ($dir | 0) > 0;
   47461     $head27 = $e + 12 | 0;
   47462     $_sink_in = $cmp24 ? $head27 : $tail;
   47463     $_sink = HEAP32[$_sink_in >> 2] | 0;
   47464     $cmp28 = ($_sink | 0) == ($v | 0);
   47465     $_ = $cmp28 ? 1 : -1;
   47466     $sub42 = -$_ | 0;
   47467     $d_1 = $f_0 ? $_ : $sub42;
   47468     $cmp44 = ($d_1 | 0) < 0;
   47469     $sub47 = -$rv_1 | 0;
   47470     $sub47_rv_1 = $cmp44 ? $sub47 : $rv_1;
   47471     return $sub47_rv_1 | 0;
   47472   }
   47473   return 0;
   47474 }
   47475 function _LR_balance() {
   47476   var $0 = 0, $cmp12 = 0, $i_013 = 0, $1 = 0, $arrayidx = 0, $2 = 0, $cutvalue = 0, $3 = 0, $cmp1 = 0, $call = 0, $cmp2 = 0, $head = 0, $4 = 0, $rank = 0, $5 = 0, $tail = 0, $6 = 0, $rank6 = 0, $7 = 0, $sub = 0, $minlen = 0, $8 = 0, $conv = 0, $sub8 = 0, $cmp9 = 0, $tail13 = 0, $9 = 0, $lim = 0, $10 = 0, $head15 = 0, $11 = 0, $lim17 = 0, $12 = 0, $cmp18 = 0, $div = 0, $div24 = 0, $inc = 0, $13 = 0, $cmp = 0, label = 0;
   47477   label = 2;
   47478   while (1) switch (label | 0) {
   47479    case 2:
   47480     $0 = HEAP32[41338] | 0;
   47481     $cmp12 = ($0 | 0) > 0;
   47482     if ($cmp12) {
   47483       $i_013 = 0;
   47484       label = 3;
   47485       break;
   47486     } else {
   47487       label = 10;
   47488       break;
   47489     }
   47490    case 3:
   47491     $1 = HEAP32[41340] | 0;
   47492     $arrayidx = $1 + ($i_013 << 2) | 0;
   47493     $2 = HEAP32[$arrayidx >> 2] | 0;
   47494     $cutvalue = $2 + 176 | 0;
   47495     $3 = HEAP32[$cutvalue >> 2] | 0;
   47496     $cmp1 = ($3 | 0) == 0;
   47497     if ($cmp1) {
   47498       label = 4;
   47499       break;
   47500     } else {
   47501       label = 9;
   47502       break;
   47503     }
   47504    case 4:
   47505     $call = _enter_edge($2) | 0;
   47506     $cmp2 = ($call | 0) == 0;
   47507     if ($cmp2) {
   47508       label = 9;
   47509       break;
   47510     } else {
   47511       label = 5;
   47512       break;
   47513     }
   47514    case 5:
   47515     $head = $call + 12 | 0;
   47516     $4 = HEAP32[$head >> 2] | 0;
   47517     $rank = $4 + 236 | 0;
   47518     $5 = HEAP32[$rank >> 2] | 0;
   47519     $tail = $call + 16 | 0;
   47520     $6 = HEAP32[$tail >> 2] | 0;
   47521     $rank6 = $6 + 236 | 0;
   47522     $7 = HEAP32[$rank6 >> 2] | 0;
   47523     $sub = $5 - $7 | 0;
   47524     $minlen = $call + 186 | 0;
   47525     $8 = HEAP16[$minlen >> 1] | 0;
   47526     $conv = $8 & 65535;
   47527     $sub8 = $sub - $conv | 0;
   47528     $cmp9 = ($sub8 | 0) < 2;
   47529     if ($cmp9) {
   47530       label = 9;
   47531       break;
   47532     } else {
   47533       label = 6;
   47534       break;
   47535     }
   47536    case 6:
   47537     $tail13 = $2 + 16 | 0;
   47538     $9 = HEAP32[$tail13 >> 2] | 0;
   47539     $lim = $9 + 288 | 0;
   47540     $10 = HEAP32[$lim >> 2] | 0;
   47541     $head15 = $2 + 12 | 0;
   47542     $11 = HEAP32[$head15 >> 2] | 0;
   47543     $lim17 = $11 + 288 | 0;
   47544     $12 = HEAP32[$lim17 >> 2] | 0;
   47545     $cmp18 = ($10 | 0) < ($12 | 0);
   47546     if ($cmp18) {
   47547       label = 7;
   47548       break;
   47549     } else {
   47550       label = 8;
   47551       break;
   47552     }
   47553    case 7:
   47554     $div = ($sub8 | 0) / 2 & -1;
   47555     _rerank($9, $div);
   47556     label = 9;
   47557     break;
   47558    case 8:
   47559     $div24 = ($sub8 | 0) / -2 & -1;
   47560     _rerank($11, $div24);
   47561     label = 9;
   47562     break;
   47563    case 9:
   47564     $inc = $i_013 + 1 | 0;
   47565     $13 = HEAP32[41338] | 0;
   47566     $cmp = ($inc | 0) < ($13 | 0);
   47567     if ($cmp) {
   47568       $i_013 = $inc;
   47569       label = 3;
   47570       break;
   47571     } else {
   47572       label = 10;
   47573       break;
   47574     }
   47575    case 10:
   47576     _freeTreeList();
   47577     return;
   47578   }
   47579 }
   47580 function _rerank($v, $delta) {
   47581   $v = $v | 0;
   47582   $delta = $delta | 0;
   47583   var $rank = 0, $0 = 0, $sub = 0, $list = 0, $1 = 0, $2 = 0, $tobool15 = 0, $par = 0, $list5 = 0, $3 = 0, $4 = 0, $tobool713 = 0, $par10 = 0, $5 = 0, $i_016 = 0, $6 = 0, $cmp = 0, $head = 0, $7 = 0, $inc = 0, $8 = 0, $arrayidx = 0, $9 = 0, $tobool = 0, $10 = 0, $i_114 = 0, $11 = 0, $cmp11 = 0, $tail = 0, $12 = 0, $inc15 = 0, $13 = 0, $arrayidx6 = 0, $14 = 0, $tobool7 = 0, label = 0;
   47584   label = 2;
   47585   while (1) switch (label | 0) {
   47586    case 2:
   47587     $rank = $v + 236 | 0;
   47588     $0 = HEAP32[$rank >> 2] | 0;
   47589     $sub = $0 - $delta | 0;
   47590     HEAP32[$rank >> 2] = $sub;
   47591     $list = $v + 272 | 0;
   47592     $1 = HEAP32[$list >> 2] | 0;
   47593     $2 = HEAP32[$1 >> 2] | 0;
   47594     $tobool15 = ($2 | 0) == 0;
   47595     if ($tobool15) {
   47596       label = 4;
   47597       break;
   47598     } else {
   47599       label = 3;
   47600       break;
   47601     }
   47602    case 3:
   47603     $par = $v + 280 | 0;
   47604     $i_016 = 0;
   47605     $5 = $2;
   47606     label = 6;
   47607     break;
   47608    case 4:
   47609     $list5 = $v + 264 | 0;
   47610     $3 = HEAP32[$list5 >> 2] | 0;
   47611     $4 = HEAP32[$3 >> 2] | 0;
   47612     $tobool713 = ($4 | 0) == 0;
   47613     if ($tobool713) {
   47614       label = 12;
   47615       break;
   47616     } else {
   47617       label = 5;
   47618       break;
   47619     }
   47620    case 5:
   47621     $par10 = $v + 280 | 0;
   47622     $i_114 = 0;
   47623     $10 = $4;
   47624     label = 9;
   47625     break;
   47626    case 6:
   47627     $6 = HEAP32[$par >> 2] | 0;
   47628     $cmp = ($5 | 0) == ($6 | 0);
   47629     if ($cmp) {
   47630       label = 8;
   47631       break;
   47632     } else {
   47633       label = 7;
   47634       break;
   47635     }
   47636    case 7:
   47637     $head = $5 + 12 | 0;
   47638     $7 = HEAP32[$head >> 2] | 0;
   47639     _rerank($7, $delta);
   47640     label = 8;
   47641     break;
   47642    case 8:
   47643     $inc = $i_016 + 1 | 0;
   47644     $8 = HEAP32[$list >> 2] | 0;
   47645     $arrayidx = $8 + ($inc << 2) | 0;
   47646     $9 = HEAP32[$arrayidx >> 2] | 0;
   47647     $tobool = ($9 | 0) == 0;
   47648     if ($tobool) {
   47649       label = 4;
   47650       break;
   47651     } else {
   47652       $i_016 = $inc;
   47653       $5 = $9;
   47654       label = 6;
   47655       break;
   47656     }
   47657    case 9:
   47658     $11 = HEAP32[$par10 >> 2] | 0;
   47659     $cmp11 = ($10 | 0) == ($11 | 0);
   47660     if ($cmp11) {
   47661       label = 11;
   47662       break;
   47663     } else {
   47664       label = 10;
   47665       break;
   47666     }
   47667    case 10:
   47668     $tail = $10 + 16 | 0;
   47669     $12 = HEAP32[$tail >> 2] | 0;
   47670     _rerank($12, $delta);
   47671     label = 11;
   47672     break;
   47673    case 11:
   47674     $inc15 = $i_114 + 1 | 0;
   47675     $13 = HEAP32[$list5 >> 2] | 0;
   47676     $arrayidx6 = $13 + ($inc15 << 2) | 0;
   47677     $14 = HEAP32[$arrayidx6 >> 2] | 0;
   47678     $tobool7 = ($14 | 0) == 0;
   47679     if ($tobool7) {
   47680       label = 12;
   47681       break;
   47682     } else {
   47683       $i_114 = $inc15;
   47684       $10 = $14;
   47685       label = 9;
   47686       break;
   47687     }
   47688    case 12:
   47689     return;
   47690   }
   47691 }
   47692 function _dfs_range($v, $par, $low) {
   47693   $v = $v | 0;
   47694   $par = $par | 0;
   47695   $low = $low | 0;
   47696   var $par1 = 0, $low3 = 0, $list = 0, $0 = 0, $1 = 0, $tobool22 = 0, $lim_0_lcssa = 0, $list7 = 0, $2 = 0, $3 = 0, $tobool919 = 0, $4 = 0, $lim_024 = 0, $i_023 = 0, $cmp = 0, $head = 0, $5 = 0, $call = 0, $lim_1 = 0, $inc = 0, $6 = 0, $arrayidx = 0, $7 = 0, $tobool = 0, $8 = 0, $lim_221 = 0, $i_120 = 0, $cmp11 = 0, $tail = 0, $9 = 0, $call13 = 0, $lim_3 = 0, $inc16 = 0, $10 = 0, $arrayidx8 = 0, $11 = 0, $tobool9 = 0, $lim_2_lcssa = 0, $lim19 = 0, $add = 0, label = 0;
   47697   label = 2;
   47698   while (1) switch (label | 0) {
   47699    case 2:
   47700     $par1 = $v + 280 | 0;
   47701     HEAP32[$par1 >> 2] = $par;
   47702     $low3 = $v + 284 | 0;
   47703     HEAP32[$low3 >> 2] = $low;
   47704     $list = $v + 272 | 0;
   47705     $0 = HEAP32[$list >> 2] | 0;
   47706     $1 = HEAP32[$0 >> 2] | 0;
   47707     $tobool22 = ($1 | 0) == 0;
   47708     if ($tobool22) {
   47709       $lim_0_lcssa = $low;
   47710       label = 3;
   47711       break;
   47712     } else {
   47713       $i_023 = 0;
   47714       $lim_024 = $low;
   47715       $4 = $1;
   47716       label = 4;
   47717       break;
   47718     }
   47719    case 3:
   47720     $list7 = $v + 264 | 0;
   47721     $2 = HEAP32[$list7 >> 2] | 0;
   47722     $3 = HEAP32[$2 >> 2] | 0;
   47723     $tobool919 = ($3 | 0) == 0;
   47724     if ($tobool919) {
   47725       $lim_2_lcssa = $lim_0_lcssa;
   47726       label = 10;
   47727       break;
   47728     } else {
   47729       $i_120 = 0;
   47730       $lim_221 = $lim_0_lcssa;
   47731       $8 = $3;
   47732       label = 7;
   47733       break;
   47734     }
   47735    case 4:
   47736     $cmp = ($4 | 0) == ($par | 0);
   47737     if ($cmp) {
   47738       $lim_1 = $lim_024;
   47739       label = 6;
   47740       break;
   47741     } else {
   47742       label = 5;
   47743       break;
   47744     }
   47745    case 5:
   47746     $head = $4 + 12 | 0;
   47747     $5 = HEAP32[$head >> 2] | 0;
   47748     $call = _dfs_range($5, $4, $lim_024) | 0;
   47749     $lim_1 = $call;
   47750     label = 6;
   47751     break;
   47752    case 6:
   47753     $inc = $i_023 + 1 | 0;
   47754     $6 = HEAP32[$list >> 2] | 0;
   47755     $arrayidx = $6 + ($inc << 2) | 0;
   47756     $7 = HEAP32[$arrayidx >> 2] | 0;
   47757     $tobool = ($7 | 0) == 0;
   47758     if ($tobool) {
   47759       $lim_0_lcssa = $lim_1;
   47760       label = 3;
   47761       break;
   47762     } else {
   47763       $i_023 = $inc;
   47764       $lim_024 = $lim_1;
   47765       $4 = $7;
   47766       label = 4;
   47767       break;
   47768     }
   47769    case 7:
   47770     $cmp11 = ($8 | 0) == ($par | 0);
   47771     if ($cmp11) {
   47772       $lim_3 = $lim_221;
   47773       label = 9;
   47774       break;
   47775     } else {
   47776       label = 8;
   47777       break;
   47778     }
   47779    case 8:
   47780     $tail = $8 + 16 | 0;
   47781     $9 = HEAP32[$tail >> 2] | 0;
   47782     $call13 = _dfs_range($9, $8, $lim_221) | 0;
   47783     $lim_3 = $call13;
   47784     label = 9;
   47785     break;
   47786    case 9:
   47787     $inc16 = $i_120 + 1 | 0;
   47788     $10 = HEAP32[$list7 >> 2] | 0;
   47789     $arrayidx8 = $10 + ($inc16 << 2) | 0;
   47790     $11 = HEAP32[$arrayidx8 >> 2] | 0;
   47791     $tobool9 = ($11 | 0) == 0;
   47792     if ($tobool9) {
   47793       $lim_2_lcssa = $lim_3;
   47794       label = 10;
   47795       break;
   47796     } else {
   47797       $i_120 = $inc16;
   47798       $lim_221 = $lim_3;
   47799       $8 = $11;
   47800       label = 7;
   47801       break;
   47802     }
   47803    case 10:
   47804     $lim19 = $v + 288 | 0;
   47805     HEAP32[$lim19 >> 2] = $lim_2_lcssa;
   47806     $add = $lim_2_lcssa + 1 | 0;
   47807     return $add | 0;
   47808   }
   47809   return 0;
   47810 }
   47811 function _dfs_enter_outedge($v) {
   47812   $v = $v | 0;
   47813   var $list = 0, $0 = 0, $1 = 0, $tobool24 = 0, $lim30 = 0, $list39 = 0, $2 = 0, $3 = 0, $tobool4120 = 0, $4 = 0, $cmp4221 = 0, $or_cond122 = 0, $lim49 = 0, $5 = 0, $i_025 = 0, $tree_index = 0, $6 = 0, $cmp2 = 0, $7 = 0, $head = 0, $8 = 0, $lim = 0, $9 = 0, $cmp5 = 0, $10 = 0, $cmp10 = 0, $or_cond19 = 0, $rank = 0, $11 = 0, $tail = 0, $12 = 0, $rank16 = 0, $13 = 0, $sub = 0, $minlen = 0, $14 = 0, $conv18 = 0, $sub19 = 0, $15 = 0, $cmp20 = 0, $16 = 0, $cmp22 = 0, $or_cond = 0, $head26 = 0, $17 = 0, $lim28 = 0, $18 = 0, $19 = 0, $cmp31 = 0, $inc = 0, $20 = 0, $arrayidx = 0, $21 = 0, $tobool = 0, $22 = 0, $i_123 = 0, $tail45 = 0, $23 = 0, $lim47 = 0, $24 = 0, $25 = 0, $cmp50 = 0, $inc56 = 0, $26 = 0, $arrayidx40 = 0, $27 = 0, $tobool41 = 0, $28 = 0, $cmp42 = 0, $or_cond1 = 0, label = 0;
   47814   label = 2;
   47815   while (1) switch (label | 0) {
   47816    case 2:
   47817     $list = $v + 184 | 0;
   47818     $0 = HEAP32[$list >> 2] | 0;
   47819     $1 = HEAP32[$0 >> 2] | 0;
   47820     $tobool24 = ($1 | 0) == 0;
   47821     if ($tobool24) {
   47822       label = 4;
   47823       break;
   47824     } else {
   47825       label = 3;
   47826       break;
   47827     }
   47828    case 3:
   47829     $lim30 = $v + 288 | 0;
   47830     $i_025 = 0;
   47831     $5 = $1;
   47832     label = 6;
   47833     break;
   47834    case 4:
   47835     $list39 = $v + 264 | 0;
   47836     $2 = HEAP32[$list39 >> 2] | 0;
   47837     $3 = HEAP32[$2 >> 2] | 0;
   47838     $tobool4120 = ($3 | 0) != 0;
   47839     $4 = HEAP32[41360] | 0;
   47840     $cmp4221 = ($4 | 0) > 0;
   47841     $or_cond122 = $tobool4120 & $cmp4221;
   47842     if ($or_cond122) {
   47843       label = 5;
   47844       break;
   47845     } else {
   47846       label = 16;
   47847       break;
   47848     }
   47849    case 5:
   47850     $lim49 = $v + 288 | 0;
   47851     $i_123 = 0;
   47852     $22 = $3;
   47853     label = 13;
   47854     break;
   47855    case 6:
   47856     $tree_index = $5 + 180 | 0;
   47857     $6 = HEAP32[$tree_index >> 2] | 0;
   47858     $cmp2 = ($6 | 0) < 0;
   47859     if ($cmp2) {
   47860       label = 7;
   47861       break;
   47862     } else {
   47863       label = 10;
   47864       break;
   47865     }
   47866    case 7:
   47867     $7 = HEAP32[41636] | 0;
   47868     $head = $5 + 12 | 0;
   47869     $8 = HEAP32[$head >> 2] | 0;
   47870     $lim = $8 + 288 | 0;
   47871     $9 = HEAP32[$lim >> 2] | 0;
   47872     $cmp5 = ($7 | 0) > ($9 | 0);
   47873     $10 = HEAP32[41642] | 0;
   47874     $cmp10 = ($9 | 0) > ($10 | 0);
   47875     $or_cond19 = $cmp5 | $cmp10;
   47876     if ($or_cond19) {
   47877       label = 8;
   47878       break;
   47879     } else {
   47880       label = 12;
   47881       break;
   47882     }
   47883    case 8:
   47884     $rank = $8 + 236 | 0;
   47885     $11 = HEAP32[$rank >> 2] | 0;
   47886     $tail = $5 + 16 | 0;
   47887     $12 = HEAP32[$tail >> 2] | 0;
   47888     $rank16 = $12 + 236 | 0;
   47889     $13 = HEAP32[$rank16 >> 2] | 0;
   47890     $sub = $11 - $13 | 0;
   47891     $minlen = $5 + 186 | 0;
   47892     $14 = HEAP16[$minlen >> 1] | 0;
   47893     $conv18 = $14 & 65535;
   47894     $sub19 = $sub - $conv18 | 0;
   47895     $15 = HEAP32[41360] | 0;
   47896     $cmp20 = ($sub19 | 0) < ($15 | 0);
   47897     $16 = HEAP32[41890] | 0;
   47898     $cmp22 = ($16 | 0) == 0;
   47899     $or_cond = $cmp20 | $cmp22;
   47900     if ($or_cond) {
   47901       label = 9;
   47902       break;
   47903     } else {
   47904       label = 12;
   47905       break;
   47906     }
   47907    case 9:
   47908     HEAP32[41890] = $5;
   47909     HEAP32[41360] = $sub19;
   47910     label = 12;
   47911     break;
   47912    case 10:
   47913     $head26 = $5 + 12 | 0;
   47914     $17 = HEAP32[$head26 >> 2] | 0;
   47915     $lim28 = $17 + 288 | 0;
   47916     $18 = HEAP32[$lim28 >> 2] | 0;
   47917     $19 = HEAP32[$lim30 >> 2] | 0;
   47918     $cmp31 = ($18 | 0) < ($19 | 0);
   47919     if ($cmp31) {
   47920       label = 11;
   47921       break;
   47922     } else {
   47923       label = 12;
   47924       break;
   47925     }
   47926    case 11:
   47927     _dfs_enter_outedge($17);
   47928     label = 12;
   47929     break;
   47930    case 12:
   47931     $inc = $i_025 + 1 | 0;
   47932     $20 = HEAP32[$list >> 2] | 0;
   47933     $arrayidx = $20 + ($inc << 2) | 0;
   47934     $21 = HEAP32[$arrayidx >> 2] | 0;
   47935     $tobool = ($21 | 0) == 0;
   47936     if ($tobool) {
   47937       label = 4;
   47938       break;
   47939     } else {
   47940       $i_025 = $inc;
   47941       $5 = $21;
   47942       label = 6;
   47943       break;
   47944     }
   47945    case 13:
   47946     $tail45 = $22 + 16 | 0;
   47947     $23 = HEAP32[$tail45 >> 2] | 0;
   47948     $lim47 = $23 + 288 | 0;
   47949     $24 = HEAP32[$lim47 >> 2] | 0;
   47950     $25 = HEAP32[$lim49 >> 2] | 0;
   47951     $cmp50 = ($24 | 0) < ($25 | 0);
   47952     if ($cmp50) {
   47953       label = 14;
   47954       break;
   47955     } else {
   47956       label = 15;
   47957       break;
   47958     }
   47959    case 14:
   47960     _dfs_enter_outedge($23);
   47961     label = 15;
   47962     break;
   47963    case 15:
   47964     $inc56 = $i_123 + 1 | 0;
   47965     $26 = HEAP32[$list39 >> 2] | 0;
   47966     $arrayidx40 = $26 + ($inc56 << 2) | 0;
   47967     $27 = HEAP32[$arrayidx40 >> 2] | 0;
   47968     $tobool41 = ($27 | 0) != 0;
   47969     $28 = HEAP32[41360] | 0;
   47970     $cmp42 = ($28 | 0) > 0;
   47971     $or_cond1 = $tobool41 & $cmp42;
   47972     if ($or_cond1) {
   47973       $i_123 = $inc56;
   47974       $22 = $27;
   47975       label = 13;
   47976       break;
   47977     } else {
   47978       label = 16;
   47979       break;
   47980     }
   47981    case 16:
   47982     return;
   47983   }
   47984 }
   47985 function _dfs_enter_inedge($v) {
   47986   $v = $v | 0;
   47987   var $list = 0, $0 = 0, $1 = 0, $tobool24 = 0, $lim30 = 0, $list39 = 0, $2 = 0, $3 = 0, $tobool4120 = 0, $4 = 0, $cmp4221 = 0, $or_cond122 = 0, $lim49 = 0, $5 = 0, $i_025 = 0, $tree_index = 0, $6 = 0, $cmp2 = 0, $7 = 0, $tail = 0, $8 = 0, $lim = 0, $9 = 0, $cmp5 = 0, $10 = 0, $cmp10 = 0, $or_cond19 = 0, $head = 0, $11 = 0, $rank = 0, $12 = 0, $rank16 = 0, $13 = 0, $sub = 0, $minlen = 0, $14 = 0, $conv18 = 0, $sub19 = 0, $15 = 0, $cmp20 = 0, $16 = 0, $cmp22 = 0, $or_cond = 0, $tail26 = 0, $17 = 0, $lim28 = 0, $18 = 0, $19 = 0, $cmp31 = 0, $inc = 0, $20 = 0, $arrayidx = 0, $21 = 0, $tobool = 0, $22 = 0, $i_123 = 0, $head45 = 0, $23 = 0, $lim47 = 0, $24 = 0, $25 = 0, $cmp50 = 0, $inc56 = 0, $26 = 0, $arrayidx40 = 0, $27 = 0, $tobool41 = 0, $28 = 0, $cmp42 = 0, $or_cond1 = 0, label = 0;
   47988   label = 2;
   47989   while (1) switch (label | 0) {
   47990    case 2:
   47991     $list = $v + 176 | 0;
   47992     $0 = HEAP32[$list >> 2] | 0;
   47993     $1 = HEAP32[$0 >> 2] | 0;
   47994     $tobool24 = ($1 | 0) == 0;
   47995     if ($tobool24) {
   47996       label = 4;
   47997       break;
   47998     } else {
   47999       label = 3;
   48000       break;
   48001     }
   48002    case 3:
   48003     $lim30 = $v + 288 | 0;
   48004     $i_025 = 0;
   48005     $5 = $1;
   48006     label = 6;
   48007     break;
   48008    case 4:
   48009     $list39 = $v + 272 | 0;
   48010     $2 = HEAP32[$list39 >> 2] | 0;
   48011     $3 = HEAP32[$2 >> 2] | 0;
   48012     $tobool4120 = ($3 | 0) != 0;
   48013     $4 = HEAP32[41360] | 0;
   48014     $cmp4221 = ($4 | 0) > 0;
   48015     $or_cond122 = $tobool4120 & $cmp4221;
   48016     if ($or_cond122) {
   48017       label = 5;
   48018       break;
   48019     } else {
   48020       label = 16;
   48021       break;
   48022     }
   48023    case 5:
   48024     $lim49 = $v + 288 | 0;
   48025     $i_123 = 0;
   48026     $22 = $3;
   48027     label = 13;
   48028     break;
   48029    case 6:
   48030     $tree_index = $5 + 180 | 0;
   48031     $6 = HEAP32[$tree_index >> 2] | 0;
   48032     $cmp2 = ($6 | 0) < 0;
   48033     if ($cmp2) {
   48034       label = 7;
   48035       break;
   48036     } else {
   48037       label = 10;
   48038       break;
   48039     }
   48040    case 7:
   48041     $7 = HEAP32[41636] | 0;
   48042     $tail = $5 + 16 | 0;
   48043     $8 = HEAP32[$tail >> 2] | 0;
   48044     $lim = $8 + 288 | 0;
   48045     $9 = HEAP32[$lim >> 2] | 0;
   48046     $cmp5 = ($7 | 0) > ($9 | 0);
   48047     $10 = HEAP32[41642] | 0;
   48048     $cmp10 = ($9 | 0) > ($10 | 0);
   48049     $or_cond19 = $cmp5 | $cmp10;
   48050     if ($or_cond19) {
   48051       label = 8;
   48052       break;
   48053     } else {
   48054       label = 12;
   48055       break;
   48056     }
   48057    case 8:
   48058     $head = $5 + 12 | 0;
   48059     $11 = HEAP32[$head >> 2] | 0;
   48060     $rank = $11 + 236 | 0;
   48061     $12 = HEAP32[$rank >> 2] | 0;
   48062     $rank16 = $8 + 236 | 0;
   48063     $13 = HEAP32[$rank16 >> 2] | 0;
   48064     $sub = $12 - $13 | 0;
   48065     $minlen = $5 + 186 | 0;
   48066     $14 = HEAP16[$minlen >> 1] | 0;
   48067     $conv18 = $14 & 65535;
   48068     $sub19 = $sub - $conv18 | 0;
   48069     $15 = HEAP32[41360] | 0;
   48070     $cmp20 = ($sub19 | 0) < ($15 | 0);
   48071     $16 = HEAP32[41890] | 0;
   48072     $cmp22 = ($16 | 0) == 0;
   48073     $or_cond = $cmp20 | $cmp22;
   48074     if ($or_cond) {
   48075       label = 9;
   48076       break;
   48077     } else {
   48078       label = 12;
   48079       break;
   48080     }
   48081    case 9:
   48082     HEAP32[41890] = $5;
   48083     HEAP32[41360] = $sub19;
   48084     label = 12;
   48085     break;
   48086    case 10:
   48087     $tail26 = $5 + 16 | 0;
   48088     $17 = HEAP32[$tail26 >> 2] | 0;
   48089     $lim28 = $17 + 288 | 0;
   48090     $18 = HEAP32[$lim28 >> 2] | 0;
   48091     $19 = HEAP32[$lim30 >> 2] | 0;
   48092     $cmp31 = ($18 | 0) < ($19 | 0);
   48093     if ($cmp31) {
   48094       label = 11;
   48095       break;
   48096     } else {
   48097       label = 12;
   48098       break;
   48099     }
   48100    case 11:
   48101     _dfs_enter_inedge($17);
   48102     label = 12;
   48103     break;
   48104    case 12:
   48105     $inc = $i_025 + 1 | 0;
   48106     $20 = HEAP32[$list >> 2] | 0;
   48107     $arrayidx = $20 + ($inc << 2) | 0;
   48108     $21 = HEAP32[$arrayidx >> 2] | 0;
   48109     $tobool = ($21 | 0) == 0;
   48110     if ($tobool) {
   48111       label = 4;
   48112       break;
   48113     } else {
   48114       $i_025 = $inc;
   48115       $5 = $21;
   48116       label = 6;
   48117       break;
   48118     }
   48119    case 13:
   48120     $head45 = $22 + 12 | 0;
   48121     $23 = HEAP32[$head45 >> 2] | 0;
   48122     $lim47 = $23 + 288 | 0;
   48123     $24 = HEAP32[$lim47 >> 2] | 0;
   48124     $25 = HEAP32[$lim49 >> 2] | 0;
   48125     $cmp50 = ($24 | 0) < ($25 | 0);
   48126     if ($cmp50) {
   48127       label = 14;
   48128       break;
   48129     } else {
   48130       label = 15;
   48131       break;
   48132     }
   48133    case 14:
   48134     _dfs_enter_inedge($23);
   48135     label = 15;
   48136     break;
   48137    case 15:
   48138     $inc56 = $i_123 + 1 | 0;
   48139     $26 = HEAP32[$list39 >> 2] | 0;
   48140     $arrayidx40 = $26 + ($inc56 << 2) | 0;
   48141     $27 = HEAP32[$arrayidx40 >> 2] | 0;
   48142     $tobool41 = ($27 | 0) != 0;
   48143     $28 = HEAP32[41360] | 0;
   48144     $cmp42 = ($28 | 0) > 0;
   48145     $or_cond1 = $tobool41 & $cmp42;
   48146     if ($or_cond1) {
   48147       $i_123 = $inc56;
   48148       $22 = $27;
   48149       label = 13;
   48150       break;
   48151     } else {
   48152       label = 16;
   48153       break;
   48154     }
   48155    case 16:
   48156     return;
   48157   }
   48158 }
   48159 function _tight_tree() {
   48160   var $0 = 0, $nlist = 0, $n_019 = 0, $tobool20 = 0, $1 = 0, $cmp17 = 0, $n_021 = 0, $mark = 0, $list = 0, $2 = 0, $list4 = 0, $3 = 0, $size = 0, $size10 = 0, $next = 0, $n_0 = 0, $tobool = 0, $i_018 = 0, $4 = 0, $arrayidx14 = 0, $5 = 0, $tree_index = 0, $inc = 0, $6 = 0, $cmp = 0, $7 = 0, $nlist19 = 0, $n_112 = 0, $tobool2113 = 0, $n_116 = 0, $call = 0, $next26 = 0, $n_1 = 0, $tobool21 = 0, $8 = 0, $cmp22 = 0, $or_cond = 0, $9 = 0, label = 0;
   48161   label = 2;
   48162   while (1) switch (label | 0) {
   48163    case 2:
   48164     $0 = HEAP32[41882] | 0;
   48165     $nlist = $0 + 220 | 0;
   48166     $n_019 = HEAP32[$nlist >> 2] | 0;
   48167     $tobool20 = ($n_019 | 0) == 0;
   48168     if ($tobool20) {
   48169       label = 3;
   48170       break;
   48171     } else {
   48172       $n_021 = $n_019;
   48173       label = 4;
   48174       break;
   48175     }
   48176    case 3:
   48177     $1 = HEAP32[41338] | 0;
   48178     $cmp17 = ($1 | 0) > 0;
   48179     if ($cmp17) {
   48180       $i_018 = 0;
   48181       label = 5;
   48182       break;
   48183     } else {
   48184       label = 6;
   48185       break;
   48186     }
   48187    case 4:
   48188     $mark = $n_021 + 163 | 0;
   48189     HEAP8[$mark] = 0;
   48190     $list = $n_021 + 272 | 0;
   48191     $2 = HEAP32[$list >> 2] | 0;
   48192     HEAP32[$2 >> 2] = 0;
   48193     $list4 = $n_021 + 264 | 0;
   48194     $3 = HEAP32[$list4 >> 2] | 0;
   48195     HEAP32[$3 >> 2] = 0;
   48196     $size = $n_021 + 276 | 0;
   48197     HEAP32[$size >> 2] = 0;
   48198     $size10 = $n_021 + 268 | 0;
   48199     HEAP32[$size10 >> 2] = 0;
   48200     $next = $n_021 + 168 | 0;
   48201     $n_0 = HEAP32[$next >> 2] | 0;
   48202     $tobool = ($n_0 | 0) == 0;
   48203     if ($tobool) {
   48204       label = 3;
   48205       break;
   48206     } else {
   48207       $n_021 = $n_0;
   48208       label = 4;
   48209       break;
   48210     }
   48211    case 5:
   48212     $4 = HEAP32[41340] | 0;
   48213     $arrayidx14 = $4 + ($i_018 << 2) | 0;
   48214     $5 = HEAP32[$arrayidx14 >> 2] | 0;
   48215     $tree_index = $5 + 180 | 0;
   48216     HEAP32[$tree_index >> 2] = -1;
   48217     $inc = $i_018 + 1 | 0;
   48218     $6 = HEAP32[41338] | 0;
   48219     $cmp = ($inc | 0) < ($6 | 0);
   48220     if ($cmp) {
   48221       $i_018 = $inc;
   48222       label = 5;
   48223       break;
   48224     } else {
   48225       label = 6;
   48226       break;
   48227     }
   48228    case 6:
   48229     HEAP32[41338] = 0;
   48230     HEAP32[41334] = 0;
   48231     $7 = HEAP32[41882] | 0;
   48232     $nlist19 = $7 + 220 | 0;
   48233     $n_112 = HEAP32[$nlist19 >> 2] | 0;
   48234     $tobool2113 = ($n_112 | 0) == 0;
   48235     if ($tobool2113) {
   48236       label = 8;
   48237       break;
   48238     } else {
   48239       $n_116 = $n_112;
   48240       label = 7;
   48241       break;
   48242     }
   48243    case 7:
   48244     $call = _treesearch($n_116) | 0;
   48245     $next26 = $n_116 + 168 | 0;
   48246     $n_1 = HEAP32[$next26 >> 2] | 0;
   48247     $tobool21 = ($n_1 | 0) != 0;
   48248     $8 = HEAP32[41338] | 0;
   48249     $cmp22 = ($8 | 0) == 0;
   48250     $or_cond = $tobool21 & $cmp22;
   48251     if ($or_cond) {
   48252       $n_116 = $n_1;
   48253       label = 7;
   48254       break;
   48255     } else {
   48256       label = 8;
   48257       break;
   48258     }
   48259    case 8:
   48260     $9 = HEAP32[41334] | 0;
   48261     return $9 | 0;
   48262   }
   48263   return 0;
   48264 }
   48265 function _init_cutvalues() {
   48266   _dfs_range(HEAP32[(HEAP32[41882] | 0) + 220 >> 2] | 0, 0, 1);
   48267   _dfs_cutval(HEAP32[(HEAP32[41882] | 0) + 220 >> 2] | 0, 0);
   48268   return;
   48269 }
   48270 function _dfs_cutval($v, $par) {
   48271   $v = $v | 0;
   48272   $par = $par | 0;
   48273   var $list = 0, $0 = 0, $1 = 0, $tobool15 = 0, $list3 = 0, $2 = 0, $3 = 0, $tobool513 = 0, $4 = 0, $i_016 = 0, $cmp = 0, $head = 0, $5 = 0, $inc = 0, $6 = 0, $arrayidx = 0, $7 = 0, $tobool = 0, $8 = 0, $i_114 = 0, $cmp7 = 0, $tail = 0, $9 = 0, $inc11 = 0, $10 = 0, $arrayidx4 = 0, $11 = 0, $tobool5 = 0, $tobool13 = 0, label = 0;
   48274   label = 2;
   48275   while (1) switch (label | 0) {
   48276    case 2:
   48277     $list = $v + 272 | 0;
   48278     $0 = HEAP32[$list >> 2] | 0;
   48279     $1 = HEAP32[$0 >> 2] | 0;
   48280     $tobool15 = ($1 | 0) == 0;
   48281     if ($tobool15) {
   48282       label = 3;
   48283       break;
   48284     } else {
   48285       $i_016 = 0;
   48286       $4 = $1;
   48287       label = 4;
   48288       break;
   48289     }
   48290    case 3:
   48291     $list3 = $v + 264 | 0;
   48292     $2 = HEAP32[$list3 >> 2] | 0;
   48293     $3 = HEAP32[$2 >> 2] | 0;
   48294     $tobool513 = ($3 | 0) == 0;
   48295     if ($tobool513) {
   48296       label = 10;
   48297       break;
   48298     } else {
   48299       $i_114 = 0;
   48300       $8 = $3;
   48301       label = 7;
   48302       break;
   48303     }
   48304    case 4:
   48305     $cmp = ($4 | 0) == ($par | 0);
   48306     if ($cmp) {
   48307       label = 6;
   48308       break;
   48309     } else {
   48310       label = 5;
   48311       break;
   48312     }
   48313    case 5:
   48314     $head = $4 + 12 | 0;
   48315     $5 = HEAP32[$head >> 2] | 0;
   48316     _dfs_cutval($5, $4);
   48317     label = 6;
   48318     break;
   48319    case 6:
   48320     $inc = $i_016 + 1 | 0;
   48321     $6 = HEAP32[$list >> 2] | 0;
   48322     $arrayidx = $6 + ($inc << 2) | 0;
   48323     $7 = HEAP32[$arrayidx >> 2] | 0;
   48324     $tobool = ($7 | 0) == 0;
   48325     if ($tobool) {
   48326       label = 3;
   48327       break;
   48328     } else {
   48329       $i_016 = $inc;
   48330       $4 = $7;
   48331       label = 4;
   48332       break;
   48333     }
   48334    case 7:
   48335     $cmp7 = ($8 | 0) == ($par | 0);
   48336     if ($cmp7) {
   48337       label = 9;
   48338       break;
   48339     } else {
   48340       label = 8;
   48341       break;
   48342     }
   48343    case 8:
   48344     $tail = $8 + 16 | 0;
   48345     $9 = HEAP32[$tail >> 2] | 0;
   48346     _dfs_cutval($9, $8);
   48347     label = 9;
   48348     break;
   48349    case 9:
   48350     $inc11 = $i_114 + 1 | 0;
   48351     $10 = HEAP32[$list3 >> 2] | 0;
   48352     $arrayidx4 = $10 + ($inc11 << 2) | 0;
   48353     $11 = HEAP32[$arrayidx4 >> 2] | 0;
   48354     $tobool5 = ($11 | 0) == 0;
   48355     if ($tobool5) {
   48356       label = 10;
   48357       break;
   48358     } else {
   48359       $i_114 = $inc11;
   48360       $8 = $11;
   48361       label = 7;
   48362       break;
   48363     }
   48364    case 10:
   48365     $tobool13 = ($par | 0) == 0;
   48366     if ($tobool13) {
   48367       label = 12;
   48368       break;
   48369     } else {
   48370       label = 11;
   48371       break;
   48372     }
   48373    case 11:
   48374     _x_cutval($par);
   48375     label = 12;
   48376     break;
   48377    case 12:
   48378     return;
   48379   }
   48380 }
   48381 function _x_cutval($f) {
   48382   $f = $f | 0;
   48383   var $tail = 0, $0 = 0, $par = 0, $1 = 0, $cmp = 0, $head = 0, $2 = 0, $v_0 = 0, $dir_0 = 0, $list = 0, $3 = 0, $4 = 0, $tobool17 = 0, $5 = 0, $sum_0_lcssa = 0, $list5 = 0, $6 = 0, $7 = 0, $tobool714 = 0, $8 = 0, $9 = 0, $sum_019 = 0, $i_018 = 0, $call = 0, $add = 0, $inc = 0, $arrayidx = 0, $10 = 0, $tobool = 0, $11 = 0, $sum_116 = 0, $i_115 = 0, $call9 = 0, $add10 = 0, $inc12 = 0, $arrayidx6 = 0, $12 = 0, $tobool7 = 0, $sum_1_lcssa = 0, $cutvalue = 0, label = 0;
   48384   label = 2;
   48385   while (1) switch (label | 0) {
   48386    case 2:
   48387     $tail = $f + 16 | 0;
   48388     $0 = HEAP32[$tail >> 2] | 0;
   48389     $par = $0 + 280 | 0;
   48390     $1 = HEAP32[$par >> 2] | 0;
   48391     $cmp = ($1 | 0) == ($f | 0);
   48392     if ($cmp) {
   48393       $dir_0 = 1;
   48394       $v_0 = $0;
   48395       label = 4;
   48396       break;
   48397     } else {
   48398       label = 3;
   48399       break;
   48400     }
   48401    case 3:
   48402     $head = $f + 12 | 0;
   48403     $2 = HEAP32[$head >> 2] | 0;
   48404     $dir_0 = -1;
   48405     $v_0 = $2;
   48406     label = 4;
   48407     break;
   48408    case 4:
   48409     $list = $v_0 + 184 | 0;
   48410     $3 = HEAP32[$list >> 2] | 0;
   48411     $4 = HEAP32[$3 >> 2] | 0;
   48412     $tobool17 = ($4 | 0) == 0;
   48413     if ($tobool17) {
   48414       $sum_0_lcssa = 0;
   48415       label = 6;
   48416       break;
   48417     } else {
   48418       label = 5;
   48419       break;
   48420     }
   48421    case 5:
   48422     $5 = HEAP32[$list >> 2] | 0;
   48423     $i_018 = 0;
   48424     $sum_019 = 0;
   48425     $9 = $4;
   48426     label = 8;
   48427     break;
   48428    case 6:
   48429     $list5 = $v_0 + 176 | 0;
   48430     $6 = HEAP32[$list5 >> 2] | 0;
   48431     $7 = HEAP32[$6 >> 2] | 0;
   48432     $tobool714 = ($7 | 0) == 0;
   48433     if ($tobool714) {
   48434       $sum_1_lcssa = $sum_0_lcssa;
   48435       label = 10;
   48436       break;
   48437     } else {
   48438       label = 7;
   48439       break;
   48440     }
   48441    case 7:
   48442     $8 = HEAP32[$list5 >> 2] | 0;
   48443     $i_115 = 0;
   48444     $sum_116 = $sum_0_lcssa;
   48445     $11 = $7;
   48446     label = 9;
   48447     break;
   48448    case 8:
   48449     $call = _x_val($9, $v_0, $dir_0) | 0;
   48450     $add = $call + $sum_019 | 0;
   48451     $inc = $i_018 + 1 | 0;
   48452     $arrayidx = $5 + ($inc << 2) | 0;
   48453     $10 = HEAP32[$arrayidx >> 2] | 0;
   48454     $tobool = ($10 | 0) == 0;
   48455     if ($tobool) {
   48456       $sum_0_lcssa = $add;
   48457       label = 6;
   48458       break;
   48459     } else {
   48460       $i_018 = $inc;
   48461       $sum_019 = $add;
   48462       $9 = $10;
   48463       label = 8;
   48464       break;
   48465     }
   48466    case 9:
   48467     $call9 = _x_val($11, $v_0, $dir_0) | 0;
   48468     $add10 = $call9 + $sum_116 | 0;
   48469     $inc12 = $i_115 + 1 | 0;
   48470     $arrayidx6 = $8 + ($inc12 << 2) | 0;
   48471     $12 = HEAP32[$arrayidx6 >> 2] | 0;
   48472     $tobool7 = ($12 | 0) == 0;
   48473     if ($tobool7) {
   48474       $sum_1_lcssa = $add10;
   48475       label = 10;
   48476       break;
   48477     } else {
   48478       $i_115 = $inc12;
   48479       $sum_116 = $add10;
   48480       $11 = $12;
   48481       label = 9;
   48482       break;
   48483     }
   48484    case 10:
   48485     $cutvalue = $f + 176 | 0;
   48486     HEAP32[$cutvalue >> 2] = $sum_1_lcssa;
   48487     return;
   48488   }
   48489 }
   48490 function _cmppair($d, $key1, $key2, $disc) {
   48491   $d = $d | 0;
   48492   $key1 = $key1 | 0;
   48493   $key2 = $key2 | 0;
   48494   $disc = $disc | 0;
   48495   var $x = 0, $0 = 0, $x1 = 0, $1 = 0, $cmp = 0, $cmp4 = 0, $y = 0, $2 = 0, $y7 = 0, $3 = 0, $cmp8 = 0, $cmp13 = 0, $_ = 0, $retval_0 = 0, label = 0;
   48496   label = 2;
   48497   while (1) switch (label | 0) {
   48498    case 2:
   48499     $x = $key1 | 0;
   48500     $0 = HEAP32[$x >> 2] | 0;
   48501     $x1 = $key2 | 0;
   48502     $1 = HEAP32[$x1 >> 2] | 0;
   48503     $cmp = ($0 | 0) > ($1 | 0);
   48504     if ($cmp) {
   48505       $retval_0 = 1;
   48506       label = 6;
   48507       break;
   48508     } else {
   48509       label = 3;
   48510       break;
   48511     }
   48512    case 3:
   48513     $cmp4 = ($0 | 0) < ($1 | 0);
   48514     if ($cmp4) {
   48515       $retval_0 = -1;
   48516       label = 6;
   48517       break;
   48518     } else {
   48519       label = 4;
   48520       break;
   48521     }
   48522    case 4:
   48523     $y = $key1 + 4 | 0;
   48524     $2 = HEAP32[$y >> 2] | 0;
   48525     $y7 = $key2 + 4 | 0;
   48526     $3 = HEAP32[$y7 >> 2] | 0;
   48527     $cmp8 = ($2 | 0) > ($3 | 0);
   48528     if ($cmp8) {
   48529       $retval_0 = 1;
   48530       label = 6;
   48531       break;
   48532     } else {
   48533       label = 5;
   48534       break;
   48535     }
   48536    case 5:
   48537     $cmp13 = ($2 | 0) < ($3 | 0);
   48538     $_ = $cmp13 << 31 >> 31;
   48539     $retval_0 = $_;
   48540     label = 6;
   48541     break;
   48542    case 6:
   48543     return $retval_0 | 0;
   48544   }
   48545   return 0;
   48546 }
   48547 function _pointfof693($agg_result, $x, $y) {
   48548   $agg_result = $agg_result | 0;
   48549   $x = +$x;
   48550   $y = +$y;
   48551   HEAPF64[$agg_result >> 3] = $x;
   48552   HEAPF64[$agg_result + 8 >> 3] = $y;
   48553   return;
   48554 }
   48555 function _treesearch($v) {
   48556   $v = $v | 0;
   48557   var $list = 0, $0 = 0, $1 = 0, $tobool19 = 0, $list21 = 0, $2 = 0, $3 = 0, $tobool2316 = 0, $4 = 0, $i_020 = 0, $head = 0, $5 = 0, $mark = 0, $6 = 0, $cmp = 0, $rank = 0, $7 = 0, $tail = 0, $8 = 0, $rank6 = 0, $9 = 0, $sub = 0, $minlen = 0, $10 = 0, $conv8 = 0, $cmp10 = 0, $11 = 0, $12 = 0, $sub12 = 0, $cmp13 = 0, $13 = 0, $call = 0, $tobool16 = 0, $inc = 0, $14 = 0, $arrayidx = 0, $15 = 0, $tobool = 0, $16 = 0, $i_117 = 0, $tail25 = 0, $17 = 0, $mark27 = 0, $18 = 0, $cmp29 = 0, $head32 = 0, $19 = 0, $rank34 = 0, $20 = 0, $rank37 = 0, $21 = 0, $sub38 = 0, $minlen40 = 0, $22 = 0, $conv41 = 0, $cmp43 = 0, $23 = 0, $24 = 0, $sub46 = 0, $cmp47 = 0, $25 = 0, $call51 = 0, $tobool52 = 0, $inc57 = 0, $26 = 0, $arrayidx22 = 0, $27 = 0, $tobool23 = 0, $retval_0 = 0, label = 0;
   48558   label = 2;
   48559   while (1) switch (label | 0) {
   48560    case 2:
   48561     $list = $v + 184 | 0;
   48562     $0 = HEAP32[$list >> 2] | 0;
   48563     $1 = HEAP32[$0 >> 2] | 0;
   48564     $tobool19 = ($1 | 0) == 0;
   48565     if ($tobool19) {
   48566       label = 3;
   48567       break;
   48568     } else {
   48569       $i_020 = 0;
   48570       $4 = $1;
   48571       label = 4;
   48572       break;
   48573     }
   48574    case 3:
   48575     $list21 = $v + 176 | 0;
   48576     $2 = HEAP32[$list21 >> 2] | 0;
   48577     $3 = HEAP32[$2 >> 2] | 0;
   48578     $tobool2316 = ($3 | 0) == 0;
   48579     if ($tobool2316) {
   48580       $retval_0 = 0;
   48581       label = 14;
   48582       break;
   48583     } else {
   48584       $i_117 = 0;
   48585       $16 = $3;
   48586       label = 9;
   48587       break;
   48588     }
   48589    case 4:
   48590     $head = $4 + 12 | 0;
   48591     $5 = HEAP32[$head >> 2] | 0;
   48592     $mark = $5 + 163 | 0;
   48593     $6 = HEAP8[$mark] | 0;
   48594     $cmp = $6 << 24 >> 24 == 0;
   48595     if ($cmp) {
   48596       label = 5;
   48597       break;
   48598     } else {
   48599       label = 8;
   48600       break;
   48601     }
   48602    case 5:
   48603     $rank = $5 + 236 | 0;
   48604     $7 = HEAP32[$rank >> 2] | 0;
   48605     $tail = $4 + 16 | 0;
   48606     $8 = HEAP32[$tail >> 2] | 0;
   48607     $rank6 = $8 + 236 | 0;
   48608     $9 = HEAP32[$rank6 >> 2] | 0;
   48609     $sub = $7 - $9 | 0;
   48610     $minlen = $4 + 186 | 0;
   48611     $10 = HEAP16[$minlen >> 1] | 0;
   48612     $conv8 = $10 & 65535;
   48613     $cmp10 = ($sub | 0) == ($conv8 | 0);
   48614     if ($cmp10) {
   48615       label = 6;
   48616       break;
   48617     } else {
   48618       label = 8;
   48619       break;
   48620     }
   48621    case 6:
   48622     _add_tree_edge($4);
   48623     $11 = HEAP32[41338] | 0;
   48624     $12 = HEAP32[41584] | 0;
   48625     $sub12 = $12 - 1 | 0;
   48626     $cmp13 = ($11 | 0) == ($sub12 | 0);
   48627     if ($cmp13) {
   48628       $retval_0 = 1;
   48629       label = 14;
   48630       break;
   48631     } else {
   48632       label = 7;
   48633       break;
   48634     }
   48635    case 7:
   48636     $13 = HEAP32[$head >> 2] | 0;
   48637     $call = _treesearch($13) | 0;
   48638     $tobool16 = ($call | 0) == 0;
   48639     if ($tobool16) {
   48640       label = 8;
   48641       break;
   48642     } else {
   48643       $retval_0 = 1;
   48644       label = 14;
   48645       break;
   48646     }
   48647    case 8:
   48648     $inc = $i_020 + 1 | 0;
   48649     $14 = HEAP32[$list >> 2] | 0;
   48650     $arrayidx = $14 + ($inc << 2) | 0;
   48651     $15 = HEAP32[$arrayidx >> 2] | 0;
   48652     $tobool = ($15 | 0) == 0;
   48653     if ($tobool) {
   48654       label = 3;
   48655       break;
   48656     } else {
   48657       $i_020 = $inc;
   48658       $4 = $15;
   48659       label = 4;
   48660       break;
   48661     }
   48662    case 9:
   48663     $tail25 = $16 + 16 | 0;
   48664     $17 = HEAP32[$tail25 >> 2] | 0;
   48665     $mark27 = $17 + 163 | 0;
   48666     $18 = HEAP8[$mark27] | 0;
   48667     $cmp29 = $18 << 24 >> 24 == 0;
   48668     if ($cmp29) {
   48669       label = 10;
   48670       break;
   48671     } else {
   48672       label = 13;
   48673       break;
   48674     }
   48675    case 10:
   48676     $head32 = $16 + 12 | 0;
   48677     $19 = HEAP32[$head32 >> 2] | 0;
   48678     $rank34 = $19 + 236 | 0;
   48679     $20 = HEAP32[$rank34 >> 2] | 0;
   48680     $rank37 = $17 + 236 | 0;
   48681     $21 = HEAP32[$rank37 >> 2] | 0;
   48682     $sub38 = $20 - $21 | 0;
   48683     $minlen40 = $16 + 186 | 0;
   48684     $22 = HEAP16[$minlen40 >> 1] | 0;
   48685     $conv41 = $22 & 65535;
   48686     $cmp43 = ($sub38 | 0) == ($conv41 | 0);
   48687     if ($cmp43) {
   48688       label = 11;
   48689       break;
   48690     } else {
   48691       label = 13;
   48692       break;
   48693     }
   48694    case 11:
   48695     _add_tree_edge($16);
   48696     $23 = HEAP32[41338] | 0;
   48697     $24 = HEAP32[41584] | 0;
   48698     $sub46 = $24 - 1 | 0;
   48699     $cmp47 = ($23 | 0) == ($sub46 | 0);
   48700     if ($cmp47) {
   48701       $retval_0 = 1;
   48702       label = 14;
   48703       break;
   48704     } else {
   48705       label = 12;
   48706       break;
   48707     }
   48708    case 12:
   48709     $25 = HEAP32[$tail25 >> 2] | 0;
   48710     $call51 = _treesearch($25) | 0;
   48711     $tobool52 = ($call51 | 0) == 0;
   48712     if ($tobool52) {
   48713       label = 13;
   48714       break;
   48715     } else {
   48716       $retval_0 = 1;
   48717       label = 14;
   48718       break;
   48719     }
   48720    case 13:
   48721     $inc57 = $i_117 + 1 | 0;
   48722     $26 = HEAP32[$list21 >> 2] | 0;
   48723     $arrayidx22 = $26 + ($inc57 << 2) | 0;
   48724     $27 = HEAP32[$arrayidx22 >> 2] | 0;
   48725     $tobool23 = ($27 | 0) == 0;
   48726     if ($tobool23) {
   48727       $retval_0 = 0;
   48728       label = 14;
   48729       break;
   48730     } else {
   48731       $i_117 = $inc57;
   48732       $16 = $27;
   48733       label = 9;
   48734       break;
   48735     }
   48736    case 14:
   48737     return $retval_0 | 0;
   48738   }
   48739   return 0;
   48740 }
   48741 function _newPS() {
   48742   return _dtopen(12728, HEAP32[41978] | 0) | 0;
   48743 }
   48744 function _freePS($ps) {
   48745   $ps = $ps | 0;
   48746   _dtclose($ps);
   48747   return;
   48748 }
   48749 function _mkPair($p) {
   48750   $p = $p | 0;
   48751   var $call = 0, $1 = 0, $2 = 0, $3$1 = 0, tempParam = 0, __stackBase__ = 0;
   48752   __stackBase__ = STACKTOP;
   48753   tempParam = $p;
   48754   $p = STACKTOP;
   48755   STACKTOP = STACKTOP + 8 | 0;
   48756   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   48757   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   48758   $call = _zmalloc(16) | 0;
   48759   $1 = $p;
   48760   $2 = $call + 8 | 0;
   48761   $3$1 = HEAP32[$1 + 4 >> 2] | 0;
   48762   HEAP32[$2 >> 2] = HEAP32[$1 >> 2] | 0;
   48763   HEAP32[$2 + 4 >> 2] = $3$1;
   48764   STACKTOP = __stackBase__;
   48765   return $call | 0;
   48766 }
   48767 function _addPS($ps, $x, $y) {
   48768   $ps = $ps | 0;
   48769   $x = $x | 0;
   48770   $y = $y | 0;
   48771   var $pt = 0, $0 = 0, $1 = 0, __stackBase__ = 0;
   48772   __stackBase__ = STACKTOP;
   48773   STACKTOP = STACKTOP + 8 | 0;
   48774   $pt = __stackBase__ | 0;
   48775   HEAP32[$pt >> 2] = $x;
   48776   HEAP32[$pt + 4 >> 2] = $y;
   48777   $0 = HEAP32[$ps >> 2] | 0;
   48778   $1 = _mkPair($pt) | 0;
   48779   FUNCTION_TABLE_iiii[$0 & 1023]($ps, $1, 1);
   48780   STACKTOP = __stackBase__;
   48781   return;
   48782 }
   48783 function _isInPS($ps, $x, $y) {
   48784   $ps = $ps | 0;
   48785   $x = $x | 0;
   48786   $y = $y | 0;
   48787   var $p = 0, $cond = 0, __stackBase__ = 0;
   48788   __stackBase__ = STACKTOP;
   48789   STACKTOP = STACKTOP + 16 | 0;
   48790   $p = __stackBase__ | 0;
   48791   HEAP32[$p + 8 >> 2] = $x;
   48792   HEAP32[$p + 12 >> 2] = $y;
   48793   $cond = (FUNCTION_TABLE_iiii[HEAP32[$ps >> 2] & 1023]($ps, $p, 4) | 0) != 0 & 1;
   48794   STACKTOP = __stackBase__;
   48795   return $cond | 0;
   48796 }
   48797 function _freePair($d, $pp, $disc) {
   48798   $d = $d | 0;
   48799   $pp = $pp | 0;
   48800   $disc = $disc | 0;
   48801   _free($pp);
   48802   return;
   48803 }
   48804 function _translate_bb($g, $rankdir) {
   48805   $g = $g | 0;
   48806   $rankdir = $rankdir | 0;
   48807   var $new_bb = 0, $agg_tmp = 0, $agg_tmp5 = 0, $tmp10 = 0, $agg_tmp12 = 0, $agg_tmp19 = 0, $tmp24 = 0, $tmp34 = 0, $bb_sroa_0_0__idx = 0, $bb_sroa_0_0_copyload = 0.0, $bb_sroa_1_8__idx1 = 0, $bb_sroa_1_8_copyload = 0.0, $bb_sroa_2_16__idx3 = 0, $bb_sroa_2_16_copyload = 0.0, $bb_sroa_3_24__idx5 = 0, $bb_sroa_3_24_copyload = 0.0, $rankdir_off = 0, $0 = 0, $new_bb19 = 0, $UR4 = 0, $1 = 0, $2 = 0, $new_bb20 = 0, $UR18 = 0, $3 = 0, $4 = 0, $bb26 = 0, $5 = 0, $6 = 0, $label = 0, $7 = 0, $tobool = 0, $pos = 0, $8 = 0, $9 = 0, $n_cluster = 0, $10 = 0, $cmp3717 = 0, $clust = 0, $c_018 = 0, $11 = 0, $arrayidx = 0, $12 = 0, $inc = 0, $13 = 0, $cmp37 = 0, label = 0, __stackBase__ = 0;
   48808   __stackBase__ = STACKTOP;
   48809   STACKTOP = STACKTOP + 144 | 0;
   48810   label = 2;
   48811   while (1) switch (label | 0) {
   48812    case 2:
   48813     $new_bb = __stackBase__ | 0;
   48814     $agg_tmp = __stackBase__ + 32 | 0;
   48815     $agg_tmp5 = __stackBase__ + 48 | 0;
   48816     $tmp10 = __stackBase__ + 64 | 0;
   48817     $agg_tmp12 = __stackBase__ + 80 | 0;
   48818     $agg_tmp19 = __stackBase__ + 96 | 0;
   48819     $tmp24 = __stackBase__ + 112 | 0;
   48820     $tmp34 = __stackBase__ + 128 | 0;
   48821     $bb_sroa_0_0__idx = $g + 56 | 0;
   48822     $bb_sroa_0_0_copyload = +HEAPF64[$bb_sroa_0_0__idx >> 3];
   48823     $bb_sroa_1_8__idx1 = $g + 64 | 0;
   48824     $bb_sroa_1_8_copyload = +HEAPF64[$bb_sroa_1_8__idx1 >> 3];
   48825     $bb_sroa_2_16__idx3 = $g + 72 | 0;
   48826     $bb_sroa_2_16_copyload = +HEAPF64[$bb_sroa_2_16__idx3 >> 3];
   48827     $bb_sroa_3_24__idx5 = $g + 80 | 0;
   48828     $bb_sroa_3_24_copyload = +HEAPF64[$bb_sroa_3_24__idx5 >> 3];
   48829     $rankdir_off = $rankdir - 1 | 0;
   48830     $0 = $rankdir_off >>> 0 < 2;
   48831     if ($0) {
   48832       label = 3;
   48833       break;
   48834     } else {
   48835       label = 4;
   48836       break;
   48837     }
   48838    case 3:
   48839     _pointfof693($agg_tmp, $bb_sroa_0_0_copyload, $bb_sroa_3_24_copyload);
   48840     $new_bb19 = $new_bb | 0;
   48841     _map_point692($new_bb19, $agg_tmp);
   48842     $UR4 = $new_bb + 16 | 0;
   48843     _pointfof693($agg_tmp5, $bb_sroa_2_16_copyload, $bb_sroa_1_8_copyload);
   48844     _map_point692($tmp10, $agg_tmp5);
   48845     $1 = $UR4;
   48846     $2 = $tmp10;
   48847     HEAP32[$1 >> 2] = HEAP32[$2 >> 2] | 0;
   48848     HEAP32[$1 + 4 >> 2] = HEAP32[$2 + 4 >> 2] | 0;
   48849     HEAP32[$1 + 8 >> 2] = HEAP32[$2 + 8 >> 2] | 0;
   48850     HEAP32[$1 + 12 >> 2] = HEAP32[$2 + 12 >> 2] | 0;
   48851     label = 5;
   48852     break;
   48853    case 4:
   48854     _pointfof693($agg_tmp12, $bb_sroa_0_0_copyload, $bb_sroa_1_8_copyload);
   48855     $new_bb20 = $new_bb | 0;
   48856     _map_point692($new_bb20, $agg_tmp12);
   48857     $UR18 = $new_bb + 16 | 0;
   48858     _pointfof693($agg_tmp19, $bb_sroa_2_16_copyload, $bb_sroa_3_24_copyload);
   48859     _map_point692($tmp24, $agg_tmp19);
   48860     $3 = $UR18;
   48861     $4 = $tmp24;
   48862     HEAP32[$3 >> 2] = HEAP32[$4 >> 2] | 0;
   48863     HEAP32[$3 + 4 >> 2] = HEAP32[$4 + 4 >> 2] | 0;
   48864     HEAP32[$3 + 8 >> 2] = HEAP32[$4 + 8 >> 2] | 0;
   48865     HEAP32[$3 + 12 >> 2] = HEAP32[$4 + 12 >> 2] | 0;
   48866     label = 5;
   48867     break;
   48868    case 5:
   48869     $bb26 = $g + 56 | 0;
   48870     $5 = $bb26;
   48871     $6 = $new_bb;
   48872     HEAP32[$5 >> 2] = HEAP32[$6 >> 2] | 0;
   48873     HEAP32[$5 + 4 >> 2] = HEAP32[$6 + 4 >> 2] | 0;
   48874     HEAP32[$5 + 8 >> 2] = HEAP32[$6 + 8 >> 2] | 0;
   48875     HEAP32[$5 + 12 >> 2] = HEAP32[$6 + 12 >> 2] | 0;
   48876     HEAP32[$5 + 16 >> 2] = HEAP32[$6 + 16 >> 2] | 0;
   48877     HEAP32[$5 + 20 >> 2] = HEAP32[$6 + 20 >> 2] | 0;
   48878     HEAP32[$5 + 24 >> 2] = HEAP32[$6 + 24 >> 2] | 0;
   48879     HEAP32[$5 + 28 >> 2] = HEAP32[$6 + 28 >> 2] | 0;
   48880     $label = $g + 52 | 0;
   48881     $7 = HEAP32[$label >> 2] | 0;
   48882     $tobool = ($7 | 0) == 0;
   48883     if ($tobool) {
   48884       label = 7;
   48885       break;
   48886     } else {
   48887       label = 6;
   48888       break;
   48889     }
   48890    case 6:
   48891     $pos = $7 + 56 | 0;
   48892     _map_point692($tmp34, $pos);
   48893     $8 = $pos;
   48894     $9 = $tmp34;
   48895     HEAP32[$8 >> 2] = HEAP32[$9 >> 2] | 0;
   48896     HEAP32[$8 + 4 >> 2] = HEAP32[$9 + 4 >> 2] | 0;
   48897     HEAP32[$8 + 8 >> 2] = HEAP32[$9 + 8 >> 2] | 0;
   48898     HEAP32[$8 + 12 >> 2] = HEAP32[$9 + 12 >> 2] | 0;
   48899     label = 7;
   48900     break;
   48901    case 7:
   48902     $n_cluster = $g + 212 | 0;
   48903     $10 = HEAP32[$n_cluster >> 2] | 0;
   48904     $cmp3717 = ($10 | 0) < 1;
   48905     if ($cmp3717) {
   48906       label = 10;
   48907       break;
   48908     } else {
   48909       label = 8;
   48910       break;
   48911     }
   48912    case 8:
   48913     $clust = $g + 216 | 0;
   48914     $c_018 = 1;
   48915     label = 9;
   48916     break;
   48917    case 9:
   48918     $11 = HEAP32[$clust >> 2] | 0;
   48919     $arrayidx = $11 + ($c_018 << 2) | 0;
   48920     $12 = HEAP32[$arrayidx >> 2] | 0;
   48921     _translate_bb($12, $rankdir);
   48922     $inc = $c_018 + 1 | 0;
   48923     $13 = HEAP32[$n_cluster >> 2] | 0;
   48924     $cmp37 = ($inc | 0) > ($13 | 0);
   48925     if ($cmp37) {
   48926       label = 10;
   48927       break;
   48928     } else {
   48929       $c_018 = $inc;
   48930       label = 9;
   48931       break;
   48932     }
   48933    case 10:
   48934     STACKTOP = __stackBase__;
   48935     return;
   48936   }
   48937 }
   48938 function _map_point692($agg_result, $p) {
   48939   $agg_result = $agg_result | 0;
   48940   $p = $p | 0;
   48941   var $tmp = 0, $1 = 0, $2 = 0, $x = 0, $y = 0, $7 = 0, tempParam = 0, __stackBase__ = 0;
   48942   __stackBase__ = STACKTOP;
   48943   STACKTOP = STACKTOP + 16 | 0;
   48944   tempParam = $p;
   48945   $p = STACKTOP;
   48946   STACKTOP = STACKTOP + 16 | 0;
   48947   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   48948   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   48949   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   48950   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   48951   $tmp = __stackBase__ | 0;
   48952   _ccwrotatepf($tmp, $p, (HEAP32[41540] | 0) * 90 & -1);
   48953   $1 = $p;
   48954   $2 = $tmp;
   48955   HEAP32[$1 >> 2] = HEAP32[$2 >> 2] | 0;
   48956   HEAP32[$1 + 4 >> 2] = HEAP32[$2 + 4 >> 2] | 0;
   48957   HEAP32[$1 + 8 >> 2] = HEAP32[$2 + 8 >> 2] | 0;
   48958   HEAP32[$1 + 12 >> 2] = HEAP32[$2 + 12 >> 2] | 0;
   48959   $x = $p | 0;
   48960   HEAPF64[$x >> 3] = +HEAPF64[$x >> 3] - +HEAPF64[20772];
   48961   $y = $p + 8 | 0;
   48962   HEAPF64[$y >> 3] = +HEAPF64[$y >> 3] - +HEAPF64[20773];
   48963   $7 = $agg_result;
   48964   HEAP32[$7 >> 2] = HEAP32[$1 >> 2] | 0;
   48965   HEAP32[$7 + 4 >> 2] = HEAP32[$1 + 4 >> 2] | 0;
   48966   HEAP32[$7 + 8 >> 2] = HEAP32[$1 + 8 >> 2] | 0;
   48967   HEAP32[$7 + 12 >> 2] = HEAP32[$1 + 12 >> 2] | 0;
   48968   STACKTOP = __stackBase__;
   48969   return;
   48970 }
   48971 function _gv_postprocess($g, $allowTranslation) {
   48972   $g = $g | 0;
   48973   $allowTranslation = $allowTranslation | 0;
   48974   var $dimen = 0, $tmp = 0, $tmp172 = 0, $tmp182 = 0, $buf = 0, $0 = 0, $rankdir = 0, $1 = 0, $and = 0, $2 = 0, $_tr = 0, $conv = 0, $tobool = 0, $label = 0, $3 = 0, $tobool6 = 0, $set = 0, $4 = 0, $tobool9 = 0, $dimen13 = 0, $5 = 0, $x = 0, $6 = 0.0, $add = 0.0, $y = 0, $7 = 0.0, $add14 = 0.0, $8 = 0, $tobool15 = 0, $label_pos = 0, $9 = 0, $and19 = 0, $tobool20 = 0, $x24 = 0, $10 = 0.0, $add25 = 0.0, $x30 = 0, $11 = 0.0, $sub = 0.0, $12 = 0.0, $y36 = 0, $13 = 0.0, $y40 = 0, $14 = 0.0, $sub41 = 0.0, $cmp = 0, $sub54 = 0.0, $div = 0.0, $sub59 = 0.0, $15 = 0.0, $add64 = 0.0, $16 = 0, $cmp73 = 0, $y80 = 0, $17 = 0.0, $add81 = 0.0, $y87 = 0, $18 = 0.0, $sub88 = 0.0, $y98 = 0, $19 = 0.0, $sub99 = 0.0, $y105 = 0, $20 = 0.0, $add106 = 0.0, $21 = 0.0, $x113 = 0, $22 = 0.0, $x117 = 0, $23 = 0.0, $sub118 = 0.0, $cmp119 = 0, $sub132 = 0.0, $div133 = 0.0, $sub138 = 0.0, $24 = 0.0, $add143 = 0.0, $tobool147 = 0, $25 = 0, $LL151 = 0, $26 = 0, $y156 = 0, $27 = 0.0, $sub157 = 0.0, $x161 = 0, $28 = 0.0, $29 = 0, $x166 = 0, $30 = 0.0, $y170 = 0, $31 = 0.0, $sub171 = 0.0, $32 = 0, $y177 = 0, $33 = 0.0, $x181 = 0, $34 = 0.0, $35 = 0, $36 = 0, $tobool186 = 0, $set190 = 0, $37 = 0, $tobool191 = 0, $38 = 0, $tobool194 = 0, $39 = 0, $tobool197 = 0, $arraydecay = 0, $40 = 0.0, $41 = 0.0, $call = 0, $42 = 0.0, $43 = 0.0, $sub201 = 0.0, $sub202 = 0.0, $call203 = 0, $call206 = 0, $44 = 0, label = 0, __stackBase__ = 0;
   48975   __stackBase__ = STACKTOP;
   48976   STACKTOP = STACKTOP + 1088 | 0;
   48977   label = 2;
   48978   while (1) switch (label | 0) {
   48979    case 2:
   48980     $dimen = __stackBase__ | 0;
   48981     $tmp = __stackBase__ + 16 | 0;
   48982     $tmp172 = __stackBase__ + 32 | 0;
   48983     $tmp182 = __stackBase__ + 48 | 0;
   48984     $buf = __stackBase__ + 64 | 0;
   48985     $0 = $dimen;
   48986     _memset($0 | 0, 0, 16);
   48987     _addXLabels($g);
   48988     $rankdir = $g + 156 | 0;
   48989     $1 = HEAP32[$rankdir >> 2] | 0;
   48990     $and = $1 & 3;
   48991     HEAP32[41540] = $and;
   48992     $2 = HEAP32[$rankdir >> 2] | 0;
   48993     $_tr = $2 & 255;
   48994     $conv = $_tr & 1;
   48995     HEAP8[167536] = $conv;
   48996     $tobool = $conv << 24 >> 24 == 0;
   48997     if ($tobool) {
   48998       label = 4;
   48999       break;
   49000     } else {
   49001       label = 3;
   49002       break;
   49003     }
   49004    case 3:
   49005     _place_flip_graph_label($g);
   49006     label = 5;
   49007     break;
   49008    case 4:
   49009     _place_graph_label($g);
   49010     label = 5;
   49011     break;
   49012    case 5:
   49013     $label = $g + 52 | 0;
   49014     $3 = HEAP32[$label >> 2] | 0;
   49015     $tobool6 = ($3 | 0) == 0;
   49016     if ($tobool6) {
   49017       label = 22;
   49018       break;
   49019     } else {
   49020       label = 6;
   49021       break;
   49022     }
   49023    case 6:
   49024     $set = $3 + 81 | 0;
   49025     $4 = HEAP8[$set] | 0;
   49026     $tobool9 = $4 << 24 >> 24 == 0;
   49027     if ($tobool9) {
   49028       label = 7;
   49029       break;
   49030     } else {
   49031       label = 22;
   49032       break;
   49033     }
   49034    case 7:
   49035     $dimen13 = $3 + 24 | 0;
   49036     $5 = $dimen13;
   49037     HEAP32[$0 >> 2] = HEAP32[$5 >> 2] | 0;
   49038     HEAP32[$0 + 4 >> 2] = HEAP32[$5 + 4 >> 2] | 0;
   49039     HEAP32[$0 + 8 >> 2] = HEAP32[$5 + 8 >> 2] | 0;
   49040     HEAP32[$0 + 12 >> 2] = HEAP32[$5 + 12 >> 2] | 0;
   49041     $x = $dimen | 0;
   49042     $6 = +HEAPF64[$x >> 3];
   49043     $add = $6 + 16.0;
   49044     HEAPF64[$x >> 3] = $add;
   49045     $y = $dimen + 8 | 0;
   49046     $7 = +HEAPF64[$y >> 3];
   49047     $add14 = $7 + 8.0;
   49048     HEAPF64[$y >> 3] = $add14;
   49049     $8 = HEAP8[167536] | 0;
   49050     $tobool15 = $8 << 24 >> 24 == 0;
   49051     $label_pos = $g + 287 | 0;
   49052     $9 = HEAP8[$label_pos] | 0;
   49053     $and19 = $9 & 1;
   49054     $tobool20 = $and19 << 24 >> 24 != 0;
   49055     if ($tobool15) {
   49056       label = 13;
   49057       break;
   49058     } else {
   49059       label = 8;
   49060       break;
   49061     }
   49062    case 8:
   49063     if ($tobool20) {
   49064       label = 9;
   49065       break;
   49066     } else {
   49067       label = 10;
   49068       break;
   49069     }
   49070    case 9:
   49071     $x24 = $g + 72 | 0;
   49072     $10 = +HEAPF64[$x24 >> 3];
   49073     $add25 = $add14 + $10;
   49074     HEAPF64[$x24 >> 3] = $add25;
   49075     label = 11;
   49076     break;
   49077    case 10:
   49078     $x30 = $g + 56 | 0;
   49079     $11 = +HEAPF64[$x30 >> 3];
   49080     $sub = $11 - $add14;
   49081     HEAPF64[$x30 >> 3] = $sub;
   49082     label = 11;
   49083     break;
   49084    case 11:
   49085     $12 = +HEAPF64[$x >> 3];
   49086     $y36 = $g + 80 | 0;
   49087     $13 = +HEAPF64[$y36 >> 3];
   49088     $y40 = $g + 64 | 0;
   49089     $14 = +HEAPF64[$y40 >> 3];
   49090     $sub41 = $13 - $14;
   49091     $cmp = $12 > $sub41;
   49092     if ($cmp) {
   49093       label = 12;
   49094       break;
   49095     } else {
   49096       label = 22;
   49097       break;
   49098     }
   49099    case 12:
   49100     $sub54 = $12 - $sub41;
   49101     $div = $sub54 * .5;
   49102     $sub59 = $14 - $div;
   49103     HEAPF64[$y40 >> 3] = $sub59;
   49104     $15 = +HEAPF64[$y36 >> 3];
   49105     $add64 = $div + $15;
   49106     HEAPF64[$y36 >> 3] = $add64;
   49107     label = 22;
   49108     break;
   49109    case 13:
   49110     $16 = HEAP32[41540] | 0;
   49111     $cmp73 = ($16 | 0) == 0;
   49112     if ($tobool20) {
   49113       label = 14;
   49114       break;
   49115     } else {
   49116       label = 17;
   49117       break;
   49118     }
   49119    case 14:
   49120     if ($cmp73) {
   49121       label = 15;
   49122       break;
   49123     } else {
   49124       label = 16;
   49125       break;
   49126     }
   49127    case 15:
   49128     $y80 = $g + 80 | 0;
   49129     $17 = +HEAPF64[$y80 >> 3];
   49130     $add81 = $add14 + $17;
   49131     HEAPF64[$y80 >> 3] = $add81;
   49132     label = 20;
   49133     break;
   49134    case 16:
   49135     $y87 = $g + 64 | 0;
   49136     $18 = +HEAPF64[$y87 >> 3];
   49137     $sub88 = $18 - $add14;
   49138     HEAPF64[$y87 >> 3] = $sub88;
   49139     label = 20;
   49140     break;
   49141    case 17:
   49142     if ($cmp73) {
   49143       label = 18;
   49144       break;
   49145     } else {
   49146       label = 19;
   49147       break;
   49148     }
   49149    case 18:
   49150     $y98 = $g + 64 | 0;
   49151     $19 = +HEAPF64[$y98 >> 3];
   49152     $sub99 = $19 - $add14;
   49153     HEAPF64[$y98 >> 3] = $sub99;
   49154     label = 20;
   49155     break;
   49156    case 19:
   49157     $y105 = $g + 80 | 0;
   49158     $20 = +HEAPF64[$y105 >> 3];
   49159     $add106 = $add14 + $20;
   49160     HEAPF64[$y105 >> 3] = $add106;
   49161     label = 20;
   49162     break;
   49163    case 20:
   49164     $21 = +HEAPF64[$x >> 3];
   49165     $x113 = $g + 72 | 0;
   49166     $22 = +HEAPF64[$x113 >> 3];
   49167     $x117 = $g + 56 | 0;
   49168     $23 = +HEAPF64[$x117 >> 3];
   49169     $sub118 = $22 - $23;
   49170     $cmp119 = $21 > $sub118;
   49171     if ($cmp119) {
   49172       label = 21;
   49173       break;
   49174     } else {
   49175       label = 22;
   49176       break;
   49177     }
   49178    case 21:
   49179     $sub132 = $21 - $sub118;
   49180     $div133 = $sub132 * .5;
   49181     $sub138 = $23 - $div133;
   49182     HEAPF64[$x117 >> 3] = $sub138;
   49183     $24 = +HEAPF64[$x113 >> 3];
   49184     $add143 = $div133 + $24;
   49185     HEAPF64[$x113 >> 3] = $add143;
   49186     label = 22;
   49187     break;
   49188    case 22:
   49189     $tobool147 = ($allowTranslation | 0) == 0;
   49190     if ($tobool147) {
   49191       label = 29;
   49192       break;
   49193     } else {
   49194       label = 23;
   49195       break;
   49196     }
   49197    case 23:
   49198     $25 = HEAP32[41540] | 0;
   49199     if (($25 | 0) == 0) {
   49200       label = 24;
   49201       break;
   49202     } else if (($25 | 0) == 1) {
   49203       label = 25;
   49204       break;
   49205     } else if (($25 | 0) == 2) {
   49206       label = 26;
   49207       break;
   49208     } else if (($25 | 0) == 3) {
   49209       label = 27;
   49210       break;
   49211     } else {
   49212       label = 28;
   49213       break;
   49214     }
   49215    case 24:
   49216     $LL151 = $g + 56 | 0;
   49217     $26 = $LL151;
   49218     HEAP32[41544] = HEAP32[$26 >> 2] | 0;
   49219     HEAP32[41545] = HEAP32[$26 + 4 >> 2] | 0;
   49220     HEAP32[41546] = HEAP32[$26 + 8 >> 2] | 0;
   49221     HEAP32[41547] = HEAP32[$26 + 12 >> 2] | 0;
   49222     label = 28;
   49223     break;
   49224    case 25:
   49225     $y156 = $g + 80 | 0;
   49226     $27 = +HEAPF64[$y156 >> 3];
   49227     $sub157 = -0.0 - $27;
   49228     $x161 = $g + 56 | 0;
   49229     $28 = +HEAPF64[$x161 >> 3];
   49230     _pointfof693($tmp, $sub157, $28);
   49231     $29 = $tmp;
   49232     HEAP32[41544] = HEAP32[$29 >> 2] | 0;
   49233     HEAP32[41545] = HEAP32[$29 + 4 >> 2] | 0;
   49234     HEAP32[41546] = HEAP32[$29 + 8 >> 2] | 0;
   49235     HEAP32[41547] = HEAP32[$29 + 12 >> 2] | 0;
   49236     label = 28;
   49237     break;
   49238    case 26:
   49239     $x166 = $g + 56 | 0;
   49240     $30 = +HEAPF64[$x166 >> 3];
   49241     $y170 = $g + 80 | 0;
   49242     $31 = +HEAPF64[$y170 >> 3];
   49243     $sub171 = -0.0 - $31;
   49244     _pointfof693($tmp172, $30, $sub171);
   49245     $32 = $tmp172;
   49246     HEAP32[41544] = HEAP32[$32 >> 2] | 0;
   49247     HEAP32[41545] = HEAP32[$32 + 4 >> 2] | 0;
   49248     HEAP32[41546] = HEAP32[$32 + 8 >> 2] | 0;
   49249     HEAP32[41547] = HEAP32[$32 + 12 >> 2] | 0;
   49250     label = 28;
   49251     break;
   49252    case 27:
   49253     $y177 = $g + 64 | 0;
   49254     $33 = +HEAPF64[$y177 >> 3];
   49255     $x181 = $g + 56 | 0;
   49256     $34 = +HEAPF64[$x181 >> 3];
   49257     _pointfof693($tmp182, $33, $34);
   49258     $35 = $tmp182;
   49259     HEAP32[41544] = HEAP32[$35 >> 2] | 0;
   49260     HEAP32[41545] = HEAP32[$35 + 4 >> 2] | 0;
   49261     HEAP32[41546] = HEAP32[$35 + 8 >> 2] | 0;
   49262     HEAP32[41547] = HEAP32[$35 + 12 >> 2] | 0;
   49263     label = 28;
   49264     break;
   49265    case 28:
   49266     _translate_drawing($g);
   49267     label = 29;
   49268     break;
   49269    case 29:
   49270     $36 = HEAP32[$label >> 2] | 0;
   49271     $tobool186 = ($36 | 0) == 0;
   49272     if ($tobool186) {
   49273       label = 32;
   49274       break;
   49275     } else {
   49276       label = 30;
   49277       break;
   49278     }
   49279    case 30:
   49280     $set190 = $36 + 81 | 0;
   49281     $37 = HEAP8[$set190] | 0;
   49282     $tobool191 = $37 << 24 >> 24 == 0;
   49283     if ($tobool191) {
   49284       label = 31;
   49285       break;
   49286     } else {
   49287       label = 32;
   49288       break;
   49289     }
   49290    case 31:
   49291     _place_root_label($g, $dimen);
   49292     label = 32;
   49293     break;
   49294    case 32:
   49295     $38 = HEAP32[41362] | 0;
   49296     $tobool194 = ($38 | 0) == 0;
   49297     if ($tobool194) {
   49298       label = 37;
   49299       break;
   49300     } else {
   49301       label = 33;
   49302       break;
   49303     }
   49304    case 33:
   49305     $39 = HEAP8[167536] | 0;
   49306     $tobool197 = $39 << 24 >> 24 == 0;
   49307     $arraydecay = $buf | 0;
   49308     if ($tobool197) {
   49309       label = 35;
   49310       break;
   49311     } else {
   49312       label = 34;
   49313       break;
   49314     }
   49315    case 34:
   49316     $40 = +HEAPF64[20772];
   49317     $41 = +HEAPF64[20773];
   49318     $call = _sprintf($arraydecay | 0, 101192, (tempInt = STACKTOP, STACKTOP = STACKTOP + 32 | 0, HEAPF64[tempInt >> 3] = $40, HEAPF64[tempInt + 8 >> 3] = $41, HEAPF64[tempInt + 16 >> 3] = $40, HEAPF64[tempInt + 24 >> 3] = $41, tempInt) | 0) | 0;
   49319     label = 36;
   49320     break;
   49321    case 35:
   49322     $42 = +HEAPF64[20773];
   49323     $43 = +HEAPF64[20772];
   49324     $sub201 = -0.0 - $43;
   49325     $sub202 = -0.0 - $42;
   49326     $call203 = _sprintf($arraydecay | 0, 140248, (tempInt = STACKTOP, STACKTOP = STACKTOP + 48 | 0, HEAPF64[tempInt >> 3] = $42, HEAPF64[tempInt + 8 >> 3] = $43, HEAPF64[tempInt + 16 >> 3] = $42, HEAPF64[tempInt + 24 >> 3] = $43, HEAPF64[tempInt + 32 >> 3] = $sub201, HEAPF64[tempInt + 40 >> 3] = $sub202, tempInt) | 0) | 0;
   49327     label = 36;
   49328     break;
   49329    case 36:
   49330     $call206 = _strdup($arraydecay | 0) | 0;
   49331     $44 = HEAP32[41362] | 0;
   49332     HEAP32[$44 >> 2] = $call206;
   49333     label = 37;
   49334     break;
   49335    case 37:
   49336     STACKTOP = __stackBase__;
   49337     return;
   49338   }
   49339 }
   49340 function _addXLabels($g) {
   49341   $g = $g | 0;
   49342   var $0 = 0, $call = 0, $tobool11 = 0, $n_0_in13 = 0, $pp_sroa_0_012 = 0.0, $xlabel = 0, $1 = 0, $tobool1 = 0, $u = 0, $p_sroa_0_0__idx = 0, $2 = 0, $p_sroa_0_0_copyload = 0.0, $p_sroa_1_8__idx6 = 0, $3 = 0, $p_sroa_1_8_copyload = 0.0, $rw = 0, $4 = 0, $5 = 0.0, $add = 0.0, $dimen = 0, $x5 = 0, $6 = 0.0, $div = 0.0, $add6 = 0.0, $add8 = 0.0, $pp_sroa_0_0__idx = 0, $7 = 0, $pp_sroa_1_8__idx5 = 0, $8 = 0, $9 = 0, $pp_sroa_0_1 = 0.0, $call9 = 0, $tobool = 0, label = 0;
   49343   label = 2;
   49344   while (1) switch (label | 0) {
   49345    case 2:
   49346     $0 = $g;
   49347     $call = _agfstnode($0) | 0;
   49348     $tobool11 = ($call | 0) == 0;
   49349     if ($tobool11) {
   49350       label = 6;
   49351       break;
   49352     } else {
   49353       $pp_sroa_0_012 = 0.0;
   49354       $n_0_in13 = $call;
   49355       label = 3;
   49356       break;
   49357     }
   49358    case 3:
   49359     $xlabel = $n_0_in13 + 124 | 0;
   49360     $1 = HEAP32[$xlabel >> 2] | 0;
   49361     $tobool1 = ($1 | 0) == 0;
   49362     if ($tobool1) {
   49363       $pp_sroa_0_1 = $pp_sroa_0_012;
   49364       label = 5;
   49365       break;
   49366     } else {
   49367       label = 4;
   49368       break;
   49369     }
   49370    case 4:
   49371     $u = $n_0_in13 + 24 | 0;
   49372     $p_sroa_0_0__idx = $n_0_in13 + 32 | 0;
   49373     $2 = $p_sroa_0_0__idx;
   49374     $p_sroa_0_0_copyload = +HEAPF64[$2 >> 3];
   49375     $p_sroa_1_8__idx6 = $n_0_in13 + 40 | 0;
   49376     $3 = $p_sroa_1_8__idx6;
   49377     $p_sroa_1_8_copyload = +HEAPF64[$3 >> 3];
   49378     $rw = $u + 88 | 0;
   49379     $4 = $rw;
   49380     $5 = +HEAPF64[$4 >> 3];
   49381     $add = $p_sroa_0_0_copyload + $5;
   49382     $dimen = $1 + 24 | 0;
   49383     $x5 = $dimen;
   49384     $6 = +HEAPF64[$x5 >> 3];
   49385     $div = $6 * .5;
   49386     $add6 = $add + $div;
   49387     $add8 = $pp_sroa_0_012 + $add6;
   49388     $pp_sroa_0_0__idx = $1 + 56 | 0;
   49389     $7 = $pp_sroa_0_0__idx;
   49390     HEAPF64[$7 >> 3] = $add8;
   49391     $pp_sroa_1_8__idx5 = $1 + 64 | 0;
   49392     $8 = $pp_sroa_1_8__idx5;
   49393     HEAPF64[$8 >> 3] = $p_sroa_1_8_copyload;
   49394     $9 = $1 + 81 | 0;
   49395     HEAP8[$9] = 1;
   49396     $pp_sroa_0_1 = $add8;
   49397     label = 5;
   49398     break;
   49399    case 5:
   49400     $call9 = _agnxtnode($0, $n_0_in13) | 0;
   49401     $tobool = ($call9 | 0) == 0;
   49402     if ($tobool) {
   49403       label = 6;
   49404       break;
   49405     } else {
   49406       $pp_sroa_0_012 = $pp_sroa_0_1;
   49407       $n_0_in13 = $call9;
   49408       label = 3;
   49409       break;
   49410     }
   49411    case 6:
   49412     return;
   49413   }
   49414 }
   49415 function _place_flip_graph_label($g) {
   49416   $g = $g | 0;
   49417   var $root = 0, $0 = 0, $cmp = 0, $label = 0, $1 = 0, $tobool = 0, $set = 0, $2 = 0, $tobool4 = 0, $label_pos = 0, $3 = 0, $and = 0, $tobool6 = 0, $d_sroa_0_0__idx2 = 0, $d_sroa_0_0_copyload3 = 0.0, $d_sroa_1_8__idx6 = 0, $x = 0, $4 = 0.0, $div = 0.0, $sub = 0.0, $d_sroa_0_0__idx = 0, $d_sroa_0_0_copyload = 0.0, $d_sroa_1_8__idx5 = 0, $x17 = 0, $5 = 0.0, $div19 = 0.0, $add = 0.0, $p_sroa_0_0 = 0.0, $d_sroa_1_0_in = 0, $d_sroa_1_0 = 0.0, $6 = 0, $conv23 = 0, $and24 = 0, $tobool25 = 0, $y = 0, $7 = 0.0, $div31 = 0.0, $add32 = 0.0, $and38 = 0, $tobool39 = 0, $y44 = 0, $8 = 0.0, $div46 = 0.0, $sub47 = 0.0, $y53 = 0, $9 = 0.0, $y57 = 0, $10 = 0.0, $add58 = 0.0, $div59 = 0.0, $p_sroa_1_0 = 0.0, $11 = 0, $p_sroa_0_0__idx = 0, $p_sroa_1_8__idx8 = 0, $12 = 0, $set67 = 0, $n_cluster = 0, $13 = 0, $cmp7027 = 0, $clust = 0, $c_028 = 0, $14 = 0, $arrayidx73 = 0, $15 = 0, $inc = 0, $16 = 0, $cmp70 = 0, label = 0;
   49418   label = 2;
   49419   while (1) switch (label | 0) {
   49420    case 2:
   49421     $root = $g + 32 | 0;
   49422     $0 = HEAP32[$root >> 2] | 0;
   49423     $cmp = ($0 | 0) == ($g | 0);
   49424     if ($cmp) {
   49425       label = 14;
   49426       break;
   49427     } else {
   49428       label = 3;
   49429       break;
   49430     }
   49431    case 3:
   49432     $label = $g + 52 | 0;
   49433     $1 = HEAP32[$label >> 2] | 0;
   49434     $tobool = ($1 | 0) == 0;
   49435     if ($tobool) {
   49436       label = 14;
   49437       break;
   49438     } else {
   49439       label = 4;
   49440       break;
   49441     }
   49442    case 4:
   49443     $set = $1 + 81 | 0;
   49444     $2 = HEAP8[$set] | 0;
   49445     $tobool4 = $2 << 24 >> 24 == 0;
   49446     if ($tobool4) {
   49447       label = 5;
   49448       break;
   49449     } else {
   49450       label = 14;
   49451       break;
   49452     }
   49453    case 5:
   49454     $label_pos = $g + 287 | 0;
   49455     $3 = HEAP8[$label_pos] | 0;
   49456     $and = $3 & 1;
   49457     $tobool6 = $and << 24 >> 24 == 0;
   49458     if ($tobool6) {
   49459       label = 7;
   49460       break;
   49461     } else {
   49462       label = 6;
   49463       break;
   49464     }
   49465    case 6:
   49466     $d_sroa_0_0__idx2 = $g + 104 | 0;
   49467     $d_sroa_0_0_copyload3 = +HEAPF64[$d_sroa_0_0__idx2 >> 3];
   49468     $d_sroa_1_8__idx6 = $g + 112 | 0;
   49469     $x = $g + 72 | 0;
   49470     $4 = +HEAPF64[$x >> 3];
   49471     $div = $d_sroa_0_0_copyload3 * .5;
   49472     $sub = $4 - $div;
   49473     $d_sroa_1_0_in = $d_sroa_1_8__idx6;
   49474     $p_sroa_0_0 = $sub;
   49475     label = 8;
   49476     break;
   49477    case 7:
   49478     $d_sroa_0_0__idx = $g + 136 | 0;
   49479     $d_sroa_0_0_copyload = +HEAPF64[$d_sroa_0_0__idx >> 3];
   49480     $d_sroa_1_8__idx5 = $g + 144 | 0;
   49481     $x17 = $g + 56 | 0;
   49482     $5 = +HEAPF64[$x17 >> 3];
   49483     $div19 = $d_sroa_0_0_copyload * .5;
   49484     $add = $5 + $div19;
   49485     $d_sroa_1_0_in = $d_sroa_1_8__idx5;
   49486     $p_sroa_0_0 = $add;
   49487     label = 8;
   49488     break;
   49489    case 8:
   49490     $d_sroa_1_0 = +HEAPF64[$d_sroa_1_0_in >> 3];
   49491     $6 = HEAP8[$label_pos] | 0;
   49492     $conv23 = $6 << 24 >> 24;
   49493     $and24 = $conv23 & 4;
   49494     $tobool25 = ($and24 | 0) == 0;
   49495     if ($tobool25) {
   49496       label = 10;
   49497       break;
   49498     } else {
   49499       label = 9;
   49500       break;
   49501     }
   49502    case 9:
   49503     $y = $g + 64 | 0;
   49504     $7 = +HEAPF64[$y >> 3];
   49505     $div31 = $d_sroa_1_0 * .5;
   49506     $add32 = $div31 + $7;
   49507     $p_sroa_1_0 = $add32;
   49508     label = 13;
   49509     break;
   49510    case 10:
   49511     $and38 = $conv23 & 2;
   49512     $tobool39 = ($and38 | 0) == 0;
   49513     if ($tobool39) {
   49514       label = 12;
   49515       break;
   49516     } else {
   49517       label = 11;
   49518       break;
   49519     }
   49520    case 11:
   49521     $y44 = $g + 80 | 0;
   49522     $8 = +HEAPF64[$y44 >> 3];
   49523     $div46 = $d_sroa_1_0 * .5;
   49524     $sub47 = $8 - $div46;
   49525     $p_sroa_1_0 = $sub47;
   49526     label = 13;
   49527     break;
   49528    case 12:
   49529     $y53 = $g + 64 | 0;
   49530     $9 = +HEAPF64[$y53 >> 3];
   49531     $y57 = $g + 80 | 0;
   49532     $10 = +HEAPF64[$y57 >> 3];
   49533     $add58 = $9 + $10;
   49534     $div59 = $add58 * .5;
   49535     $p_sroa_1_0 = $div59;
   49536     label = 13;
   49537     break;
   49538    case 13:
   49539     $11 = HEAP32[$label >> 2] | 0;
   49540     $p_sroa_0_0__idx = $11 + 56 | 0;
   49541     HEAPF64[$p_sroa_0_0__idx >> 3] = $p_sroa_0_0;
   49542     $p_sroa_1_8__idx8 = $11 + 64 | 0;
   49543     HEAPF64[$p_sroa_1_8__idx8 >> 3] = $p_sroa_1_0;
   49544     $12 = HEAP32[$label >> 2] | 0;
   49545     $set67 = $12 + 81 | 0;
   49546     HEAP8[$set67] = 1;
   49547     label = 14;
   49548     break;
   49549    case 14:
   49550     $n_cluster = $g + 212 | 0;
   49551     $13 = HEAP32[$n_cluster >> 2] | 0;
   49552     $cmp7027 = ($13 | 0) < 1;
   49553     if ($cmp7027) {
   49554       label = 17;
   49555       break;
   49556     } else {
   49557       label = 15;
   49558       break;
   49559     }
   49560    case 15:
   49561     $clust = $g + 216 | 0;
   49562     $c_028 = 1;
   49563     label = 16;
   49564     break;
   49565    case 16:
   49566     $14 = HEAP32[$clust >> 2] | 0;
   49567     $arrayidx73 = $14 + ($c_028 << 2) | 0;
   49568     $15 = HEAP32[$arrayidx73 >> 2] | 0;
   49569     _place_flip_graph_label($15);
   49570     $inc = $c_028 + 1 | 0;
   49571     $16 = HEAP32[$n_cluster >> 2] | 0;
   49572     $cmp70 = ($inc | 0) > ($16 | 0);
   49573     if ($cmp70) {
   49574       label = 17;
   49575       break;
   49576     } else {
   49577       $c_028 = $inc;
   49578       label = 16;
   49579       break;
   49580     }
   49581    case 17:
   49582     return;
   49583   }
   49584 }
   49585 function _place_graph_label($g) {
   49586   $g = $g | 0;
   49587   var $root = 0, $0 = 0, $cmp = 0, $label = 0, $1 = 0, $tobool = 0, $set = 0, $2 = 0, $tobool4 = 0, $label_pos = 0, $3 = 0, $and = 0, $tobool6 = 0, $d_sroa_0_0__idx2 = 0, $d_sroa_1_8__idx6 = 0, $d_sroa_1_8_copyload7 = 0.0, $y = 0, $4 = 0.0, $div = 0.0, $sub = 0.0, $d_sroa_0_0__idx = 0, $d_sroa_1_8__idx4 = 0, $d_sroa_1_8_copyload = 0.0, $y17 = 0, $5 = 0.0, $div19 = 0.0, $add = 0.0, $p_sroa_1_0 = 0.0, $d_sroa_0_0_in = 0, $d_sroa_0_0 = 0.0, $6 = 0, $conv23 = 0, $and24 = 0, $tobool25 = 0, $x = 0, $7 = 0.0, $div31 = 0.0, $sub32 = 0.0, $and38 = 0, $tobool39 = 0, $x44 = 0, $8 = 0.0, $div46 = 0.0, $add47 = 0.0, $x57 = 0, $9 = 0.0, $add58 = 0.0, $div59 = 0.0, $p_sroa_0_0 = 0.0, $10 = 0, $p_sroa_0_0__idx = 0, $p_sroa_1_8__idx8 = 0, $11 = 0, $set67 = 0, $n_cluster = 0, $12 = 0, $cmp7027 = 0, $clust = 0, $c_028 = 0, $13 = 0, $arrayidx73 = 0, $14 = 0, $inc = 0, $15 = 0, $cmp70 = 0, label = 0;
   49588   label = 2;
   49589   while (1) switch (label | 0) {
   49590    case 2:
   49591     $root = $g + 32 | 0;
   49592     $0 = HEAP32[$root >> 2] | 0;
   49593     $cmp = ($0 | 0) == ($g | 0);
   49594     if ($cmp) {
   49595       label = 14;
   49596       break;
   49597     } else {
   49598       label = 3;
   49599       break;
   49600     }
   49601    case 3:
   49602     $label = $g + 52 | 0;
   49603     $1 = HEAP32[$label >> 2] | 0;
   49604     $tobool = ($1 | 0) == 0;
   49605     if ($tobool) {
   49606       label = 14;
   49607       break;
   49608     } else {
   49609       label = 4;
   49610       break;
   49611     }
   49612    case 4:
   49613     $set = $1 + 81 | 0;
   49614     $2 = HEAP8[$set] | 0;
   49615     $tobool4 = $2 << 24 >> 24 == 0;
   49616     if ($tobool4) {
   49617       label = 5;
   49618       break;
   49619     } else {
   49620       label = 14;
   49621       break;
   49622     }
   49623    case 5:
   49624     $label_pos = $g + 287 | 0;
   49625     $3 = HEAP8[$label_pos] | 0;
   49626     $and = $3 & 1;
   49627     $tobool6 = $and << 24 >> 24 == 0;
   49628     if ($tobool6) {
   49629       label = 7;
   49630       break;
   49631     } else {
   49632       label = 6;
   49633       break;
   49634     }
   49635    case 6:
   49636     $d_sroa_0_0__idx2 = $g + 120 | 0;
   49637     $d_sroa_1_8__idx6 = $g + 128 | 0;
   49638     $d_sroa_1_8_copyload7 = +HEAPF64[$d_sroa_1_8__idx6 >> 3];
   49639     $y = $g + 80 | 0;
   49640     $4 = +HEAPF64[$y >> 3];
   49641     $div = $d_sroa_1_8_copyload7 * .5;
   49642     $sub = $4 - $div;
   49643     $d_sroa_0_0_in = $d_sroa_0_0__idx2;
   49644     $p_sroa_1_0 = $sub;
   49645     label = 8;
   49646     break;
   49647    case 7:
   49648     $d_sroa_0_0__idx = $g + 88 | 0;
   49649     $d_sroa_1_8__idx4 = $g + 96 | 0;
   49650     $d_sroa_1_8_copyload = +HEAPF64[$d_sroa_1_8__idx4 >> 3];
   49651     $y17 = $g + 64 | 0;
   49652     $5 = +HEAPF64[$y17 >> 3];
   49653     $div19 = $d_sroa_1_8_copyload * .5;
   49654     $add = $5 + $div19;
   49655     $d_sroa_0_0_in = $d_sroa_0_0__idx;
   49656     $p_sroa_1_0 = $add;
   49657     label = 8;
   49658     break;
   49659    case 8:
   49660     $d_sroa_0_0 = +HEAPF64[$d_sroa_0_0_in >> 3];
   49661     $6 = HEAP8[$label_pos] | 0;
   49662     $conv23 = $6 << 24 >> 24;
   49663     $and24 = $conv23 & 4;
   49664     $tobool25 = ($and24 | 0) == 0;
   49665     if ($tobool25) {
   49666       label = 10;
   49667       break;
   49668     } else {
   49669       label = 9;
   49670       break;
   49671     }
   49672    case 9:
   49673     $x = $g + 72 | 0;
   49674     $7 = +HEAPF64[$x >> 3];
   49675     $div31 = $d_sroa_0_0 * .5;
   49676     $sub32 = $7 - $div31;
   49677     $p_sroa_0_0 = $sub32;
   49678     label = 13;
   49679     break;
   49680    case 10:
   49681     $and38 = $conv23 & 2;
   49682     $tobool39 = ($and38 | 0) == 0;
   49683     $x44 = $g + 56 | 0;
   49684     $8 = +HEAPF64[$x44 >> 3];
   49685     if ($tobool39) {
   49686       label = 12;
   49687       break;
   49688     } else {
   49689       label = 11;
   49690       break;
   49691     }
   49692    case 11:
   49693     $div46 = $d_sroa_0_0 * .5;
   49694     $add47 = $div46 + $8;
   49695     $p_sroa_0_0 = $add47;
   49696     label = 13;
   49697     break;
   49698    case 12:
   49699     $x57 = $g + 72 | 0;
   49700     $9 = +HEAPF64[$x57 >> 3];
   49701     $add58 = $8 + $9;
   49702     $div59 = $add58 * .5;
   49703     $p_sroa_0_0 = $div59;
   49704     label = 13;
   49705     break;
   49706    case 13:
   49707     $10 = HEAP32[$label >> 2] | 0;
   49708     $p_sroa_0_0__idx = $10 + 56 | 0;
   49709     HEAPF64[$p_sroa_0_0__idx >> 3] = $p_sroa_0_0;
   49710     $p_sroa_1_8__idx8 = $10 + 64 | 0;
   49711     HEAPF64[$p_sroa_1_8__idx8 >> 3] = $p_sroa_1_0;
   49712     $11 = HEAP32[$label >> 2] | 0;
   49713     $set67 = $11 + 81 | 0;
   49714     HEAP8[$set67] = 1;
   49715     label = 14;
   49716     break;
   49717    case 14:
   49718     $n_cluster = $g + 212 | 0;
   49719     $12 = HEAP32[$n_cluster >> 2] | 0;
   49720     $cmp7027 = ($12 | 0) < 1;
   49721     if ($cmp7027) {
   49722       label = 17;
   49723       break;
   49724     } else {
   49725       label = 15;
   49726       break;
   49727     }
   49728    case 15:
   49729     $clust = $g + 216 | 0;
   49730     $c_028 = 1;
   49731     label = 16;
   49732     break;
   49733    case 16:
   49734     $13 = HEAP32[$clust >> 2] | 0;
   49735     $arrayidx73 = $13 + ($c_028 << 2) | 0;
   49736     $14 = HEAP32[$arrayidx73 >> 2] | 0;
   49737     _place_graph_label($14);
   49738     $inc = $c_028 + 1 | 0;
   49739     $15 = HEAP32[$n_cluster >> 2] | 0;
   49740     $cmp70 = ($inc | 0) > ($15 | 0);
   49741     if ($cmp70) {
   49742       label = 17;
   49743       break;
   49744     } else {
   49745       $c_028 = $inc;
   49746       label = 16;
   49747       break;
   49748     }
   49749    case 17:
   49750     return;
   49751   }
   49752 }
   49753 function _add_tree_edge($e) {
   49754   $e = $e | 0;
   49755   var $tree_index = 0, $0 = 0, $cmp = 0, $1 = 0, $2 = 0, $inc = 0, $3 = 0, $arrayidx = 0, $tail = 0, $4 = 0, $mark = 0, $5 = 0, $cmp4 = 0, $6 = 0, $inc8 = 0, $7 = 0, $arrayidx9 = 0, $head = 0, $8 = 0, $mark12 = 0, $9 = 0, $cmp14 = 0, $10 = 0, $inc18 = 0, $11 = 0, $arrayidx19 = 0, $12 = 0, $mark23 = 0, $size = 0, $13 = 0, $inc25 = 0, $list = 0, $14 = 0, $arrayidx28 = 0, $15 = 0, $16 = 0, $arrayidx35 = 0, $17 = 0, $sub = 0, $list40 = 0, $18 = 0, $arrayidx41 = 0, $19 = 0, $cmp42 = 0, $20 = 0, $mark48 = 0, $size50 = 0, $21 = 0, $inc51 = 0, $list54 = 0, $22 = 0, $arrayidx55 = 0, $23 = 0, $24 = 0, $arrayidx62 = 0, $25 = 0, $sub66 = 0, $list68 = 0, $26 = 0, $arrayidx69 = 0, $27 = 0, $cmp70 = 0, label = 0;
   49756   label = 2;
   49757   while (1) switch (label | 0) {
   49758    case 2:
   49759     $tree_index = $e + 180 | 0;
   49760     $0 = HEAP32[$tree_index >> 2] | 0;
   49761     $cmp = ($0 | 0) > -1;
   49762     if ($cmp) {
   49763       label = 3;
   49764       break;
   49765     } else {
   49766       label = 4;
   49767       break;
   49768     }
   49769    case 3:
   49770     _abort();
   49771    case 4:
   49772     $1 = HEAP32[41338] | 0;
   49773     HEAP32[$tree_index >> 2] = $1;
   49774     $2 = HEAP32[41338] | 0;
   49775     $inc = $2 + 1 | 0;
   49776     HEAP32[41338] = $inc;
   49777     $3 = HEAP32[41340] | 0;
   49778     $arrayidx = $3 + ($2 << 2) | 0;
   49779     HEAP32[$arrayidx >> 2] = $e;
   49780     $tail = $e + 16 | 0;
   49781     $4 = HEAP32[$tail >> 2] | 0;
   49782     $mark = $4 + 163 | 0;
   49783     $5 = HEAP8[$mark] | 0;
   49784     $cmp4 = $5 << 24 >> 24 == 0;
   49785     if ($cmp4) {
   49786       label = 5;
   49787       break;
   49788     } else {
   49789       label = 6;
   49790       break;
   49791     }
   49792    case 5:
   49793     $6 = HEAP32[41334] | 0;
   49794     $inc8 = $6 + 1 | 0;
   49795     HEAP32[41334] = $inc8;
   49796     $7 = HEAP32[41336] | 0;
   49797     $arrayidx9 = $7 + ($6 << 2) | 0;
   49798     HEAP32[$arrayidx9 >> 2] = $4;
   49799     label = 6;
   49800     break;
   49801    case 6:
   49802     $head = $e + 12 | 0;
   49803     $8 = HEAP32[$head >> 2] | 0;
   49804     $mark12 = $8 + 163 | 0;
   49805     $9 = HEAP8[$mark12] | 0;
   49806     $cmp14 = $9 << 24 >> 24 == 0;
   49807     if ($cmp14) {
   49808       label = 7;
   49809       break;
   49810     } else {
   49811       label = 8;
   49812       break;
   49813     }
   49814    case 7:
   49815     $10 = HEAP32[41334] | 0;
   49816     $inc18 = $10 + 1 | 0;
   49817     HEAP32[41334] = $inc18;
   49818     $11 = HEAP32[41336] | 0;
   49819     $arrayidx19 = $11 + ($10 << 2) | 0;
   49820     HEAP32[$arrayidx19 >> 2] = $8;
   49821     label = 8;
   49822     break;
   49823    case 8:
   49824     $12 = HEAP32[$tail >> 2] | 0;
   49825     $mark23 = $12 + 163 | 0;
   49826     HEAP8[$mark23] = 1;
   49827     $size = $12 + 276 | 0;
   49828     $13 = HEAP32[$size >> 2] | 0;
   49829     $inc25 = $13 + 1 | 0;
   49830     HEAP32[$size >> 2] = $inc25;
   49831     $list = $12 + 272 | 0;
   49832     $14 = HEAP32[$list >> 2] | 0;
   49833     $arrayidx28 = $14 + ($13 << 2) | 0;
   49834     HEAP32[$arrayidx28 >> 2] = $e;
   49835     $15 = HEAP32[$size >> 2] | 0;
   49836     $16 = HEAP32[$list >> 2] | 0;
   49837     $arrayidx35 = $16 + ($15 << 2) | 0;
   49838     HEAP32[$arrayidx35 >> 2] = 0;
   49839     $17 = HEAP32[$size >> 2] | 0;
   49840     $sub = $17 - 1 | 0;
   49841     $list40 = $12 + 184 | 0;
   49842     $18 = HEAP32[$list40 >> 2] | 0;
   49843     $arrayidx41 = $18 + ($sub << 2) | 0;
   49844     $19 = HEAP32[$arrayidx41 >> 2] | 0;
   49845     $cmp42 = ($19 | 0) == 0;
   49846     if ($cmp42) {
   49847       label = 9;
   49848       break;
   49849     } else {
   49850       label = 10;
   49851       break;
   49852     }
   49853    case 9:
   49854     _abort();
   49855    case 10:
   49856     $20 = HEAP32[$head >> 2] | 0;
   49857     $mark48 = $20 + 163 | 0;
   49858     HEAP8[$mark48] = 1;
   49859     $size50 = $20 + 268 | 0;
   49860     $21 = HEAP32[$size50 >> 2] | 0;
   49861     $inc51 = $21 + 1 | 0;
   49862     HEAP32[$size50 >> 2] = $inc51;
   49863     $list54 = $20 + 264 | 0;
   49864     $22 = HEAP32[$list54 >> 2] | 0;
   49865     $arrayidx55 = $22 + ($21 << 2) | 0;
   49866     HEAP32[$arrayidx55 >> 2] = $e;
   49867     $23 = HEAP32[$size50 >> 2] | 0;
   49868     $24 = HEAP32[$list54 >> 2] | 0;
   49869     $arrayidx62 = $24 + ($23 << 2) | 0;
   49870     HEAP32[$arrayidx62 >> 2] = 0;
   49871     $25 = HEAP32[$size50 >> 2] | 0;
   49872     $sub66 = $25 - 1 | 0;
   49873     $list68 = $20 + 176 | 0;
   49874     $26 = HEAP32[$list68 >> 2] | 0;
   49875     $arrayidx69 = $26 + ($sub66 << 2) | 0;
   49876     $27 = HEAP32[$arrayidx69 >> 2] | 0;
   49877     $cmp70 = ($27 | 0) == 0;
   49878     if ($cmp70) {
   49879       label = 11;
   49880       break;
   49881     } else {
   49882       label = 12;
   49883       break;
   49884     }
   49885    case 11:
   49886     _abort();
   49887    case 12:
   49888     return;
   49889   }
   49890 }
   49891 function _place_root_label($g, $d) {
   49892   $g = $g | 0;
   49893   $d = $d | 0;
   49894   var $label_pos = 0, $0 = 0, $conv = 0, $and = 0, $tobool = 0, $x = 0, $1 = 0.0, $x2 = 0, $2 = 0.0, $div = 0.0, $sub = 0.0, $and7 = 0, $tobool8 = 0, $x12 = 0, $3 = 0.0, $x13 = 0, $4 = 0.0, $div14 = 0.0, $add = 0.0, $x24 = 0, $5 = 0.0, $add25 = 0.0, $div26 = 0.0, $p_sroa_0_0 = 0.0, $6 = 0, $and32 = 0, $tobool33 = 0, $y = 0, $7 = 0.0, $y38 = 0, $8 = 0.0, $div39 = 0.0, $sub40 = 0.0, $y46 = 0, $9 = 0.0, $y47 = 0, $10 = 0.0, $div48 = 0.0, $add49 = 0.0, $p_sroa_1_0 = 0.0, $label = 0, $11 = 0, $p_sroa_0_0__idx = 0, $p_sroa_1_8__idx1 = 0, $12 = 0, $set = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   49895   __stackBase__ = STACKTOP;
   49896   tempParam = $d;
   49897   $d = STACKTOP;
   49898   STACKTOP = STACKTOP + 16 | 0;
   49899   HEAP32[$d >> 2] = HEAP32[tempParam >> 2] | 0;
   49900   HEAP32[$d + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   49901   HEAP32[$d + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   49902   HEAP32[$d + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   49903   label = 2;
   49904   while (1) switch (label | 0) {
   49905    case 2:
   49906     $label_pos = $g + 287 | 0;
   49907     $0 = HEAP8[$label_pos] | 0;
   49908     $conv = $0 << 24 >> 24;
   49909     $and = $conv & 4;
   49910     $tobool = ($and | 0) == 0;
   49911     if ($tobool) {
   49912       label = 4;
   49913       break;
   49914     } else {
   49915       label = 3;
   49916       break;
   49917     }
   49918    case 3:
   49919     $x = $g + 72 | 0;
   49920     $1 = +HEAPF64[$x >> 3];
   49921     $x2 = $d | 0;
   49922     $2 = +HEAPF64[$x2 >> 3];
   49923     $div = $2 * .5;
   49924     $sub = $1 - $div;
   49925     $p_sroa_0_0 = $sub;
   49926     label = 7;
   49927     break;
   49928    case 4:
   49929     $and7 = $conv & 2;
   49930     $tobool8 = ($and7 | 0) == 0;
   49931     $x12 = $g + 56 | 0;
   49932     $3 = +HEAPF64[$x12 >> 3];
   49933     if ($tobool8) {
   49934       label = 6;
   49935       break;
   49936     } else {
   49937       label = 5;
   49938       break;
   49939     }
   49940    case 5:
   49941     $x13 = $d | 0;
   49942     $4 = +HEAPF64[$x13 >> 3];
   49943     $div14 = $4 * .5;
   49944     $add = $3 + $div14;
   49945     $p_sroa_0_0 = $add;
   49946     label = 7;
   49947     break;
   49948    case 6:
   49949     $x24 = $g + 72 | 0;
   49950     $5 = +HEAPF64[$x24 >> 3];
   49951     $add25 = $3 + $5;
   49952     $div26 = $add25 * .5;
   49953     $p_sroa_0_0 = $div26;
   49954     label = 7;
   49955     break;
   49956    case 7:
   49957     $6 = HEAP8[$label_pos] | 0;
   49958     $and32 = $6 & 1;
   49959     $tobool33 = $and32 << 24 >> 24 == 0;
   49960     if ($tobool33) {
   49961       label = 9;
   49962       break;
   49963     } else {
   49964       label = 8;
   49965       break;
   49966     }
   49967    case 8:
   49968     $y = $g + 80 | 0;
   49969     $7 = +HEAPF64[$y >> 3];
   49970     $y38 = $d + 8 | 0;
   49971     $8 = +HEAPF64[$y38 >> 3];
   49972     $div39 = $8 * .5;
   49973     $sub40 = $7 - $div39;
   49974     $p_sroa_1_0 = $sub40;
   49975     label = 10;
   49976     break;
   49977    case 9:
   49978     $y46 = $g + 64 | 0;
   49979     $9 = +HEAPF64[$y46 >> 3];
   49980     $y47 = $d + 8 | 0;
   49981     $10 = +HEAPF64[$y47 >> 3];
   49982     $div48 = $10 * .5;
   49983     $add49 = $9 + $div48;
   49984     $p_sroa_1_0 = $add49;
   49985     label = 10;
   49986     break;
   49987    case 10:
   49988     $label = $g + 52 | 0;
   49989     $11 = HEAP32[$label >> 2] | 0;
   49990     $p_sroa_0_0__idx = $11 + 56 | 0;
   49991     HEAPF64[$p_sroa_0_0__idx >> 3] = $p_sroa_0_0;
   49992     $p_sroa_1_8__idx1 = $11 + 64 | 0;
   49993     HEAPF64[$p_sroa_1_8__idx1 >> 3] = $p_sroa_1_0;
   49994     $12 = HEAP32[$label >> 2] | 0;
   49995     $set = $12 + 81 | 0;
   49996     HEAP8[$set] = 1;
   49997     STACKTOP = __stackBase__;
   49998     return;
   49999   }
   50000 }
   50001 function _translate_drawing($g) {
   50002   $g = $g | 0;
   50003   var $tmp = 0, $tmp18 = 0, $0 = 0.0, $tobool = 0, $1 = 0.0, $tobool1 = 0, $2 = 0, $tobool3 = 0, $or_cond = 0, $3 = 0, $call = 0, $tobool421 = 0, $4 = 0, $5 = 0, $v_023_in = 0, $6 = 0, $tobool5 = 0, $v_023 = 0, $coord = 0, $7 = 0, $8 = 0, $xlabel = 0, $9 = 0, $tobool11 = 0, $pos = 0, $10 = 0, $11 = 0, $cmp = 0, $call21 = 0, $tobool2318 = 0, $e_0_in19 = 0, $e_0 = 0, $call25 = 0, $tobool23 = 0, $call28 = 0, $tobool4 = 0, $rankdir = 0, $12 = 0, $and = 0, label = 0, __stackBase__ = 0;
   50004   __stackBase__ = STACKTOP;
   50005   STACKTOP = STACKTOP + 32 | 0;
   50006   label = 2;
   50007   while (1) switch (label | 0) {
   50008    case 2:
   50009     $tmp = __stackBase__ | 0;
   50010     $tmp18 = __stackBase__ + 16 | 0;
   50011     $0 = +HEAPF64[20772];
   50012     $tobool = $0 != 0.0;
   50013     if ($tobool) {
   50014       label = 4;
   50015       break;
   50016     } else {
   50017       label = 3;
   50018       break;
   50019     }
   50020    case 3:
   50021     $1 = +HEAPF64[20773];
   50022     $tobool1 = $1 != 0.0;
   50023     $2 = HEAP32[41540] | 0;
   50024     $tobool3 = ($2 | 0) != 0;
   50025     $or_cond = $tobool1 | $tobool3;
   50026     if ($or_cond) {
   50027       label = 4;
   50028       break;
   50029     } else {
   50030       label = 15;
   50031       break;
   50032     }
   50033    case 4:
   50034     $3 = $g;
   50035     $call = _agfstnode($3) | 0;
   50036     $tobool421 = ($call | 0) == 0;
   50037     if ($tobool421) {
   50038       label = 14;
   50039       break;
   50040     } else {
   50041       label = 5;
   50042       break;
   50043     }
   50044    case 5:
   50045     $4 = $tmp;
   50046     $5 = $tmp18;
   50047     $v_023_in = $call;
   50048     label = 6;
   50049     break;
   50050    case 6:
   50051     $6 = HEAP32[41540] | 0;
   50052     $tobool5 = ($6 | 0) == 0;
   50053     if ($tobool5) {
   50054       label = 8;
   50055       break;
   50056     } else {
   50057       label = 7;
   50058       break;
   50059     }
   50060    case 7:
   50061     $v_023 = $v_023_in;
   50062     _gv_nodesize($v_023, 0);
   50063     label = 8;
   50064     break;
   50065    case 8:
   50066     $coord = $v_023_in + 32 | 0;
   50067     $7 = $coord;
   50068     _map_point692($tmp, $7);
   50069     $8 = $coord;
   50070     HEAP32[$8 >> 2] = HEAP32[$4 >> 2] | 0;
   50071     HEAP32[$8 + 4 >> 2] = HEAP32[$4 + 4 >> 2] | 0;
   50072     HEAP32[$8 + 8 >> 2] = HEAP32[$4 + 8 >> 2] | 0;
   50073     HEAP32[$8 + 12 >> 2] = HEAP32[$4 + 12 >> 2] | 0;
   50074     $xlabel = $v_023_in + 124 | 0;
   50075     $9 = HEAP32[$xlabel >> 2] | 0;
   50076     $tobool11 = ($9 | 0) == 0;
   50077     if ($tobool11) {
   50078       label = 10;
   50079       break;
   50080     } else {
   50081       label = 9;
   50082       break;
   50083     }
   50084    case 9:
   50085     $pos = $9 + 56 | 0;
   50086     $10 = $pos;
   50087     _map_point692($tmp18, $10);
   50088     HEAP32[$pos >> 2] = HEAP32[$5 >> 2] | 0;
   50089     HEAP32[$pos + 4 >> 2] = HEAP32[$5 + 4 >> 2] | 0;
   50090     HEAP32[$pos + 8 >> 2] = HEAP32[$5 + 8 >> 2] | 0;
   50091     HEAP32[$pos + 12 >> 2] = HEAP32[$5 + 12 >> 2] | 0;
   50092     label = 10;
   50093     break;
   50094    case 10:
   50095     $11 = HEAP32[41356] | 0;
   50096     $cmp = ($11 | 0) == 1;
   50097     if ($cmp) {
   50098       label = 11;
   50099       break;
   50100     } else {
   50101       label = 13;
   50102       break;
   50103     }
   50104    case 11:
   50105     $call21 = _agfstout($3, $v_023_in) | 0;
   50106     $tobool2318 = ($call21 | 0) == 0;
   50107     if ($tobool2318) {
   50108       label = 13;
   50109       break;
   50110     } else {
   50111       $e_0_in19 = $call21;
   50112       label = 12;
   50113       break;
   50114     }
   50115    case 12:
   50116     $e_0 = $e_0_in19;
   50117     _map_edge($e_0);
   50118     $call25 = _agnxtout($3, $e_0_in19) | 0;
   50119     $tobool23 = ($call25 | 0) == 0;
   50120     if ($tobool23) {
   50121       label = 13;
   50122       break;
   50123     } else {
   50124       $e_0_in19 = $call25;
   50125       label = 12;
   50126       break;
   50127     }
   50128    case 13:
   50129     $call28 = _agnxtnode($3, $v_023_in) | 0;
   50130     $tobool4 = ($call28 | 0) == 0;
   50131     if ($tobool4) {
   50132       label = 14;
   50133       break;
   50134     } else {
   50135       $v_023_in = $call28;
   50136       label = 6;
   50137       break;
   50138     }
   50139    case 14:
   50140     $rankdir = $g + 156 | 0;
   50141     $12 = HEAP32[$rankdir >> 2] | 0;
   50142     $and = $12 & 3;
   50143     _translate_bb($g, $and);
   50144     label = 15;
   50145     break;
   50146    case 15:
   50147     STACKTOP = __stackBase__;
   50148     return;
   50149   }
   50150 }
   50151 function _dotneato_postprocess($g) {
   50152   $g = $g | 0;
   50153   _gv_postprocess($g, 1);
   50154   return;
   50155 }
   50156 function _map_edge($e) {
   50157   $e = $e | 0;
   50158   var $tmp = 0, $tmp35 = 0, $tmp48 = 0, $tmp61 = 0, $tmp72 = 0, $tmp83 = 0, $tmp94 = 0, $spl = 0, $0 = 0, $cmp = 0, $1 = 0, $size37 = 0, $2 = 0, $cmp1238 = 0, $3 = 0, $4 = 0, $5 = 0, $6 = 0, $cmp1 = 0, $edge_type = 0, $7 = 0, $cmp5 = 0, $tail = 0, $8 = 0, $name = 0, $9 = 0, $head = 0, $10 = 0, $name8 = 0, $11 = 0, $call = 0, $12 = 0, $j_039 = 0, $list = 0, $13 = 0, $bz_sroa_0_0__idx = 0, $bz_sroa_0_0_copyload = 0, $bz_sroa_1_4__idx2 = 0, $bz_sroa_1_4_copyload = 0, $bz_sroa_2_8__idx3 = 0, $bz_sroa_2_8_copyload = 0, $bz_sroa_3_12__idx4 = 0, $bz_sroa_3_12_copyload = 0, $cmp1835 = 0, $k_036 = 0, $arrayidx22 = 0, $14 = 0, $inc = 0, $cmp18 = 0, $tobool = 0, $15 = 0, $list28 = 0, $16 = 0, $sp = 0, $17 = 0, $tobool37 = 0, $18 = 0, $list41 = 0, $19 = 0, $ep = 0, $20 = 0, $inc51 = 0, $21 = 0, $size = 0, $22 = 0, $cmp12 = 0, $label = 0, $23 = 0, $tobool54 = 0, $pos = 0, $24 = 0, $25 = 0, $xlabel = 0, $26 = 0, $tobool64 = 0, $pos68 = 0, $27 = 0, $28 = 0, $head_label = 0, $29 = 0, $tobool75 = 0, $pos79 = 0, $30 = 0, $31 = 0, $tail_label = 0, $32 = 0, $tobool86 = 0, $pos90 = 0, $33 = 0, $34 = 0, label = 0, __stackBase__ = 0;
   50159   __stackBase__ = STACKTOP;
   50160   STACKTOP = STACKTOP + 112 | 0;
   50161   label = 2;
   50162   while (1) switch (label | 0) {
   50163    case 2:
   50164     $tmp = __stackBase__ | 0;
   50165     $tmp35 = __stackBase__ + 16 | 0;
   50166     $tmp48 = __stackBase__ + 32 | 0;
   50167     $tmp61 = __stackBase__ + 48 | 0;
   50168     $tmp72 = __stackBase__ + 64 | 0;
   50169     $tmp83 = __stackBase__ + 80 | 0;
   50170     $tmp94 = __stackBase__ + 96 | 0;
   50171     $spl = $e + 24 | 0;
   50172     $0 = HEAP32[$spl >> 2] | 0;
   50173     $cmp = ($0 | 0) == 0;
   50174     if ($cmp) {
   50175       label = 5;
   50176       break;
   50177     } else {
   50178       label = 3;
   50179       break;
   50180     }
   50181    case 3:
   50182     $1 = HEAP32[$spl >> 2] | 0;
   50183     $size37 = $1 + 4 | 0;
   50184     $2 = HEAP32[$size37 >> 2] | 0;
   50185     $cmp1238 = ($2 | 0) > 0;
   50186     if ($cmp1238) {
   50187       label = 4;
   50188       break;
   50189     } else {
   50190       label = 15;
   50191       break;
   50192     }
   50193    case 4:
   50194     $3 = $tmp48;
   50195     $4 = $tmp35;
   50196     $5 = $tmp;
   50197     $j_039 = 0;
   50198     $12 = $1;
   50199     label = 8;
   50200     break;
   50201    case 5:
   50202     $6 = HEAP8[167952] | 0;
   50203     $cmp1 = $6 << 24 >> 24 == 0;
   50204     if ($cmp1) {
   50205       label = 7;
   50206       break;
   50207     } else {
   50208       label = 6;
   50209       break;
   50210     }
   50211    case 6:
   50212     $edge_type = $e + 128 | 0;
   50213     $7 = HEAP8[$edge_type] | 0;
   50214     $cmp5 = $7 << 24 >> 24 == 6;
   50215     if ($cmp5) {
   50216       label = 23;
   50217       break;
   50218     } else {
   50219       label = 7;
   50220       break;
   50221     }
   50222    case 7:
   50223     $tail = $e + 16 | 0;
   50224     $8 = HEAP32[$tail >> 2] | 0;
   50225     $name = $8 + 12 | 0;
   50226     $9 = HEAP32[$name >> 2] | 0;
   50227     $head = $e + 12 | 0;
   50228     $10 = HEAP32[$head >> 2] | 0;
   50229     $name8 = $10 + 12 | 0;
   50230     $11 = HEAP32[$name8 >> 2] | 0;
   50231     $call = _agerr(1, 120776, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $9, HEAP32[tempInt + 8 >> 2] = $11, tempInt) | 0) | 0;
   50232     label = 23;
   50233     break;
   50234    case 8:
   50235     $list = $12 | 0;
   50236     $13 = HEAP32[$list >> 2] | 0;
   50237     $bz_sroa_0_0__idx = $13 + ($j_039 * 48 & -1) | 0;
   50238     $bz_sroa_0_0_copyload = HEAP32[$bz_sroa_0_0__idx >> 2] | 0;
   50239     $bz_sroa_1_4__idx2 = $13 + ($j_039 * 48 & -1) + 4 | 0;
   50240     $bz_sroa_1_4_copyload = HEAP32[$bz_sroa_1_4__idx2 >> 2] | 0;
   50241     $bz_sroa_2_8__idx3 = $13 + ($j_039 * 48 & -1) + 8 | 0;
   50242     $bz_sroa_2_8_copyload = HEAP32[$bz_sroa_2_8__idx3 >> 2] | 0;
   50243     $bz_sroa_3_12__idx4 = $13 + ($j_039 * 48 & -1) + 12 | 0;
   50244     $bz_sroa_3_12_copyload = HEAP32[$bz_sroa_3_12__idx4 >> 2] | 0;
   50245     $cmp1835 = ($bz_sroa_1_4_copyload | 0) > 0;
   50246     if ($cmp1835) {
   50247       $k_036 = 0;
   50248       label = 9;
   50249       break;
   50250     } else {
   50251       label = 10;
   50252       break;
   50253     }
   50254    case 9:
   50255     $arrayidx22 = $bz_sroa_0_0_copyload + ($k_036 << 4) | 0;
   50256     _map_point692($tmp, $arrayidx22);
   50257     $14 = $arrayidx22;
   50258     HEAP32[$14 >> 2] = HEAP32[$5 >> 2] | 0;
   50259     HEAP32[$14 + 4 >> 2] = HEAP32[$5 + 4 >> 2] | 0;
   50260     HEAP32[$14 + 8 >> 2] = HEAP32[$5 + 8 >> 2] | 0;
   50261     HEAP32[$14 + 12 >> 2] = HEAP32[$5 + 12 >> 2] | 0;
   50262     $inc = $k_036 + 1 | 0;
   50263     $cmp18 = ($inc | 0) < ($bz_sroa_1_4_copyload | 0);
   50264     if ($cmp18) {
   50265       $k_036 = $inc;
   50266       label = 9;
   50267       break;
   50268     } else {
   50269       label = 10;
   50270       break;
   50271     }
   50272    case 10:
   50273     $tobool = ($bz_sroa_2_8_copyload | 0) == 0;
   50274     if ($tobool) {
   50275       label = 12;
   50276       break;
   50277     } else {
   50278       label = 11;
   50279       break;
   50280     }
   50281    case 11:
   50282     $15 = HEAP32[$spl >> 2] | 0;
   50283     $list28 = $15 | 0;
   50284     $16 = HEAP32[$list28 >> 2] | 0;
   50285     $sp = $16 + ($j_039 * 48 & -1) + 16 | 0;
   50286     _map_point692($tmp35, $sp);
   50287     $17 = $sp;
   50288     HEAP32[$17 >> 2] = HEAP32[$4 >> 2] | 0;
   50289     HEAP32[$17 + 4 >> 2] = HEAP32[$4 + 4 >> 2] | 0;
   50290     HEAP32[$17 + 8 >> 2] = HEAP32[$4 + 8 >> 2] | 0;
   50291     HEAP32[$17 + 12 >> 2] = HEAP32[$4 + 12 >> 2] | 0;
   50292     label = 12;
   50293     break;
   50294    case 12:
   50295     $tobool37 = ($bz_sroa_3_12_copyload | 0) == 0;
   50296     if ($tobool37) {
   50297       label = 14;
   50298       break;
   50299     } else {
   50300       label = 13;
   50301       break;
   50302     }
   50303    case 13:
   50304     $18 = HEAP32[$spl >> 2] | 0;
   50305     $list41 = $18 | 0;
   50306     $19 = HEAP32[$list41 >> 2] | 0;
   50307     $ep = $19 + ($j_039 * 48 & -1) + 32 | 0;
   50308     _map_point692($tmp48, $ep);
   50309     $20 = $ep;
   50310     HEAP32[$20 >> 2] = HEAP32[$3 >> 2] | 0;
   50311     HEAP32[$20 + 4 >> 2] = HEAP32[$3 + 4 >> 2] | 0;
   50312     HEAP32[$20 + 8 >> 2] = HEAP32[$3 + 8 >> 2] | 0;
   50313     HEAP32[$20 + 12 >> 2] = HEAP32[$3 + 12 >> 2] | 0;
   50314     label = 14;
   50315     break;
   50316    case 14:
   50317     $inc51 = $j_039 + 1 | 0;
   50318     $21 = HEAP32[$spl >> 2] | 0;
   50319     $size = $21 + 4 | 0;
   50320     $22 = HEAP32[$size >> 2] | 0;
   50321     $cmp12 = ($inc51 | 0) < ($22 | 0);
   50322     if ($cmp12) {
   50323       $j_039 = $inc51;
   50324       $12 = $21;
   50325       label = 8;
   50326       break;
   50327     } else {
   50328       label = 15;
   50329       break;
   50330     }
   50331    case 15:
   50332     $label = $e + 112 | 0;
   50333     $23 = HEAP32[$label >> 2] | 0;
   50334     $tobool54 = ($23 | 0) == 0;
   50335     if ($tobool54) {
   50336       label = 17;
   50337       break;
   50338     } else {
   50339       label = 16;
   50340       break;
   50341     }
   50342    case 16:
   50343     $pos = $23 + 56 | 0;
   50344     _map_point692($tmp61, $pos);
   50345     $24 = $pos;
   50346     $25 = $tmp61;
   50347     HEAP32[$24 >> 2] = HEAP32[$25 >> 2] | 0;
   50348     HEAP32[$24 + 4 >> 2] = HEAP32[$25 + 4 >> 2] | 0;
   50349     HEAP32[$24 + 8 >> 2] = HEAP32[$25 + 8 >> 2] | 0;
   50350     HEAP32[$24 + 12 >> 2] = HEAP32[$25 + 12 >> 2] | 0;
   50351     label = 17;
   50352     break;
   50353    case 17:
   50354     $xlabel = $e + 124 | 0;
   50355     $26 = HEAP32[$xlabel >> 2] | 0;
   50356     $tobool64 = ($26 | 0) == 0;
   50357     if ($tobool64) {
   50358       label = 19;
   50359       break;
   50360     } else {
   50361       label = 18;
   50362       break;
   50363     }
   50364    case 18:
   50365     $pos68 = $26 + 56 | 0;
   50366     _map_point692($tmp72, $pos68);
   50367     $27 = $pos68;
   50368     $28 = $tmp72;
   50369     HEAP32[$27 >> 2] = HEAP32[$28 >> 2] | 0;
   50370     HEAP32[$27 + 4 >> 2] = HEAP32[$28 + 4 >> 2] | 0;
   50371     HEAP32[$27 + 8 >> 2] = HEAP32[$28 + 8 >> 2] | 0;
   50372     HEAP32[$27 + 12 >> 2] = HEAP32[$28 + 12 >> 2] | 0;
   50373     label = 19;
   50374     break;
   50375    case 19:
   50376     $head_label = $e + 116 | 0;
   50377     $29 = HEAP32[$head_label >> 2] | 0;
   50378     $tobool75 = ($29 | 0) == 0;
   50379     if ($tobool75) {
   50380       label = 21;
   50381       break;
   50382     } else {
   50383       label = 20;
   50384       break;
   50385     }
   50386    case 20:
   50387     $pos79 = $29 + 56 | 0;
   50388     _map_point692($tmp83, $pos79);
   50389     $30 = $pos79;
   50390     $31 = $tmp83;
   50391     HEAP32[$30 >> 2] = HEAP32[$31 >> 2] | 0;
   50392     HEAP32[$30 + 4 >> 2] = HEAP32[$31 + 4 >> 2] | 0;
   50393     HEAP32[$30 + 8 >> 2] = HEAP32[$31 + 8 >> 2] | 0;
   50394     HEAP32[$30 + 12 >> 2] = HEAP32[$31 + 12 >> 2] | 0;
   50395     label = 21;
   50396     break;
   50397    case 21:
   50398     $tail_label = $e + 120 | 0;
   50399     $32 = HEAP32[$tail_label >> 2] | 0;
   50400     $tobool86 = ($32 | 0) == 0;
   50401     if ($tobool86) {
   50402       label = 23;
   50403       break;
   50404     } else {
   50405       label = 22;
   50406       break;
   50407     }
   50408    case 22:
   50409     $pos90 = $32 + 56 | 0;
   50410     _map_point692($tmp94, $pos90);
   50411     $33 = $pos90;
   50412     $34 = $tmp94;
   50413     HEAP32[$33 >> 2] = HEAP32[$34 >> 2] | 0;
   50414     HEAP32[$33 + 4 >> 2] = HEAP32[$34 + 4 >> 2] | 0;
   50415     HEAP32[$33 + 8 >> 2] = HEAP32[$34 + 8 >> 2] | 0;
   50416     HEAP32[$33 + 12 >> 2] = HEAP32[$34 + 12 >> 2] | 0;
   50417     label = 23;
   50418     break;
   50419    case 23:
   50420     STACKTOP = __stackBase__;
   50421     return;
   50422   }
   50423 }
   50424 function _simpleSplineRoute($tp, $hp, $poly, $n_spl_pts, $polyline) {
   50425   $tp = $tp | 0;
   50426   $hp = $hp | 0;
   50427   $poly = $poly | 0;
   50428   $n_spl_pts = $n_spl_pts | 0;
   50429   $polyline = $polyline | 0;
   50430   var $pl = 0, $spl = 0, $eps = 0, $evs = 0, $x = 0, $0 = 0.0, $arrayidx = 0, $x1 = 0, $y = 0, $1 = 0.0, $y3 = 0, $x4 = 0, $2 = 0.0, $x6 = 0, $y7 = 0, $3 = 0.0, $y9 = 0, $call = 0, $cmp = 0, $tobool = 0, $pn = 0, $4 = 0, $5 = 0, $cmp11 = 0, $6 = 0, $tobool13 = 0, $7 = 0, $mul = 0, $call15 = 0, $mul17 = 0, $call18 = 0, $call15_sink = 0, $8 = 0, $9 = 0, $_pr = 0, $10 = 0, $cmp2212 = 0, $11 = 0, $ps = 0, $12 = 0, $13 = 0, $i_013 = 0, $a = 0, $arrayidx24 = 0, $14 = 0, $15 = 0, $b = 0, $add = 0, $rem = 0, $arrayidx28 = 0, $16 = 0, $17 = 0, $cmp22 = 0, $arrayidx29 = 0, $18 = 0, $19 = 0, $20 = 0, $call39 = 0, $cmp40 = 0, $pn44 = 0, $21 = 0, $22 = 0, $cmp4710 = 0, $23 = 0, $ps50 = 0, $24 = 0, $25 = 0, $i_111 = 0, $arrayidx49 = 0, $arrayidx51 = 0, $26 = 0, $27 = 0, $inc53 = 0, $cmp47 = 0, $_lcssa = 0, $28 = 0, $retval_0 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   50431   __stackBase__ = STACKTOP;
   50432   STACKTOP = STACKTOP + 80 | 0;
   50433   tempParam = $tp;
   50434   $tp = STACKTOP;
   50435   STACKTOP = STACKTOP + 16 | 0;
   50436   HEAP32[$tp >> 2] = HEAP32[tempParam >> 2] | 0;
   50437   HEAP32[$tp + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   50438   HEAP32[$tp + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   50439   HEAP32[$tp + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   50440   tempParam = $hp;
   50441   $hp = STACKTOP;
   50442   STACKTOP = STACKTOP + 16 | 0;
   50443   HEAP32[$hp >> 2] = HEAP32[tempParam >> 2] | 0;
   50444   HEAP32[$hp + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   50445   HEAP32[$hp + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   50446   HEAP32[$hp + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   50447   tempParam = $poly;
   50448   $poly = STACKTOP;
   50449   STACKTOP = STACKTOP + 8 | 0;
   50450   HEAP32[$poly >> 2] = HEAP32[tempParam >> 2] | 0;
   50451   HEAP32[$poly + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   50452   label = 2;
   50453   while (1) switch (label | 0) {
   50454    case 2:
   50455     $pl = __stackBase__ | 0;
   50456     $spl = __stackBase__ + 8 | 0;
   50457     $eps = __stackBase__ + 16 | 0;
   50458     $evs = __stackBase__ + 48 | 0;
   50459     $x = $tp | 0;
   50460     $0 = +HEAPF64[$x >> 3];
   50461     $arrayidx = $eps | 0;
   50462     $x1 = $eps | 0;
   50463     HEAPF64[$x1 >> 3] = $0;
   50464     $y = $tp + 8 | 0;
   50465     $1 = +HEAPF64[$y >> 3];
   50466     $y3 = $eps + 8 | 0;
   50467     HEAPF64[$y3 >> 3] = $1;
   50468     $x4 = $hp | 0;
   50469     $2 = +HEAPF64[$x4 >> 3];
   50470     $x6 = $eps + 16 | 0;
   50471     HEAPF64[$x6 >> 3] = $2;
   50472     $y7 = $hp + 8 | 0;
   50473     $3 = +HEAPF64[$y7 >> 3];
   50474     $y9 = $eps + 24 | 0;
   50475     HEAPF64[$y9 >> 3] = $3;
   50476     $call = _Pshortestpath($poly, $arrayidx, $pl) | 0;
   50477     $cmp = ($call | 0) == -1;
   50478     if ($cmp) {
   50479       $retval_0 = 0;
   50480       label = 18;
   50481       break;
   50482     } else {
   50483       label = 3;
   50484       break;
   50485     }
   50486    case 3:
   50487     $tobool = ($polyline | 0) == 0;
   50488     if ($tobool) {
   50489       label = 5;
   50490       break;
   50491     } else {
   50492       label = 4;
   50493       break;
   50494     }
   50495    case 4:
   50496     _make_polyline($pl, $spl);
   50497     label = 14;
   50498     break;
   50499    case 5:
   50500     $pn = $poly + 4 | 0;
   50501     $4 = HEAP32[$pn >> 2] | 0;
   50502     $5 = HEAP32[5082] | 0;
   50503     $cmp11 = ($4 | 0) > ($5 | 0);
   50504     if ($cmp11) {
   50505       label = 6;
   50506       break;
   50507     } else {
   50508       $10 = $4;
   50509       label = 10;
   50510       break;
   50511     }
   50512    case 6:
   50513     $6 = HEAP32[5080] | 0;
   50514     $tobool13 = ($6 | 0) == 0;
   50515     if ($tobool13) {
   50516       label = 8;
   50517       break;
   50518     } else {
   50519       label = 7;
   50520       break;
   50521     }
   50522    case 7:
   50523     $7 = $6;
   50524     $mul = $4 << 5;
   50525     $call15 = _grealloc($7, $mul) | 0;
   50526     $call15_sink = $call15;
   50527     label = 9;
   50528     break;
   50529    case 8:
   50530     $mul17 = $4 << 5;
   50531     $call18 = _gmalloc($mul17) | 0;
   50532     $call15_sink = $call18;
   50533     label = 9;
   50534     break;
   50535    case 9:
   50536     $8 = $call15_sink;
   50537     HEAP32[5080] = $8;
   50538     $9 = HEAP32[$pn >> 2] | 0;
   50539     HEAP32[5082] = $9;
   50540     $_pr = HEAP32[$pn >> 2] | 0;
   50541     $10 = $_pr;
   50542     label = 10;
   50543     break;
   50544    case 10:
   50545     $cmp2212 = ($10 | 0) > 0;
   50546     if ($cmp2212) {
   50547       label = 11;
   50548       break;
   50549     } else {
   50550       label = 13;
   50551       break;
   50552     }
   50553    case 11:
   50554     $11 = HEAP32[5080] | 0;
   50555     $ps = $poly | 0;
   50556     $12 = HEAP32[$ps >> 2] | 0;
   50557     $13 = HEAP32[$pn >> 2] | 0;
   50558     $i_013 = 0;
   50559     label = 12;
   50560     break;
   50561    case 12:
   50562     $a = $11 + ($i_013 << 5) | 0;
   50563     $arrayidx24 = $12 + ($i_013 << 4) | 0;
   50564     $14 = $a;
   50565     $15 = $arrayidx24;
   50566     HEAP32[$14 >> 2] = HEAP32[$15 >> 2] | 0;
   50567     HEAP32[$14 + 4 >> 2] = HEAP32[$15 + 4 >> 2] | 0;
   50568     HEAP32[$14 + 8 >> 2] = HEAP32[$15 + 8 >> 2] | 0;
   50569     HEAP32[$14 + 12 >> 2] = HEAP32[$15 + 12 >> 2] | 0;
   50570     $b = $11 + ($i_013 << 5) + 16 | 0;
   50571     $add = $i_013 + 1 | 0;
   50572     $rem = ($add | 0) % ($13 | 0);
   50573     $arrayidx28 = $12 + ($rem << 4) | 0;
   50574     $16 = $b;
   50575     $17 = $arrayidx28;
   50576     HEAP32[$16 >> 2] = HEAP32[$17 >> 2] | 0;
   50577     HEAP32[$16 + 4 >> 2] = HEAP32[$17 + 4 >> 2] | 0;
   50578     HEAP32[$16 + 8 >> 2] = HEAP32[$17 + 8 >> 2] | 0;
   50579     HEAP32[$16 + 12 >> 2] = HEAP32[$17 + 12 >> 2] | 0;
   50580     $cmp22 = ($add | 0) < ($13 | 0);
   50581     if ($cmp22) {
   50582       $i_013 = $add;
   50583       label = 12;
   50584       break;
   50585     } else {
   50586       label = 13;
   50587       break;
   50588     }
   50589    case 13:
   50590     $arrayidx29 = $evs | 0;
   50591     $18 = $evs;
   50592     _memset($18 | 0, 0, 32);
   50593     $19 = HEAP32[5080] | 0;
   50594     $20 = HEAP32[$pn >> 2] | 0;
   50595     $call39 = _Proutespline($19, $20, $pl, $arrayidx29, $spl) | 0;
   50596     $cmp40 = ($call39 | 0) == -1;
   50597     if ($cmp40) {
   50598       $retval_0 = 0;
   50599       label = 18;
   50600       break;
   50601     } else {
   50602       label = 14;
   50603       break;
   50604     }
   50605    case 14:
   50606     $pn44 = $spl + 4 | 0;
   50607     $21 = HEAP32[$pn44 >> 2] | 0;
   50608     _mkspacep($21);
   50609     $22 = HEAP32[$pn44 >> 2] | 0;
   50610     $cmp4710 = ($22 | 0) > 0;
   50611     if ($cmp4710) {
   50612       label = 15;
   50613       break;
   50614     } else {
   50615       $_lcssa = $22;
   50616       label = 17;
   50617       break;
   50618     }
   50619    case 15:
   50620     $23 = HEAP32[1392] | 0;
   50621     $ps50 = $spl | 0;
   50622     $24 = HEAP32[$ps50 >> 2] | 0;
   50623     $25 = HEAP32[$pn44 >> 2] | 0;
   50624     $i_111 = 0;
   50625     label = 16;
   50626     break;
   50627    case 16:
   50628     $arrayidx49 = $23 + ($i_111 << 4) | 0;
   50629     $arrayidx51 = $24 + ($i_111 << 4) | 0;
   50630     $26 = $arrayidx49;
   50631     $27 = $arrayidx51;
   50632     HEAP32[$26 >> 2] = HEAP32[$27 >> 2] | 0;
   50633     HEAP32[$26 + 4 >> 2] = HEAP32[$27 + 4 >> 2] | 0;
   50634     HEAP32[$26 + 8 >> 2] = HEAP32[$27 + 8 >> 2] | 0;
   50635     HEAP32[$26 + 12 >> 2] = HEAP32[$27 + 12 >> 2] | 0;
   50636     $inc53 = $i_111 + 1 | 0;
   50637     $cmp47 = ($inc53 | 0) < ($25 | 0);
   50638     if ($cmp47) {
   50639       $i_111 = $inc53;
   50640       label = 16;
   50641       break;
   50642     } else {
   50643       $_lcssa = $25;
   50644       label = 17;
   50645       break;
   50646     }
   50647    case 17:
   50648     HEAP32[$n_spl_pts >> 2] = $_lcssa;
   50649     $28 = HEAP32[1392] | 0;
   50650     $retval_0 = $28;
   50651     label = 18;
   50652     break;
   50653    case 18:
   50654     STACKTOP = __stackBase__;
   50655     return $retval_0 | 0;
   50656   }
   50657   return 0;
   50658 }
   50659 function _routesplinesterm() {
   50660   var $0 = 0, $dec = 0, $cmp = 0, $1 = 0, $2 = 0, $3 = 0, $tobool = 0, $4 = 0, $5 = 0, $6 = 0, $call = 0.0, $call2 = 0, label = 0, __stackBase__ = 0;
   50661   __stackBase__ = STACKTOP;
   50662   label = 2;
   50663   while (1) switch (label | 0) {
   50664    case 2:
   50665     $0 = HEAP32[1080] | 0;
   50666     $dec = $0 - 1 | 0;
   50667     HEAP32[1080] = $dec;
   50668     $cmp = ($dec | 0) > 0;
   50669     if ($cmp) {
   50670       label = 5;
   50671       break;
   50672     } else {
   50673       label = 3;
   50674       break;
   50675     }
   50676    case 3:
   50677     $1 = HEAP32[1392] | 0;
   50678     $2 = $1;
   50679     _free($2);
   50680     $3 = HEAP8[164912] | 0;
   50681     $tobool = $3 << 24 >> 24 == 0;
   50682     if ($tobool) {
   50683       label = 5;
   50684       break;
   50685     } else {
   50686       label = 4;
   50687       break;
   50688     }
   50689    case 4:
   50690     $4 = HEAP32[_stderr >> 2] | 0;
   50691     $5 = HEAP32[2382] | 0;
   50692     $6 = HEAP32[2384] | 0;
   50693     $call = +_elapsed_sec();
   50694     $call2 = _fprintf($4 | 0, 140048, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = $5, HEAP32[tempInt + 8 >> 2] = $6, HEAPF64[tempInt + 16 >> 3] = $call, tempInt) | 0) | 0;
   50695     label = 5;
   50696     break;
   50697    case 5:
   50698     STACKTOP = __stackBase__;
   50699     return;
   50700   }
   50701 }
   50702 function _routesplines($pp, $npoints) {
   50703   $pp = $pp | 0;
   50704   $npoints = $npoints | 0;
   50705   return __routesplines($pp, $npoints, 0) | 0;
   50706 }
   50707 function _mkspacep($size) {
   50708   $size = $size | 0;
   50709   var $0 = 0, $cmp = 0, $div = 0, $1 = 0, $mul = 0, $add1 = 0, $2 = 0, $3 = 0, $mul2 = 0, $call = 0, $4 = 0, $tobool = 0, $call4 = 0, label = 0, __stackBase__ = 0;
   50710   __stackBase__ = STACKTOP;
   50711   label = 2;
   50712   while (1) switch (label | 0) {
   50713    case 2:
   50714     $0 = HEAP32[2810] | 0;
   50715     $cmp = ($0 | 0) < ($size | 0);
   50716     if ($cmp) {
   50717       label = 3;
   50718       break;
   50719     } else {
   50720       label = 6;
   50721       break;
   50722     }
   50723    case 3:
   50724     $div = ($size | 0) % 300;
   50725     $1 = $size + 300 | 0;
   50726     $mul = $1 + $0 | 0;
   50727     $add1 = $mul - $div | 0;
   50728     $2 = HEAP32[1392] | 0;
   50729     $3 = $2;
   50730     $mul2 = $add1 << 4;
   50731     $call = _grealloc($3, $mul2) | 0;
   50732     $4 = $call;
   50733     HEAP32[1392] = $4;
   50734     $tobool = ($call | 0) == 0;
   50735     if ($tobool) {
   50736       label = 4;
   50737       break;
   50738     } else {
   50739       label = 5;
   50740       break;
   50741     }
   50742    case 4:
   50743     $call4 = _agerr(1, 120736, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0) | 0;
   50744     _abort();
   50745    case 5:
   50746     HEAP32[2810] = $add1;
   50747     label = 6;
   50748     break;
   50749    case 6:
   50750     STACKTOP = __stackBase__;
   50751     return;
   50752   }
   50753 }
   50754 function _routesplinesinit() {
   50755   var $0 = 0, $inc = 0, $cmp = 0, $call = 0, $1 = 0, $tobool = 0, $call2 = 0, $2 = 0, $tobool4 = 0, label = 0, __stackBase__ = 0;
   50756   __stackBase__ = STACKTOP;
   50757   label = 2;
   50758   while (1) switch (label | 0) {
   50759    case 2:
   50760     $0 = HEAP32[1080] | 0;
   50761     $inc = $0 + 1 | 0;
   50762     HEAP32[1080] = $inc;
   50763     $cmp = ($0 | 0) > 0;
   50764     if ($cmp) {
   50765       label = 7;
   50766       break;
   50767     } else {
   50768       label = 3;
   50769       break;
   50770     }
   50771    case 3:
   50772     $call = _gmalloc(4800) | 0;
   50773     $1 = $call;
   50774     HEAP32[1392] = $1;
   50775     $tobool = ($call | 0) == 0;
   50776     if ($tobool) {
   50777       label = 4;
   50778       break;
   50779     } else {
   50780       label = 5;
   50781       break;
   50782     }
   50783    case 4:
   50784     $call2 = _agerr(1, 101024, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0) | 0;
   50785     _abort();
   50786    case 5:
   50787     HEAP32[2810] = 300;
   50788     HEAP32[2382] = 0;
   50789     HEAP32[2384] = 0;
   50790     $2 = HEAP8[164912] | 0;
   50791     $tobool4 = $2 << 24 >> 24 == 0;
   50792     if ($tobool4) {
   50793       label = 7;
   50794       break;
   50795     } else {
   50796       label = 6;
   50797       break;
   50798     }
   50799    case 6:
   50800     _start_timer();
   50801     label = 7;
   50802     break;
   50803    case 7:
   50804     STACKTOP = __stackBase__;
   50805     return;
   50806   }
   50807 }
   50808 function _routepolylines($pp, $npoints) {
   50809   $pp = $pp | 0;
   50810   $npoints = $npoints | 0;
   50811   return __routesplines($pp, $npoints, 1) | 0;
   50812 }
   50813 function __routesplines($pp, $npoints, $polyline) {
   50814   $pp = $pp | 0;
   50815   $npoints = $npoints | 0;
   50816   $polyline = $polyline | 0;
   50817   var $poly = 0, $pl = 0, $spl = 0, $eps = 0, $evs = 0, $0 = 0, $inc = 0, $nbox = 0, $1 = 0, $2 = 0, $add = 0, $data = 0, $3 = 0, $tobool326 = 0, $4 = 0, $realedge_0327 = 0, $edge_type = 0, $5 = 0, $cmp = 0, $to_orig = 0, $6 = 0, $tobool = 0, $call = 0, $boxes4 = 0, $7 = 0, $8 = 0, $mul = 0, $9 = 0, $cmp6 = 0, $10 = 0, $tobool9 = 0, $11 = 0, $mul11 = 0, $call12 = 0, $mul14 = 0, $call15 = 0, $call12_sink = 0, $12 = 0, $cmp18 = 0, $y = 0, $13 = 0.0, $y22 = 0, $14 = 0.0, $cmp23 = 0, $cmp27323 = 0, $bi_0324 = 0, $y31 = 0, $15 = 0.0, $y34 = 0, $16 = 0.0, $mul35 = 0.0, $sub = 0.0, $inc43 = 0, $cmp27 = 0, $flip_0 = 0, $tail = 0, $17 = 0, $head = 0, $18 = 0, $cmp46 = 0, $cmp50319 = 0, $sub67 = 0, $19 = 0, $bi_1321 = 0, $pi_0320 = 0, $cmp53 = 0, $y58 = 0, $20 = 0.0, $sub59 = 0, $y62 = 0, $21 = 0.0, $cmp63 = 0, $cond65 = 0, $prev_0 = 0, $cmp68 = 0, $add71 = 0, $y74 = 0, $22 = 0.0, $y77 = 0, $23 = 0.0, $cmp78 = 0, $cond80 = 0, $next_0 = 0, $cmp82 = 0, $cmp85 = 0, $cmp87 = 0, $or_cond = 0, $x = 0, $24 = 0.0, $x93 = 0, $y96 = 0, $25 = 0.0, $inc97 = 0, $y99 = 0, $26 = 0.0, $x104 = 0, $y107 = 0, $27 = 0.0, $inc108 = 0, $y110 = 0, $x114 = 0, $28 = 0.0, $x116 = 0, $y119 = 0, $29 = 0.0, $inc120 = 0, $y122 = 0, $30 = 0.0, $x127 = 0, $y130 = 0, $31 = 0.0, $inc131 = 0, $y133 = 0, $x141 = 0, $32 = 0.0, $x143 = 0, $y146 = 0, $33 = 0.0, $inc147 = 0, $y149 = 0, $34 = 0.0, $x154 = 0, $y157 = 0, $35 = 0.0, $inc158 = 0, $y160 = 0, $cmp165 = 0, $pi_1 = 0, $inc172 = 0, $cmp50 = 0, $sub174 = 0, $cmp176315 = 0, $36 = 0, $bi_2317 = 0, $pi_2316 = 0, $cmp180 = 0, $y185 = 0, $37 = 0.0, $add186 = 0, $y189 = 0, $38 = 0.0, $cmp190 = 0, $cond192 = 0, $prev_1 = 0, $cmp194 = 0, $sub197 = 0, $y200 = 0, $39 = 0.0, $y203 = 0, $40 = 0.0, $cmp204 = 0, $cond206 = 0, $next_1 = 0, $cmp208 = 0, $cmp211 = 0, $cmp214 = 0, $or_cond292 = 0, $x219 = 0, $41 = 0.0, $x221 = 0, $y224 = 0, $42 = 0.0, $inc225 = 0, $y227 = 0, $43 = 0.0, $x232 = 0, $y235 = 0, $44 = 0.0, $inc236 = 0, $y238 = 0, $x242 = 0, $45 = 0.0, $x244 = 0, $y247 = 0, $46 = 0.0, $inc248 = 0, $y250 = 0, $47 = 0.0, $x255 = 0, $y258 = 0, $48 = 0.0, $inc259 = 0, $y261 = 0, $x269 = 0, $49 = 0.0, $x271 = 0, $y274 = 0, $50 = 0.0, $inc275 = 0, $y277 = 0, $51 = 0.0, $x282 = 0, $y285 = 0, $52 = 0.0, $inc286 = 0, $y288 = 0, $cmp293 = 0, $x299 = 0, $53 = 0.0, $x301 = 0, $y304 = 0, $54 = 0.0, $inc305 = 0, $y307 = 0, $55 = 0.0, $x312 = 0, $y315 = 0, $56 = 0.0, $inc316 = 0, $y318 = 0, $x321 = 0, $57 = 0.0, $x323 = 0, $58 = 0.0, $inc327 = 0, $y329 = 0, $59 = 0.0, $x334 = 0, $60 = 0.0, $inc338 = 0, $y340 = 0, $pi_3 = 0, $dec = 0, $cmp176 = 0, $pi_2_lcssa = 0, $tobool347 = 0, $cmp350311 = 0, $cmp374309 = 0, $61 = 0, $bi_3312 = 0, $y356 = 0, $62 = 0.0, $conv357 = 0, $y360 = 0, $63 = 0.0, $mul361 = 0.0, $sub365 = 0, $conv366 = 0.0, $inc371 = 0, $cmp350 = 0, $cmp385307 = 0, $i_0310 = 0, $y378 = 0, $64 = 0.0, $mul379 = 0.0, $inc381 = 0, $cmp374 = 0, $bi_4308 = 0, $x390 = 0, $x393 = 0, $inc395 = 0, $cmp385 = 0, $65 = 0, $ps = 0, $pn = 0, $x397 = 0, $66 = 0.0, $arrayidx398 = 0, $x399 = 0, $y402 = 0, $67 = 0.0, $y404 = 0, $x406 = 0, $68 = 0.0, $x408 = 0, $y411 = 0, $69 = 0.0, $y413 = 0, $call414 = 0, $cmp415 = 0, $tobool419 = 0, $70 = 0, $71 = 0, $cmp423 = 0, $72 = 0, $tobool426 = 0, $73 = 0, $mul429 = 0, $call430 = 0, $mul433 = 0, $call434 = 0, $call430_sink = 0, $74 = 0, $75 = 0, $_pr = 0, $76 = 0, $cmp441305 = 0, $77 = 0, $78 = 0, $79 = 0, $edgei_0306 = 0, $a = 0, $arrayidx445 = 0, $80 = 0, $81 = 0, $b = 0, $add447 = 0, $rem = 0, $arrayidx449 = 0, $82 = 0, $83 = 0, $cmp441 = 0, $constrained = 0, $84 = 0, $tobool454 = 0, $theta = 0, $85 = 0.0, $call457 = 0.0, $x459 = 0, $86 = 0.0, $call462 = 0.0, $y464 = 0, $87 = 0, $constrained472 = 0, $88 = 0, $tobool473 = 0, $theta476 = 0, $89 = 0.0, $call477 = 0.0, $sub478 = 0.0, $x480 = 0, $90 = 0.0, $call483 = 0.0, $sub484 = 0.0, $y486 = 0, $x491 = 0, $91 = 0, $92 = 0, $93 = 0, $arraydecay494 = 0, $call495 = 0, $cmp496 = 0, $pn501 = 0, $94 = 0, $cmp503303 = 0, $95 = 0, $cmp517301 = 0, $96 = 0, $ps521 = 0, $97 = 0, $98 = 0, $bi_5304 = 0, $x508 = 0, $x511 = 0, $inc513 = 0, $cmp503 = 0, $_pr331 = 0, $99 = 0, $cmp529298 = 0, $100 = 0, $cmp683293 = 0, $splinepi_0302 = 0, $arrayidx520 = 0, $arrayidx522 = 0, $101 = 0, $102 = 0, $inc524 = 0, $cmp517 = 0, $delta_0 = 0, $mul532 = 0, $cmp534296 = 0, $conv538 = 0.0, $add527 = 0, $cmp529 = 0, $add527300 = 0, $splinepi_1299 = 0, $sp_sroa_0_0__idx = 0, $sp_sroa_1_8__idx229 = 0, $add542 = 0, $sp_sroa_2_16__idx = 0, $sp_sroa_3_24__idx242 = 0, $add545 = 0, $sp_sroa_4_32__idx = 0, $sp_sroa_5_40__idx249 = 0, $sp_sroa_6_48__idx = 0, $sp_sroa_7_56__idx250 = 0, $si_0297 = 0, $conv537 = 0.0, $div = 0.0, $sp_sroa_0_0_copyload = 0.0, $sp_sroa_1_8_copyload = 0.0, $sp_sroa_2_16_copyload = 0.0, $sp_sroa_3_24_copyload = 0.0, $sp_sroa_4_32_copyload = 0.0, $sp_sroa_5_40_copyload = 0.0, $sp_sroa_6_48_copyload = 0.0, $sp_sroa_7_56_copyload = 0.0, $sub556 = 0.0, $mul557 = 0.0, $add558 = 0.0, $sub567 = 0.0, $mul568 = 0.0, $add569 = 0.0, $sub578 = 0.0, $mul579 = 0.0, $add580 = 0.0, $sub589 = 0.0, $mul590 = 0.0, $add591 = 0.0, $sub600 = 0.0, $mul601 = 0.0, $add602 = 0.0, $sub611 = 0.0, $mul612 = 0.0, $add613 = 0.0, $sub622 = 0.0, $mul623 = 0.0, $add624 = 0.0, $sub633 = 0.0, $mul634 = 0.0, $add635 = 0.0, $sub644 = 0.0, $mul645 = 0.0, $add646 = 0.0, $sub655 = 0.0, $mul656 = 0.0, $add657 = 0.0, $sub666 = 0.0, $mul667 = 0.0, $add668 = 0.0, $sub677 = 0.0, $mul678 = 0.0, $add679 = 0.0, $bi_6294 = 0, $y690 = 0, $103 = 0.0, $add691 = 0.0, $cmp692 = 0, $y699 = 0, $104 = 0.0, $sub700 = 0.0, $cmp701 = 0, $x706 = 0, $105 = 0.0, $cmp709 = 0, $x720 = 0, $106 = 0.0, $cmp723 = 0, $inc734 = 0, $cmp683 = 0, $inc737 = 0, $cmp534 = 0, $bi_7 = 0, $cmp743 = 0, $x748 = 0, $107 = 0.0, $cmp749 = 0, $x754 = 0, $108 = 0.0, $cmp755 = 0, $inc761 = 0, $mul758 = 0, $109 = 0, $110 = 0, label = 0, __stackBase__ = 0;
   50818   __stackBase__ = STACKTOP;
   50819   STACKTOP = STACKTOP + 88 | 0;
   50820   label = 2;
   50821   while (1) switch (label | 0) {
   50822    case 2:
   50823     $poly = __stackBase__ | 0;
   50824     $pl = __stackBase__ + 8 | 0;
   50825     $spl = __stackBase__ + 16 | 0;
   50826     $eps = __stackBase__ + 24 | 0;
   50827     $evs = __stackBase__ + 56 | 0;
   50828     $0 = HEAP32[2382] | 0;
   50829     $inc = $0 + 1 | 0;
   50830     HEAP32[2382] = $inc;
   50831     $nbox = $pp + 80 | 0;
   50832     $1 = HEAP32[$nbox >> 2] | 0;
   50833     $2 = HEAP32[2384] | 0;
   50834     $add = $2 + $1 | 0;
   50835     HEAP32[2384] = $add;
   50836     $data = $pp + 88 | 0;
   50837     $3 = HEAP32[$data >> 2] | 0;
   50838     $tobool326 = ($3 | 0) == 0;
   50839     if ($tobool326) {
   50840       label = 6;
   50841       break;
   50842     } else {
   50843       label = 3;
   50844       break;
   50845     }
   50846    case 3:
   50847     $4 = $3;
   50848     $realedge_0327 = $4;
   50849     label = 4;
   50850     break;
   50851    case 4:
   50852     $edge_type = $realedge_0327 + 128 | 0;
   50853     $5 = HEAP8[$edge_type] | 0;
   50854     $cmp = $5 << 24 >> 24 == 0;
   50855     if ($cmp) {
   50856       label = 7;
   50857       break;
   50858     } else {
   50859       label = 5;
   50860       break;
   50861     }
   50862    case 5:
   50863     $to_orig = $realedge_0327 + 132 | 0;
   50864     $6 = HEAP32[$to_orig >> 2] | 0;
   50865     $tobool = ($6 | 0) == 0;
   50866     if ($tobool) {
   50867       label = 6;
   50868       break;
   50869     } else {
   50870       $realedge_0327 = $6;
   50871       label = 4;
   50872       break;
   50873     }
   50874    case 6:
   50875     $call = _agerr(1, 115128, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0) | 0;
   50876     _abort();
   50877     return 0;
   50878     return 0;
   50879    case 7:
   50880     $boxes4 = $pp + 84 | 0;
   50881     $7 = HEAP32[$boxes4 >> 2] | 0;
   50882     $8 = HEAP32[$nbox >> 2] | 0;
   50883     _checkpath($8, $7, $pp);
   50884     $mul = $8 << 3;
   50885     $9 = HEAP32[1730] | 0;
   50886     $cmp6 = ($mul | 0) > ($9 | 0);
   50887     if ($cmp6) {
   50888       label = 8;
   50889       break;
   50890     } else {
   50891       label = 12;
   50892       break;
   50893     }
   50894    case 8:
   50895     $10 = HEAP32[1728] | 0;
   50896     $tobool9 = ($10 | 0) == 0;
   50897     if ($tobool9) {
   50898       label = 10;
   50899       break;
   50900     } else {
   50901       label = 9;
   50902       break;
   50903     }
   50904    case 9:
   50905     $11 = $10;
   50906     $mul11 = $8 << 7;
   50907     $call12 = _grealloc($11, $mul11) | 0;
   50908     $call12_sink = $call12;
   50909     label = 11;
   50910     break;
   50911    case 10:
   50912     $mul14 = $8 << 7;
   50913     $call15 = _gmalloc($mul14) | 0;
   50914     $call12_sink = $call15;
   50915     label = 11;
   50916     break;
   50917    case 11:
   50918     $12 = $call12_sink;
   50919     HEAP32[1728] = $12;
   50920     HEAP32[1730] = $mul;
   50921     label = 12;
   50922     break;
   50923    case 12:
   50924     $cmp18 = ($8 | 0) > 1;
   50925     if ($cmp18) {
   50926       label = 13;
   50927       break;
   50928     } else {
   50929       $flip_0 = 0;
   50930       label = 16;
   50931       break;
   50932     }
   50933    case 13:
   50934     $y = $7 + 8 | 0;
   50935     $13 = +HEAPF64[$y >> 3];
   50936     $y22 = $7 + 40 | 0;
   50937     $14 = +HEAPF64[$y22 >> 3];
   50938     $cmp23 = $13 > $14;
   50939     if ($cmp23) {
   50940       label = 14;
   50941       break;
   50942     } else {
   50943       $flip_0 = 0;
   50944       label = 16;
   50945       break;
   50946     }
   50947    case 14:
   50948     $cmp27323 = ($8 | 0) > 0;
   50949     if ($cmp27323) {
   50950       $bi_0324 = 0;
   50951       label = 15;
   50952       break;
   50953     } else {
   50954       $flip_0 = 1;
   50955       label = 16;
   50956       break;
   50957     }
   50958    case 15:
   50959     $y31 = $7 + ($bi_0324 << 5) + 24 | 0;
   50960     $15 = +HEAPF64[$y31 >> 3];
   50961     $y34 = $7 + ($bi_0324 << 5) + 8 | 0;
   50962     $16 = +HEAPF64[$y34 >> 3];
   50963     $mul35 = $16 * -1.0;
   50964     HEAPF64[$y31 >> 3] = $mul35;
   50965     $sub = -0.0 - $15;
   50966     HEAPF64[$y34 >> 3] = $sub;
   50967     $inc43 = $bi_0324 + 1 | 0;
   50968     $cmp27 = ($inc43 | 0) < ($8 | 0);
   50969     if ($cmp27) {
   50970       $bi_0324 = $inc43;
   50971       label = 15;
   50972       break;
   50973     } else {
   50974       $flip_0 = 1;
   50975       label = 16;
   50976       break;
   50977     }
   50978    case 16:
   50979     $tail = $realedge_0327 + 16 | 0;
   50980     $17 = HEAP32[$tail >> 2] | 0;
   50981     $head = $realedge_0327 + 12 | 0;
   50982     $18 = HEAP32[$head >> 2] | 0;
   50983     $cmp46 = ($17 | 0) == ($18 | 0);
   50984     if ($cmp46) {
   50985       label = 48;
   50986       break;
   50987     } else {
   50988       label = 17;
   50989       break;
   50990     }
   50991    case 17:
   50992     $cmp50319 = ($8 | 0) > 0;
   50993     if ($cmp50319) {
   50994       label = 18;
   50995       break;
   50996     } else {
   50997       $pi_2_lcssa = 0;
   50998       label = 49;
   50999       break;
   51000     }
   51001    case 18:
   51002     $sub67 = $8 - 1 | 0;
   51003     $19 = HEAP32[1728] | 0;
   51004     $pi_0320 = 0;
   51005     $bi_1321 = 0;
   51006     label = 19;
   51007     break;
   51008    case 19:
   51009     $cmp53 = ($bi_1321 | 0) > 0;
   51010     if ($cmp53) {
   51011       label = 20;
   51012       break;
   51013     } else {
   51014       $prev_0 = 0;
   51015       label = 21;
   51016       break;
   51017     }
   51018    case 20:
   51019     $y58 = $7 + ($bi_1321 << 5) + 8 | 0;
   51020     $20 = +HEAPF64[$y58 >> 3];
   51021     $sub59 = $bi_1321 - 1 | 0;
   51022     $y62 = $7 + ($sub59 << 5) + 8 | 0;
   51023     $21 = +HEAPF64[$y62 >> 3];
   51024     $cmp63 = $20 > $21;
   51025     $cond65 = $cmp63 ? -1 : 1;
   51026     $prev_0 = $cond65;
   51027     label = 21;
   51028     break;
   51029    case 21:
   51030     $cmp68 = ($bi_1321 | 0) < ($sub67 | 0);
   51031     if ($cmp68) {
   51032       label = 22;
   51033       break;
   51034     } else {
   51035       $next_0 = 0;
   51036       label = 23;
   51037       break;
   51038     }
   51039    case 22:
   51040     $add71 = $bi_1321 + 1 | 0;
   51041     $y74 = $7 + ($add71 << 5) + 8 | 0;
   51042     $22 = +HEAPF64[$y74 >> 3];
   51043     $y77 = $7 + ($bi_1321 << 5) + 8 | 0;
   51044     $23 = +HEAPF64[$y77 >> 3];
   51045     $cmp78 = $22 > $23;
   51046     $cond80 = $cmp78 ? 1 : -1;
   51047     $next_0 = $cond80;
   51048     label = 23;
   51049     break;
   51050    case 23:
   51051     $cmp82 = ($prev_0 | 0) == ($next_0 | 0);
   51052     if ($cmp82) {
   51053       label = 27;
   51054       break;
   51055     } else {
   51056       label = 24;
   51057       break;
   51058     }
   51059    case 24:
   51060     $cmp85 = ($next_0 | 0) == -1;
   51061     $cmp87 = ($prev_0 | 0) == 1;
   51062     $or_cond = $cmp85 | $cmp87;
   51063     if ($or_cond) {
   51064       label = 25;
   51065       break;
   51066     } else {
   51067       label = 26;
   51068       break;
   51069     }
   51070    case 25:
   51071     $x = $7 + ($bi_1321 << 5) | 0;
   51072     $24 = +HEAPF64[$x >> 3];
   51073     $x93 = $19 + ($pi_0320 << 4) | 0;
   51074     HEAPF64[$x93 >> 3] = $24;
   51075     $y96 = $7 + ($bi_1321 << 5) + 24 | 0;
   51076     $25 = +HEAPF64[$y96 >> 3];
   51077     $inc97 = $pi_0320 + 1 | 0;
   51078     $y99 = $19 + ($pi_0320 << 4) + 8 | 0;
   51079     HEAPF64[$y99 >> 3] = $25;
   51080     $26 = +HEAPF64[$x >> 3];
   51081     $x104 = $19 + ($inc97 << 4) | 0;
   51082     HEAPF64[$x104 >> 3] = $26;
   51083     $y107 = $7 + ($bi_1321 << 5) + 8 | 0;
   51084     $27 = +HEAPF64[$y107 >> 3];
   51085     $inc108 = $pi_0320 + 2 | 0;
   51086     $y110 = $19 + ($inc97 << 4) + 8 | 0;
   51087     HEAPF64[$y110 >> 3] = $27;
   51088     $pi_1 = $inc108;
   51089     label = 31;
   51090     break;
   51091    case 26:
   51092     $x114 = $7 + ($bi_1321 << 5) + 16 | 0;
   51093     $28 = +HEAPF64[$x114 >> 3];
   51094     $x116 = $19 + ($pi_0320 << 4) | 0;
   51095     HEAPF64[$x116 >> 3] = $28;
   51096     $y119 = $7 + ($bi_1321 << 5) + 8 | 0;
   51097     $29 = +HEAPF64[$y119 >> 3];
   51098     $inc120 = $pi_0320 + 1 | 0;
   51099     $y122 = $19 + ($pi_0320 << 4) + 8 | 0;
   51100     HEAPF64[$y122 >> 3] = $29;
   51101     $30 = +HEAPF64[$x114 >> 3];
   51102     $x127 = $19 + ($inc120 << 4) | 0;
   51103     HEAPF64[$x127 >> 3] = $30;
   51104     $y130 = $7 + ($bi_1321 << 5) + 24 | 0;
   51105     $31 = +HEAPF64[$y130 >> 3];
   51106     $inc131 = $pi_0320 + 2 | 0;
   51107     $y133 = $19 + ($inc120 << 4) + 8 | 0;
   51108     HEAPF64[$y133 >> 3] = $31;
   51109     $pi_1 = $inc131;
   51110     label = 31;
   51111     break;
   51112    case 27:
   51113     if (($prev_0 | 0) == 0) {
   51114       label = 28;
   51115       break;
   51116     } else if (($prev_0 | 0) == (-1 | 0)) {
   51117       label = 29;
   51118       break;
   51119     } else {
   51120       label = 30;
   51121       break;
   51122     }
   51123    case 28:
   51124     $x141 = $7 + ($bi_1321 << 5) | 0;
   51125     $32 = +HEAPF64[$x141 >> 3];
   51126     $x143 = $19 + ($pi_0320 << 4) | 0;
   51127     HEAPF64[$x143 >> 3] = $32;
   51128     $y146 = $7 + ($bi_1321 << 5) + 24 | 0;
   51129     $33 = +HEAPF64[$y146 >> 3];
   51130     $inc147 = $pi_0320 + 1 | 0;
   51131     $y149 = $19 + ($pi_0320 << 4) + 8 | 0;
   51132     HEAPF64[$y149 >> 3] = $33;
   51133     $34 = +HEAPF64[$x141 >> 3];
   51134     $x154 = $19 + ($inc147 << 4) | 0;
   51135     HEAPF64[$x154 >> 3] = $34;
   51136     $y157 = $7 + ($bi_1321 << 5) + 8 | 0;
   51137     $35 = +HEAPF64[$y157 >> 3];
   51138     $inc158 = $pi_0320 + 2 | 0;
   51139     $y160 = $19 + ($inc147 << 4) + 8 | 0;
   51140     HEAPF64[$y160 >> 3] = $35;
   51141     $pi_1 = $inc158;
   51142     label = 31;
   51143     break;
   51144    case 29:
   51145     $cmp165 = ($next_0 | 0) == -1;
   51146     if ($cmp165) {
   51147       $pi_1 = $pi_0320;
   51148       label = 31;
   51149       break;
   51150     } else {
   51151       label = 30;
   51152       break;
   51153     }
   51154    case 30:
   51155     _abort();
   51156     return 0;
   51157     return 0;
   51158    case 31:
   51159     $inc172 = $bi_1321 + 1 | 0;
   51160     $cmp50 = ($inc172 | 0) < ($8 | 0);
   51161     if ($cmp50) {
   51162       $pi_0320 = $pi_1;
   51163       $bi_1321 = $inc172;
   51164       label = 19;
   51165       break;
   51166     } else {
   51167       label = 32;
   51168       break;
   51169     }
   51170    case 32:
   51171     $sub174 = $8 - 1 | 0;
   51172     $cmp176315 = ($8 | 0) > 0;
   51173     if ($cmp176315) {
   51174       label = 33;
   51175       break;
   51176     } else {
   51177       $pi_2_lcssa = $pi_1;
   51178       label = 49;
   51179       break;
   51180     }
   51181    case 33:
   51182     $36 = HEAP32[1728] | 0;
   51183     $pi_2316 = $pi_1;
   51184     $bi_2317 = $sub174;
   51185     label = 34;
   51186     break;
   51187    case 34:
   51188     $cmp180 = ($bi_2317 | 0) < ($sub174 | 0);
   51189     if ($cmp180) {
   51190       label = 35;
   51191       break;
   51192     } else {
   51193       $prev_1 = 0;
   51194       label = 36;
   51195       break;
   51196     }
   51197    case 35:
   51198     $y185 = $7 + ($bi_2317 << 5) + 8 | 0;
   51199     $37 = +HEAPF64[$y185 >> 3];
   51200     $add186 = $bi_2317 + 1 | 0;
   51201     $y189 = $7 + ($add186 << 5) + 8 | 0;
   51202     $38 = +HEAPF64[$y189 >> 3];
   51203     $cmp190 = $37 > $38;
   51204     $cond192 = $cmp190 ? -1 : 1;
   51205     $prev_1 = $cond192;
   51206     label = 36;
   51207     break;
   51208    case 36:
   51209     $cmp194 = ($bi_2317 | 0) > 0;
   51210     if ($cmp194) {
   51211       label = 37;
   51212       break;
   51213     } else {
   51214       $next_1 = 0;
   51215       label = 38;
   51216       break;
   51217     }
   51218    case 37:
   51219     $sub197 = $bi_2317 - 1 | 0;
   51220     $y200 = $7 + ($sub197 << 5) + 8 | 0;
   51221     $39 = +HEAPF64[$y200 >> 3];
   51222     $y203 = $7 + ($bi_2317 << 5) + 8 | 0;
   51223     $40 = +HEAPF64[$y203 >> 3];
   51224     $cmp204 = $39 > $40;
   51225     $cond206 = $cmp204 ? 1 : -1;
   51226     $next_1 = $cond206;
   51227     label = 38;
   51228     break;
   51229    case 38:
   51230     $cmp208 = ($prev_1 | 0) == ($next_1 | 0);
   51231     if ($cmp208) {
   51232       label = 42;
   51233       break;
   51234     } else {
   51235       label = 39;
   51236       break;
   51237     }
   51238    case 39:
   51239     $cmp211 = ($next_1 | 0) == -1;
   51240     $cmp214 = ($prev_1 | 0) == 1;
   51241     $or_cond292 = $cmp211 | $cmp214;
   51242     if ($or_cond292) {
   51243       label = 40;
   51244       break;
   51245     } else {
   51246       label = 41;
   51247       break;
   51248     }
   51249    case 40:
   51250     $x219 = $7 + ($bi_2317 << 5) | 0;
   51251     $41 = +HEAPF64[$x219 >> 3];
   51252     $x221 = $36 + ($pi_2316 << 4) | 0;
   51253     HEAPF64[$x221 >> 3] = $41;
   51254     $y224 = $7 + ($bi_2317 << 5) + 24 | 0;
   51255     $42 = +HEAPF64[$y224 >> 3];
   51256     $inc225 = $pi_2316 + 1 | 0;
   51257     $y227 = $36 + ($pi_2316 << 4) + 8 | 0;
   51258     HEAPF64[$y227 >> 3] = $42;
   51259     $43 = +HEAPF64[$x219 >> 3];
   51260     $x232 = $36 + ($inc225 << 4) | 0;
   51261     HEAPF64[$x232 >> 3] = $43;
   51262     $y235 = $7 + ($bi_2317 << 5) + 8 | 0;
   51263     $44 = +HEAPF64[$y235 >> 3];
   51264     $inc236 = $pi_2316 + 2 | 0;
   51265     $y238 = $36 + ($inc225 << 4) + 8 | 0;
   51266     HEAPF64[$y238 >> 3] = $44;
   51267     $pi_3 = $inc236;
   51268     label = 47;
   51269     break;
   51270    case 41:
   51271     $x242 = $7 + ($bi_2317 << 5) + 16 | 0;
   51272     $45 = +HEAPF64[$x242 >> 3];
   51273     $x244 = $36 + ($pi_2316 << 4) | 0;
   51274     HEAPF64[$x244 >> 3] = $45;
   51275     $y247 = $7 + ($bi_2317 << 5) + 8 | 0;
   51276     $46 = +HEAPF64[$y247 >> 3];
   51277     $inc248 = $pi_2316 + 1 | 0;
   51278     $y250 = $36 + ($pi_2316 << 4) + 8 | 0;
   51279     HEAPF64[$y250 >> 3] = $46;
   51280     $47 = +HEAPF64[$x242 >> 3];
   51281     $x255 = $36 + ($inc248 << 4) | 0;
   51282     HEAPF64[$x255 >> 3] = $47;
   51283     $y258 = $7 + ($bi_2317 << 5) + 24 | 0;
   51284     $48 = +HEAPF64[$y258 >> 3];
   51285     $inc259 = $pi_2316 + 2 | 0;
   51286     $y261 = $36 + ($inc248 << 4) + 8 | 0;
   51287     HEAPF64[$y261 >> 3] = $48;
   51288     $pi_3 = $inc259;
   51289     label = 47;
   51290     break;
   51291    case 42:
   51292     if (($prev_1 | 0) == 0) {
   51293       label = 43;
   51294       break;
   51295     } else if (($prev_1 | 0) == (-1 | 0)) {
   51296       label = 44;
   51297       break;
   51298     } else {
   51299       label = 45;
   51300       break;
   51301     }
   51302    case 43:
   51303     $x269 = $7 + ($bi_2317 << 5) + 16 | 0;
   51304     $49 = +HEAPF64[$x269 >> 3];
   51305     $x271 = $36 + ($pi_2316 << 4) | 0;
   51306     HEAPF64[$x271 >> 3] = $49;
   51307     $y274 = $7 + ($bi_2317 << 5) + 8 | 0;
   51308     $50 = +HEAPF64[$y274 >> 3];
   51309     $inc275 = $pi_2316 + 1 | 0;
   51310     $y277 = $36 + ($pi_2316 << 4) + 8 | 0;
   51311     HEAPF64[$y277 >> 3] = $50;
   51312     $51 = +HEAPF64[$x269 >> 3];
   51313     $x282 = $36 + ($inc275 << 4) | 0;
   51314     HEAPF64[$x282 >> 3] = $51;
   51315     $y285 = $7 + ($bi_2317 << 5) + 24 | 0;
   51316     $52 = +HEAPF64[$y285 >> 3];
   51317     $inc286 = $pi_2316 + 2 | 0;
   51318     $y288 = $36 + ($inc275 << 4) + 8 | 0;
   51319     HEAPF64[$y288 >> 3] = $52;
   51320     $pi_3 = $inc286;
   51321     label = 47;
   51322     break;
   51323    case 44:
   51324     $cmp293 = ($next_1 | 0) == -1;
   51325     if ($cmp293) {
   51326       label = 46;
   51327       break;
   51328     } else {
   51329       label = 45;
   51330       break;
   51331     }
   51332    case 45:
   51333     HEAP32[$npoints >> 2] = 0;
   51334     _abort();
   51335     return 0;
   51336     return 0;
   51337    case 46:
   51338     $x299 = $7 + ($bi_2317 << 5) + 16 | 0;
   51339     $53 = +HEAPF64[$x299 >> 3];
   51340     $x301 = $36 + ($pi_2316 << 4) | 0;
   51341     HEAPF64[$x301 >> 3] = $53;
   51342     $y304 = $7 + ($bi_2317 << 5) + 8 | 0;
   51343     $54 = +HEAPF64[$y304 >> 3];
   51344     $inc305 = $pi_2316 + 1 | 0;
   51345     $y307 = $36 + ($pi_2316 << 4) + 8 | 0;
   51346     HEAPF64[$y307 >> 3] = $54;
   51347     $55 = +HEAPF64[$x299 >> 3];
   51348     $x312 = $36 + ($inc305 << 4) | 0;
   51349     HEAPF64[$x312 >> 3] = $55;
   51350     $y315 = $7 + ($bi_2317 << 5) + 24 | 0;
   51351     $56 = +HEAPF64[$y315 >> 3];
   51352     $inc316 = $pi_2316 + 2 | 0;
   51353     $y318 = $36 + ($inc305 << 4) + 8 | 0;
   51354     HEAPF64[$y318 >> 3] = $56;
   51355     $x321 = $7 + ($bi_2317 << 5) | 0;
   51356     $57 = +HEAPF64[$x321 >> 3];
   51357     $x323 = $36 + ($inc316 << 4) | 0;
   51358     HEAPF64[$x323 >> 3] = $57;
   51359     $58 = +HEAPF64[$y315 >> 3];
   51360     $inc327 = $pi_2316 + 3 | 0;
   51361     $y329 = $36 + ($inc316 << 4) + 8 | 0;
   51362     HEAPF64[$y329 >> 3] = $58;
   51363     $59 = +HEAPF64[$x321 >> 3];
   51364     $x334 = $36 + ($inc327 << 4) | 0;
   51365     HEAPF64[$x334 >> 3] = $59;
   51366     $60 = +HEAPF64[$y304 >> 3];
   51367     $inc338 = $pi_2316 + 4 | 0;
   51368     $y340 = $36 + ($inc327 << 4) + 8 | 0;
   51369     HEAPF64[$y340 >> 3] = $60;
   51370     $pi_3 = $inc338;
   51371     label = 47;
   51372     break;
   51373    case 47:
   51374     $dec = $bi_2317 - 1 | 0;
   51375     $cmp176 = ($bi_2317 | 0) > 0;
   51376     if ($cmp176) {
   51377       $pi_2316 = $pi_3;
   51378       $bi_2317 = $dec;
   51379       label = 34;
   51380       break;
   51381     } else {
   51382       $pi_2_lcssa = $pi_3;
   51383       label = 49;
   51384       break;
   51385     }
   51386    case 48:
   51387     _abort();
   51388     return 0;
   51389     return 0;
   51390    case 49:
   51391     $tobool347 = ($flip_0 | 0) == 0;
   51392     if ($tobool347) {
   51393       label = 54;
   51394       break;
   51395     } else {
   51396       label = 50;
   51397       break;
   51398     }
   51399    case 50:
   51400     $cmp350311 = ($8 | 0) > 0;
   51401     if ($cmp350311) {
   51402       $bi_3312 = 0;
   51403       label = 53;
   51404       break;
   51405     } else {
   51406       label = 51;
   51407       break;
   51408     }
   51409    case 51:
   51410     $cmp374309 = ($pi_2_lcssa | 0) > 0;
   51411     if ($cmp374309) {
   51412       label = 52;
   51413       break;
   51414     } else {
   51415       label = 54;
   51416       break;
   51417     }
   51418    case 52:
   51419     $61 = HEAP32[1728] | 0;
   51420     $i_0310 = 0;
   51421     label = 55;
   51422     break;
   51423    case 53:
   51424     $y356 = $7 + ($bi_3312 << 5) + 24 | 0;
   51425     $62 = +HEAPF64[$y356 >> 3];
   51426     $conv357 = ~~$62;
   51427     $y360 = $7 + ($bi_3312 << 5) + 8 | 0;
   51428     $63 = +HEAPF64[$y360 >> 3];
   51429     $mul361 = $63 * -1.0;
   51430     HEAPF64[$y356 >> 3] = $mul361;
   51431     $sub365 = -$conv357 | 0;
   51432     $conv366 = +($sub365 | 0);
   51433     HEAPF64[$y360 >> 3] = $conv366;
   51434     $inc371 = $bi_3312 + 1 | 0;
   51435     $cmp350 = ($inc371 | 0) < ($8 | 0);
   51436     if ($cmp350) {
   51437       $bi_3312 = $inc371;
   51438       label = 53;
   51439       break;
   51440     } else {
   51441       label = 51;
   51442       break;
   51443     }
   51444    case 54:
   51445     $cmp385307 = ($8 | 0) > 0;
   51446     if ($cmp385307) {
   51447       $bi_4308 = 0;
   51448       label = 56;
   51449       break;
   51450     } else {
   51451       label = 57;
   51452       break;
   51453     }
   51454    case 55:
   51455     $y378 = $61 + ($i_0310 << 4) + 8 | 0;
   51456     $64 = +HEAPF64[$y378 >> 3];
   51457     $mul379 = $64 * -1.0;
   51458     HEAPF64[$y378 >> 3] = $mul379;
   51459     $inc381 = $i_0310 + 1 | 0;
   51460     $cmp374 = ($inc381 | 0) < ($pi_2_lcssa | 0);
   51461     if ($cmp374) {
   51462       $i_0310 = $inc381;
   51463       label = 55;
   51464       break;
   51465     } else {
   51466       label = 54;
   51467       break;
   51468     }
   51469    case 56:
   51470     $x390 = $7 + ($bi_4308 << 5) | 0;
   51471     HEAPF64[$x390 >> 3] = 2147483647.0;
   51472     $x393 = $7 + ($bi_4308 << 5) + 16 | 0;
   51473     HEAPF64[$x393 >> 3] = -2147483648.0;
   51474     $inc395 = $bi_4308 + 1 | 0;
   51475     $cmp385 = ($inc395 | 0) < ($8 | 0);
   51476     if ($cmp385) {
   51477       $bi_4308 = $inc395;
   51478       label = 56;
   51479       break;
   51480     } else {
   51481       label = 57;
   51482       break;
   51483     }
   51484    case 57:
   51485     $65 = HEAP32[1728] | 0;
   51486     $ps = $poly | 0;
   51487     HEAP32[$ps >> 2] = $65;
   51488     $pn = $poly + 4 | 0;
   51489     HEAP32[$pn >> 2] = $pi_2_lcssa;
   51490     $x397 = $pp | 0;
   51491     $66 = +HEAPF64[$x397 >> 3];
   51492     $arrayidx398 = $eps | 0;
   51493     $x399 = $eps | 0;
   51494     HEAPF64[$x399 >> 3] = $66;
   51495     $y402 = $pp + 8 | 0;
   51496     $67 = +HEAPF64[$y402 >> 3];
   51497     $y404 = $eps + 8 | 0;
   51498     HEAPF64[$y404 >> 3] = $67;
   51499     $x406 = $pp + 40 | 0;
   51500     $68 = +HEAPF64[$x406 >> 3];
   51501     $x408 = $eps + 16 | 0;
   51502     HEAPF64[$x408 >> 3] = $68;
   51503     $y411 = $pp + 48 | 0;
   51504     $69 = +HEAPF64[$y411 >> 3];
   51505     $y413 = $eps + 24 | 0;
   51506     HEAPF64[$y413 >> 3] = $69;
   51507     $call414 = _Pshortestpath($poly, $arrayidx398, $pl) | 0;
   51508     $cmp415 = ($call414 | 0) == -1;
   51509     if ($cmp415) {
   51510       label = 58;
   51511       break;
   51512     } else {
   51513       label = 59;
   51514       break;
   51515     }
   51516    case 58:
   51517     _abort();
   51518     return 0;
   51519     return 0;
   51520    case 59:
   51521     $tobool419 = ($polyline | 0) == 0;
   51522     if ($tobool419) {
   51523       label = 61;
   51524       break;
   51525     } else {
   51526       label = 60;
   51527       break;
   51528     }
   51529    case 60:
   51530     _make_polyline($pl, $spl);
   51531     label = 77;
   51532     break;
   51533    case 61:
   51534     $70 = HEAP32[$pn >> 2] | 0;
   51535     $71 = HEAP32[5082] | 0;
   51536     $cmp423 = ($70 | 0) > ($71 | 0);
   51537     if ($cmp423) {
   51538       label = 62;
   51539       break;
   51540     } else {
   51541       $76 = $70;
   51542       label = 66;
   51543       break;
   51544     }
   51545    case 62:
   51546     $72 = HEAP32[5080] | 0;
   51547     $tobool426 = ($72 | 0) == 0;
   51548     if ($tobool426) {
   51549       label = 64;
   51550       break;
   51551     } else {
   51552       label = 63;
   51553       break;
   51554     }
   51555    case 63:
   51556     $73 = $72;
   51557     $mul429 = $70 << 5;
   51558     $call430 = _grealloc($73, $mul429) | 0;
   51559     $call430_sink = $call430;
   51560     label = 65;
   51561     break;
   51562    case 64:
   51563     $mul433 = $70 << 5;
   51564     $call434 = _gmalloc($mul433) | 0;
   51565     $call430_sink = $call434;
   51566     label = 65;
   51567     break;
   51568    case 65:
   51569     $74 = $call430_sink;
   51570     HEAP32[5080] = $74;
   51571     $75 = HEAP32[$pn >> 2] | 0;
   51572     HEAP32[5082] = $75;
   51573     $_pr = HEAP32[$pn >> 2] | 0;
   51574     $76 = $_pr;
   51575     label = 66;
   51576     break;
   51577    case 66:
   51578     $cmp441305 = ($76 | 0) > 0;
   51579     if ($cmp441305) {
   51580       label = 67;
   51581       break;
   51582     } else {
   51583       label = 69;
   51584       break;
   51585     }
   51586    case 67:
   51587     $77 = HEAP32[5080] | 0;
   51588     $78 = HEAP32[1728] | 0;
   51589     $79 = HEAP32[$pn >> 2] | 0;
   51590     $edgei_0306 = 0;
   51591     label = 68;
   51592     break;
   51593    case 68:
   51594     $a = $77 + ($edgei_0306 << 5) | 0;
   51595     $arrayidx445 = $78 + ($edgei_0306 << 4) | 0;
   51596     $80 = $a;
   51597     $81 = $arrayidx445;
   51598     HEAP32[$80 >> 2] = HEAP32[$81 >> 2] | 0;
   51599     HEAP32[$80 + 4 >> 2] = HEAP32[$81 + 4 >> 2] | 0;
   51600     HEAP32[$80 + 8 >> 2] = HEAP32[$81 + 8 >> 2] | 0;
   51601     HEAP32[$80 + 12 >> 2] = HEAP32[$81 + 12 >> 2] | 0;
   51602     $b = $77 + ($edgei_0306 << 5) + 16 | 0;
   51603     $add447 = $edgei_0306 + 1 | 0;
   51604     $rem = ($add447 | 0) % ($79 | 0);
   51605     $arrayidx449 = $78 + ($rem << 4) | 0;
   51606     $82 = $b;
   51607     $83 = $arrayidx449;
   51608     HEAP32[$82 >> 2] = HEAP32[$83 >> 2] | 0;
   51609     HEAP32[$82 + 4 >> 2] = HEAP32[$83 + 4 >> 2] | 0;
   51610     HEAP32[$82 + 8 >> 2] = HEAP32[$83 + 8 >> 2] | 0;
   51611     HEAP32[$82 + 12 >> 2] = HEAP32[$83 + 12 >> 2] | 0;
   51612     $cmp441 = ($add447 | 0) < ($79 | 0);
   51613     if ($cmp441) {
   51614       $edgei_0306 = $add447;
   51615       label = 68;
   51616       break;
   51617     } else {
   51618       label = 69;
   51619       break;
   51620     }
   51621    case 69:
   51622     $constrained = $pp + 29 | 0;
   51623     $84 = HEAP8[$constrained] | 0;
   51624     $tobool454 = $84 << 24 >> 24 == 0;
   51625     if ($tobool454) {
   51626       label = 71;
   51627       break;
   51628     } else {
   51629       label = 70;
   51630       break;
   51631     }
   51632    case 70:
   51633     $theta = $pp + 16 | 0;
   51634     $85 = +HEAPF64[$theta >> 3];
   51635     $call457 = +Math_cos(+$85);
   51636     $x459 = $evs | 0;
   51637     HEAPF64[$x459 >> 3] = $call457;
   51638     $86 = +HEAPF64[$theta >> 3];
   51639     $call462 = +Math_sin(+$86);
   51640     $y464 = $evs + 8 | 0;
   51641     HEAPF64[$y464 >> 3] = $call462;
   51642     label = 72;
   51643     break;
   51644    case 71:
   51645     $87 = $evs;
   51646     _memset($87 | 0, 0, 16);
   51647     label = 72;
   51648     break;
   51649    case 72:
   51650     $constrained472 = $pp + 69 | 0;
   51651     $88 = HEAP8[$constrained472] | 0;
   51652     $tobool473 = $88 << 24 >> 24 == 0;
   51653     if ($tobool473) {
   51654       label = 74;
   51655       break;
   51656     } else {
   51657       label = 73;
   51658       break;
   51659     }
   51660    case 73:
   51661     $theta476 = $pp + 56 | 0;
   51662     $89 = +HEAPF64[$theta476 >> 3];
   51663     $call477 = +Math_cos(+$89);
   51664     $sub478 = -0.0 - $call477;
   51665     $x480 = $evs + 16 | 0;
   51666     HEAPF64[$x480 >> 3] = $sub478;
   51667     $90 = +HEAPF64[$theta476 >> 3];
   51668     $call483 = +Math_sin(+$90);
   51669     $sub484 = -0.0 - $call483;
   51670     $y486 = $evs + 24 | 0;
   51671     HEAPF64[$y486 >> 3] = $sub484;
   51672     label = 75;
   51673     break;
   51674    case 74:
   51675     $x491 = $evs + 16 | 0;
   51676     $91 = $x491;
   51677     _memset($91 | 0, 0, 16);
   51678     label = 75;
   51679     break;
   51680    case 75:
   51681     $92 = HEAP32[5080] | 0;
   51682     $93 = HEAP32[$pn >> 2] | 0;
   51683     $arraydecay494 = $evs | 0;
   51684     $call495 = _Proutespline($92, $93, $pl, $arraydecay494, $spl) | 0;
   51685     $cmp496 = ($call495 | 0) == -1;
   51686     if ($cmp496) {
   51687       label = 76;
   51688       break;
   51689     } else {
   51690       label = 77;
   51691       break;
   51692     }
   51693    case 76:
   51694     _abort();
   51695     return 0;
   51696     return 0;
   51697    case 77:
   51698     $pn501 = $spl + 4 | 0;
   51699     $94 = HEAP32[$pn501 >> 2] | 0;
   51700     _mkspacep($94);
   51701     $cmp503303 = ($8 | 0) > 0;
   51702     if ($cmp503303) {
   51703       $bi_5304 = 0;
   51704       label = 80;
   51705       break;
   51706     } else {
   51707       label = 78;
   51708       break;
   51709     }
   51710    case 78:
   51711     $95 = HEAP32[$pn501 >> 2] | 0;
   51712     $cmp517301 = ($95 | 0) > 0;
   51713     if ($cmp517301) {
   51714       label = 79;
   51715       break;
   51716     } else {
   51717       $99 = $95;
   51718       label = 82;
   51719       break;
   51720     }
   51721    case 79:
   51722     $96 = HEAP32[1392] | 0;
   51723     $ps521 = $spl | 0;
   51724     $97 = HEAP32[$ps521 >> 2] | 0;
   51725     $98 = HEAP32[$pn501 >> 2] | 0;
   51726     $splinepi_0302 = 0;
   51727     label = 83;
   51728     break;
   51729    case 80:
   51730     $x508 = $7 + ($bi_5304 << 5) | 0;
   51731     HEAPF64[$x508 >> 3] = 2147483647.0;
   51732     $x511 = $7 + ($bi_5304 << 5) + 16 | 0;
   51733     HEAPF64[$x511 >> 3] = -2147483648.0;
   51734     $inc513 = $bi_5304 + 1 | 0;
   51735     $cmp503 = ($inc513 | 0) < ($8 | 0);
   51736     if ($cmp503) {
   51737       $bi_5304 = $inc513;
   51738       label = 80;
   51739       break;
   51740     } else {
   51741       label = 78;
   51742       break;
   51743     }
   51744    case 81:
   51745     $_pr331 = HEAP32[$pn501 >> 2] | 0;
   51746     $99 = $_pr331;
   51747     label = 82;
   51748     break;
   51749    case 82:
   51750     $cmp529298 = ($99 | 0) > 3;
   51751     $100 = HEAP32[1392] | 0;
   51752     $cmp683293 = ($8 | 0) > 0;
   51753     $delta_0 = 10;
   51754     label = 84;
   51755     break;
   51756    case 83:
   51757     $arrayidx520 = $96 + ($splinepi_0302 << 4) | 0;
   51758     $arrayidx522 = $97 + ($splinepi_0302 << 4) | 0;
   51759     $101 = $arrayidx520;
   51760     $102 = $arrayidx522;
   51761     HEAP32[$101 >> 2] = HEAP32[$102 >> 2] | 0;
   51762     HEAP32[$101 + 4 >> 2] = HEAP32[$102 + 4 >> 2] | 0;
   51763     HEAP32[$101 + 8 >> 2] = HEAP32[$102 + 8 >> 2] | 0;
   51764     HEAP32[$101 + 12 >> 2] = HEAP32[$102 + 12 >> 2] | 0;
   51765     $inc524 = $splinepi_0302 + 1 | 0;
   51766     $cmp517 = ($inc524 | 0) < ($98 | 0);
   51767     if ($cmp517) {
   51768       $splinepi_0302 = $inc524;
   51769       label = 83;
   51770       break;
   51771     } else {
   51772       label = 81;
   51773       break;
   51774     }
   51775    case 84:
   51776     if ($cmp529298) {
   51777       label = 85;
   51778       break;
   51779     } else {
   51780       $bi_7 = 0;
   51781       label = 98;
   51782       break;
   51783     }
   51784    case 85:
   51785     $mul532 = Math_imul($delta_0, $8);
   51786     $cmp534296 = ($mul532 | 0) < 0;
   51787     $conv538 = +($mul532 | 0);
   51788     $splinepi_1299 = 0;
   51789     $add527300 = 3;
   51790     label = 87;
   51791     break;
   51792    case 86:
   51793     $add527 = $add527300 + 3 | 0;
   51794     $cmp529 = ($add527 | 0) < ($99 | 0);
   51795     if ($cmp529) {
   51796       $splinepi_1299 = $add527300;
   51797       $add527300 = $add527;
   51798       label = 87;
   51799       break;
   51800     } else {
   51801       $bi_7 = 0;
   51802       label = 98;
   51803       break;
   51804     }
   51805    case 87:
   51806     if ($cmp534296) {
   51807       label = 86;
   51808       break;
   51809     } else {
   51810       label = 88;
   51811       break;
   51812     }
   51813    case 88:
   51814     $sp_sroa_0_0__idx = $100 + ($splinepi_1299 << 4) | 0;
   51815     $sp_sroa_1_8__idx229 = $100 + ($splinepi_1299 << 4) + 8 | 0;
   51816     $add542 = $splinepi_1299 + 1 | 0;
   51817     $sp_sroa_2_16__idx = $100 + ($add542 << 4) | 0;
   51818     $sp_sroa_3_24__idx242 = $100 + ($add542 << 4) + 8 | 0;
   51819     $add545 = $splinepi_1299 + 2 | 0;
   51820     $sp_sroa_4_32__idx = $100 + ($add545 << 4) | 0;
   51821     $sp_sroa_5_40__idx249 = $100 + ($add545 << 4) + 8 | 0;
   51822     $sp_sroa_6_48__idx = $100 + ($add527300 << 4) | 0;
   51823     $sp_sroa_7_56__idx250 = $100 + ($add527300 << 4) + 8 | 0;
   51824     $si_0297 = 0;
   51825     label = 89;
   51826     break;
   51827    case 89:
   51828     $conv537 = +($si_0297 | 0);
   51829     $div = $conv537 / $conv538;
   51830     $sp_sroa_0_0_copyload = +HEAPF64[$sp_sroa_0_0__idx >> 3];
   51831     $sp_sroa_1_8_copyload = +HEAPF64[$sp_sroa_1_8__idx229 >> 3];
   51832     $sp_sroa_2_16_copyload = +HEAPF64[$sp_sroa_2_16__idx >> 3];
   51833     $sp_sroa_3_24_copyload = +HEAPF64[$sp_sroa_3_24__idx242 >> 3];
   51834     $sp_sroa_4_32_copyload = +HEAPF64[$sp_sroa_4_32__idx >> 3];
   51835     $sp_sroa_5_40_copyload = +HEAPF64[$sp_sroa_5_40__idx249 >> 3];
   51836     $sp_sroa_6_48_copyload = +HEAPF64[$sp_sroa_6_48__idx >> 3];
   51837     $sp_sroa_7_56_copyload = +HEAPF64[$sp_sroa_7_56__idx250 >> 3];
   51838     $sub556 = $sp_sroa_2_16_copyload - $sp_sroa_0_0_copyload;
   51839     $mul557 = $div * $sub556;
   51840     $add558 = $sp_sroa_0_0_copyload + $mul557;
   51841     $sub567 = $sp_sroa_3_24_copyload - $sp_sroa_1_8_copyload;
   51842     $mul568 = $div * $sub567;
   51843     $add569 = $sp_sroa_1_8_copyload + $mul568;
   51844     $sub578 = $sp_sroa_4_32_copyload - $sp_sroa_2_16_copyload;
   51845     $mul579 = $div * $sub578;
   51846     $add580 = $sp_sroa_2_16_copyload + $mul579;
   51847     $sub589 = $sp_sroa_5_40_copyload - $sp_sroa_3_24_copyload;
   51848     $mul590 = $div * $sub589;
   51849     $add591 = $sp_sroa_3_24_copyload + $mul590;
   51850     $sub600 = $sp_sroa_6_48_copyload - $sp_sroa_4_32_copyload;
   51851     $mul601 = $div * $sub600;
   51852     $add602 = $sp_sroa_4_32_copyload + $mul601;
   51853     $sub611 = $sp_sroa_7_56_copyload - $sp_sroa_5_40_copyload;
   51854     $mul612 = $div * $sub611;
   51855     $add613 = $sp_sroa_5_40_copyload + $mul612;
   51856     $sub622 = $add580 - $add558;
   51857     $mul623 = $div * $sub622;
   51858     $add624 = $add558 + $mul623;
   51859     $sub633 = $add591 - $add569;
   51860     $mul634 = $div * $sub633;
   51861     $add635 = $add569 + $mul634;
   51862     $sub644 = $add602 - $add580;
   51863     $mul645 = $div * $sub644;
   51864     $add646 = $add580 + $mul645;
   51865     $sub655 = $add613 - $add591;
   51866     $mul656 = $div * $sub655;
   51867     $add657 = $add591 + $mul656;
   51868     $sub666 = $add646 - $add624;
   51869     $mul667 = $div * $sub666;
   51870     $add668 = $add624 + $mul667;
   51871     $sub677 = $add657 - $add635;
   51872     $mul678 = $div * $sub677;
   51873     $add679 = $add635 + $mul678;
   51874     if ($cmp683293) {
   51875       $bi_6294 = 0;
   51876       label = 90;
   51877       break;
   51878     } else {
   51879       label = 97;
   51880       break;
   51881     }
   51882    case 90:
   51883     $y690 = $7 + ($bi_6294 << 5) + 24 | 0;
   51884     $103 = +HEAPF64[$y690 >> 3];
   51885     $add691 = $103 + 1.0e-4;
   51886     $cmp692 = $add679 > $add691;
   51887     if ($cmp692) {
   51888       label = 96;
   51889       break;
   51890     } else {
   51891       label = 91;
   51892       break;
   51893     }
   51894    case 91:
   51895     $y699 = $7 + ($bi_6294 << 5) + 8 | 0;
   51896     $104 = +HEAPF64[$y699 >> 3];
   51897     $sub700 = $104 + -1.0e-4;
   51898     $cmp701 = $add679 < $sub700;
   51899     if ($cmp701) {
   51900       label = 96;
   51901       break;
   51902     } else {
   51903       label = 92;
   51904       break;
   51905     }
   51906    case 92:
   51907     $x706 = $7 + ($bi_6294 << 5) | 0;
   51908     $105 = +HEAPF64[$x706 >> 3];
   51909     $cmp709 = $105 > $add668;
   51910     if ($cmp709) {
   51911       label = 93;
   51912       break;
   51913     } else {
   51914       label = 94;
   51915       break;
   51916     }
   51917    case 93:
   51918     HEAPF64[$x706 >> 3] = $add668;
   51919     label = 94;
   51920     break;
   51921    case 94:
   51922     $x720 = $7 + ($bi_6294 << 5) + 16 | 0;
   51923     $106 = +HEAPF64[$x720 >> 3];
   51924     $cmp723 = $106 < $add668;
   51925     if ($cmp723) {
   51926       label = 95;
   51927       break;
   51928     } else {
   51929       label = 96;
   51930       break;
   51931     }
   51932    case 95:
   51933     HEAPF64[$x720 >> 3] = $add668;
   51934     label = 96;
   51935     break;
   51936    case 96:
   51937     $inc734 = $bi_6294 + 1 | 0;
   51938     $cmp683 = ($inc734 | 0) < ($8 | 0);
   51939     if ($cmp683) {
   51940       $bi_6294 = $inc734;
   51941       label = 90;
   51942       break;
   51943     } else {
   51944       label = 97;
   51945       break;
   51946     }
   51947    case 97:
   51948     $inc737 = $si_0297 + 1 | 0;
   51949     $cmp534 = ($inc737 | 0) > ($mul532 | 0);
   51950     if ($cmp534) {
   51951       label = 86;
   51952       break;
   51953     } else {
   51954       $si_0297 = $inc737;
   51955       label = 89;
   51956       break;
   51957     }
   51958    case 98:
   51959     $cmp743 = ($bi_7 | 0) < ($8 | 0);
   51960     if ($cmp743) {
   51961       label = 99;
   51962       break;
   51963     } else {
   51964       label = 102;
   51965       break;
   51966     }
   51967    case 99:
   51968     $x748 = $7 + ($bi_7 << 5) | 0;
   51969     $107 = +HEAPF64[$x748 >> 3];
   51970     $cmp749 = $107 == 2147483647.0;
   51971     if ($cmp749) {
   51972       label = 101;
   51973       break;
   51974     } else {
   51975       label = 100;
   51976       break;
   51977     }
   51978    case 100:
   51979     $x754 = $7 + ($bi_7 << 5) + 16 | 0;
   51980     $108 = +HEAPF64[$x754 >> 3];
   51981     $cmp755 = $108 == -2147483648.0;
   51982     $inc761 = $bi_7 + 1 | 0;
   51983     if ($cmp755) {
   51984       label = 101;
   51985       break;
   51986     } else {
   51987       $bi_7 = $inc761;
   51988       label = 98;
   51989       break;
   51990     }
   51991    case 101:
   51992     $mul758 = $delta_0 << 1;
   51993     $delta_0 = $mul758;
   51994     label = 84;
   51995     break;
   51996    case 102:
   51997     $109 = HEAP32[$pn501 >> 2] | 0;
   51998     HEAP32[$npoints >> 2] = $109;
   51999     $110 = HEAP32[1392] | 0;
   52000     STACKTOP = __stackBase__;
   52001     return $110 | 0;
   52002   }
   52003   return 0;
   52004 }
   52005 function _overlap($i0, $i1, $j0, $j1) {
   52006   $i0 = $i0 | 0;
   52007   $i1 = $i1 | 0;
   52008   $j0 = $j0 | 0;
   52009   $j1 = $j1 | 0;
   52010   var $cmp = 0, $cmp1 = 0, $or_cond22 = 0, $cmp4 = 0, $cmp5 = 0, $or_cond = 0, $sub = 0, $cmp8 = 0, $cmp10 = 0, $or_cond21 = 0, $sub12 = 0, $sub14 = 0, $sub15 = 0, $cmp16 = 0, $sub14_sub15 = 0, $retval_0 = 0, label = 0;
   52011   label = 2;
   52012   while (1) switch (label | 0) {
   52013    case 2:
   52014     $cmp = ($i1 | 0) > ($j0 | 0);
   52015     $cmp1 = ($i0 | 0) < ($j1 | 0);
   52016     $or_cond22 = $cmp & $cmp1;
   52017     if ($or_cond22) {
   52018       label = 3;
   52019       break;
   52020     } else {
   52021       $retval_0 = 0;
   52022       label = 8;
   52023       break;
   52024     }
   52025    case 3:
   52026     $cmp4 = ($j0 | 0) > ($i0 | 0);
   52027     $cmp5 = ($i0 | 0) > ($j1 | 0);
   52028     $or_cond = $cmp4 | $cmp5;
   52029     if ($or_cond) {
   52030       label = 5;
   52031       break;
   52032     } else {
   52033       label = 4;
   52034       break;
   52035     }
   52036    case 4:
   52037     $sub = $j1 - $i0 | 0;
   52038     $retval_0 = $sub;
   52039     label = 8;
   52040     break;
   52041    case 5:
   52042     $cmp8 = ($j0 | 0) > ($i1 | 0);
   52043     $cmp10 = ($i1 | 0) > ($j1 | 0);
   52044     $or_cond21 = $cmp8 | $cmp10;
   52045     if ($or_cond21) {
   52046       label = 7;
   52047       break;
   52048     } else {
   52049       label = 6;
   52050       break;
   52051     }
   52052    case 6:
   52053     $sub12 = $i1 - $j0 | 0;
   52054     $retval_0 = $sub12;
   52055     label = 8;
   52056     break;
   52057    case 7:
   52058     $sub14 = $i1 - $i0 | 0;
   52059     $sub15 = $j1 - $j0 | 0;
   52060     $cmp16 = ($sub14 | 0) < ($sub15 | 0);
   52061     $sub14_sub15 = $cmp16 ? $sub14 : $sub15;
   52062     $retval_0 = $sub14_sub15;
   52063     label = 8;
   52064     break;
   52065    case 8:
   52066     return $retval_0 | 0;
   52067   }
   52068   return 0;
   52069 }
   52070 function _printpath($pp) {
   52071   $pp = $pp | 0;
   52072   var $0 = 0, $nbox = 0, $1 = 0, $call = 0, $2 = 0, $cmp20 = 0, $3 = 0, $boxes = 0, $4 = 0, $bi_021 = 0, $5 = 0, $x = 0, $6 = 0.0, $y = 0, $7 = 0.0, $x7 = 0, $8 = 0.0, $y11 = 0, $9 = 0.0, $call12 = 0, $inc = 0, $10 = 0, $cmp = 0, $11 = 0, $_lcssa = 0, $x13 = 0, $12 = 0.0, $y16 = 0, $13 = 0.0, $theta = 0, $14 = 0.0, $constrained = 0, $15 = 0, $tobool = 0, $cond = 0, $call19 = 0, $16 = 0, $x21 = 0, $17 = 0.0, $y24 = 0, $18 = 0.0, $theta26 = 0, $19 = 0.0, $constrained28 = 0, $20 = 0, $tobool30 = 0, $cond31 = 0, $call32 = 0, label = 0, __stackBase__ = 0;
   52073   __stackBase__ = STACKTOP;
   52074   label = 2;
   52075   while (1) switch (label | 0) {
   52076    case 2:
   52077     $0 = HEAP32[_stderr >> 2] | 0;
   52078     $nbox = $pp + 80 | 0;
   52079     $1 = HEAP32[$nbox >> 2] | 0;
   52080     $call = _fprintf($0 | 0, 90672, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $1, tempInt) | 0) | 0;
   52081     $2 = HEAP32[$nbox >> 2] | 0;
   52082     $cmp20 = ($2 | 0) > 0;
   52083     $3 = HEAP32[_stderr >> 2] | 0;
   52084     if ($cmp20) {
   52085       label = 3;
   52086       break;
   52087     } else {
   52088       $_lcssa = $3;
   52089       label = 5;
   52090       break;
   52091     }
   52092    case 3:
   52093     $boxes = $pp + 84 | 0;
   52094     $bi_021 = 0;
   52095     $4 = $3;
   52096     label = 4;
   52097     break;
   52098    case 4:
   52099     $5 = HEAP32[$boxes >> 2] | 0;
   52100     $x = $5 + ($bi_021 << 5) | 0;
   52101     $6 = +HEAPF64[$x >> 3];
   52102     $y = $5 + ($bi_021 << 5) + 8 | 0;
   52103     $7 = +HEAPF64[$y >> 3];
   52104     $x7 = $5 + ($bi_021 << 5) + 16 | 0;
   52105     $8 = +HEAPF64[$x7 >> 3];
   52106     $y11 = $5 + ($bi_021 << 5) + 24 | 0;
   52107     $9 = +HEAPF64[$y11 >> 3];
   52108     $call12 = _fprintf($4 | 0, 160832, (tempInt = STACKTOP, STACKTOP = STACKTOP + 40 | 0, HEAP32[tempInt >> 2] = $bi_021, HEAPF64[tempInt + 8 >> 3] = $6, HEAPF64[tempInt + 16 >> 3] = $7, HEAPF64[tempInt + 24 >> 3] = $8, HEAPF64[tempInt + 32 >> 3] = $9, tempInt) | 0) | 0;
   52109     $inc = $bi_021 + 1 | 0;
   52110     $10 = HEAP32[$nbox >> 2] | 0;
   52111     $cmp = ($inc | 0) < ($10 | 0);
   52112     $11 = HEAP32[_stderr >> 2] | 0;
   52113     if ($cmp) {
   52114       $bi_021 = $inc;
   52115       $4 = $11;
   52116       label = 4;
   52117       break;
   52118     } else {
   52119       $_lcssa = $11;
   52120       label = 5;
   52121       break;
   52122     }
   52123    case 5:
   52124     $x13 = $pp | 0;
   52125     $12 = +HEAPF64[$x13 >> 3];
   52126     $y16 = $pp + 8 | 0;
   52127     $13 = +HEAPF64[$y16 >> 3];
   52128     $theta = $pp + 16 | 0;
   52129     $14 = +HEAPF64[$theta >> 3];
   52130     $constrained = $pp + 29 | 0;
   52131     $15 = HEAP8[$constrained] | 0;
   52132     $tobool = $15 << 24 >> 24 != 0;
   52133     $cond = $tobool ? 153880 : 150200;
   52134     $call19 = _fprintf($_lcssa | 0, 157096, (tempInt = STACKTOP, STACKTOP = STACKTOP + 32 | 0, HEAPF64[tempInt >> 3] = $12, HEAPF64[tempInt + 8 >> 3] = $13, HEAPF64[tempInt + 16 >> 3] = $14, HEAP32[tempInt + 24 >> 2] = $cond, tempInt) | 0) | 0;
   52135     $16 = HEAP32[_stderr >> 2] | 0;
   52136     $x21 = $pp + 40 | 0;
   52137     $17 = +HEAPF64[$x21 >> 3];
   52138     $y24 = $pp + 48 | 0;
   52139     $18 = +HEAPF64[$y24 >> 3];
   52140     $theta26 = $pp + 56 | 0;
   52141     $19 = +HEAPF64[$theta26 >> 3];
   52142     $constrained28 = $pp + 69 | 0;
   52143     $20 = HEAP8[$constrained28] | 0;
   52144     $tobool30 = $20 << 24 >> 24 != 0;
   52145     $cond31 = $tobool30 ? 153880 : 150200;
   52146     $call32 = _fprintf($16 | 0, 147320, (tempInt = STACKTOP, STACKTOP = STACKTOP + 32 | 0, HEAPF64[tempInt >> 3] = $17, HEAPF64[tempInt + 8 >> 3] = $18, HEAPF64[tempInt + 16 >> 3] = $19, HEAP32[tempInt + 24 >> 2] = $cond31, tempInt) | 0) | 0;
   52147     STACKTOP = __stackBase__;
   52148     return;
   52149   }
   52150 }
   52151 function _checkpath($boxn, $boxes, $thepath) {
   52152   $boxn = $boxn | 0;
   52153   $boxes = $boxes | 0;
   52154   $thepath = $thepath | 0;
   52155   var $cmp207 = 0, $bi_0209 = 0, $i_0208 = 0, $arrayidx = 0, $y = 0, $0 = 0.0, $y2 = 0, $1 = 0.0, $sub = 0.0, $cmp3 = 0, $sub18 = 0.0, $cond = 0.0, $cmp19 = 0, $x = 0, $2 = 0.0, $x24 = 0, $3 = 0.0, $sub25 = 0.0, $cmp26 = 0, $sub43 = 0.0, $cond45 = 0.0, $cmp46 = 0, $cmp49 = 0, $arrayidx51 = 0, $4 = 0, $5 = 0, $inc = 0, $i_1 = 0, $inc54 = 0, $cmp = 0, $i_0_lcssa = 0, $x57 = 0, $6 = 0.0, $x59 = 0, $7 = 0.0, $cmp60 = 0, $y62 = 0, $8 = 0.0, $y64 = 0, $9 = 0.0, $cmp65 = 0, $sub69 = 0, $cmp70205 = 0, $call = 0, $bi_1206 = 0, $add = 0, $x75 = 0, $10 = 0.0, $x77 = 0, $11 = 0.0, $cmp78 = 0, $y81 = 0, $12 = 0.0, $y83 = 0, $13 = 0.0, $cmp84 = 0, $call87 = 0, $x90 = 0, $14 = 0.0, $cmp93 = 0, $cond94 = 0, $x96 = 0, $15 = 0.0, $cmp99 = 0, $cond100 = 0, $y102 = 0, $16 = 0.0, $cmp105 = 0, $cond106 = 0, $y108 = 0, $17 = 0.0, $cmp111 = 0, $cond112 = 0, $add113 = 0, $add114 = 0, $add115 = 0, $cmp116 = 0, $cmp116_not = 0, $18 = 0, $tobool = 0, $or_cond = 0, $19 = 0, $call119 = 0, $20 = 0.0, $conv129 = 0, $21 = 0.0, $conv134 = 0.0, $22 = 0.0, $conv142 = 0, $23 = 0.0, $conv147 = 0.0, $24 = 0.0, $conv156 = 0, $25 = 0.0, $conv161 = 0.0, $26 = 0.0, $conv170 = 0, $27 = 0.0, $conv175 = 0.0, $l_1_ph = 0, $r_1_ph = 0, $d_1_ph = 0, $u_1_ph = 0, $sub183 = 0, $cmp184198 = 0, $u_1203 = 0, $d_1202 = 0, $r_1201 = 0, $l_1200 = 0, $i_2199 = 0, $cmp187 = 0, $28 = 0.0, $29 = 0.0, $add194 = 0.0, $div = 0.0, $add195 = 0.0, $conv196 = 0, $conv197 = 0.0, $cmp203 = 0, $30 = 0.0, $31 = 0.0, $add210 = 0.0, $div211 = 0.0, $add212 = 0.0, $conv213 = 0, $conv214 = 0.0, $cmp220 = 0, $32 = 0.0, $33 = 0.0, $add227 = 0.0, $div228 = 0.0, $add229 = 0.0, $conv230 = 0, $conv231 = 0.0, $cmp237 = 0, $34 = 0.0, $35 = 0.0, $add244 = 0.0, $div245 = 0.0, $add246 = 0.0, $conv247 = 0, $conv248 = 0.0, $l_2 = 0, $r_2 = 0, $d_2 = 0, $u_2 = 0, $inc258 = 0, $cmp184 = 0, $36 = 0.0, $conv263 = 0, $37 = 0.0, $conv266 = 0, $38 = 0.0, $conv269 = 0, $39 = 0.0, $conv272 = 0, $call273 = 0, $40 = 0.0, $conv276 = 0, $41 = 0.0, $conv279 = 0, $42 = 0.0, $conv282 = 0, $43 = 0.0, $conv285 = 0, $call286 = 0, $tobool287 = 0, $tobool289 = 0, $or_cond197 = 0, $cmp70 = 0, $cmp291 = 0, $sub298 = 0.0, $sub303 = 0.0, $cmp304 = 0, $cmp311 = 0, $sub348 = 0.0, $sub353 = 0.0, $cmp354 = 0, $cmp361 = 0, $x398 = 0, $44 = 0.0, $45 = 0.0, $cmp402 = 0, $46 = 0.0, $cmp411 = 0, $y416 = 0, $47 = 0.0, $48 = 0.0, $cmp420 = 0, $49 = 0.0, $cmp429 = 0, $50 = 0, $tobool432 = 0, $51 = 0, $52 = 0, $53 = 0.0, $54 = 0.0, $cmp442 = 0, $55 = 0.0, $56 = 0.0, $cmp458 = 0, $y470 = 0, $57 = 0.0, $58 = 0.0, $cmp474 = 0, $59 = 0.0, $60 = 0.0, $cmp490 = 0, $x502 = 0, $61 = 0.0, $x506 = 0, $62 = 0.0, $cmp507 = 0, $x516 = 0, $63 = 0.0, $cmp517 = 0, $y522 = 0, $64 = 0.0, $y526 = 0, $65 = 0.0, $cmp527 = 0, $y536 = 0, $66 = 0.0, $cmp537 = 0, $67 = 0, $tobool540 = 0, $68 = 0, $69 = 0, $70 = 0.0, $71 = 0.0, $cmp551 = 0, $72 = 0.0, $x568 = 0, $73 = 0.0, $cmp569 = 0, $y582 = 0, $74 = 0.0, $y586 = 0, $75 = 0.0, $cmp587 = 0, $76 = 0.0, $y604 = 0, $77 = 0.0, $cmp605 = 0, label = 0, __stackBase__ = 0;
   52156   __stackBase__ = STACKTOP;
   52157   label = 2;
   52158   while (1) switch (label | 0) {
   52159    case 2:
   52160     $cmp207 = ($boxn | 0) > 0;
   52161     if ($cmp207) {
   52162       $i_0208 = 0;
   52163       $bi_0209 = 0;
   52164       label = 3;
   52165       break;
   52166     } else {
   52167       $i_0_lcssa = 0;
   52168       label = 13;
   52169       break;
   52170     }
   52171    case 3:
   52172     $arrayidx = $boxes + ($bi_0209 << 5) | 0;
   52173     $y = $boxes + ($bi_0209 << 5) + 8 | 0;
   52174     $0 = +HEAPF64[$y >> 3];
   52175     $y2 = $boxes + ($bi_0209 << 5) + 24 | 0;
   52176     $1 = +HEAPF64[$y2 >> 3];
   52177     $sub = $0 - $1;
   52178     $cmp3 = $sub < 0.0;
   52179     if ($cmp3) {
   52180       label = 4;
   52181       break;
   52182     } else {
   52183       $cond = $sub;
   52184       label = 5;
   52185       break;
   52186     }
   52187    case 4:
   52188     $sub18 = -0.0 - $sub;
   52189     $cond = $sub18;
   52190     label = 5;
   52191     break;
   52192    case 5:
   52193     $cmp19 = $cond < .01;
   52194     if ($cmp19) {
   52195       $i_1 = $i_0208;
   52196       label = 12;
   52197       break;
   52198     } else {
   52199       label = 6;
   52200       break;
   52201     }
   52202    case 6:
   52203     $x = $arrayidx | 0;
   52204     $2 = +HEAPF64[$x >> 3];
   52205     $x24 = $boxes + ($bi_0209 << 5) + 16 | 0;
   52206     $3 = +HEAPF64[$x24 >> 3];
   52207     $sub25 = $2 - $3;
   52208     $cmp26 = $sub25 < 0.0;
   52209     if ($cmp26) {
   52210       label = 7;
   52211       break;
   52212     } else {
   52213       $cond45 = $sub25;
   52214       label = 8;
   52215       break;
   52216     }
   52217    case 7:
   52218     $sub43 = -0.0 - $sub25;
   52219     $cond45 = $sub43;
   52220     label = 8;
   52221     break;
   52222    case 8:
   52223     $cmp46 = $cond45 < .01;
   52224     if ($cmp46) {
   52225       $i_1 = $i_0208;
   52226       label = 12;
   52227       break;
   52228     } else {
   52229       label = 9;
   52230       break;
   52231     }
   52232    case 9:
   52233     $cmp49 = ($i_0208 | 0) == ($bi_0209 | 0);
   52234     if ($cmp49) {
   52235       label = 11;
   52236       break;
   52237     } else {
   52238       label = 10;
   52239       break;
   52240     }
   52241    case 10:
   52242     $arrayidx51 = $boxes + ($i_0208 << 5) | 0;
   52243     $4 = $arrayidx51;
   52244     $5 = $arrayidx;
   52245     HEAP32[$4 >> 2] = HEAP32[$5 >> 2] | 0;
   52246     HEAP32[$4 + 4 >> 2] = HEAP32[$5 + 4 >> 2] | 0;
   52247     HEAP32[$4 + 8 >> 2] = HEAP32[$5 + 8 >> 2] | 0;
   52248     HEAP32[$4 + 12 >> 2] = HEAP32[$5 + 12 >> 2] | 0;
   52249     HEAP32[$4 + 16 >> 2] = HEAP32[$5 + 16 >> 2] | 0;
   52250     HEAP32[$4 + 20 >> 2] = HEAP32[$5 + 20 >> 2] | 0;
   52251     HEAP32[$4 + 24 >> 2] = HEAP32[$5 + 24 >> 2] | 0;
   52252     HEAP32[$4 + 28 >> 2] = HEAP32[$5 + 28 >> 2] | 0;
   52253     label = 11;
   52254     break;
   52255    case 11:
   52256     $inc = $i_0208 + 1 | 0;
   52257     $i_1 = $inc;
   52258     label = 12;
   52259     break;
   52260    case 12:
   52261     $inc54 = $bi_0209 + 1 | 0;
   52262     $cmp = ($inc54 | 0) < ($boxn | 0);
   52263     if ($cmp) {
   52264       $i_0208 = $i_1;
   52265       $bi_0209 = $inc54;
   52266       label = 3;
   52267       break;
   52268     } else {
   52269       $i_0_lcssa = $i_1;
   52270       label = 13;
   52271       break;
   52272     }
   52273    case 13:
   52274     $x57 = $boxes | 0;
   52275     $6 = +HEAPF64[$x57 >> 3];
   52276     $x59 = $boxes + 16 | 0;
   52277     $7 = +HEAPF64[$x59 >> 3];
   52278     $cmp60 = $6 > $7;
   52279     if ($cmp60) {
   52280       label = 16;
   52281       break;
   52282     } else {
   52283       label = 14;
   52284       break;
   52285     }
   52286    case 14:
   52287     $y62 = $boxes + 8 | 0;
   52288     $8 = +HEAPF64[$y62 >> 3];
   52289     $y64 = $boxes + 24 | 0;
   52290     $9 = +HEAPF64[$y64 >> 3];
   52291     $cmp65 = $8 > $9;
   52292     if ($cmp65) {
   52293       label = 16;
   52294       break;
   52295     } else {
   52296       label = 15;
   52297       break;
   52298     }
   52299    case 15:
   52300     $sub69 = $i_0_lcssa - 1 | 0;
   52301     $cmp70205 = ($sub69 | 0) > 0;
   52302     if ($cmp70205) {
   52303       $bi_1206 = 0;
   52304       label = 17;
   52305       break;
   52306     } else {
   52307       label = 58;
   52308       break;
   52309     }
   52310    case 16:
   52311     $call = _agerr(1, 110272, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0) | 0;
   52312     _printpath($thepath);
   52313     _abort();
   52314    case 17:
   52315     $add = $bi_1206 + 1 | 0;
   52316     $x75 = $boxes + ($add << 5) | 0;
   52317     $10 = +HEAPF64[$x75 >> 3];
   52318     $x77 = $boxes + ($add << 5) + 16 | 0;
   52319     $11 = +HEAPF64[$x77 >> 3];
   52320     $cmp78 = $10 > $11;
   52321     if ($cmp78) {
   52322       label = 19;
   52323       break;
   52324     } else {
   52325       label = 18;
   52326       break;
   52327     }
   52328    case 18:
   52329     $y81 = $boxes + ($add << 5) + 8 | 0;
   52330     $12 = +HEAPF64[$y81 >> 3];
   52331     $y83 = $boxes + ($add << 5) + 24 | 0;
   52332     $13 = +HEAPF64[$y83 >> 3];
   52333     $cmp84 = $12 > $13;
   52334     if ($cmp84) {
   52335       label = 19;
   52336       break;
   52337     } else {
   52338       label = 20;
   52339       break;
   52340     }
   52341    case 19:
   52342     $call87 = _agerr(1, 106096, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $add, tempInt) | 0) | 0;
   52343     _printpath($thepath);
   52344     _abort();
   52345    case 20:
   52346     $x90 = $boxes + ($bi_1206 << 5) + 16 | 0;
   52347     $14 = +HEAPF64[$x90 >> 3];
   52348     $cmp93 = $14 < $10;
   52349     $cond94 = $cmp93 & 1;
   52350     $x96 = $boxes + ($bi_1206 << 5) | 0;
   52351     $15 = +HEAPF64[$x96 >> 3];
   52352     $cmp99 = $15 > $11;
   52353     $cond100 = $cmp99 & 1;
   52354     $y102 = $boxes + ($bi_1206 << 5) + 24 | 0;
   52355     $16 = +HEAPF64[$y102 >> 3];
   52356     $cmp105 = $16 < $12;
   52357     $cond106 = $cmp105 & 1;
   52358     $y108 = $boxes + ($bi_1206 << 5) + 8 | 0;
   52359     $17 = +HEAPF64[$y108 >> 3];
   52360     $cmp111 = $17 > $13;
   52361     $cond112 = $cmp111 & 1;
   52362     $add113 = $cond100 + $cond94 | 0;
   52363     $add114 = $add113 + $cond106 | 0;
   52364     $add115 = $add114 + $cond112 | 0;
   52365     $cmp116 = ($add115 | 0) > 0;
   52366     $cmp116_not = $cmp116 ^ 1;
   52367     $18 = HEAP8[164912] | 0;
   52368     $tobool = $18 << 24 >> 24 == 0;
   52369     $or_cond = $tobool | $cmp116_not;
   52370     if ($or_cond) {
   52371       label = 22;
   52372       break;
   52373     } else {
   52374       label = 21;
   52375       break;
   52376     }
   52377    case 21:
   52378     $19 = HEAP32[_stderr >> 2] | 0;
   52379     $call119 = _fprintf($19 | 0, 102168, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $bi_1206, HEAP32[tempInt + 8 >> 2] = $add, tempInt) | 0) | 0;
   52380     _printpath($thepath);
   52381     label = 22;
   52382     break;
   52383    case 22:
   52384     if ($cmp116) {
   52385       label = 23;
   52386       break;
   52387     } else {
   52388       label = 41;
   52389       break;
   52390     }
   52391    case 23:
   52392     if ($cmp93) {
   52393       label = 24;
   52394       break;
   52395     } else {
   52396       label = 25;
   52397       break;
   52398     }
   52399    case 24:
   52400     $20 = +HEAPF64[$x90 >> 3];
   52401     $conv129 = ~~$20;
   52402     $21 = +HEAPF64[$x75 >> 3];
   52403     HEAPF64[$x90 >> 3] = $21;
   52404     $conv134 = +($conv129 | 0);
   52405     HEAPF64[$x75 >> 3] = $conv134;
   52406     $u_1_ph = $cond112;
   52407     $d_1_ph = $cond106;
   52408     $r_1_ph = $cond100;
   52409     $l_1_ph = 0;
   52410     label = 31;
   52411     break;
   52412    case 25:
   52413     if ($cmp99) {
   52414       label = 26;
   52415       break;
   52416     } else {
   52417       label = 27;
   52418       break;
   52419     }
   52420    case 26:
   52421     $22 = +HEAPF64[$x96 >> 3];
   52422     $conv142 = ~~$22;
   52423     $23 = +HEAPF64[$x77 >> 3];
   52424     HEAPF64[$x96 >> 3] = $23;
   52425     $conv147 = +($conv142 | 0);
   52426     HEAPF64[$x77 >> 3] = $conv147;
   52427     $u_1_ph = $cond112;
   52428     $d_1_ph = $cond106;
   52429     $r_1_ph = 0;
   52430     $l_1_ph = $cond94;
   52431     label = 31;
   52432     break;
   52433    case 27:
   52434     if ($cmp105) {
   52435       label = 28;
   52436       break;
   52437     } else {
   52438       label = 29;
   52439       break;
   52440     }
   52441    case 28:
   52442     $24 = +HEAPF64[$y102 >> 3];
   52443     $conv156 = ~~$24;
   52444     $25 = +HEAPF64[$y81 >> 3];
   52445     HEAPF64[$y102 >> 3] = $25;
   52446     $conv161 = +($conv156 | 0);
   52447     HEAPF64[$y81 >> 3] = $conv161;
   52448     $u_1_ph = $cond112;
   52449     $d_1_ph = 0;
   52450     $r_1_ph = $cond100;
   52451     $l_1_ph = $cond94;
   52452     label = 31;
   52453     break;
   52454    case 29:
   52455     if ($cmp111) {
   52456       label = 30;
   52457       break;
   52458     } else {
   52459       $u_1_ph = $cond112;
   52460       $d_1_ph = $cond106;
   52461       $r_1_ph = $cond100;
   52462       $l_1_ph = $cond94;
   52463       label = 31;
   52464       break;
   52465     }
   52466    case 30:
   52467     $26 = +HEAPF64[$y108 >> 3];
   52468     $conv170 = ~~$26;
   52469     $27 = +HEAPF64[$y83 >> 3];
   52470     HEAPF64[$y108 >> 3] = $27;
   52471     $conv175 = +($conv170 | 0);
   52472     HEAPF64[$y83 >> 3] = $conv175;
   52473     $u_1_ph = 0;
   52474     $d_1_ph = $cond106;
   52475     $r_1_ph = $cond100;
   52476     $l_1_ph = $cond94;
   52477     label = 31;
   52478     break;
   52479    case 31:
   52480     $sub183 = $add115 - 1 | 0;
   52481     $cmp184198 = ($sub183 | 0) > 0;
   52482     if ($cmp184198) {
   52483       $i_2199 = 0;
   52484       $l_1200 = $l_1_ph;
   52485       $r_1201 = $r_1_ph;
   52486       $d_1202 = $d_1_ph;
   52487       $u_1203 = $u_1_ph;
   52488       label = 32;
   52489       break;
   52490     } else {
   52491       label = 41;
   52492       break;
   52493     }
   52494    case 32:
   52495     $cmp187 = ($l_1200 | 0) == 1;
   52496     if ($cmp187) {
   52497       label = 33;
   52498       break;
   52499     } else {
   52500       label = 34;
   52501       break;
   52502     }
   52503    case 33:
   52504     $28 = +HEAPF64[$x90 >> 3];
   52505     $29 = +HEAPF64[$x75 >> 3];
   52506     $add194 = $28 + $29;
   52507     $div = $add194 * .5;
   52508     $add195 = $div + .5;
   52509     $conv196 = ~~$add195;
   52510     $conv197 = +($conv196 | 0);
   52511     HEAPF64[$x75 >> 3] = $conv197;
   52512     HEAPF64[$x90 >> 3] = $conv197;
   52513     $u_2 = $u_1203;
   52514     $d_2 = $d_1202;
   52515     $r_2 = $r_1201;
   52516     $l_2 = 0;
   52517     label = 40;
   52518     break;
   52519    case 34:
   52520     $cmp203 = ($r_1201 | 0) == 1;
   52521     if ($cmp203) {
   52522       label = 35;
   52523       break;
   52524     } else {
   52525       label = 36;
   52526       break;
   52527     }
   52528    case 35:
   52529     $30 = +HEAPF64[$x96 >> 3];
   52530     $31 = +HEAPF64[$x77 >> 3];
   52531     $add210 = $30 + $31;
   52532     $div211 = $add210 * .5;
   52533     $add212 = $div211 + .5;
   52534     $conv213 = ~~$add212;
   52535     $conv214 = +($conv213 | 0);
   52536     HEAPF64[$x77 >> 3] = $conv214;
   52537     HEAPF64[$x96 >> 3] = $conv214;
   52538     $u_2 = $u_1203;
   52539     $d_2 = $d_1202;
   52540     $r_2 = 0;
   52541     $l_2 = $l_1200;
   52542     label = 40;
   52543     break;
   52544    case 36:
   52545     $cmp220 = ($d_1202 | 0) == 1;
   52546     if ($cmp220) {
   52547       label = 37;
   52548       break;
   52549     } else {
   52550       label = 38;
   52551       break;
   52552     }
   52553    case 37:
   52554     $32 = +HEAPF64[$y102 >> 3];
   52555     $33 = +HEAPF64[$y81 >> 3];
   52556     $add227 = $32 + $33;
   52557     $div228 = $add227 * .5;
   52558     $add229 = $div228 + .5;
   52559     $conv230 = ~~$add229;
   52560     $conv231 = +($conv230 | 0);
   52561     HEAPF64[$y81 >> 3] = $conv231;
   52562     HEAPF64[$y102 >> 3] = $conv231;
   52563     $u_2 = $u_1203;
   52564     $d_2 = 0;
   52565     $r_2 = $r_1201;
   52566     $l_2 = $l_1200;
   52567     label = 40;
   52568     break;
   52569    case 38:
   52570     $cmp237 = ($u_1203 | 0) == 1;
   52571     if ($cmp237) {
   52572       label = 39;
   52573       break;
   52574     } else {
   52575       $u_2 = $u_1203;
   52576       $d_2 = $d_1202;
   52577       $r_2 = $r_1201;
   52578       $l_2 = $l_1200;
   52579       label = 40;
   52580       break;
   52581     }
   52582    case 39:
   52583     $34 = +HEAPF64[$y108 >> 3];
   52584     $35 = +HEAPF64[$y83 >> 3];
   52585     $add244 = $34 + $35;
   52586     $div245 = $add244 * .5;
   52587     $add246 = $div245 + .5;
   52588     $conv247 = ~~$add246;
   52589     $conv248 = +($conv247 | 0);
   52590     HEAPF64[$y83 >> 3] = $conv248;
   52591     HEAPF64[$y108 >> 3] = $conv248;
   52592     $u_2 = 0;
   52593     $d_2 = $d_1202;
   52594     $r_2 = $r_1201;
   52595     $l_2 = $l_1200;
   52596     label = 40;
   52597     break;
   52598    case 40:
   52599     $inc258 = $i_2199 + 1 | 0;
   52600     $cmp184 = ($inc258 | 0) < ($sub183 | 0);
   52601     if ($cmp184) {
   52602       $i_2199 = $inc258;
   52603       $l_1200 = $l_2;
   52604       $r_1201 = $r_2;
   52605       $d_1202 = $d_2;
   52606       $u_1203 = $u_2;
   52607       label = 32;
   52608       break;
   52609     } else {
   52610       label = 41;
   52611       break;
   52612     }
   52613    case 41:
   52614     $36 = +HEAPF64[$x96 >> 3];
   52615     $conv263 = ~~$36;
   52616     $37 = +HEAPF64[$x90 >> 3];
   52617     $conv266 = ~~$37;
   52618     $38 = +HEAPF64[$x75 >> 3];
   52619     $conv269 = ~~$38;
   52620     $39 = +HEAPF64[$x77 >> 3];
   52621     $conv272 = ~~$39;
   52622     $call273 = _overlap($conv263, $conv266, $conv269, $conv272) | 0;
   52623     $40 = +HEAPF64[$y108 >> 3];
   52624     $conv276 = ~~$40;
   52625     $41 = +HEAPF64[$y102 >> 3];
   52626     $conv279 = ~~$41;
   52627     $42 = +HEAPF64[$y81 >> 3];
   52628     $conv282 = ~~$42;
   52629     $43 = +HEAPF64[$y83 >> 3];
   52630     $conv285 = ~~$43;
   52631     $call286 = _overlap($conv276, $conv279, $conv282, $conv285) | 0;
   52632     $tobool287 = ($call273 | 0) == 0;
   52633     $tobool289 = ($call286 | 0) == 0;
   52634     $or_cond197 = $tobool287 | $tobool289;
   52635     if ($or_cond197) {
   52636       label = 42;
   52637       break;
   52638     } else {
   52639       label = 43;
   52640       break;
   52641     }
   52642    case 42:
   52643     $cmp70 = ($add | 0) < ($sub69 | 0);
   52644     if ($cmp70) {
   52645       $bi_1206 = $add;
   52646       label = 17;
   52647       break;
   52648     } else {
   52649       label = 58;
   52650       break;
   52651     }
   52652    case 43:
   52653     $cmp291 = ($call273 | 0) < ($call286 | 0);
   52654     if ($cmp291) {
   52655       label = 44;
   52656       break;
   52657     } else {
   52658       label = 51;
   52659       break;
   52660     }
   52661    case 44:
   52662     $sub298 = $37 - $36;
   52663     $sub303 = $39 - $38;
   52664     $cmp304 = $sub298 > $sub303;
   52665     $cmp311 = $37 < $39;
   52666     if ($cmp304) {
   52667       label = 45;
   52668       break;
   52669     } else {
   52670       label = 48;
   52671       break;
   52672     }
   52673    case 45:
   52674     if ($cmp311) {
   52675       label = 46;
   52676       break;
   52677     } else {
   52678       label = 47;
   52679       break;
   52680     }
   52681    case 46:
   52682     HEAPF64[$x90 >> 3] = $38;
   52683     label = 42;
   52684     break;
   52685    case 47:
   52686     HEAPF64[$x96 >> 3] = $39;
   52687     label = 42;
   52688     break;
   52689    case 48:
   52690     if ($cmp311) {
   52691       label = 49;
   52692       break;
   52693     } else {
   52694       label = 50;
   52695       break;
   52696     }
   52697    case 49:
   52698     HEAPF64[$x75 >> 3] = $37;
   52699     label = 42;
   52700     break;
   52701    case 50:
   52702     HEAPF64[$x77 >> 3] = $36;
   52703     label = 42;
   52704     break;
   52705    case 51:
   52706     $sub348 = $41 - $40;
   52707     $sub353 = $43 - $42;
   52708     $cmp354 = $sub348 > $sub353;
   52709     $cmp361 = $41 < $43;
   52710     if ($cmp354) {
   52711       label = 52;
   52712       break;
   52713     } else {
   52714       label = 55;
   52715       break;
   52716     }
   52717    case 52:
   52718     if ($cmp361) {
   52719       label = 53;
   52720       break;
   52721     } else {
   52722       label = 54;
   52723       break;
   52724     }
   52725    case 53:
   52726     HEAPF64[$y102 >> 3] = $42;
   52727     label = 42;
   52728     break;
   52729    case 54:
   52730     HEAPF64[$y108 >> 3] = $43;
   52731     label = 42;
   52732     break;
   52733    case 55:
   52734     if ($cmp361) {
   52735       label = 56;
   52736       break;
   52737     } else {
   52738       label = 57;
   52739       break;
   52740     }
   52741    case 56:
   52742     HEAPF64[$y81 >> 3] = $41;
   52743     label = 42;
   52744     break;
   52745    case 57:
   52746     HEAPF64[$y83 >> 3] = $40;
   52747     label = 42;
   52748     break;
   52749    case 58:
   52750     $x398 = $thepath | 0;
   52751     $44 = +HEAPF64[$x398 >> 3];
   52752     $45 = +HEAPF64[$x57 >> 3];
   52753     $cmp402 = $44 < $45;
   52754     if ($cmp402) {
   52755       label = 62;
   52756       break;
   52757     } else {
   52758       label = 59;
   52759       break;
   52760     }
   52761    case 59:
   52762     $46 = +HEAPF64[$x59 >> 3];
   52763     $cmp411 = $44 > $46;
   52764     if ($cmp411) {
   52765       label = 62;
   52766       break;
   52767     } else {
   52768       label = 60;
   52769       break;
   52770     }
   52771    case 60:
   52772     $y416 = $thepath + 8 | 0;
   52773     $47 = +HEAPF64[$y416 >> 3];
   52774     $48 = +HEAPF64[$y62 >> 3];
   52775     $cmp420 = $47 < $48;
   52776     if ($cmp420) {
   52777       label = 62;
   52778       break;
   52779     } else {
   52780       label = 61;
   52781       break;
   52782     }
   52783    case 61:
   52784     $49 = +HEAPF64[$y64 >> 3];
   52785     $cmp429 = $47 > $49;
   52786     if ($cmp429) {
   52787       label = 62;
   52788       break;
   52789     } else {
   52790       label = 72;
   52791       break;
   52792     }
   52793    case 62:
   52794     $50 = HEAP8[164912] | 0;
   52795     $tobool432 = $50 << 24 >> 24 == 0;
   52796     if ($tobool432) {
   52797       label = 64;
   52798       break;
   52799     } else {
   52800       label = 63;
   52801       break;
   52802     }
   52803    case 63:
   52804     $51 = HEAP32[_stderr >> 2] | 0;
   52805     $52 = _fwrite(97888, 42, 1, $51 | 0) | 0;
   52806     _printpath($thepath);
   52807     label = 64;
   52808     break;
   52809    case 64:
   52810     $53 = +HEAPF64[$x398 >> 3];
   52811     $54 = +HEAPF64[$x57 >> 3];
   52812     $cmp442 = $53 < $54;
   52813     if ($cmp442) {
   52814       label = 65;
   52815       break;
   52816     } else {
   52817       label = 66;
   52818       break;
   52819     }
   52820    case 65:
   52821     HEAPF64[$x398 >> 3] = $54;
   52822     label = 66;
   52823     break;
   52824    case 66:
   52825     $55 = +HEAPF64[$x398 >> 3];
   52826     $56 = +HEAPF64[$x59 >> 3];
   52827     $cmp458 = $55 > $56;
   52828     if ($cmp458) {
   52829       label = 67;
   52830       break;
   52831     } else {
   52832       label = 68;
   52833       break;
   52834     }
   52835    case 67:
   52836     HEAPF64[$x398 >> 3] = $56;
   52837     label = 68;
   52838     break;
   52839    case 68:
   52840     $y470 = $thepath + 8 | 0;
   52841     $57 = +HEAPF64[$y470 >> 3];
   52842     $58 = +HEAPF64[$y62 >> 3];
   52843     $cmp474 = $57 < $58;
   52844     if ($cmp474) {
   52845       label = 69;
   52846       break;
   52847     } else {
   52848       label = 70;
   52849       break;
   52850     }
   52851    case 69:
   52852     HEAPF64[$y470 >> 3] = $58;
   52853     label = 70;
   52854     break;
   52855    case 70:
   52856     $59 = +HEAPF64[$y470 >> 3];
   52857     $60 = +HEAPF64[$y64 >> 3];
   52858     $cmp490 = $59 > $60;
   52859     if ($cmp490) {
   52860       label = 71;
   52861       break;
   52862     } else {
   52863       label = 72;
   52864       break;
   52865     }
   52866    case 71:
   52867     HEAPF64[$y470 >> 3] = $60;
   52868     label = 72;
   52869     break;
   52870    case 72:
   52871     $x502 = $thepath + 40 | 0;
   52872     $61 = +HEAPF64[$x502 >> 3];
   52873     $x506 = $boxes + ($sub69 << 5) | 0;
   52874     $62 = +HEAPF64[$x506 >> 3];
   52875     $cmp507 = $61 < $62;
   52876     if ($cmp507) {
   52877       label = 76;
   52878       break;
   52879     } else {
   52880       label = 73;
   52881       break;
   52882     }
   52883    case 73:
   52884     $x516 = $boxes + ($sub69 << 5) + 16 | 0;
   52885     $63 = +HEAPF64[$x516 >> 3];
   52886     $cmp517 = $61 > $63;
   52887     if ($cmp517) {
   52888       label = 76;
   52889       break;
   52890     } else {
   52891       label = 74;
   52892       break;
   52893     }
   52894    case 74:
   52895     $y522 = $thepath + 48 | 0;
   52896     $64 = +HEAPF64[$y522 >> 3];
   52897     $y526 = $boxes + ($sub69 << 5) + 8 | 0;
   52898     $65 = +HEAPF64[$y526 >> 3];
   52899     $cmp527 = $64 < $65;
   52900     if ($cmp527) {
   52901       label = 76;
   52902       break;
   52903     } else {
   52904       label = 75;
   52905       break;
   52906     }
   52907    case 75:
   52908     $y536 = $boxes + ($sub69 << 5) + 24 | 0;
   52909     $66 = +HEAPF64[$y536 >> 3];
   52910     $cmp537 = $64 > $66;
   52911     if ($cmp537) {
   52912       label = 76;
   52913       break;
   52914     } else {
   52915       label = 86;
   52916       break;
   52917     }
   52918    case 76:
   52919     $67 = HEAP8[164912] | 0;
   52920     $tobool540 = $67 << 24 >> 24 == 0;
   52921     if ($tobool540) {
   52922       label = 78;
   52923       break;
   52924     } else {
   52925       label = 77;
   52926       break;
   52927     }
   52928    case 77:
   52929     $68 = HEAP32[_stderr >> 2] | 0;
   52930     $69 = _fwrite(94152, 39, 1, $68 | 0) | 0;
   52931     _printpath($thepath);
   52932     label = 78;
   52933     break;
   52934    case 78:
   52935     $70 = +HEAPF64[$x502 >> 3];
   52936     $71 = +HEAPF64[$x506 >> 3];
   52937     $cmp551 = $70 < $71;
   52938     if ($cmp551) {
   52939       label = 79;
   52940       break;
   52941     } else {
   52942       label = 80;
   52943       break;
   52944     }
   52945    case 79:
   52946     HEAPF64[$x502 >> 3] = $71;
   52947     label = 80;
   52948     break;
   52949    case 80:
   52950     $72 = +HEAPF64[$x502 >> 3];
   52951     $x568 = $boxes + ($sub69 << 5) + 16 | 0;
   52952     $73 = +HEAPF64[$x568 >> 3];
   52953     $cmp569 = $72 > $73;
   52954     if ($cmp569) {
   52955       label = 81;
   52956       break;
   52957     } else {
   52958       label = 82;
   52959       break;
   52960     }
   52961    case 81:
   52962     HEAPF64[$x502 >> 3] = $73;
   52963     label = 82;
   52964     break;
   52965    case 82:
   52966     $y582 = $thepath + 48 | 0;
   52967     $74 = +HEAPF64[$y582 >> 3];
   52968     $y586 = $boxes + ($sub69 << 5) + 8 | 0;
   52969     $75 = +HEAPF64[$y586 >> 3];
   52970     $cmp587 = $74 < $75;
   52971     if ($cmp587) {
   52972       label = 83;
   52973       break;
   52974     } else {
   52975       label = 84;
   52976       break;
   52977     }
   52978    case 83:
   52979     HEAPF64[$y582 >> 3] = $75;
   52980     label = 84;
   52981     break;
   52982    case 84:
   52983     $76 = +HEAPF64[$y582 >> 3];
   52984     $y604 = $boxes + ($sub69 << 5) + 24 | 0;
   52985     $77 = +HEAPF64[$y604 >> 3];
   52986     $cmp605 = $76 > $77;
   52987     if ($cmp605) {
   52988       label = 85;
   52989       break;
   52990     } else {
   52991       label = 86;
   52992       break;
   52993     }
   52994    case 85:
   52995     HEAPF64[$y582 >> 3] = $77;
   52996     label = 86;
   52997     break;
   52998    case 86:
   52999     STACKTOP = __stackBase__;
   53000     return;
   53001   }
   53002 }
   53003 function _interpolate_pointf($agg_result, $t, $p, $q) {
   53004   $agg_result = $agg_result | 0;
   53005   $t = +$t;
   53006   $p = $p | 0;
   53007   $q = $q | 0;
   53008   var $0 = 0.0, $2 = 0.0, $add8 = 0.0, tempParam = 0, __stackBase__ = 0;
   53009   __stackBase__ = STACKTOP;
   53010   tempParam = $p;
   53011   $p = STACKTOP;
   53012   STACKTOP = STACKTOP + 16 | 0;
   53013   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   53014   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   53015   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   53016   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   53017   tempParam = $q;
   53018   $q = STACKTOP;
   53019   STACKTOP = STACKTOP + 16 | 0;
   53020   HEAP32[$q >> 2] = HEAP32[tempParam >> 2] | 0;
   53021   HEAP32[$q + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   53022   HEAP32[$q + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   53023   HEAP32[$q + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   53024   $0 = +HEAPF64[$p >> 3];
   53025   $2 = +HEAPF64[$p + 8 >> 3];
   53026   $add8 = $2 + (+HEAPF64[$q + 8 >> 3] - $2) * $t;
   53027   HEAPF64[$agg_result >> 3] = $0 + (+HEAPF64[$q >> 3] - $0) * $t;
   53028   HEAPF64[$agg_result + 8 >> 3] = $add8;
   53029   STACKTOP = __stackBase__;
   53030   return;
   53031 }
   53032 function _shapeOf($n) {
   53033   $n = $n | 0;
   53034   var $shape = 0, $0 = 0, $tobool = 0, $fns = 0, $1 = 0, $initfn = 0, $2 = 0, $cmp = 0, $cmp4 = 0, $cmp7 = 0, $cmp10 = 0, $_ = 0, $retval_0 = 0, label = 0;
   53035   label = 2;
   53036   while (1) switch (label | 0) {
   53037    case 2:
   53038     $shape = $n + 24 | 0;
   53039     $0 = HEAP32[$shape >> 2] | 0;
   53040     $tobool = ($0 | 0) == 0;
   53041     if ($tobool) {
   53042       $retval_0 = 0;
   53043       label = 7;
   53044       break;
   53045     } else {
   53046       label = 3;
   53047       break;
   53048     }
   53049    case 3:
   53050     $fns = $0 + 4 | 0;
   53051     $1 = HEAP32[$fns >> 2] | 0;
   53052     $initfn = $1 | 0;
   53053     $2 = HEAP32[$initfn >> 2] | 0;
   53054     $cmp = ($2 | 0) == 476;
   53055     if ($cmp) {
   53056       $retval_0 = 1;
   53057       label = 7;
   53058       break;
   53059     } else {
   53060       label = 4;
   53061       break;
   53062     }
   53063    case 4:
   53064     $cmp4 = ($2 | 0) == 66;
   53065     if ($cmp4) {
   53066       $retval_0 = 2;
   53067       label = 7;
   53068       break;
   53069     } else {
   53070       label = 5;
   53071       break;
   53072     }
   53073    case 5:
   53074     $cmp7 = ($2 | 0) == 62;
   53075     if ($cmp7) {
   53076       $retval_0 = 3;
   53077       label = 7;
   53078       break;
   53079     } else {
   53080       label = 6;
   53081       break;
   53082     }
   53083    case 6:
   53084     $cmp10 = ($2 | 0) == 632;
   53085     $_ = $cmp10 ? 4 : 0;
   53086     $retval_0 = $_;
   53087     label = 7;
   53088     break;
   53089    case 7:
   53090     return $retval_0 | 0;
   53091   }
   53092   return 0;
   53093 }
   53094 function _round_corners($job, $fillc, $penc, $AF, $sides, $style, $filled) {
   53095   $job = $job | 0;
   53096   $fillc = $fillc | 0;
   53097   $penc = $penc | 0;
   53098   $AF = $AF | 0;
   53099   $sides = $sides | 0;
   53100   $style = $style | 0;
   53101   $filled = $filled | 0;
   53102   var $C = 0, $p0 = 0, $p1 = 0, $tmp = 0, $tmp72 = 0, $tmp76 = 0, $tmp83 = 0, $and = 0, $tobool = 0, $and1 = 0, $tobool2 = 0, $_and1 = 0, $mode_0 = 0, $mul = 0, $mul7 = 0, $call = 0, $0 = 0, $cmp400 = 0, $1 = 0, $sub = 0, $2 = 0, $x = 0, $x15 = 0, $y = 0, $y17 = 0, $3 = 0, $4 = 0, $cmp26397 = 0, $5 = 0, $sub29 = 0, $6 = 0, $x37 = 0, $x38 = 0, $y40 = 0, $y41 = 0, $and48 = 0, $tobool49 = 0, $and53 = 0, $tobool54 = 0, $cmp59 = 0, $7 = 0, $8 = 0, $9 = 0, $10 = 0, $11 = 0, $12 = 0, $seg_0402 = 0, $rbconst_0401 = 0.0, $arrayidx = 0, $13 = 0, $cmp8 = 0, $add10 = 0, $arrayidx11 = 0, $14 = 0, $15 = 0.0, $16 = 0.0, $sub16 = 0.0, $17 = 0.0, $18 = 0.0, $sub18 = 0.0, $mul19 = 0.0, $mul20 = 0.0, $add21 = 0.0, $call22 = 0.0, $div = 0.0, $cmp23 = 0, $rbconst_0_div = 0.0, $inc = 0, $cmp = 0, $seg_1399 = 0, $i_0398 = 0, $arrayidx28 = 0, $19 = 0, $cmp30 = 0, $add32 = 0, $arrayidx33 = 0, $20 = 0, $21 = 0.0, $22 = 0.0, $sub39 = 0.0, $23 = 0.0, $24 = 0.0, $sub42 = 0.0, $mul43 = 0.0, $mul44 = 0.0, $add45 = 0.0, $call46 = 0.0, $div47 = 0.0, $div51 = 0.0, $div56 = 0.0, $t_0 = 0.0, $inc66 = 0, $arrayidx67 = 0, $25 = 0, $mul68 = 0.0, $26 = 0, $inc70 = 0, $arrayidx71 = 0, $27 = 0, $inc73 = 0, $arrayidx74 = 0, $sub75 = 0.0, $28 = 0, $inc79 = 0, $arrayidx80 = 0, $mul81 = 0.0, $sub82 = 0.0, $29 = 0, $i_3 = 0, $inc86 = 0, $cmp26 = 0, $i_0_lcssa = 0, $inc88 = 0, $arrayidx89 = 0, $30 = 0, $inc91 = 0, $arrayidx92 = 0, $arrayidx93 = 0, $31 = 0, $arrayidx95 = 0, $arrayidx96 = 0, $32 = 0, $tobool97 = 0, $mul101 = 0, $mul102 = 0, $call103 = 0, $33 = 0, $cmp105385 = 0, $j_0387 = 0, $seg_2386 = 0, $inc107379 = 0, $arrayidx108 = 0, $mul109 = 0, $add110377 = 0, $arrayidx111 = 0, $34 = 0, $35 = 0, $inc112 = 0, $arrayidx113 = 0, $add115378 = 0, $arrayidx116 = 0, $36 = 0, $37 = 0, $inc118 = 0, $cmp105 = 0, $cmp122383 = 0, $seg_3384 = 0, $mul124 = 0, $add_ptr_sum376 = 0, $add_ptr125 = 0, $inc127 = 0, $cmp122 = 0, $cmp131381 = 0, $seg_4382 = 0, $mul133 = 0, $add_ptr134_sum374 = 0, $add_ptr135 = 0, $add_ptr134_sum375 = 0, $add_ptr138 = 0, $inc140 = 0, $cmp131 = 0, $tobool143 = 0, $conv = 0, $cmp147389 = 0, $arrayidx150 = 0, $38 = 0, $arrayidx154 = 0, $39 = 0, $seg_5390 = 0, $mul151 = 0, $add152 = 0, $arrayidx153 = 0, $40 = 0, $add156 = 0, $arrayidx157 = 0, $41 = 0, $inc159 = 0, $cmp147 = 0, $tobool162 = 0, $add165 = 0, $mul166 = 0, $call167 = 0, $42 = 0, $cmp169391 = 0, $seg_6392 = 0, $arrayidx172 = 0, $arrayidx173 = 0, $43 = 0, $44 = 0, $inc175 = 0, $cmp169 = 0, $45 = 0, $add180 = 0, $arrayidx181 = 0, $46 = 0, $arrayidx182 = 0, $add185 = 0, $arrayidx186 = 0, $47 = 0, $48 = 0, $conv188 = 0, $arrayidx190 = 0, $49 = 0, $arrayidx194 = 0, $50 = 0, $x199 = 0, $51 = 0.0, $x201 = 0, $52 = 0.0, $x205 = 0, $53 = 0.0, $sub206 = 0.0, $add207 = 0.0, $arrayidx208 = 0, $x209 = 0, $y211 = 0, $54 = 0.0, $y213 = 0, $55 = 0.0, $y217 = 0, $56 = 0.0, $sub218 = 0.0, $add219 = 0.0, $y221 = 0, $57 = 0, $tobool228 = 0, $add231 = 0, $mul232 = 0, $call233 = 0, $58 = 0, $59 = 0, $arrayidx236 = 0, $x239 = 0, $60 = 0.0, $arrayidx240 = 0, $x241 = 0, $61 = 0.0, $arrayidx242 = 0, $x243 = 0, $62 = 0.0, $sub244 = 0.0, $div245 = 0.0, $add246 = 0.0, $arrayidx247 = 0, $x248 = 0, $y250 = 0, $63 = 0, $64 = 0.0, $y252 = 0, $65 = 0, $66 = 0.0, $y254 = 0, $67 = 0, $68 = 0.0, $sub255 = 0.0, $div256 = 0.0, $add257 = 0.0, $y259 = 0, $69 = 0, $70 = 0.0, $71 = 0.0, $sub266 = 0.0, $div267 = 0.0, $add268 = 0.0, $arrayidx269 = 0, $x270 = 0, $72 = 0.0, $73 = 0.0, $sub277 = 0.0, $div278 = 0.0, $add279 = 0.0, $y281 = 0, $74 = 0, $cmp284393 = 0, $seg_7394 = 0, $arrayidx287 = 0, $sub288 = 0, $arrayidx289 = 0, $75 = 0, $76 = 0, $inc291 = 0, $cmp284 = 0, $conv294 = 0, $arrayidx295 = 0, $77 = 0, $arrayidx297 = 0, $78 = 0, $tobool301 = 0, $add304 = 0, $mul305 = 0, $call306 = 0, $79 = 0, $80 = 0, $x310 = 0, $81 = 0.0, $x314 = 0, $82 = 0.0, $sub315 = 0.0, $div316 = 0.0, $sub317 = 0.0, $arrayidx318 = 0, $x319 = 0, $y321 = 0, $83 = 0.0, $arrayidx322 = 0, $y323 = 0, $84 = 0, $85 = 0.0, $y325 = 0, $86 = 0, $87 = 0.0, $sub326 = 0.0, $div327 = 0.0, $add328 = 0.0, $y330 = 0, $88 = 0, $89 = 0.0, $90 = 0.0, $sub337 = 0.0, $mul338 = 0.0, $sub339 = 0.0, $arrayidx340 = 0, $x341 = 0, $91 = 0.0, $y345 = 0, $92 = 0, $93 = 0.0, $94 = 0.0, $sub352 = 0.0, $mul353 = 0.0, $sub354 = 0.0, $arrayidx355 = 0, $x356 = 0, $95 = 0.0, $y360 = 0, $96 = 0, $x362 = 0, $97 = 0.0, $arrayidx363 = 0, $x364 = 0, $98 = 0.0, $y368 = 0, $99 = 0, $cmp371395 = 0, $seg_8396 = 0, $arrayidx374 = 0, $sub375 = 0, $arrayidx376 = 0, $100 = 0, $101 = 0, $inc378 = 0, $cmp371 = 0, $conv381 = 0, $cmp383 = 0, $tobool388 = 0, $add391 = 0, $mul392 = 0, $call393 = 0, $102 = 0, $103 = 0, $arrayidx396 = 0, $arrayidx398 = 0, $arrayidx399 = 0, $arrayidx400 = 0, $arrayidx401 = 0, $104 = 0, $arrayidx402 = 0, $arrayidx403 = 0, $arrayidx404 = 0, $arrayidx405 = 0, $conv407 = 0, $x409 = 0, $105 = 0.0, $arrayidx410 = 0, $x411 = 0, $106 = 0.0, $x413 = 0, $107 = 0.0, $sub414 = 0.0, $add415 = 0.0, $arrayidx416 = 0, $x417 = 0, $y419 = 0, $108 = 0, $109 = 0.0, $y421 = 0, $110 = 0, $111 = 0.0, $y423 = 0, $112 = 0, $113 = 0.0, $sub424 = 0.0, $add425 = 0.0, $y427 = 0, $arrayidx428 = 0, $114 = 0, $cmp438 = 0, $tobool443 = 0, $add446 = 0, $mul447 = 0, $call448 = 0, $115 = 0, $116 = 0, $arrayidx451 = 0, $arrayidx452 = 0, $117 = 0, $arrayidx453 = 0, $x454 = 0, $118 = 0.0, $arrayidx455 = 0, $x456 = 0, $119 = 0.0, $sub459 = 0.0, $add460 = 0.0, $arrayidx461 = 0, $x462 = 0, $y464 = 0, $120 = 0, $121 = 0.0, $y466 = 0, $122 = 0, $123 = 0.0, $sub469 = 0.0, $add470 = 0.0, $y472 = 0, $124 = 0, $125 = 0.0, $126 = 0.0, $x478 = 0, $127 = 0.0, $sub479 = 0.0, $add480 = 0.0, $arrayidx481 = 0, $x482 = 0, $128 = 0.0, $129 = 0.0, $y488 = 0, $130 = 0, $131 = 0.0, $sub489 = 0.0, $add490 = 0.0, $y492 = 0, $132 = 0, $133 = 0.0, $134 = 0.0, $135 = 0.0, $sub499 = 0.0, $add500 = 0.0, $arrayidx501 = 0, $x502 = 0, $136 = 0.0, $137 = 0.0, $138 = 0.0, $sub509 = 0.0, $add510 = 0.0, $y512 = 0, $139 = 0, $140 = 0.0, $141 = 0.0, $142 = 0.0, $sub519 = 0.0, $add520 = 0.0, $arrayidx521 = 0, $x522 = 0, $143 = 0.0, $144 = 0.0, $145 = 0.0, $sub529 = 0.0, $add530 = 0.0, $y532 = 0, $146 = 0, $arrayidx533 = 0, $x534 = 0, $147 = 0.0, $arrayidx535 = 0, $x536 = 0, $148 = 0.0, $sub539 = 0.0, $add540 = 0.0, $arrayidx541 = 0, $x542 = 0, $y544 = 0, $149 = 0, $150 = 0.0, $y546 = 0, $151 = 0, $152 = 0.0, $sub549 = 0.0, $add550 = 0.0, $y552 = 0, $153 = 0, $154 = 0.0, $155 = 0.0, $arrayidx557 = 0, $x558 = 0, $156 = 0.0, $sub559 = 0.0, $add560 = 0.0, $arrayidx561 = 0, $x562 = 0, $157 = 0.0, $158 = 0.0, $y568 = 0, $159 = 0, $160 = 0.0, $sub569 = 0.0, $add570 = 0.0, $y572 = 0, $161 = 0, $162 = 0.0, $163 = 0.0, $164 = 0.0, $sub579 = 0.0, $add580 = 0.0, $arrayidx581 = 0, $x582 = 0, $165 = 0.0, $166 = 0.0, $167 = 0.0, $sub589 = 0.0, $add590 = 0.0, $y592 = 0, $168 = 0, $169 = 0.0, $170 = 0.0, $171 = 0.0, $sub599 = 0.0, $add600 = 0.0, $arrayidx601 = 0, $x602 = 0, $172 = 0.0, $173 = 0.0, $174 = 0.0, $sub609 = 0.0, $add610 = 0.0, $y612 = 0, $175 = 0, $arrayidx613 = 0, $arrayidx614 = 0, $176 = 0, $arrayidx615 = 0, $arrayidx616 = 0, $177 = 0, $conv618 = 0, $arrayidx619 = 0, $178 = 0, $179 = 0.0, $180 = 0.0, $sub627 = 0.0, $sub628 = 0.0, $x630 = 0, $181 = 0.0, $182 = 0.0, $sub637 = 0.0, $sub638 = 0.0, $y640 = 0, $183 = 0.0, $184 = 0.0, $185 = 0.0, $sub647 = 0.0, $add648 = 0.0, $x650 = 0, $186 = 0.0, $187 = 0.0, $188 = 0.0, $sub657 = 0.0, $add658 = 0.0, $y660 = 0, $arrayidx661 = 0, $189 = 0, $190 = 0.0, $191 = 0.0, $sub672 = 0.0, $sub673 = 0.0, $192 = 0.0, $193 = 0.0, $sub682 = 0.0, $sub683 = 0.0, $194 = 0.0, $195 = 0.0, $196 = 0.0, $sub692 = 0.0, $add693 = 0.0, $197 = 0.0, $198 = 0.0, $199 = 0.0, $sub702 = 0.0, $add703 = 0.0, label = 0, __stackBase__ = 0;
   53103   __stackBase__ = STACKTOP;
   53104   STACKTOP = STACKTOP + 160 | 0;
   53105   label = 2;
   53106   while (1) switch (label | 0) {
   53107    case 2:
   53108     $C = __stackBase__ | 0;
   53109     $p0 = __stackBase__ + 64 | 0;
   53110     $p1 = __stackBase__ + 80 | 0;
   53111     $tmp = __stackBase__ + 96 | 0;
   53112     $tmp72 = __stackBase__ + 112 | 0;
   53113     $tmp76 = __stackBase__ + 128 | 0;
   53114     $tmp83 = __stackBase__ + 144 | 0;
   53115     $and = $style & 4;
   53116     $tobool = ($and | 0) == 0;
   53117     if ($tobool) {
   53118       label = 3;
   53119       break;
   53120     } else {
   53121       $mode_0 = 4;
   53122       label = 4;
   53123       break;
   53124     }
   53125    case 3:
   53126     $and1 = $style & 992;
   53127     $tobool2 = ($and1 | 0) == 0;
   53128     $_and1 = $tobool2 ? 2 : $and1;
   53129     $mode_0 = $_and1;
   53130     label = 4;
   53131     break;
   53132    case 4:
   53133     $mul = $sides << 6;
   53134     $mul7 = $mul + 64 | 0;
   53135     $call = _zmalloc($mul7) | 0;
   53136     $0 = $call;
   53137     $cmp400 = ($sides | 0) > 0;
   53138     if ($cmp400) {
   53139       label = 5;
   53140       break;
   53141     } else {
   53142       $i_0_lcssa = 0;
   53143       label = 25;
   53144       break;
   53145     }
   53146    case 5:
   53147     $1 = $p0;
   53148     $sub = $sides - 1 | 0;
   53149     $2 = $p1;
   53150     $x = $p1 | 0;
   53151     $x15 = $p0 | 0;
   53152     $y = $p1 + 8 | 0;
   53153     $y17 = $p0 + 8 | 0;
   53154     $3 = $p1;
   53155     $4 = $AF;
   53156     $rbconst_0401 = 12.0;
   53157     $seg_0402 = 0;
   53158     label = 8;
   53159     break;
   53160    case 6:
   53161     $cmp26397 = ($sides | 0) > 0;
   53162     if ($cmp26397) {
   53163       label = 7;
   53164       break;
   53165     } else {
   53166       $i_0_lcssa = 0;
   53167       label = 25;
   53168       break;
   53169     }
   53170    case 7:
   53171     $5 = $p0;
   53172     $sub29 = $sides - 1 | 0;
   53173     $6 = $p1;
   53174     $x37 = $p1 | 0;
   53175     $x38 = $p0 | 0;
   53176     $y40 = $p1 + 8 | 0;
   53177     $y41 = $p0 + 8 | 0;
   53178     $and48 = $style & 768;
   53179     $tobool49 = ($and48 | 0) == 0;
   53180     $and53 = $style & 32;
   53181     $tobool54 = ($and53 | 0) == 0;
   53182     $cmp59 = ($mode_0 | 0) == 2;
   53183     $7 = $tmp;
   53184     $8 = $tmp72;
   53185     $9 = $tmp76;
   53186     $10 = $tmp83;
   53187     $11 = $p1;
   53188     $12 = $AF;
   53189     $i_0398 = 0;
   53190     $seg_1399 = 0;
   53191     label = 12;
   53192     break;
   53193    case 8:
   53194     $arrayidx = $AF + ($seg_0402 << 4) | 0;
   53195     $13 = $arrayidx;
   53196     HEAP32[$1 >> 2] = HEAP32[$13 >> 2] | 0;
   53197     HEAP32[$1 + 4 >> 2] = HEAP32[$13 + 4 >> 2] | 0;
   53198     HEAP32[$1 + 8 >> 2] = HEAP32[$13 + 8 >> 2] | 0;
   53199     HEAP32[$1 + 12 >> 2] = HEAP32[$13 + 12 >> 2] | 0;
   53200     $cmp8 = ($seg_0402 | 0) < ($sub | 0);
   53201     if ($cmp8) {
   53202       label = 9;
   53203       break;
   53204     } else {
   53205       label = 10;
   53206       break;
   53207     }
   53208    case 9:
   53209     $add10 = $seg_0402 + 1 | 0;
   53210     $arrayidx11 = $AF + ($add10 << 4) | 0;
   53211     $14 = $arrayidx11;
   53212     HEAP32[$2 >> 2] = HEAP32[$14 >> 2] | 0;
   53213     HEAP32[$2 + 4 >> 2] = HEAP32[$14 + 4 >> 2] | 0;
   53214     HEAP32[$2 + 8 >> 2] = HEAP32[$14 + 8 >> 2] | 0;
   53215     HEAP32[$2 + 12 >> 2] = HEAP32[$14 + 12 >> 2] | 0;
   53216     label = 11;
   53217     break;
   53218    case 10:
   53219     HEAP32[$3 >> 2] = HEAP32[$4 >> 2] | 0;
   53220     HEAP32[$3 + 4 >> 2] = HEAP32[$4 + 4 >> 2] | 0;
   53221     HEAP32[$3 + 8 >> 2] = HEAP32[$4 + 8 >> 2] | 0;
   53222     HEAP32[$3 + 12 >> 2] = HEAP32[$4 + 12 >> 2] | 0;
   53223     label = 11;
   53224     break;
   53225    case 11:
   53226     $15 = +HEAPF64[$x >> 3];
   53227     $16 = +HEAPF64[$x15 >> 3];
   53228     $sub16 = $15 - $16;
   53229     $17 = +HEAPF64[$y >> 3];
   53230     $18 = +HEAPF64[$y17 >> 3];
   53231     $sub18 = $17 - $18;
   53232     $mul19 = $sub16 * $sub16;
   53233     $mul20 = $sub18 * $sub18;
   53234     $add21 = $mul19 + $mul20;
   53235     $call22 = +Math_sqrt(+$add21);
   53236     $div = $call22 / 3.0;
   53237     $cmp23 = $rbconst_0401 < $div;
   53238     $rbconst_0_div = $cmp23 ? $rbconst_0401 : $div;
   53239     $inc = $seg_0402 + 1 | 0;
   53240     $cmp = ($inc | 0) < ($sides | 0);
   53241     if ($cmp) {
   53242       $rbconst_0401 = $rbconst_0_div;
   53243       $seg_0402 = $inc;
   53244       label = 8;
   53245       break;
   53246     } else {
   53247       label = 6;
   53248       break;
   53249     }
   53250    case 12:
   53251     $arrayidx28 = $AF + ($seg_1399 << 4) | 0;
   53252     $19 = $arrayidx28;
   53253     HEAP32[$5 >> 2] = HEAP32[$19 >> 2] | 0;
   53254     HEAP32[$5 + 4 >> 2] = HEAP32[$19 + 4 >> 2] | 0;
   53255     HEAP32[$5 + 8 >> 2] = HEAP32[$19 + 8 >> 2] | 0;
   53256     HEAP32[$5 + 12 >> 2] = HEAP32[$19 + 12 >> 2] | 0;
   53257     $cmp30 = ($seg_1399 | 0) < ($sub29 | 0);
   53258     if ($cmp30) {
   53259       label = 13;
   53260       break;
   53261     } else {
   53262       label = 14;
   53263       break;
   53264     }
   53265    case 13:
   53266     $add32 = $seg_1399 + 1 | 0;
   53267     $arrayidx33 = $AF + ($add32 << 4) | 0;
   53268     $20 = $arrayidx33;
   53269     HEAP32[$6 >> 2] = HEAP32[$20 >> 2] | 0;
   53270     HEAP32[$6 + 4 >> 2] = HEAP32[$20 + 4 >> 2] | 0;
   53271     HEAP32[$6 + 8 >> 2] = HEAP32[$20 + 8 >> 2] | 0;
   53272     HEAP32[$6 + 12 >> 2] = HEAP32[$20 + 12 >> 2] | 0;
   53273     label = 15;
   53274     break;
   53275    case 14:
   53276     HEAP32[$11 >> 2] = HEAP32[$12 >> 2] | 0;
   53277     HEAP32[$11 + 4 >> 2] = HEAP32[$12 + 4 >> 2] | 0;
   53278     HEAP32[$11 + 8 >> 2] = HEAP32[$12 + 8 >> 2] | 0;
   53279     HEAP32[$11 + 12 >> 2] = HEAP32[$12 + 12 >> 2] | 0;
   53280     label = 15;
   53281     break;
   53282    case 15:
   53283     $21 = +HEAPF64[$x37 >> 3];
   53284     $22 = +HEAPF64[$x38 >> 3];
   53285     $sub39 = $21 - $22;
   53286     $23 = +HEAPF64[$y40 >> 3];
   53287     $24 = +HEAPF64[$y41 >> 3];
   53288     $sub42 = $23 - $24;
   53289     $mul43 = $sub39 * $sub39;
   53290     $mul44 = $sub42 * $sub42;
   53291     $add45 = $mul43 + $mul44;
   53292     $call46 = +Math_sqrt(+$add45);
   53293     $div47 = $rbconst_0_div / $call46;
   53294     if ($tobool49) {
   53295       label = 17;
   53296       break;
   53297     } else {
   53298       label = 16;
   53299       break;
   53300     }
   53301    case 16:
   53302     $div51 = $div47 / 3.0;
   53303     $t_0 = $div51;
   53304     label = 19;
   53305     break;
   53306    case 17:
   53307     if ($tobool54) {
   53308       $t_0 = $div47;
   53309       label = 19;
   53310       break;
   53311     } else {
   53312       label = 18;
   53313       break;
   53314     }
   53315    case 18:
   53316     $div56 = $div47 * .5;
   53317     $t_0 = $div56;
   53318     label = 19;
   53319     break;
   53320    case 19:
   53321     $inc66 = $i_0398 + 1 | 0;
   53322     $arrayidx67 = $0 + ($i_0398 << 4) | 0;
   53323     if ($cmp59) {
   53324       label = 21;
   53325       break;
   53326     } else {
   53327       label = 20;
   53328       break;
   53329     }
   53330    case 20:
   53331     $25 = $arrayidx67;
   53332     HEAP32[$25 >> 2] = HEAP32[$5 >> 2] | 0;
   53333     HEAP32[$25 + 4 >> 2] = HEAP32[$5 + 4 >> 2] | 0;
   53334     HEAP32[$25 + 8 >> 2] = HEAP32[$5 + 8 >> 2] | 0;
   53335     HEAP32[$25 + 12 >> 2] = HEAP32[$5 + 12 >> 2] | 0;
   53336     label = 22;
   53337     break;
   53338    case 21:
   53339     $mul68 = $t_0 * .5;
   53340     _interpolate_pointf($tmp, $mul68, $p0, $p1);
   53341     $26 = $arrayidx67;
   53342     HEAP32[$26 >> 2] = HEAP32[$7 >> 2] | 0;
   53343     HEAP32[$26 + 4 >> 2] = HEAP32[$7 + 4 >> 2] | 0;
   53344     HEAP32[$26 + 8 >> 2] = HEAP32[$7 + 8 >> 2] | 0;
   53345     HEAP32[$26 + 12 >> 2] = HEAP32[$7 + 12 >> 2] | 0;
   53346     label = 22;
   53347     break;
   53348    case 22:
   53349     $inc70 = $i_0398 + 2 | 0;
   53350     $arrayidx71 = $0 + ($inc66 << 4) | 0;
   53351     _interpolate_pointf($tmp72, $t_0, $p0, $p1);
   53352     $27 = $arrayidx71;
   53353     HEAP32[$27 >> 2] = HEAP32[$8 >> 2] | 0;
   53354     HEAP32[$27 + 4 >> 2] = HEAP32[$8 + 4 >> 2] | 0;
   53355     HEAP32[$27 + 8 >> 2] = HEAP32[$8 + 8 >> 2] | 0;
   53356     HEAP32[$27 + 12 >> 2] = HEAP32[$8 + 12 >> 2] | 0;
   53357     $inc73 = $i_0398 + 3 | 0;
   53358     $arrayidx74 = $0 + ($inc70 << 4) | 0;
   53359     $sub75 = 1.0 - $t_0;
   53360     _interpolate_pointf($tmp76, $sub75, $p0, $p1);
   53361     $28 = $arrayidx74;
   53362     HEAP32[$28 >> 2] = HEAP32[$9 >> 2] | 0;
   53363     HEAP32[$28 + 4 >> 2] = HEAP32[$9 + 4 >> 2] | 0;
   53364     HEAP32[$28 + 8 >> 2] = HEAP32[$9 + 8 >> 2] | 0;
   53365     HEAP32[$28 + 12 >> 2] = HEAP32[$9 + 12 >> 2] | 0;
   53366     if ($cmp59) {
   53367       label = 23;
   53368       break;
   53369     } else {
   53370       $i_3 = $inc73;
   53371       label = 24;
   53372       break;
   53373     }
   53374    case 23:
   53375     $inc79 = $i_0398 + 4 | 0;
   53376     $arrayidx80 = $0 + ($inc73 << 4) | 0;
   53377     $mul81 = $t_0 * .5;
   53378     $sub82 = 1.0 - $mul81;
   53379     _interpolate_pointf($tmp83, $sub82, $p0, $p1);
   53380     $29 = $arrayidx80;
   53381     HEAP32[$29 >> 2] = HEAP32[$10 >> 2] | 0;
   53382     HEAP32[$29 + 4 >> 2] = HEAP32[$10 + 4 >> 2] | 0;
   53383     HEAP32[$29 + 8 >> 2] = HEAP32[$10 + 8 >> 2] | 0;
   53384     HEAP32[$29 + 12 >> 2] = HEAP32[$10 + 12 >> 2] | 0;
   53385     $i_3 = $inc79;
   53386     label = 24;
   53387     break;
   53388    case 24:
   53389     $inc86 = $seg_1399 + 1 | 0;
   53390     $cmp26 = ($inc86 | 0) < ($sides | 0);
   53391     if ($cmp26) {
   53392       $i_0398 = $i_3;
   53393       $seg_1399 = $inc86;
   53394       label = 12;
   53395       break;
   53396     } else {
   53397       $i_0_lcssa = $i_3;
   53398       label = 25;
   53399       break;
   53400     }
   53401    case 25:
   53402     $inc88 = $i_0_lcssa + 1 | 0;
   53403     $arrayidx89 = $0 + ($i_0_lcssa << 4) | 0;
   53404     $30 = $arrayidx89;
   53405     HEAP32[$30 >> 2] = HEAP32[$call >> 2] | 0;
   53406     HEAP32[$30 + 4 >> 2] = HEAP32[$call + 4 >> 2] | 0;
   53407     HEAP32[$30 + 8 >> 2] = HEAP32[$call + 8 >> 2] | 0;
   53408     HEAP32[$30 + 12 >> 2] = HEAP32[$call + 12 >> 2] | 0;
   53409     $inc91 = $i_0_lcssa + 2 | 0;
   53410     $arrayidx92 = $0 + ($inc88 << 4) | 0;
   53411     $arrayidx93 = $call + 16 | 0;
   53412     $31 = $arrayidx92;
   53413     HEAP32[$31 >> 2] = HEAP32[$arrayidx93 >> 2] | 0;
   53414     HEAP32[$31 + 4 >> 2] = HEAP32[$arrayidx93 + 4 >> 2] | 0;
   53415     HEAP32[$31 + 8 >> 2] = HEAP32[$arrayidx93 + 8 >> 2] | 0;
   53416     HEAP32[$31 + 12 >> 2] = HEAP32[$arrayidx93 + 12 >> 2] | 0;
   53417     $arrayidx95 = $0 + ($inc91 << 4) | 0;
   53418     $arrayidx96 = $call + 32 | 0;
   53419     $32 = $arrayidx95;
   53420     HEAP32[$32 >> 2] = HEAP32[$arrayidx96 >> 2] | 0;
   53421     HEAP32[$32 + 4 >> 2] = HEAP32[$arrayidx96 + 4 >> 2] | 0;
   53422     HEAP32[$32 + 8 >> 2] = HEAP32[$arrayidx96 + 8 >> 2] | 0;
   53423     HEAP32[$32 + 12 >> 2] = HEAP32[$arrayidx96 + 12 >> 2] | 0;
   53424     if (($mode_0 | 0) == 2) {
   53425       label = 26;
   53426       break;
   53427     } else if (($mode_0 | 0) == 4) {
   53428       label = 34;
   53429       break;
   53430     } else if (($mode_0 | 0) == 32) {
   53431       label = 39;
   53432       break;
   53433     } else if (($mode_0 | 0) == 64) {
   53434       label = 44;
   53435       break;
   53436     } else if (($mode_0 | 0) == 128) {
   53437       label = 49;
   53438       break;
   53439     } else if (($mode_0 | 0) == 256) {
   53440       label = 54;
   53441       break;
   53442     } else if (($mode_0 | 0) == 512) {
   53443       label = 59;
   53444       break;
   53445     } else {
   53446       label = 64;
   53447       break;
   53448     }
   53449    case 26:
   53450     $tobool97 = ($filled | 0) == 0;
   53451     if ($tobool97) {
   53452       label = 32;
   53453       break;
   53454     } else {
   53455       label = 27;
   53456       break;
   53457     }
   53458    case 27:
   53459     $mul101 = $sides << 1;
   53460     $mul102 = $sides << 5;
   53461     $call103 = _gmalloc($mul102) | 0;
   53462     $33 = $call103;
   53463     _gvrender_set_pencolor($job, $fillc);
   53464     _gvrender_set_fillcolor($job, $fillc);
   53465     $cmp105385 = ($sides | 0) > 0;
   53466     if ($cmp105385) {
   53467       $seg_2386 = 0;
   53468       $j_0387 = 0;
   53469       label = 28;
   53470       break;
   53471     } else {
   53472       label = 29;
   53473       break;
   53474     }
   53475    case 28:
   53476     $inc107379 = $j_0387 | 1;
   53477     $arrayidx108 = $33 + ($j_0387 << 4) | 0;
   53478     $mul109 = $seg_2386 << 2;
   53479     $add110377 = $mul109 | 1;
   53480     $arrayidx111 = $0 + ($add110377 << 4) | 0;
   53481     $34 = $arrayidx108;
   53482     $35 = $arrayidx111;
   53483     HEAP32[$34 >> 2] = HEAP32[$35 >> 2] | 0;
   53484     HEAP32[$34 + 4 >> 2] = HEAP32[$35 + 4 >> 2] | 0;
   53485     HEAP32[$34 + 8 >> 2] = HEAP32[$35 + 8 >> 2] | 0;
   53486     HEAP32[$34 + 12 >> 2] = HEAP32[$35 + 12 >> 2] | 0;
   53487     $inc112 = $j_0387 + 2 | 0;
   53488     $arrayidx113 = $33 + ($inc107379 << 4) | 0;
   53489     $add115378 = $mul109 | 2;
   53490     $arrayidx116 = $0 + ($add115378 << 4) | 0;
   53491     $36 = $arrayidx113;
   53492     $37 = $arrayidx116;
   53493     HEAP32[$36 >> 2] = HEAP32[$37 >> 2] | 0;
   53494     HEAP32[$36 + 4 >> 2] = HEAP32[$37 + 4 >> 2] | 0;
   53495     HEAP32[$36 + 8 >> 2] = HEAP32[$37 + 8 >> 2] | 0;
   53496     HEAP32[$36 + 12 >> 2] = HEAP32[$37 + 12 >> 2] | 0;
   53497     $inc118 = $seg_2386 + 1 | 0;
   53498     $cmp105 = ($inc118 | 0) < ($sides | 0);
   53499     if ($cmp105) {
   53500       $seg_2386 = $inc118;
   53501       $j_0387 = $inc112;
   53502       label = 28;
   53503       break;
   53504     } else {
   53505       label = 29;
   53506       break;
   53507     }
   53508    case 29:
   53509     _gvrender_polygon($job, $33, $mul101, 1);
   53510     _free($call103);
   53511     $cmp122383 = ($sides | 0) > 0;
   53512     if ($cmp122383) {
   53513       $seg_3384 = 0;
   53514       label = 31;
   53515       break;
   53516     } else {
   53517       label = 30;
   53518       break;
   53519     }
   53520    case 30:
   53521     _gvrender_set_pencolor($job, $penc);
   53522     label = 64;
   53523     break;
   53524    case 31:
   53525     $mul124 = $seg_3384 << 2;
   53526     $add_ptr_sum376 = $mul124 | 2;
   53527     $add_ptr125 = $0 + ($add_ptr_sum376 << 4) | 0;
   53528     _gvrender_beziercurve($job, $add_ptr125, 4, 0, 0, 1);
   53529     $inc127 = $seg_3384 + 1 | 0;
   53530     $cmp122 = ($inc127 | 0) < ($sides | 0);
   53531     if ($cmp122) {
   53532       $seg_3384 = $inc127;
   53533       label = 31;
   53534       break;
   53535     } else {
   53536       label = 32;
   53537       break;
   53538     }
   53539    case 32:
   53540     _gvrender_set_pencolor($job, $penc);
   53541     $cmp131381 = ($sides | 0) > 0;
   53542     if ($cmp131381) {
   53543       $seg_4382 = 0;
   53544       label = 33;
   53545       break;
   53546     } else {
   53547       label = 64;
   53548       break;
   53549     }
   53550    case 33:
   53551     $mul133 = $seg_4382 << 2;
   53552     $add_ptr134_sum374 = $mul133 | 1;
   53553     $add_ptr135 = $0 + ($add_ptr134_sum374 << 4) | 0;
   53554     _gvrender_polyline($job, $add_ptr135, 2);
   53555     $add_ptr134_sum375 = $mul133 | 2;
   53556     $add_ptr138 = $0 + ($add_ptr134_sum375 << 4) | 0;
   53557     _gvrender_beziercurve($job, $add_ptr138, 4, 0, 0, 0);
   53558     $inc140 = $seg_4382 + 1 | 0;
   53559     $cmp131 = ($inc140 | 0) < ($sides | 0);
   53560     if ($cmp131) {
   53561       $seg_4382 = $inc140;
   53562       label = 33;
   53563       break;
   53564     } else {
   53565       label = 64;
   53566       break;
   53567     }
   53568    case 34:
   53569     _gvrender_set_pencolor($job, $penc);
   53570     $tobool143 = ($filled | 0) == 0;
   53571     if ($tobool143) {
   53572       label = 36;
   53573       break;
   53574     } else {
   53575       label = 35;
   53576       break;
   53577     }
   53578    case 35:
   53579     _gvrender_set_fillcolor($job, $fillc);
   53580     label = 36;
   53581     break;
   53582    case 36:
   53583     $conv = $filled & 255;
   53584     _gvrender_polygon($job, $AF, $sides, $conv);
   53585     $cmp147389 = ($sides | 0) > 0;
   53586     if ($cmp147389) {
   53587       label = 37;
   53588       break;
   53589     } else {
   53590       label = 64;
   53591       break;
   53592     }
   53593    case 37:
   53594     $arrayidx150 = $C | 0;
   53595     $38 = $C;
   53596     $arrayidx154 = $C + 16 | 0;
   53597     $39 = $arrayidx154;
   53598     $seg_5390 = 0;
   53599     label = 38;
   53600     break;
   53601    case 38:
   53602     $mul151 = $seg_5390 * 3 & -1;
   53603     $add152 = $mul151 + 2 | 0;
   53604     $arrayidx153 = $0 + ($add152 << 4) | 0;
   53605     $40 = $arrayidx153;
   53606     HEAP32[$38 >> 2] = HEAP32[$40 >> 2] | 0;
   53607     HEAP32[$38 + 4 >> 2] = HEAP32[$40 + 4 >> 2] | 0;
   53608     HEAP32[$38 + 8 >> 2] = HEAP32[$40 + 8 >> 2] | 0;
   53609     HEAP32[$38 + 12 >> 2] = HEAP32[$40 + 12 >> 2] | 0;
   53610     $add156 = $mul151 + 4 | 0;
   53611     $arrayidx157 = $0 + ($add156 << 4) | 0;
   53612     $41 = $arrayidx157;
   53613     HEAP32[$39 >> 2] = HEAP32[$41 >> 2] | 0;
   53614     HEAP32[$39 + 4 >> 2] = HEAP32[$41 + 4 >> 2] | 0;
   53615     HEAP32[$39 + 8 >> 2] = HEAP32[$41 + 8 >> 2] | 0;
   53616     HEAP32[$39 + 12 >> 2] = HEAP32[$41 + 12 >> 2] | 0;
   53617     _gvrender_polyline($job, $arrayidx150, 2);
   53618     $inc159 = $seg_5390 + 1 | 0;
   53619     $cmp147 = ($inc159 | 0) < ($sides | 0);
   53620     if ($cmp147) {
   53621       $seg_5390 = $inc159;
   53622       label = 38;
   53623       break;
   53624     } else {
   53625       label = 64;
   53626       break;
   53627     }
   53628    case 39:
   53629     _gvrender_set_pencolor($job, $penc);
   53630     $tobool162 = ($filled | 0) == 0;
   53631     if ($tobool162) {
   53632       label = 41;
   53633       break;
   53634     } else {
   53635       label = 40;
   53636       break;
   53637     }
   53638    case 40:
   53639     _gvrender_set_fillcolor($job, $fillc);
   53640     label = 41;
   53641     break;
   53642    case 41:
   53643     $add165 = $sides + 1 | 0;
   53644     $mul166 = $add165 << 4;
   53645     $call167 = _zmalloc($mul166) | 0;
   53646     $42 = $call167;
   53647     $cmp169391 = ($sides | 0) > 1;
   53648     if ($cmp169391) {
   53649       $seg_6392 = 1;
   53650       label = 42;
   53651       break;
   53652     } else {
   53653       label = 43;
   53654       break;
   53655     }
   53656    case 42:
   53657     $arrayidx172 = $42 + ($seg_6392 << 4) | 0;
   53658     $arrayidx173 = $AF + ($seg_6392 << 4) | 0;
   53659     $43 = $arrayidx172;
   53660     $44 = $arrayidx173;
   53661     HEAP32[$43 >> 2] = HEAP32[$44 >> 2] | 0;
   53662     HEAP32[$43 + 4 >> 2] = HEAP32[$44 + 4 >> 2] | 0;
   53663     HEAP32[$43 + 8 >> 2] = HEAP32[$44 + 8 >> 2] | 0;
   53664     HEAP32[$43 + 12 >> 2] = HEAP32[$44 + 12 >> 2] | 0;
   53665     $inc175 = $seg_6392 + 1 | 0;
   53666     $cmp169 = ($inc175 | 0) < ($sides | 0);
   53667     if ($cmp169) {
   53668       $seg_6392 = $inc175;
   53669       label = 42;
   53670       break;
   53671     } else {
   53672       label = 43;
   53673       break;
   53674     }
   53675    case 43:
   53676     $45 = $sides * 3 & -1;
   53677     $add180 = $45 + 1 | 0;
   53678     $arrayidx181 = $0 + ($add180 << 4) | 0;
   53679     $46 = $arrayidx181;
   53680     HEAP32[$call167 >> 2] = HEAP32[$46 >> 2] | 0;
   53681     HEAP32[$call167 + 4 >> 2] = HEAP32[$46 + 4 >> 2] | 0;
   53682     HEAP32[$call167 + 8 >> 2] = HEAP32[$46 + 8 >> 2] | 0;
   53683     HEAP32[$call167 + 12 >> 2] = HEAP32[$46 + 12 >> 2] | 0;
   53684     $arrayidx182 = $42 + ($sides << 4) | 0;
   53685     $add185 = $45 - 1 | 0;
   53686     $arrayidx186 = $0 + ($add185 << 4) | 0;
   53687     $47 = $arrayidx182;
   53688     $48 = $arrayidx186;
   53689     HEAP32[$47 >> 2] = HEAP32[$48 >> 2] | 0;
   53690     HEAP32[$47 + 4 >> 2] = HEAP32[$48 + 4 >> 2] | 0;
   53691     HEAP32[$47 + 8 >> 2] = HEAP32[$48 + 8 >> 2] | 0;
   53692     HEAP32[$47 + 12 >> 2] = HEAP32[$48 + 12 >> 2] | 0;
   53693     $conv188 = $filled & 255;
   53694     _gvrender_polygon($job, $42, $add165, $conv188);
   53695     _free($call167);
   53696     $arrayidx190 = $C | 0;
   53697     $49 = $C;
   53698     HEAP32[$49 >> 2] = HEAP32[$48 >> 2] | 0;
   53699     HEAP32[$49 + 4 >> 2] = HEAP32[$48 + 4 >> 2] | 0;
   53700     HEAP32[$49 + 8 >> 2] = HEAP32[$48 + 8 >> 2] | 0;
   53701     HEAP32[$49 + 12 >> 2] = HEAP32[$48 + 12 >> 2] | 0;
   53702     $arrayidx194 = $C + 16 | 0;
   53703     $50 = $arrayidx194;
   53704     HEAP32[$50 >> 2] = HEAP32[$46 >> 2] | 0;
   53705     HEAP32[$50 + 4 >> 2] = HEAP32[$46 + 4 >> 2] | 0;
   53706     HEAP32[$50 + 8 >> 2] = HEAP32[$46 + 8 >> 2] | 0;
   53707     HEAP32[$50 + 12 >> 2] = HEAP32[$46 + 12 >> 2] | 0;
   53708     $x199 = $arrayidx194 | 0;
   53709     $51 = +HEAPF64[$x199 >> 3];
   53710     $x201 = $C | 0;
   53711     $52 = +HEAPF64[$x201 >> 3];
   53712     $x205 = $0 + ($45 << 4) | 0;
   53713     $53 = +HEAPF64[$x205 >> 3];
   53714     $sub206 = $52 - $53;
   53715     $add207 = $51 + $sub206;
   53716     $arrayidx208 = $C + 32 | 0;
   53717     $x209 = $arrayidx208 | 0;
   53718     HEAPF64[$x209 >> 3] = $add207;
   53719     $y211 = $C + 24 | 0;
   53720     $54 = +HEAPF64[$y211 >> 3];
   53721     $y213 = $C + 8 | 0;
   53722     $55 = +HEAPF64[$y213 >> 3];
   53723     $y217 = $0 + ($45 << 4) + 8 | 0;
   53724     $56 = +HEAPF64[$y217 >> 3];
   53725     $sub218 = $55 - $56;
   53726     $add219 = $54 + $sub218;
   53727     $y221 = $C + 40 | 0;
   53728     HEAPF64[$y221 >> 3] = $add219;
   53729     _gvrender_polyline($job, $arrayidx194, 2);
   53730     $57 = $arrayidx208;
   53731     HEAP32[$50 >> 2] = HEAP32[$57 >> 2] | 0;
   53732     HEAP32[$50 + 4 >> 2] = HEAP32[$57 + 4 >> 2] | 0;
   53733     HEAP32[$50 + 8 >> 2] = HEAP32[$57 + 8 >> 2] | 0;
   53734     HEAP32[$50 + 12 >> 2] = HEAP32[$57 + 12 >> 2] | 0;
   53735     _gvrender_polyline($job, $arrayidx190, 2);
   53736     label = 64;
   53737     break;
   53738    case 44:
   53739     _gvrender_set_pencolor($job, $penc);
   53740     $tobool228 = ($filled | 0) == 0;
   53741     if ($tobool228) {
   53742       label = 46;
   53743       break;
   53744     } else {
   53745       label = 45;
   53746       break;
   53747     }
   53748    case 45:
   53749     _gvrender_set_fillcolor($job, $fillc);
   53750     label = 46;
   53751     break;
   53752    case 46:
   53753     $add231 = $sides + 2 | 0;
   53754     $mul232 = $add231 << 4;
   53755     $call233 = _zmalloc($mul232) | 0;
   53756     $58 = $call233;
   53757     $59 = $AF;
   53758     HEAP32[$call233 >> 2] = HEAP32[$59 >> 2] | 0;
   53759     HEAP32[$call233 + 4 >> 2] = HEAP32[$59 + 4 >> 2] | 0;
   53760     HEAP32[$call233 + 8 >> 2] = HEAP32[$59 + 8 >> 2] | 0;
   53761     HEAP32[$call233 + 12 >> 2] = HEAP32[$59 + 12 >> 2] | 0;
   53762     $arrayidx236 = $call233 + 16 | 0;
   53763     HEAP32[$arrayidx236 >> 2] = HEAP32[$arrayidx96 >> 2] | 0;
   53764     HEAP32[$arrayidx236 + 4 >> 2] = HEAP32[$arrayidx96 + 4 >> 2] | 0;
   53765     HEAP32[$arrayidx236 + 8 >> 2] = HEAP32[$arrayidx96 + 8 >> 2] | 0;
   53766     HEAP32[$arrayidx236 + 12 >> 2] = HEAP32[$arrayidx96 + 12 >> 2] | 0;
   53767     $x239 = $arrayidx96;
   53768     $60 = +HEAPF64[$x239 >> 3];
   53769     $arrayidx240 = $call + 48 | 0;
   53770     $x241 = $arrayidx240;
   53771     $61 = +HEAPF64[$x241 >> 3];
   53772     $arrayidx242 = $call + 64 | 0;
   53773     $x243 = $arrayidx242;
   53774     $62 = +HEAPF64[$x243 >> 3];
   53775     $sub244 = $61 - $62;
   53776     $div245 = $sub244 / 3.0;
   53777     $add246 = $60 + $div245;
   53778     $arrayidx247 = $call233 + 32 | 0;
   53779     $x248 = $arrayidx247;
   53780     HEAPF64[$x248 >> 3] = $add246;
   53781     $y250 = $call + 40 | 0;
   53782     $63 = $y250;
   53783     $64 = +HEAPF64[$63 >> 3];
   53784     $y252 = $call + 56 | 0;
   53785     $65 = $y252;
   53786     $66 = +HEAPF64[$65 >> 3];
   53787     $y254 = $call + 72 | 0;
   53788     $67 = $y254;
   53789     $68 = +HEAPF64[$67 >> 3];
   53790     $sub255 = $66 - $68;
   53791     $div256 = $sub255 / 3.0;
   53792     $add257 = $64 + $div256;
   53793     $y259 = $call233 + 40 | 0;
   53794     $69 = $y259;
   53795     HEAPF64[$69 >> 3] = $add257;
   53796     $70 = +HEAPF64[$x241 >> 3];
   53797     $71 = +HEAPF64[$x243 >> 3];
   53798     $sub266 = $70 - $71;
   53799     $div267 = $sub266 / 3.0;
   53800     $add268 = $70 + $div267;
   53801     $arrayidx269 = $call233 + 48 | 0;
   53802     $x270 = $arrayidx269;
   53803     HEAPF64[$x270 >> 3] = $add268;
   53804     $72 = +HEAPF64[$65 >> 3];
   53805     $73 = +HEAPF64[$67 >> 3];
   53806     $sub277 = $72 - $73;
   53807     $div278 = $sub277 / 3.0;
   53808     $add279 = $72 + $div278;
   53809     $y281 = $call233 + 56 | 0;
   53810     $74 = $y281;
   53811     HEAPF64[$74 >> 3] = $add279;
   53812     $cmp284393 = ($add231 | 0) > 4;
   53813     if ($cmp284393) {
   53814       $seg_7394 = 4;
   53815       label = 47;
   53816       break;
   53817     } else {
   53818       label = 48;
   53819       break;
   53820     }
   53821    case 47:
   53822     $arrayidx287 = $58 + ($seg_7394 << 4) | 0;
   53823     $sub288 = $seg_7394 - 2 | 0;
   53824     $arrayidx289 = $AF + ($sub288 << 4) | 0;
   53825     $75 = $arrayidx287;
   53826     $76 = $arrayidx289;
   53827     HEAP32[$75 >> 2] = HEAP32[$76 >> 2] | 0;
   53828     HEAP32[$75 + 4 >> 2] = HEAP32[$76 + 4 >> 2] | 0;
   53829     HEAP32[$75 + 8 >> 2] = HEAP32[$76 + 8 >> 2] | 0;
   53830     HEAP32[$75 + 12 >> 2] = HEAP32[$76 + 12 >> 2] | 0;
   53831     $inc291 = $seg_7394 + 1 | 0;
   53832     $cmp284 = ($inc291 | 0) < ($add231 | 0);
   53833     if ($cmp284) {
   53834       $seg_7394 = $inc291;
   53835       label = 47;
   53836       break;
   53837     } else {
   53838       label = 48;
   53839       break;
   53840     }
   53841    case 48:
   53842     $conv294 = $filled & 255;
   53843     _gvrender_polygon($job, $58, $add231, $conv294);
   53844     _free($call233);
   53845     $arrayidx295 = $C | 0;
   53846     $77 = $C;
   53847     HEAP32[$77 >> 2] = HEAP32[$arrayidx240 >> 2] | 0;
   53848     HEAP32[$77 + 4 >> 2] = HEAP32[$arrayidx240 + 4 >> 2] | 0;
   53849     HEAP32[$77 + 8 >> 2] = HEAP32[$arrayidx240 + 8 >> 2] | 0;
   53850     HEAP32[$77 + 12 >> 2] = HEAP32[$arrayidx240 + 12 >> 2] | 0;
   53851     $arrayidx297 = $C + 16 | 0;
   53852     $78 = $arrayidx297;
   53853     HEAP32[$78 >> 2] = HEAP32[$arrayidx96 >> 2] | 0;
   53854     HEAP32[$78 + 4 >> 2] = HEAP32[$arrayidx96 + 4 >> 2] | 0;
   53855     HEAP32[$78 + 8 >> 2] = HEAP32[$arrayidx96 + 8 >> 2] | 0;
   53856     HEAP32[$78 + 12 >> 2] = HEAP32[$arrayidx96 + 12 >> 2] | 0;
   53857     _gvrender_polyline($job, $arrayidx295, 2);
   53858     label = 64;
   53859     break;
   53860    case 49:
   53861     _gvrender_set_pencolor($job, $penc);
   53862     $tobool301 = ($filled | 0) == 0;
   53863     if ($tobool301) {
   53864       label = 51;
   53865       break;
   53866     } else {
   53867       label = 50;
   53868       break;
   53869     }
   53870    case 50:
   53871     _gvrender_set_fillcolor($job, $fillc);
   53872     label = 51;
   53873     break;
   53874    case 51:
   53875     $add304 = $sides + 3 | 0;
   53876     $mul305 = $add304 << 4;
   53877     $call306 = _zmalloc($mul305) | 0;
   53878     $79 = $call306;
   53879     $80 = $AF;
   53880     HEAP32[$call306 >> 2] = HEAP32[$80 >> 2] | 0;
   53881     HEAP32[$call306 + 4 >> 2] = HEAP32[$80 + 4 >> 2] | 0;
   53882     HEAP32[$call306 + 8 >> 2] = HEAP32[$80 + 8 >> 2] | 0;
   53883     HEAP32[$call306 + 12 >> 2] = HEAP32[$80 + 12 >> 2] | 0;
   53884     $x310 = $AF | 0;
   53885     $81 = +HEAPF64[$x310 >> 3];
   53886     $x314 = $arrayidx93;
   53887     $82 = +HEAPF64[$x314 >> 3];
   53888     $sub315 = $81 - $82;
   53889     $div316 = $sub315 * .25;
   53890     $sub317 = $81 - $div316;
   53891     $arrayidx318 = $call306 + 16 | 0;
   53892     $x319 = $arrayidx318;
   53893     HEAPF64[$x319 >> 3] = $sub317;
   53894     $y321 = $AF + 8 | 0;
   53895     $83 = +HEAPF64[$y321 >> 3];
   53896     $arrayidx322 = $call + 48 | 0;
   53897     $y323 = $call + 56 | 0;
   53898     $84 = $y323;
   53899     $85 = +HEAPF64[$84 >> 3];
   53900     $y325 = $call + 72 | 0;
   53901     $86 = $y325;
   53902     $87 = +HEAPF64[$86 >> 3];
   53903     $sub326 = $85 - $87;
   53904     $div327 = $sub326 / 3.0;
   53905     $add328 = $83 + $div327;
   53906     $y330 = $call306 + 24 | 0;
   53907     $88 = $y330;
   53908     HEAPF64[$88 >> 3] = $add328;
   53909     $89 = +HEAPF64[$x310 >> 3];
   53910     $90 = +HEAPF64[$x314 >> 3];
   53911     $sub337 = $89 - $90;
   53912     $mul338 = $sub337 * 2.0;
   53913     $sub339 = $89 - $mul338;
   53914     $arrayidx340 = $call306 + 32 | 0;
   53915     $x341 = $arrayidx340;
   53916     HEAPF64[$x341 >> 3] = $sub339;
   53917     $91 = +HEAPF64[$88 >> 3];
   53918     $y345 = $call306 + 40 | 0;
   53919     $92 = $y345;
   53920     HEAPF64[$92 >> 3] = $91;
   53921     $93 = +HEAPF64[$x310 >> 3];
   53922     $94 = +HEAPF64[$x314 >> 3];
   53923     $sub352 = $93 - $94;
   53924     $mul353 = $sub352 * 2.25;
   53925     $sub354 = $93 - $mul353;
   53926     $arrayidx355 = $call306 + 48 | 0;
   53927     $x356 = $arrayidx355;
   53928     HEAPF64[$x356 >> 3] = $sub354;
   53929     $95 = +HEAPF64[$84 >> 3];
   53930     $y360 = $call306 + 56 | 0;
   53931     $96 = $y360;
   53932     HEAPF64[$96 >> 3] = $95;
   53933     $x362 = $arrayidx322;
   53934     $97 = +HEAPF64[$x362 >> 3];
   53935     $arrayidx363 = $call306 + 64 | 0;
   53936     $x364 = $arrayidx363;
   53937     HEAPF64[$x364 >> 3] = $97;
   53938     $98 = +HEAPF64[$84 >> 3];
   53939     $y368 = $call306 + 72 | 0;
   53940     $99 = $y368;
   53941     HEAPF64[$99 >> 3] = $98;
   53942     $cmp371395 = ($add304 | 0) > 4;
   53943     if ($cmp371395) {
   53944       $seg_8396 = 4;
   53945       label = 52;
   53946       break;
   53947     } else {
   53948       label = 53;
   53949       break;
   53950     }
   53951    case 52:
   53952     $arrayidx374 = $79 + ($seg_8396 << 4) | 0;
   53953     $sub375 = $seg_8396 - 3 | 0;
   53954     $arrayidx376 = $AF + ($sub375 << 4) | 0;
   53955     $100 = $arrayidx374;
   53956     $101 = $arrayidx376;
   53957     HEAP32[$100 >> 2] = HEAP32[$101 >> 2] | 0;
   53958     HEAP32[$100 + 4 >> 2] = HEAP32[$101 + 4 >> 2] | 0;
   53959     HEAP32[$100 + 8 >> 2] = HEAP32[$101 + 8 >> 2] | 0;
   53960     HEAP32[$100 + 12 >> 2] = HEAP32[$101 + 12 >> 2] | 0;
   53961     $inc378 = $seg_8396 + 1 | 0;
   53962     $cmp371 = ($inc378 | 0) < ($add304 | 0);
   53963     if ($cmp371) {
   53964       $seg_8396 = $inc378;
   53965       label = 52;
   53966       break;
   53967     } else {
   53968       label = 53;
   53969       break;
   53970     }
   53971    case 53:
   53972     $conv381 = $filled & 255;
   53973     _gvrender_polygon($job, $79, $add304, $conv381);
   53974     _free($call306);
   53975     label = 64;
   53976     break;
   53977    case 54:
   53978     $cmp383 = ($sides | 0) == 4;
   53979     if ($cmp383) {
   53980       label = 56;
   53981       break;
   53982     } else {
   53983       label = 55;
   53984       break;
   53985     }
   53986    case 55:
   53987     ___assert_func(100480, 562, 163904, 139704);
   53988    case 56:
   53989     _gvrender_set_pencolor($job, $penc);
   53990     $tobool388 = ($filled | 0) == 0;
   53991     if ($tobool388) {
   53992       label = 58;
   53993       break;
   53994     } else {
   53995       label = 57;
   53996       break;
   53997     }
   53998    case 57:
   53999     _gvrender_set_fillcolor($job, $fillc);
   54000     label = 58;
   54001     break;
   54002    case 58:
   54003     $add391 = $sides + 2 | 0;
   54004     $mul392 = $add391 << 4;
   54005     $call393 = _zmalloc($mul392) | 0;
   54006     $102 = $call393;
   54007     $103 = $AF;
   54008     HEAP32[$call393 >> 2] = HEAP32[$103 >> 2] | 0;
   54009     HEAP32[$call393 + 4 >> 2] = HEAP32[$103 + 4 >> 2] | 0;
   54010     HEAP32[$call393 + 8 >> 2] = HEAP32[$103 + 8 >> 2] | 0;
   54011     HEAP32[$call393 + 12 >> 2] = HEAP32[$103 + 12 >> 2] | 0;
   54012     $arrayidx396 = $call393 + 16 | 0;
   54013     HEAP32[$arrayidx396 >> 2] = HEAP32[$arrayidx96 >> 2] | 0;
   54014     HEAP32[$arrayidx396 + 4 >> 2] = HEAP32[$arrayidx96 + 4 >> 2] | 0;
   54015     HEAP32[$arrayidx396 + 8 >> 2] = HEAP32[$arrayidx96 + 8 >> 2] | 0;
   54016     HEAP32[$arrayidx396 + 12 >> 2] = HEAP32[$arrayidx96 + 12 >> 2] | 0;
   54017     $arrayidx398 = $call393 + 32 | 0;
   54018     $arrayidx399 = $call + 64 | 0;
   54019     HEAP32[$arrayidx398 >> 2] = HEAP32[$arrayidx399 >> 2] | 0;
   54020     HEAP32[$arrayidx398 + 4 >> 2] = HEAP32[$arrayidx399 + 4 >> 2] | 0;
   54021     HEAP32[$arrayidx398 + 8 >> 2] = HEAP32[$arrayidx399 + 8 >> 2] | 0;
   54022     HEAP32[$arrayidx398 + 12 >> 2] = HEAP32[$arrayidx399 + 12 >> 2] | 0;
   54023     $arrayidx400 = $call393 + 48 | 0;
   54024     $arrayidx401 = $AF + 32 | 0;
   54025     $104 = $arrayidx401;
   54026     HEAP32[$arrayidx400 >> 2] = HEAP32[$104 >> 2] | 0;
   54027     HEAP32[$arrayidx400 + 4 >> 2] = HEAP32[$104 + 4 >> 2] | 0;
   54028     HEAP32[$arrayidx400 + 8 >> 2] = HEAP32[$104 + 8 >> 2] | 0;
   54029     HEAP32[$arrayidx400 + 12 >> 2] = HEAP32[$104 + 12 >> 2] | 0;
   54030     $arrayidx402 = $call393 + 64 | 0;
   54031     $arrayidx403 = $call + 128 | 0;
   54032     HEAP32[$arrayidx402 >> 2] = HEAP32[$arrayidx403 >> 2] | 0;
   54033     HEAP32[$arrayidx402 + 4 >> 2] = HEAP32[$arrayidx403 + 4 >> 2] | 0;
   54034     HEAP32[$arrayidx402 + 8 >> 2] = HEAP32[$arrayidx403 + 8 >> 2] | 0;
   54035     HEAP32[$arrayidx402 + 12 >> 2] = HEAP32[$arrayidx403 + 12 >> 2] | 0;
   54036     $arrayidx404 = $call393 + 80 | 0;
   54037     $arrayidx405 = $call + 160 | 0;
   54038     HEAP32[$arrayidx404 >> 2] = HEAP32[$arrayidx405 >> 2] | 0;
   54039     HEAP32[$arrayidx404 + 4 >> 2] = HEAP32[$arrayidx405 + 4 >> 2] | 0;
   54040     HEAP32[$arrayidx404 + 8 >> 2] = HEAP32[$arrayidx405 + 8 >> 2] | 0;
   54041     HEAP32[$arrayidx404 + 12 >> 2] = HEAP32[$arrayidx405 + 12 >> 2] | 0;
   54042     $conv407 = $filled & 255;
   54043     _gvrender_polygon($job, $102, $add391, $conv407);
   54044     _free($call393);
   54045     $x409 = $arrayidx93;
   54046     $105 = +HEAPF64[$x409 >> 3];
   54047     $arrayidx410 = $call + 176 | 0;
   54048     $x411 = $arrayidx410;
   54049     $106 = +HEAPF64[$x411 >> 3];
   54050     $x413 = $call;
   54051     $107 = +HEAPF64[$x413 >> 3];
   54052     $sub414 = $106 - $107;
   54053     $add415 = $105 + $sub414;
   54054     $arrayidx416 = $C | 0;
   54055     $x417 = $C | 0;
   54056     HEAPF64[$x417 >> 3] = $add415;
   54057     $y419 = $call + 24 | 0;
   54058     $108 = $y419;
   54059     $109 = +HEAPF64[$108 >> 3];
   54060     $y421 = $call + 184 | 0;
   54061     $110 = $y421;
   54062     $111 = +HEAPF64[$110 >> 3];
   54063     $y423 = $call + 8 | 0;
   54064     $112 = $y423;
   54065     $113 = +HEAPF64[$112 >> 3];
   54066     $sub424 = $111 - $113;
   54067     $add425 = $109 + $sub424;
   54068     $y427 = $C + 8 | 0;
   54069     HEAPF64[$y427 >> 3] = $add425;
   54070     $arrayidx428 = $C + 16 | 0;
   54071     $114 = $arrayidx428;
   54072     HEAP32[$114 >> 2] = HEAP32[$arrayidx399 >> 2] | 0;
   54073     HEAP32[$114 + 4 >> 2] = HEAP32[$arrayidx399 + 4 >> 2] | 0;
   54074     HEAP32[$114 + 8 >> 2] = HEAP32[$arrayidx399 + 8 >> 2] | 0;
   54075     HEAP32[$114 + 12 >> 2] = HEAP32[$arrayidx399 + 12 >> 2] | 0;
   54076     _gvrender_polyline($job, $arrayidx416, 2);
   54077     HEAP32[$114 >> 2] = HEAP32[$arrayidx403 >> 2] | 0;
   54078     HEAP32[$114 + 4 >> 2] = HEAP32[$arrayidx403 + 4 >> 2] | 0;
   54079     HEAP32[$114 + 8 >> 2] = HEAP32[$arrayidx403 + 8 >> 2] | 0;
   54080     HEAP32[$114 + 12 >> 2] = HEAP32[$arrayidx403 + 12 >> 2] | 0;
   54081     _gvrender_polyline($job, $arrayidx416, 2);
   54082     HEAP32[$114 >> 2] = HEAP32[$call >> 2] | 0;
   54083     HEAP32[$114 + 4 >> 2] = HEAP32[$call + 4 >> 2] | 0;
   54084     HEAP32[$114 + 8 >> 2] = HEAP32[$call + 8 >> 2] | 0;
   54085     HEAP32[$114 + 12 >> 2] = HEAP32[$call + 12 >> 2] | 0;
   54086     _gvrender_polyline($job, $arrayidx416, 2);
   54087     label = 64;
   54088     break;
   54089    case 59:
   54090     $cmp438 = ($sides | 0) == 4;
   54091     if ($cmp438) {
   54092       label = 61;
   54093       break;
   54094     } else {
   54095       label = 60;
   54096       break;
   54097     }
   54098    case 60:
   54099     ___assert_func(100480, 588, 163904, 139704);
   54100    case 61:
   54101     _gvrender_set_pencolor($job, $penc);
   54102     $tobool443 = ($filled | 0) == 0;
   54103     if ($tobool443) {
   54104       label = 63;
   54105       break;
   54106     } else {
   54107       label = 62;
   54108       break;
   54109     }
   54110    case 62:
   54111     _gvrender_set_fillcolor($job, $fillc);
   54112     label = 63;
   54113     break;
   54114    case 63:
   54115     $add446 = $sides + 8 | 0;
   54116     $mul447 = $add446 << 4;
   54117     $call448 = _zmalloc($mul447) | 0;
   54118     $115 = $call448;
   54119     $116 = $AF;
   54120     HEAP32[$call448 >> 2] = HEAP32[$116 >> 2] | 0;
   54121     HEAP32[$call448 + 4 >> 2] = HEAP32[$116 + 4 >> 2] | 0;
   54122     HEAP32[$call448 + 8 >> 2] = HEAP32[$116 + 8 >> 2] | 0;
   54123     HEAP32[$call448 + 12 >> 2] = HEAP32[$116 + 12 >> 2] | 0;
   54124     $arrayidx451 = $call448 + 16 | 0;
   54125     $arrayidx452 = $AF + 16 | 0;
   54126     $117 = $arrayidx452;
   54127     HEAP32[$arrayidx451 >> 2] = HEAP32[$117 >> 2] | 0;
   54128     HEAP32[$arrayidx451 + 4 >> 2] = HEAP32[$117 + 4 >> 2] | 0;
   54129     HEAP32[$arrayidx451 + 8 >> 2] = HEAP32[$117 + 8 >> 2] | 0;
   54130     HEAP32[$arrayidx451 + 12 >> 2] = HEAP32[$117 + 12 >> 2] | 0;
   54131     $arrayidx453 = $call + 48 | 0;
   54132     $x454 = $arrayidx453;
   54133     $118 = +HEAPF64[$x454 >> 3];
   54134     $arrayidx455 = $call + 64 | 0;
   54135     $x456 = $arrayidx455;
   54136     $119 = +HEAPF64[$x456 >> 3];
   54137     $sub459 = $119 - $118;
   54138     $add460 = $118 + $sub459;
   54139     $arrayidx461 = $call448 + 32 | 0;
   54140     $x462 = $arrayidx461;
   54141     HEAPF64[$x462 >> 3] = $add460;
   54142     $y464 = $call + 56 | 0;
   54143     $120 = $y464;
   54144     $121 = +HEAPF64[$120 >> 3];
   54145     $y466 = $call + 72 | 0;
   54146     $122 = $y466;
   54147     $123 = +HEAPF64[$122 >> 3];
   54148     $sub469 = $123 - $121;
   54149     $add470 = $121 + $sub469;
   54150     $y472 = $call448 + 40 | 0;
   54151     $124 = $y472;
   54152     HEAPF64[$124 >> 3] = $add470;
   54153     $125 = +HEAPF64[$x462 >> 3];
   54154     $126 = +HEAPF64[$x454 >> 3];
   54155     $x478 = $arrayidx96;
   54156     $127 = +HEAPF64[$x478 >> 3];
   54157     $sub479 = $126 - $127;
   54158     $add480 = $125 + $sub479;
   54159     $arrayidx481 = $call448 + 48 | 0;
   54160     $x482 = $arrayidx481;
   54161     HEAPF64[$x482 >> 3] = $add480;
   54162     $128 = +HEAPF64[$124 >> 3];
   54163     $129 = +HEAPF64[$120 >> 3];
   54164     $y488 = $call + 40 | 0;
   54165     $130 = $y488;
   54166     $131 = +HEAPF64[$130 >> 3];
   54167     $sub489 = $129 - $131;
   54168     $add490 = $128 + $sub489;
   54169     $y492 = $call448 + 56 | 0;
   54170     $132 = $y492;
   54171     HEAPF64[$132 >> 3] = $add490;
   54172     $133 = +HEAPF64[$x482 >> 3];
   54173     $134 = +HEAPF64[$x456 >> 3];
   54174     $135 = +HEAPF64[$x454 >> 3];
   54175     $sub499 = $134 - $135;
   54176     $add500 = $133 + $sub499;
   54177     $arrayidx501 = $call448 + 64 | 0;
   54178     $x502 = $arrayidx501;
   54179     HEAPF64[$x502 >> 3] = $add500;
   54180     $136 = +HEAPF64[$132 >> 3];
   54181     $137 = +HEAPF64[$122 >> 3];
   54182     $138 = +HEAPF64[$120 >> 3];
   54183     $sub509 = $137 - $138;
   54184     $add510 = $136 + $sub509;
   54185     $y512 = $call448 + 72 | 0;
   54186     $139 = $y512;
   54187     HEAPF64[$139 >> 3] = $add510;
   54188     $140 = +HEAPF64[$x502 >> 3];
   54189     $141 = +HEAPF64[$x462 >> 3];
   54190     $142 = +HEAPF64[$x482 >> 3];
   54191     $sub519 = $141 - $142;
   54192     $add520 = $140 + $sub519;
   54193     $arrayidx521 = $call448 + 80 | 0;
   54194     $x522 = $arrayidx521;
   54195     HEAPF64[$x522 >> 3] = $add520;
   54196     $143 = +HEAPF64[$139 >> 3];
   54197     $144 = +HEAPF64[$124 >> 3];
   54198     $145 = +HEAPF64[$132 >> 3];
   54199     $sub529 = $144 - $145;
   54200     $add530 = $143 + $sub529;
   54201     $y532 = $call448 + 88 | 0;
   54202     $146 = $y532;
   54203     HEAPF64[$146 >> 3] = $add530;
   54204     $arrayidx533 = $call + 96 | 0;
   54205     $x534 = $arrayidx533;
   54206     $147 = +HEAPF64[$x534 >> 3];
   54207     $arrayidx535 = $call + 80 | 0;
   54208     $x536 = $arrayidx535;
   54209     $148 = +HEAPF64[$x536 >> 3];
   54210     $sub539 = $148 - $147;
   54211     $add540 = $147 + $sub539;
   54212     $arrayidx541 = $call448 + 144 | 0;
   54213     $x542 = $arrayidx541;
   54214     HEAPF64[$x542 >> 3] = $add540;
   54215     $y544 = $call + 104 | 0;
   54216     $149 = $y544;
   54217     $150 = +HEAPF64[$149 >> 3];
   54218     $y546 = $call + 88 | 0;
   54219     $151 = $y546;
   54220     $152 = +HEAPF64[$151 >> 3];
   54221     $sub549 = $152 - $150;
   54222     $add550 = $150 + $sub549;
   54223     $y552 = $call448 + 152 | 0;
   54224     $153 = $y552;
   54225     HEAPF64[$153 >> 3] = $add550;
   54226     $154 = +HEAPF64[$x542 >> 3];
   54227     $155 = +HEAPF64[$x534 >> 3];
   54228     $arrayidx557 = $call + 112 | 0;
   54229     $x558 = $arrayidx557;
   54230     $156 = +HEAPF64[$x558 >> 3];
   54231     $sub559 = $155 - $156;
   54232     $add560 = $154 + $sub559;
   54233     $arrayidx561 = $call448 + 128 | 0;
   54234     $x562 = $arrayidx561;
   54235     HEAPF64[$x562 >> 3] = $add560;
   54236     $157 = +HEAPF64[$153 >> 3];
   54237     $158 = +HEAPF64[$149 >> 3];
   54238     $y568 = $call + 120 | 0;
   54239     $159 = $y568;
   54240     $160 = +HEAPF64[$159 >> 3];
   54241     $sub569 = $158 - $160;
   54242     $add570 = $157 + $sub569;
   54243     $y572 = $call448 + 136 | 0;
   54244     $161 = $y572;
   54245     HEAPF64[$161 >> 3] = $add570;
   54246     $162 = +HEAPF64[$x562 >> 3];
   54247     $163 = +HEAPF64[$x536 >> 3];
   54248     $164 = +HEAPF64[$x534 >> 3];
   54249     $sub579 = $163 - $164;
   54250     $add580 = $162 + $sub579;
   54251     $arrayidx581 = $call448 + 112 | 0;
   54252     $x582 = $arrayidx581;
   54253     HEAPF64[$x582 >> 3] = $add580;
   54254     $165 = +HEAPF64[$161 >> 3];
   54255     $166 = +HEAPF64[$151 >> 3];
   54256     $167 = +HEAPF64[$149 >> 3];
   54257     $sub589 = $166 - $167;
   54258     $add590 = $165 + $sub589;
   54259     $y592 = $call448 + 120 | 0;
   54260     $168 = $y592;
   54261     HEAPF64[$168 >> 3] = $add590;
   54262     $169 = +HEAPF64[$x582 >> 3];
   54263     $170 = +HEAPF64[$x542 >> 3];
   54264     $171 = +HEAPF64[$x562 >> 3];
   54265     $sub599 = $170 - $171;
   54266     $add600 = $169 + $sub599;
   54267     $arrayidx601 = $call448 + 96 | 0;
   54268     $x602 = $arrayidx601;
   54269     HEAPF64[$x602 >> 3] = $add600;
   54270     $172 = +HEAPF64[$168 >> 3];
   54271     $173 = +HEAPF64[$153 >> 3];
   54272     $174 = +HEAPF64[$161 >> 3];
   54273     $sub609 = $173 - $174;
   54274     $add610 = $172 + $sub609;
   54275     $y612 = $call448 + 104 | 0;
   54276     $175 = $y612;
   54277     HEAPF64[$175 >> 3] = $add610;
   54278     $arrayidx613 = $call448 + 160 | 0;
   54279     $arrayidx614 = $AF + 32 | 0;
   54280     $176 = $arrayidx614;
   54281     HEAP32[$arrayidx613 >> 2] = HEAP32[$176 >> 2] | 0;
   54282     HEAP32[$arrayidx613 + 4 >> 2] = HEAP32[$176 + 4 >> 2] | 0;
   54283     HEAP32[$arrayidx613 + 8 >> 2] = HEAP32[$176 + 8 >> 2] | 0;
   54284     HEAP32[$arrayidx613 + 12 >> 2] = HEAP32[$176 + 12 >> 2] | 0;
   54285     $arrayidx615 = $call448 + 176 | 0;
   54286     $arrayidx616 = $AF + 48 | 0;
   54287     $177 = $arrayidx616;
   54288     HEAP32[$arrayidx615 >> 2] = HEAP32[$177 >> 2] | 0;
   54289     HEAP32[$arrayidx615 + 4 >> 2] = HEAP32[$177 + 4 >> 2] | 0;
   54290     HEAP32[$arrayidx615 + 8 >> 2] = HEAP32[$177 + 8 >> 2] | 0;
   54291     HEAP32[$arrayidx615 + 12 >> 2] = HEAP32[$177 + 12 >> 2] | 0;
   54292     $conv618 = $filled & 255;
   54293     _gvrender_polygon($job, $115, $add446, $conv618);
   54294     $arrayidx619 = $C | 0;
   54295     $178 = $C;
   54296     HEAP32[$178 >> 2] = HEAP32[$arrayidx461 >> 2] | 0;
   54297     HEAP32[$178 + 4 >> 2] = HEAP32[$arrayidx461 + 4 >> 2] | 0;
   54298     HEAP32[$178 + 8 >> 2] = HEAP32[$arrayidx461 + 8 >> 2] | 0;
   54299     HEAP32[$178 + 12 >> 2] = HEAP32[$arrayidx461 + 12 >> 2] | 0;
   54300     $179 = +HEAPF64[$x462 >> 3];
   54301     $180 = +HEAPF64[$x482 >> 3];
   54302     $sub627 = $180 - $179;
   54303     $sub628 = $179 - $sub627;
   54304     $x630 = $C + 16 | 0;
   54305     HEAPF64[$x630 >> 3] = $sub628;
   54306     $181 = +HEAPF64[$124 >> 3];
   54307     $182 = +HEAPF64[$132 >> 3];
   54308     $sub637 = $182 - $181;
   54309     $sub638 = $181 - $sub637;
   54310     $y640 = $C + 24 | 0;
   54311     HEAPF64[$y640 >> 3] = $sub638;
   54312     $183 = +HEAPF64[$x630 >> 3];
   54313     $184 = +HEAPF64[$x502 >> 3];
   54314     $185 = +HEAPF64[$x482 >> 3];
   54315     $sub647 = $184 - $185;
   54316     $add648 = $183 + $sub647;
   54317     $x650 = $C + 32 | 0;
   54318     HEAPF64[$x650 >> 3] = $add648;
   54319     $186 = +HEAPF64[$y640 >> 3];
   54320     $187 = +HEAPF64[$139 >> 3];
   54321     $188 = +HEAPF64[$132 >> 3];
   54322     $sub657 = $187 - $188;
   54323     $add658 = $186 + $sub657;
   54324     $y660 = $C + 40 | 0;
   54325     HEAPF64[$y660 >> 3] = $add658;
   54326     $arrayidx661 = $C + 48 | 0;
   54327     $189 = $arrayidx661;
   54328     HEAP32[$189 >> 2] = HEAP32[$arrayidx521 >> 2] | 0;
   54329     HEAP32[$189 + 4 >> 2] = HEAP32[$arrayidx521 + 4 >> 2] | 0;
   54330     HEAP32[$189 + 8 >> 2] = HEAP32[$arrayidx521 + 8 >> 2] | 0;
   54331     HEAP32[$189 + 12 >> 2] = HEAP32[$arrayidx521 + 12 >> 2] | 0;
   54332     _gvrender_polyline($job, $arrayidx619, 4);
   54333     HEAP32[$178 >> 2] = HEAP32[$arrayidx601 >> 2] | 0;
   54334     HEAP32[$178 + 4 >> 2] = HEAP32[$arrayidx601 + 4 >> 2] | 0;
   54335     HEAP32[$178 + 8 >> 2] = HEAP32[$arrayidx601 + 8 >> 2] | 0;
   54336     HEAP32[$178 + 12 >> 2] = HEAP32[$arrayidx601 + 12 >> 2] | 0;
   54337     $190 = +HEAPF64[$x602 >> 3];
   54338     $191 = +HEAPF64[$x582 >> 3];
   54339     $sub672 = $191 - $190;
   54340     $sub673 = $190 - $sub672;
   54341     HEAPF64[$x630 >> 3] = $sub673;
   54342     $192 = +HEAPF64[$175 >> 3];
   54343     $193 = +HEAPF64[$168 >> 3];
   54344     $sub682 = $193 - $192;
   54345     $sub683 = $192 - $sub682;
   54346     HEAPF64[$y640 >> 3] = $sub683;
   54347     $194 = +HEAPF64[$x630 >> 3];
   54348     $195 = +HEAPF64[$x562 >> 3];
   54349     $196 = +HEAPF64[$x582 >> 3];
   54350     $sub692 = $195 - $196;
   54351     $add693 = $194 + $sub692;
   54352     HEAPF64[$x650 >> 3] = $add693;
   54353     $197 = +HEAPF64[$y640 >> 3];
   54354     $198 = +HEAPF64[$161 >> 3];
   54355     $199 = +HEAPF64[$168 >> 3];
   54356     $sub702 = $198 - $199;
   54357     $add703 = $197 + $sub702;
   54358     HEAPF64[$y660 >> 3] = $add703;
   54359     HEAP32[$189 >> 2] = HEAP32[$arrayidx541 >> 2] | 0;
   54360     HEAP32[$189 + 4 >> 2] = HEAP32[$arrayidx541 + 4 >> 2] | 0;
   54361     HEAP32[$189 + 8 >> 2] = HEAP32[$arrayidx541 + 8 >> 2] | 0;
   54362     HEAP32[$189 + 12 >> 2] = HEAP32[$arrayidx541 + 12 >> 2] | 0;
   54363     _gvrender_polyline($job, $arrayidx619, 4);
   54364     _free($call448);
   54365     label = 64;
   54366     break;
   54367    case 64:
   54368     _free($call);
   54369     STACKTOP = __stackBase__;
   54370     return;
   54371   }
   54372 }
   54373 function _poly_init($n) {
   54374   $n = $n | 0;
   54375   var $marginx = 0, $marginy = 0, $tmp = 0, $tmp321 = 0, $call = 0, $shape = 0, $0 = 0, $polygon = 0, $1 = 0, $regular1 = 0, $2 = 0, $peripheries5 = 0, $3 = 0, $sides9 = 0, $4 = 0, $orientation13 = 0, $5 = 0.0, $skew17 = 0, $6 = 0.0, $distortion21 = 0, $7 = 0.0, $8 = 0, $call22 = 0, $call23 = 0, $conv = 0, $or = 0, $tobool = 0, $call24 = 0.0, $cmp = 0, $width28 = 0, $9 = 0.0, $height30 = 0, $10 = 0.0, $cmp31 = 0, $cond = 0.0, $mul = 0.0, $cmp33 = 0, $add = 0.0, $sub = 0.0, $add_sink = 0.0, $conv43 = 0, $conv55 = 0.0, $width58 = 0, $11 = 0.0, $mul59 = 0.0, $cmp60 = 0, $add66 = 0.0, $sub72 = 0.0, $add66_sink = 0.0, $conv67 = 0, $conv76 = 0.0, $height78 = 0, $12 = 0.0, $mul79 = 0.0, $cmp80 = 0, $add86 = 0.0, $sub92 = 0.0, $add86_sink = 0.0, $conv87 = 0, $conv96 = 0.0, $width_0 = 0.0, $height_0 = 0.0, $13 = 0, $call98 = 0, $14 = 0, $call99 = 0.0, $add100 = 0.0, $cmp101 = 0, $15 = 0, $call104 = 0.0, $16 = 0, $call105 = 0, $17 = 0, $call106 = 0.0, $distortion_0 = 0.0, $skew_0 = 0.0, $sides_0 = 0, $label = 0, $18 = 0, $dimen_sroa_0_0__idx = 0, $dimen_sroa_0_0_copyload = 0.0, $dimen_sroa_1_8__idx336 = 0, $dimen_sroa_1_8_copyload = 0.0, $conv110 = 0, $ispos = 0, $neg = 0, $call111 = 0, $cmp112 = 0, $ispos389 = 0, $neg390 = 0, $call117 = 0, $conv118 = 0.0, $add119 = 0.0, $conv120 = 0, $tobool121 = 0, $sub127 = 0.0, $conv128 = 0, $tobool129 = 0, $conv130 = 0, $ispos391 = 0, $neg392 = 0, $call131 = 0, $cmp132 = 0, $ispos393 = 0, $neg394 = 0, $call137 = 0, $conv138 = 0.0, $add139 = 0.0, $conv140 = 0, $tobool141 = 0, $sub147 = 0.0, $conv148 = 0, $tobool149 = 0, $call151 = 0, $tobool152 = 0, $call154 = 0, $19 = 0.0, $cmp155 = 0, $20 = 0.0, $cmp159 = 0, $cmp163 = 0, $21 = 0.0, $mul166 = 0.0, $cmp167 = 0, $add171 = 0.0, $sub175 = 0.0, $add171_sink = 0.0, $conv172 = 0, $mul179 = 0, $conv180 = 0.0, $add182 = 0.0, $cmp183 = 0, $22 = 0.0, $mul186 = 0.0, $cmp187 = 0, $add191 = 0.0, $sub195 = 0.0, $add191_sink = 0.0, $conv192 = 0, $mul199 = 0, $conv200 = 0.0, $add202 = 0.0, $23 = 0.0, $mul204 = 0.0, $cmp205 = 0, $add209 = 0.0, $sub213 = 0.0, $add209_sink = 0.0, $conv210 = 0, $mul217 = 0, $conv218 = 0.0, $add220 = 0.0, $add224 = 0.0, $add226 = 0.0, $add230 = 0.0, $add232 = 0.0, $dimen_sroa_0_0 = 0.0, $dimen_sroa_1_0 = 0.0, $24 = 0, $x239 = 0, $25 = 0.0, $sub240 = 0.0, $graph = 0, $26 = 0, $drawing = 0, $27 = 0, $quantum = 0, $28 = 0.0, $cmp242 = 0, $mul245 = 0.0, $cmp246 = 0, $add250 = 0.0, $sub254 = 0.0, $add250_sink = 0.0, $conv251 = 0, $conv258 = 0.0, $call260 = 0.0, $call263 = 0.0, $dimen_sroa_0_1 = 0.0, $dimen_sroa_1_1 = 0.0, $29 = 0, $usershape = 0, $30 = 0, $tobool270 = 0, $name = 0, $31 = 0, $32 = 0, $cmp276 = 0, $call281 = 0, $tobool282 = 0, $call284 = 0, $33 = 0, $imagesize_sroa_0_0__idx262 = 0, $imagesize_sroa_0_0_copyload263 = 0, $imagesize_sroa_1_4__idx272 = 0, $imagesize_sroa_1_4_copyload273 = 0, $cmp287 = 0, $cmp291 = 0, $or_cond = 0, $tobool294 = 0, $cond298 = 0, $name299 = 0, $34 = 0, $call300 = 0, $35 = 0, $has_images = 0, $add307 = 0, $add309 = 0, $call313 = 0, $tobool314 = 0, $36 = 0, $cmp317 = 0, $37 = 0, $imagesize_sroa_0_0__idx = 0, $imagesize_sroa_0_0_copyload = 0, $imagesize_sroa_1_4__idx269 = 0, $imagesize_sroa_1_4_copyload = 0, $cmp323 = 0, $cmp327 = 0, $or_cond385 = 0, $cond334 = 0, $name335 = 0, $38 = 0, $call336 = 0, $39 = 0, $has_images342 = 0, $add344 = 0, $add346 = 0, $imagesize_sroa_0_0 = 0, $imagesize_sroa_1_0 = 0, $conv352 = 0.0, $cmp353 = 0, $dimen_sroa_0_1_conv352 = 0.0, $conv365 = 0.0, $cmp366 = 0, $cond374 = 0.0, $cmp376 = 0, $cmp379 = 0, $cmp382 = 0, $or_cond386 = 0, $_sides_0 = 0, $sides_1 = 0, $call386 = 0, $tobool387 = 0, $40 = 0, $41 = 0, $42 = 0, $valign = 0, $43 = 0, $valign404 = 0, $cmp406 = 0, $cmp409 = 0, $add412 = 0.0, $sub415 = 0.0, $add412_sink = 0.0, $conv413 = 0, $rem = 0, $cmp419 = 0, $cmp422 = 0, $or_cond387 = 0, $cmp424 = 0, $or_cond395 = 0, $mul430 = 0.0, $cmp431 = 0, $44 = 0, $valign436 = 0, $45 = 0, $cmp438 = 0, $div = 0.0, $mul444 = 0.0, $sub445 = 0.0, $div446 = 0.0, $call447 = 0.0, $call447_pn = 0.0, $bb_sroa_1_0 = 0.0, $bb_sroa_0_0 = 0.0, $cmp455 = 0, $conv458 = 0.0, $div459 = 0.0, $call460 = 0.0, $div462 = 0.0, $div464 = 0.0, $46 = 0, $bb_sroa_0_1 = 0.0, $bb_sroa_1_1 = 0.0, $47 = 0, $call467 = 0, $call468 = 0, $tobool469 = 0, $cmp472 = 0, $cmp476 = 0, $or_cond388 = 0, $name479 = 0, $48 = 0, $49 = 0, $name481 = 0, $50 = 0, $call482 = 0, $cmp488 = 0, $width_0_bb_sroa_0_1 = 0.0, $cmp497 = 0, $cond503 = 0.0, $width_1 = 0.0, $height_1 = 0.0, $cmp510 = 0, $width_1_height_1 = 0.0, $width_2 = 0.0, $height_2 = 0.0, $51 = 0, $call521 = 0, $call522 = 0, $tobool523 = 0, $cmp529 = 0, $dimen_sroa_0_1_width_2 = 0.0, $sub537 = 0.0, $52 = 0, $x540 = 0, $cmp544 = 0, $mul550 = 0.0, $mul553 = 0.0, $div554 = 0.0, $sub555 = 0.0, $call556 = 0.0, $mul557 = 0.0, $cmp559 = 0, $dimen_sroa_0_1_mul557 = 0.0, $sub566 = 0.0, $53 = 0, $x570 = 0, $sub573 = 0.0, $54 = 0, $x577 = 0, $sub582 = 0.0, $55 = 0, $x586 = 0, $sub590 = 0.0, $cmp594 = 0, $sub600 = 0.0, $add601 = 0.0, $temp_0 = 0.0, $add604 = 0.0, $56 = 0, $y608 = 0, $cmp609 = 0, $_call98 = 0, $cmp613 = 0, $mul617 = 0, $call618 = 0, $57 = 0, $div620 = 0.0, $div623 = 0.0, $sub626 = 0.0, $x628 = 0, $sub630 = 0.0, $y632 = 0, $58 = 0, $P_sroa_0_0__idx229 = 0, $59 = 0, $P_sroa_1_8__idx254 = 0, $60 = 0, $cmp634 = 0, $j_0400 = 0, $i_0399 = 0, $P_sroa_1_0398 = 0.0, $P_sroa_0_0397 = 0.0, $add640 = 0.0, $add642 = 0.0, $sub644 = 0.0, $x646 = 0, $sub648 = 0.0, $y650 = 0, $inc384 = 0, $x653 = 0, $y656 = 0, $inc657 = 0, $inc658 = 0, $cmp637 = 0, $mul660 = 0.0, $mul663 = 0.0, $mul667 = 0, $mul668 = 0, $call669 = 0, $61 = 0, $conv670 = 0.0, $div671 = 0.0, $div672 = 0.0, $call673 = 0.0, $call674 = 0.0, $call675 = 0.0, $add676 = 0.0, $call677 = 0.0, $mul678 = 0.0, $call680 = 0.0, $div681 = 0.0, $div682 = 0.0, $sub683 = 0.0, $div684 = 0.0, $call685 = 0.0, $call686 = 0.0, $mul687 = 0.0, $mul689 = 0.0, $sub691 = 0.0, $div692 = 0.0, $add693 = 0.0, $div718 = 0.0, $mul719 = 0.0, $R_sroa_0_0 = 0.0, $R_sroa_1_0 = 0.0, $angle_0 = 0.0, $xmax_0 = 0.0, $ymax_0 = 0.0, $i_1 = 0, $cmp695 = 0, $add698 = 0.0, $call699 = 0.0, $call700 = 0.0, $mul701 = 0.0, $add703 = 0.0, $mul704 = 0.0, $add706 = 0.0, $mul709 = 0.0, $add710 = 0.0, $mul711 = 0.0, $mul713 = 0.0, $add714 = 0.0, $call722 = 0.0, $add723 = 0.0, $call724 = 0.0, $call725 = 0.0, $call728 = 0.0, $mul732 = 0.0, $mul734 = 0.0, $mul737 = 0.0, $mul740 = 0.0, $call742 = 0.0, $cmp743 = 0, $call742_xmax_0 = 0.0, $call752 = 0.0, $cmp753 = 0, $cond760 = 0.0, $P_sroa_0_0__idx218 = 0, $P_sroa_1_8__idx242 = 0, $inc788 = 0, $sub765 = 0.0, $arrayidx766 = 0, $x767 = 0, $y770 = 0, $62 = 0, $arrayidx773 = 0, $x774 = 0, $sub776 = 0.0, $y778 = 0, $63 = 0, $arrayidx780 = 0, $x781 = 0, $y785 = 0, $64 = 0, $xmax_1 = 0.0, $ymax_1 = 0.0, $mul790 = 0.0, $mul791 = 0.0, $cmp792 = 0, $cond797 = 0.0, $cmp799 = 0, $cond804 = 0.0, $div807 = 0.0, $div809 = 0.0, $cmp811417 = 0, $i_2418 = 0, $P_sroa_0_0__idx213 = 0, $P_sroa_0_0_copyload214 = 0.0, $P_sroa_1_8__idx237 = 0, $P_sroa_1_8_copyload238 = 0.0, $mul816 = 0.0, $mul818 = 0.0, $inc821 = 0, $cmp811 = 0, $cmp823 = 0, $sub826 = 0, $R_sroa_0_0__idx182 = 0, $R_sroa_0_0_copyload183 = 0.0, $R_sroa_1_8__idx190 = 0, $65 = 0, $R_sroa_1_8_copyload191 = 0.0, $cmp837412 = 0, $Q_sroa_1_8__idx207 = 0, $Q_sroa_0_0__idx200 = 0, $Q_sroa_1_8_copyload208 = 0.0, $Q_sroa_0_0_copyload201 = 0.0, $sub834 = 0.0, $sub831 = 0.0, $call835 = 0.0, $cmp862408 = 0, $cmp837 = 0, $cmp879402 = 0, $sub882 = 0, $mul883 = 0, $i_3416 = 0, $R_sroa_1_1415 = 0.0, $R_sroa_0_1414 = 0.0, $beta_0413 = 0.0, $add840 = 0, $66 = 0, $tmp421 = 0, $R_sroa_0_0__idx = 0, $R_sroa_0_0_copyload = 0.0, $R_sroa_1_8__idx186 = 0, $R_sroa_1_8_copyload = 0.0, $sub845 = 0.0, $sub848 = 0.0, $call849 = 0.0, $add850 = 0.0, $sub851 = 0.0, $div852 = 0.0, $call853 = 0.0, $div854 = 0.0, $sub855 = 0.0, $call856 = 0.0, $call858 = 0.0, $mul859 = 0.0, $mul860 = 0.0, $j_1411 = 0, $Q_sroa_1_1410 = 0.0, $Q_sroa_0_1409 = 0.0, $add866 = 0.0, $add868 = 0.0, $mul869 = 0, $add870 = 0, $Q_sroa_0_0__idx = 0, $Q_sroa_1_8__idx202 = 0, $inc873 = 0, $cmp862 = 0, $bb_sroa_1_4405 = 0.0, $i_4404 = 0, $bb_sroa_0_4403 = 0.0, $add884 = 0, $P_sroa_0_0__idx = 0, $P_sroa_0_0_copyload = 0.0, $P_sroa_1_8__idx233 = 0, $P_sroa_1_8_copyload = 0.0, $call887 = 0.0, $mul888 = 0.0, $cmp890 = 0, $mul888_bb_sroa_0_4 = 0.0, $call902 = 0.0, $mul903 = 0.0, $cmp905 = 0, $cond914 = 0.0, $inc917 = 0, $cmp879 = 0, $vertices_0 = 0, $bb_sroa_0_5 = 0.0, $sides_2 = 0, $bb_sroa_1_5 = 0.0, $regular921 = 0, $peripheries922 = 0, $67 = 0, $sides923 = 0, $68 = 0, $orientation924 = 0, $69 = 0, $skew925 = 0, $70 = 0, $distortion926 = 0, $71 = 0, $vertices927 = 0, $72 = 0, $div929 = 0.0, $width931 = 0, $div933 = 0.0, $height935 = 0, $shape_info = 0, label = 0, __stackBase__ = 0;
   54376   __stackBase__ = STACKTOP;
   54377   STACKTOP = STACKTOP + 32 | 0;
   54378   label = 2;
   54379   while (1) switch (label | 0) {
   54380    case 2:
   54381     $marginx = __stackBase__ | 0;
   54382     $marginy = __stackBase__ + 8 | 0;
   54383     $tmp = __stackBase__ + 16 | 0;
   54384     $tmp321 = __stackBase__ + 24 | 0;
   54385     $call = _zmalloc(48) | 0;
   54386     $shape = $n + 24 | 0;
   54387     $0 = HEAP32[$shape >> 2] | 0;
   54388     $polygon = $0 + 8 | 0;
   54389     $1 = HEAP32[$polygon >> 2] | 0;
   54390     $regular1 = $1 | 0;
   54391     $2 = HEAP32[$regular1 >> 2] | 0;
   54392     $peripheries5 = $1 + 4 | 0;
   54393     $3 = HEAP32[$peripheries5 >> 2] | 0;
   54394     $sides9 = $1 + 8 | 0;
   54395     $4 = HEAP32[$sides9 >> 2] | 0;
   54396     $orientation13 = $1 + 16 | 0;
   54397     $5 = +HEAPF64[$orientation13 >> 3];
   54398     $skew17 = $1 + 32 | 0;
   54399     $6 = +HEAPF64[$skew17 >> 3];
   54400     $distortion21 = $1 + 24 | 0;
   54401     $7 = +HEAPF64[$distortion21 >> 3];
   54402     $8 = $n | 0;
   54403     $call22 = _agget($8, 98792) | 0;
   54404     $call23 = _mapbool($call22) | 0;
   54405     $conv = $call23 & 255;
   54406     $or = $conv | $2;
   54407     $tobool = ($or | 0) != 0;
   54408     if ($tobool) {
   54409       label = 3;
   54410       break;
   54411     } else {
   54412       label = 8;
   54413       break;
   54414     }
   54415    case 3:
   54416     $call24 = +_userSize($n);
   54417     $cmp = $call24 > 0.0;
   54418     if ($cmp) {
   54419       $height_0 = $call24;
   54420       $width_0 = $call24;
   54421       label = 15;
   54422       break;
   54423     } else {
   54424       label = 4;
   54425       break;
   54426     }
   54427    case 4:
   54428     $width28 = $n + 48 | 0;
   54429     $9 = +HEAPF64[$width28 >> 3];
   54430     $height30 = $n + 56 | 0;
   54431     $10 = +HEAPF64[$height30 >> 3];
   54432     $cmp31 = $9 < $10;
   54433     $cond = $cmp31 ? $9 : $10;
   54434     $mul = $cond * 72.0;
   54435     $cmp33 = $mul < 0.0;
   54436     if ($cmp33) {
   54437       label = 6;
   54438       break;
   54439     } else {
   54440       label = 5;
   54441       break;
   54442     }
   54443    case 5:
   54444     $add = $mul + .5;
   54445     $add_sink = $add;
   54446     label = 7;
   54447     break;
   54448    case 6:
   54449     $sub = $mul + -.5;
   54450     $add_sink = $sub;
   54451     label = 7;
   54452     break;
   54453    case 7:
   54454     $conv43 = ~~$add_sink;
   54455     $conv55 = +($conv43 | 0);
   54456     $height_0 = $conv55;
   54457     $width_0 = $conv55;
   54458     label = 15;
   54459     break;
   54460    case 8:
   54461     $width58 = $n + 48 | 0;
   54462     $11 = +HEAPF64[$width58 >> 3];
   54463     $mul59 = $11 * 72.0;
   54464     $cmp60 = $mul59 < 0.0;
   54465     if ($cmp60) {
   54466       label = 10;
   54467       break;
   54468     } else {
   54469       label = 9;
   54470       break;
   54471     }
   54472    case 9:
   54473     $add66 = $mul59 + .5;
   54474     $add66_sink = $add66;
   54475     label = 11;
   54476     break;
   54477    case 10:
   54478     $sub72 = $mul59 + -.5;
   54479     $add66_sink = $sub72;
   54480     label = 11;
   54481     break;
   54482    case 11:
   54483     $conv67 = ~~$add66_sink;
   54484     $conv76 = +($conv67 | 0);
   54485     $height78 = $n + 56 | 0;
   54486     $12 = +HEAPF64[$height78 >> 3];
   54487     $mul79 = $12 * 72.0;
   54488     $cmp80 = $mul79 < 0.0;
   54489     if ($cmp80) {
   54490       label = 13;
   54491       break;
   54492     } else {
   54493       label = 12;
   54494       break;
   54495     }
   54496    case 12:
   54497     $add86 = $mul79 + .5;
   54498     $add86_sink = $add86;
   54499     label = 14;
   54500     break;
   54501    case 13:
   54502     $sub92 = $mul79 + -.5;
   54503     $add86_sink = $sub92;
   54504     label = 14;
   54505     break;
   54506    case 14:
   54507     $conv87 = ~~$add86_sink;
   54508     $conv96 = +($conv87 | 0);
   54509     $height_0 = $conv96;
   54510     $width_0 = $conv76;
   54511     label = 15;
   54512     break;
   54513    case 15:
   54514     $13 = HEAP32[41574] | 0;
   54515     $call98 = _late_int($8, $13, $3, 0) | 0;
   54516     $14 = HEAP32[41578] | 0;
   54517     $call99 = +_late_double($8, $14, 0.0, -360.0);
   54518     $add100 = $5 + $call99;
   54519     $cmp101 = ($4 | 0) == 0;
   54520     if ($cmp101) {
   54521       label = 16;
   54522       break;
   54523     } else {
   54524       $sides_0 = $4;
   54525       $skew_0 = $6;
   54526       $distortion_0 = $7;
   54527       label = 17;
   54528       break;
   54529     }
   54530    case 16:
   54531     $15 = HEAP32[41562] | 0;
   54532     $call104 = +_late_double($8, $15, 0.0, -100.0);
   54533     $16 = HEAP32[41564] | 0;
   54534     $call105 = _late_int($8, $16, 4, 0) | 0;
   54535     $17 = HEAP32[41608] | 0;
   54536     $call106 = +_late_double($8, $17, 0.0, -100.0);
   54537     $sides_0 = $call105;
   54538     $skew_0 = $call104;
   54539     $distortion_0 = $call106;
   54540     label = 17;
   54541     break;
   54542    case 17:
   54543     $label = $n + 120 | 0;
   54544     $18 = HEAP32[$label >> 2] | 0;
   54545     $dimen_sroa_0_0__idx = $18 + 24 | 0;
   54546     $dimen_sroa_0_0_copyload = +HEAPF64[$dimen_sroa_0_0__idx >> 3];
   54547     $dimen_sroa_1_8__idx336 = $18 + 32 | 0;
   54548     $dimen_sroa_1_8_copyload = +HEAPF64[$dimen_sroa_1_8__idx336 >> 3];
   54549     $conv110 = ~~$dimen_sroa_0_0_copyload;
   54550     $ispos = ($conv110 | 0) > -1;
   54551     $neg = -$conv110 | 0;
   54552     $call111 = $ispos ? $conv110 : $neg;
   54553     $cmp112 = ($call111 | 0) > -1;
   54554     $ispos389 = ($conv110 | 0) > -1;
   54555     $neg390 = -$conv110 | 0;
   54556     $call117 = $ispos389 ? $conv110 : $neg390;
   54557     $conv118 = +($call117 | 0);
   54558     if ($cmp112) {
   54559       label = 18;
   54560       break;
   54561     } else {
   54562       label = 19;
   54563       break;
   54564     }
   54565    case 18:
   54566     $add119 = $conv118 + .5;
   54567     $conv120 = ~~$add119;
   54568     $tobool121 = ($conv120 | 0) == 0;
   54569     if ($tobool121) {
   54570       label = 20;
   54571       break;
   54572     } else {
   54573       label = 23;
   54574       break;
   54575     }
   54576    case 19:
   54577     $sub127 = $conv118 + -.5;
   54578     $conv128 = ~~$sub127;
   54579     $tobool129 = ($conv128 | 0) == 0;
   54580     if ($tobool129) {
   54581       label = 20;
   54582       break;
   54583     } else {
   54584       label = 23;
   54585       break;
   54586     }
   54587    case 20:
   54588     $conv130 = ~~$dimen_sroa_1_8_copyload;
   54589     $ispos391 = ($conv130 | 0) > -1;
   54590     $neg392 = -$conv130 | 0;
   54591     $call131 = $ispos391 ? $conv130 : $neg392;
   54592     $cmp132 = ($call131 | 0) > -1;
   54593     $ispos393 = ($conv130 | 0) > -1;
   54594     $neg394 = -$conv130 | 0;
   54595     $call137 = $ispos393 ? $conv130 : $neg394;
   54596     $conv138 = +($call137 | 0);
   54597     if ($cmp132) {
   54598       label = 21;
   54599       break;
   54600     } else {
   54601       label = 22;
   54602       break;
   54603     }
   54604    case 21:
   54605     $add139 = $conv138 + .5;
   54606     $conv140 = ~~$add139;
   54607     $tobool141 = ($conv140 | 0) == 0;
   54608     if ($tobool141) {
   54609       $dimen_sroa_1_0 = $dimen_sroa_1_8_copyload;
   54610       $dimen_sroa_0_0 = $dimen_sroa_0_0_copyload;
   54611       label = 43;
   54612       break;
   54613     } else {
   54614       label = 23;
   54615       break;
   54616     }
   54617    case 22:
   54618     $sub147 = $conv138 + -.5;
   54619     $conv148 = ~~$sub147;
   54620     $tobool149 = ($conv148 | 0) == 0;
   54621     if ($tobool149) {
   54622       $dimen_sroa_1_0 = $dimen_sroa_1_8_copyload;
   54623       $dimen_sroa_0_0 = $dimen_sroa_0_0_copyload;
   54624       label = 43;
   54625       break;
   54626     } else {
   54627       label = 23;
   54628       break;
   54629     }
   54630    case 23:
   54631     $call151 = _agget($8, 99584) | 0;
   54632     $tobool152 = ($call151 | 0) == 0;
   54633     if ($tobool152) {
   54634       label = 42;
   54635       break;
   54636     } else {
   54637       label = 24;
   54638       break;
   54639     }
   54640    case 24:
   54641     $call154 = _sscanf($call151 | 0, 99208, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $marginx, HEAP32[tempInt + 8 >> 2] = $marginy, tempInt) | 0) | 0;
   54642     $19 = +HEAPF64[$marginx >> 3];
   54643     $cmp155 = $19 < 0.0;
   54644     if ($cmp155) {
   54645       label = 25;
   54646       break;
   54647     } else {
   54648       label = 26;
   54649       break;
   54650     }
   54651    case 25:
   54652     HEAPF64[$marginx >> 3] = 0.0;
   54653     label = 26;
   54654     break;
   54655    case 26:
   54656     $20 = +HEAPF64[$marginy >> 3];
   54657     $cmp159 = $20 < 0.0;
   54658     if ($cmp159) {
   54659       label = 27;
   54660       break;
   54661     } else {
   54662       label = 28;
   54663       break;
   54664     }
   54665    case 27:
   54666     HEAPF64[$marginy >> 3] = 0.0;
   54667     label = 28;
   54668     break;
   54669    case 28:
   54670     $cmp163 = ($call154 | 0) > 0;
   54671     if ($cmp163) {
   54672       label = 29;
   54673       break;
   54674     } else {
   54675       label = 41;
   54676       break;
   54677     }
   54678    case 29:
   54679     $21 = +HEAPF64[$marginx >> 3];
   54680     $mul166 = $21 * 72.0;
   54681     $cmp167 = $mul166 < 0.0;
   54682     if ($cmp167) {
   54683       label = 31;
   54684       break;
   54685     } else {
   54686       label = 30;
   54687       break;
   54688     }
   54689    case 30:
   54690     $add171 = $mul166 + .5;
   54691     $add171_sink = $add171;
   54692     label = 32;
   54693     break;
   54694    case 31:
   54695     $sub175 = $mul166 + -.5;
   54696     $add171_sink = $sub175;
   54697     label = 32;
   54698     break;
   54699    case 32:
   54700     $conv172 = ~~$add171_sink;
   54701     $mul179 = $conv172 << 1;
   54702     $conv180 = +($mul179 | 0);
   54703     $add182 = $dimen_sroa_0_0_copyload + $conv180;
   54704     $cmp183 = ($call154 | 0) > 1;
   54705     if ($cmp183) {
   54706       label = 33;
   54707       break;
   54708     } else {
   54709       label = 37;
   54710       break;
   54711     }
   54712    case 33:
   54713     $22 = +HEAPF64[$marginy >> 3];
   54714     $mul186 = $22 * 72.0;
   54715     $cmp187 = $mul186 < 0.0;
   54716     if ($cmp187) {
   54717       label = 35;
   54718       break;
   54719     } else {
   54720       label = 34;
   54721       break;
   54722     }
   54723    case 34:
   54724     $add191 = $mul186 + .5;
   54725     $add191_sink = $add191;
   54726     label = 36;
   54727     break;
   54728    case 35:
   54729     $sub195 = $mul186 + -.5;
   54730     $add191_sink = $sub195;
   54731     label = 36;
   54732     break;
   54733    case 36:
   54734     $conv192 = ~~$add191_sink;
   54735     $mul199 = $conv192 << 1;
   54736     $conv200 = +($mul199 | 0);
   54737     $add202 = $dimen_sroa_1_8_copyload + $conv200;
   54738     $dimen_sroa_1_0 = $add202;
   54739     $dimen_sroa_0_0 = $add182;
   54740     label = 43;
   54741     break;
   54742    case 37:
   54743     $23 = +HEAPF64[$marginx >> 3];
   54744     $mul204 = $23 * 72.0;
   54745     $cmp205 = $mul204 < 0.0;
   54746     if ($cmp205) {
   54747       label = 39;
   54748       break;
   54749     } else {
   54750       label = 38;
   54751       break;
   54752     }
   54753    case 38:
   54754     $add209 = $mul204 + .5;
   54755     $add209_sink = $add209;
   54756     label = 40;
   54757     break;
   54758    case 39:
   54759     $sub213 = $mul204 + -.5;
   54760     $add209_sink = $sub213;
   54761     label = 40;
   54762     break;
   54763    case 40:
   54764     $conv210 = ~~$add209_sink;
   54765     $mul217 = $conv210 << 1;
   54766     $conv218 = +($mul217 | 0);
   54767     $add220 = $dimen_sroa_1_8_copyload + $conv218;
   54768     $dimen_sroa_1_0 = $add220;
   54769     $dimen_sroa_0_0 = $add182;
   54770     label = 43;
   54771     break;
   54772    case 41:
   54773     $add224 = $dimen_sroa_0_0_copyload + 16.0;
   54774     $add226 = $dimen_sroa_1_8_copyload + 8.0;
   54775     $dimen_sroa_1_0 = $add226;
   54776     $dimen_sroa_0_0 = $add224;
   54777     label = 43;
   54778     break;
   54779    case 42:
   54780     $add230 = $dimen_sroa_0_0_copyload + 16.0;
   54781     $add232 = $dimen_sroa_1_8_copyload + 8.0;
   54782     $dimen_sroa_1_0 = $add232;
   54783     $dimen_sroa_0_0 = $add230;
   54784     label = 43;
   54785     break;
   54786    case 43:
   54787     $24 = HEAP32[$label >> 2] | 0;
   54788     $x239 = $24 + 24 | 0;
   54789     $25 = +HEAPF64[$x239 >> 3];
   54790     $sub240 = $dimen_sroa_0_0 - $25;
   54791     $graph = $n + 20 | 0;
   54792     $26 = HEAP32[$graph >> 2] | 0;
   54793     $drawing = $26 + 48 | 0;
   54794     $27 = HEAP32[$drawing >> 2] | 0;
   54795     $quantum = $27 | 0;
   54796     $28 = +HEAPF64[$quantum >> 3];
   54797     $cmp242 = $28 > 0.0;
   54798     if ($cmp242) {
   54799       label = 44;
   54800       break;
   54801     } else {
   54802       $dimen_sroa_1_1 = $dimen_sroa_1_0;
   54803       $dimen_sroa_0_1 = $dimen_sroa_0_0;
   54804       label = 48;
   54805       break;
   54806     }
   54807    case 44:
   54808     $mul245 = $28 * 72.0;
   54809     $cmp246 = $mul245 < 0.0;
   54810     if ($cmp246) {
   54811       label = 46;
   54812       break;
   54813     } else {
   54814       label = 45;
   54815       break;
   54816     }
   54817    case 45:
   54818     $add250 = $mul245 + .5;
   54819     $add250_sink = $add250;
   54820     label = 47;
   54821     break;
   54822    case 46:
   54823     $sub254 = $mul245 + -.5;
   54824     $add250_sink = $sub254;
   54825     label = 47;
   54826     break;
   54827    case 47:
   54828     $conv251 = ~~$add250_sink;
   54829     $conv258 = +($conv251 | 0);
   54830     $call260 = +_quant($dimen_sroa_0_0, $conv258);
   54831     $call263 = +_quant($dimen_sroa_1_0, $conv258);
   54832     $dimen_sroa_1_1 = $call263;
   54833     $dimen_sroa_0_1 = $call260;
   54834     label = 48;
   54835     break;
   54836    case 48:
   54837     $29 = HEAP32[$shape >> 2] | 0;
   54838     $usershape = $29 + 12 | 0;
   54839     $30 = HEAP8[$usershape] | 0;
   54840     $tobool270 = $30 << 24 >> 24 == 0;
   54841     if ($tobool270) {
   54842       label = 54;
   54843       break;
   54844     } else {
   54845       label = 49;
   54846       break;
   54847     }
   54848    case 49:
   54849     $name = $29 | 0;
   54850     $31 = HEAP32[$name >> 2] | 0;
   54851     $32 = HEAP8[$31] | 0;
   54852     $cmp276 = $32 << 24 >> 24 == 99;
   54853     if ($cmp276) {
   54854       label = 50;
   54855       break;
   54856     } else {
   54857       $imagesize_sroa_1_0 = 0;
   54858       $imagesize_sroa_0_0 = 0;
   54859       label = 59;
   54860       break;
   54861     }
   54862    case 50:
   54863     $call281 = _strcmp($31 | 0, 110216) | 0;
   54864     $tobool282 = ($call281 | 0) == 0;
   54865     if ($tobool282) {
   54866       label = 51;
   54867       break;
   54868     } else {
   54869       $imagesize_sroa_1_0 = 0;
   54870       $imagesize_sroa_0_0 = 0;
   54871       label = 59;
   54872       break;
   54873     }
   54874    case 51:
   54875     $call284 = _agget($8, 120672) | 0;
   54876     $33 = HEAP32[$graph >> 2] | 0;
   54877     _gvusershape_size($tmp, $33, $call284);
   54878     $imagesize_sroa_0_0__idx262 = $tmp | 0;
   54879     $imagesize_sroa_0_0_copyload263 = HEAP32[$imagesize_sroa_0_0__idx262 >> 2] | 0;
   54880     $imagesize_sroa_1_4__idx272 = $tmp + 4 | 0;
   54881     $imagesize_sroa_1_4_copyload273 = HEAP32[$imagesize_sroa_1_4__idx272 >> 2] | 0;
   54882     $cmp287 = ($imagesize_sroa_0_0_copyload263 | 0) == -1;
   54883     $cmp291 = ($imagesize_sroa_1_4_copyload273 | 0) == -1;
   54884     $or_cond = $cmp287 & $cmp291;
   54885     if ($or_cond) {
   54886       label = 52;
   54887       break;
   54888     } else {
   54889       label = 53;
   54890       break;
   54891     }
   54892    case 52:
   54893     $tobool294 = ($call284 | 0) != 0;
   54894     $cond298 = $tobool294 ? $call284 : 98008;
   54895     $name299 = $n + 12 | 0;
   54896     $34 = HEAP32[$name299 >> 2] | 0;
   54897     $call300 = _agerr(0, 98360, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $cond298, HEAP32[tempInt + 8 >> 2] = $34, tempInt) | 0) | 0;
   54898     $imagesize_sroa_1_0 = 0;
   54899     $imagesize_sroa_0_0 = 0;
   54900     label = 59;
   54901     break;
   54902    case 53:
   54903     $35 = HEAP32[$graph >> 2] | 0;
   54904     $has_images = $35 + 154 | 0;
   54905     HEAP8[$has_images] = 1;
   54906     $add307 = $imagesize_sroa_0_0_copyload263 + 2 | 0;
   54907     $add309 = $imagesize_sroa_1_4_copyload273 + 2 | 0;
   54908     $imagesize_sroa_1_0 = $add309;
   54909     $imagesize_sroa_0_0 = $add307;
   54910     label = 59;
   54911     break;
   54912    case 54:
   54913     $call313 = _agget($8, 101440) | 0;
   54914     $tobool314 = ($call313 | 0) != 0;
   54915     if ($tobool314) {
   54916       label = 55;
   54917       break;
   54918     } else {
   54919       $imagesize_sroa_1_0 = 0;
   54920       $imagesize_sroa_0_0 = 0;
   54921       label = 59;
   54922       break;
   54923     }
   54924    case 55:
   54925     $36 = HEAP8[$call313] | 0;
   54926     $cmp317 = $36 << 24 >> 24 == 0;
   54927     if ($cmp317) {
   54928       $imagesize_sroa_1_0 = 0;
   54929       $imagesize_sroa_0_0 = 0;
   54930       label = 59;
   54931       break;
   54932     } else {
   54933       label = 56;
   54934       break;
   54935     }
   54936    case 56:
   54937     $37 = HEAP32[$graph >> 2] | 0;
   54938     _gvusershape_size($tmp321, $37, $call313);
   54939     $imagesize_sroa_0_0__idx = $tmp321 | 0;
   54940     $imagesize_sroa_0_0_copyload = HEAP32[$imagesize_sroa_0_0__idx >> 2] | 0;
   54941     $imagesize_sroa_1_4__idx269 = $tmp321 + 4 | 0;
   54942     $imagesize_sroa_1_4_copyload = HEAP32[$imagesize_sroa_1_4__idx269 >> 2] | 0;
   54943     $cmp323 = ($imagesize_sroa_0_0_copyload | 0) == -1;
   54944     $cmp327 = ($imagesize_sroa_1_4_copyload | 0) == -1;
   54945     $or_cond385 = $cmp323 & $cmp327;
   54946     if ($or_cond385) {
   54947       label = 57;
   54948       break;
   54949     } else {
   54950       label = 58;
   54951       break;
   54952     }
   54953    case 57:
   54954     $cond334 = $tobool314 ? $call313 : 98008;
   54955     $name335 = $n + 12 | 0;
   54956     $38 = HEAP32[$name335 >> 2] | 0;
   54957     $call336 = _agerr(0, 97504, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $cond334, HEAP32[tempInt + 8 >> 2] = $38, tempInt) | 0) | 0;
   54958     $imagesize_sroa_1_0 = 0;
   54959     $imagesize_sroa_0_0 = 0;
   54960     label = 59;
   54961     break;
   54962    case 58:
   54963     $39 = HEAP32[$graph >> 2] | 0;
   54964     $has_images342 = $39 + 154 | 0;
   54965     HEAP8[$has_images342] = 1;
   54966     $add344 = $imagesize_sroa_0_0_copyload + 2 | 0;
   54967     $add346 = $imagesize_sroa_1_4_copyload + 2 | 0;
   54968     $imagesize_sroa_1_0 = $add346;
   54969     $imagesize_sroa_0_0 = $add344;
   54970     label = 59;
   54971     break;
   54972    case 59:
   54973     $conv352 = +($imagesize_sroa_0_0 | 0);
   54974     $cmp353 = $dimen_sroa_0_1 > $conv352;
   54975     $dimen_sroa_0_1_conv352 = $cmp353 ? $dimen_sroa_0_1 : $conv352;
   54976     $conv365 = +($imagesize_sroa_1_0 | 0);
   54977     $cmp366 = $dimen_sroa_1_1 > $conv365;
   54978     $cond374 = $cmp366 ? $dimen_sroa_1_1 : $conv365;
   54979     $cmp376 = ($sides_0 | 0) < 3;
   54980     if ($cmp376) {
   54981       label = 60;
   54982       break;
   54983     } else {
   54984       $sides_1 = $sides_0;
   54985       label = 61;
   54986       break;
   54987     }
   54988    case 60:
   54989     $cmp379 = $distortion_0 != 0.0;
   54990     $cmp382 = $skew_0 != 0.0;
   54991     $or_cond386 = $cmp379 | $cmp382;
   54992     $_sides_0 = $or_cond386 ? 120 : $sides_0;
   54993     $sides_1 = $_sides_0;
   54994     label = 61;
   54995     break;
   54996    case 61:
   54997     $call386 = _agget($8, 97168) | 0;
   54998     $tobool387 = ($call386 | 0) == 0;
   54999     if ($tobool387) {
   55000       label = 64;
   55001       break;
   55002     } else {
   55003       label = 62;
   55004       break;
   55005     }
   55006    case 62:
   55007     $40 = HEAP8[$call386] | 0;
   55008     if (($40 << 24 >> 24 | 0) == 116 | ($40 << 24 >> 24 | 0) == 98) {
   55009       label = 63;
   55010       break;
   55011     } else {
   55012       label = 64;
   55013       break;
   55014     }
   55015    case 63:
   55016     $41 = HEAP8[$call386] | 0;
   55017     $42 = HEAP32[$label >> 2] | 0;
   55018     $valign = $42 + 80 | 0;
   55019     HEAP8[$valign] = $41;
   55020     label = 65;
   55021     break;
   55022    case 64:
   55023     $43 = HEAP32[$label >> 2] | 0;
   55024     $valign404 = $43 + 80 | 0;
   55025     HEAP8[$valign404] = 99;
   55026     label = 65;
   55027     break;
   55028    case 65:
   55029     $cmp406 = ($sides_1 | 0) == 4;
   55030     if ($cmp406) {
   55031       label = 66;
   55032       break;
   55033     } else {
   55034       label = 70;
   55035       break;
   55036     }
   55037    case 66:
   55038     $cmp409 = $add100 < 0.0;
   55039     if ($cmp409) {
   55040       label = 68;
   55041       break;
   55042     } else {
   55043       label = 67;
   55044       break;
   55045     }
   55046    case 67:
   55047     $add412 = $add100 + .5;
   55048     $add412_sink = $add412;
   55049     label = 69;
   55050     break;
   55051    case 68:
   55052     $sub415 = $add100 + -.5;
   55053     $add412_sink = $sub415;
   55054     label = 69;
   55055     break;
   55056    case 69:
   55057     $conv413 = ~~$add412_sink;
   55058     $rem = ($conv413 | 0) % 90;
   55059     $cmp419 = ($rem | 0) == 0;
   55060     $cmp422 = $distortion_0 == 0.0;
   55061     $or_cond387 = $cmp419 & $cmp422;
   55062     $cmp424 = $skew_0 == 0.0;
   55063     $or_cond395 = $or_cond387 & $cmp424;
   55064     if ($or_cond395) {
   55065       $bb_sroa_1_1 = $cond374;
   55066       $bb_sroa_0_1 = $dimen_sroa_0_1_conv352;
   55067       $46 = 1;
   55068       label = 75;
   55069       break;
   55070     } else {
   55071       label = 70;
   55072       break;
   55073     }
   55074    case 70:
   55075     $mul430 = $cond374 * 1.4142135623730951;
   55076     $cmp431 = $height_0 > $mul430;
   55077     if ($cmp431) {
   55078       label = 71;
   55079       break;
   55080     } else {
   55081       $bb_sroa_1_0 = $mul430;
   55082       $call447_pn = 1.4142135623730951;
   55083       label = 73;
   55084       break;
   55085     }
   55086    case 71:
   55087     $44 = HEAP32[$label >> 2] | 0;
   55088     $valign436 = $44 + 80 | 0;
   55089     $45 = HEAP8[$valign436] | 0;
   55090     $cmp438 = $45 << 24 >> 24 == 99;
   55091     if ($cmp438) {
   55092       label = 72;
   55093       break;
   55094     } else {
   55095       $bb_sroa_1_0 = $mul430;
   55096       $call447_pn = 1.4142135623730951;
   55097       label = 73;
   55098       break;
   55099     }
   55100    case 72:
   55101     $div = $cond374 / $height_0;
   55102     $mul444 = $div * $div;
   55103     $sub445 = 1.0 - $mul444;
   55104     $div446 = 1.0 / $sub445;
   55105     $call447 = +Math_sqrt(+$div446);
   55106     $bb_sroa_1_0 = $cond374;
   55107     $call447_pn = $call447;
   55108     label = 73;
   55109     break;
   55110    case 73:
   55111     $bb_sroa_0_0 = $dimen_sroa_0_1_conv352 * $call447_pn;
   55112     $cmp455 = ($sides_1 | 0) > 2;
   55113     if ($cmp455) {
   55114       label = 74;
   55115       break;
   55116     } else {
   55117       $bb_sroa_1_1 = $bb_sroa_1_0;
   55118       $bb_sroa_0_1 = $bb_sroa_0_0;
   55119       $46 = 0;
   55120       label = 75;
   55121       break;
   55122     }
   55123    case 74:
   55124     $conv458 = +($sides_1 | 0);
   55125     $div459 = 3.141592653589793 / $conv458;
   55126     $call460 = +Math_cos(+$div459);
   55127     $div462 = $bb_sroa_0_0 / $call460;
   55128     $div464 = $bb_sroa_1_0 / $call460;
   55129     $bb_sroa_1_1 = $div464;
   55130     $bb_sroa_0_1 = $div462;
   55131     $46 = 0;
   55132     label = 75;
   55133     break;
   55134    case 75:
   55135     $47 = HEAP32[41602] | 0;
   55136     $call467 = _late_string($8, $47, 100880) | 0;
   55137     $call468 = _mapbool($call467) | 0;
   55138     $tobool469 = $call468 << 24 >> 24 == 0;
   55139     if ($tobool469) {
   55140       label = 78;
   55141       break;
   55142     } else {
   55143       label = 76;
   55144       break;
   55145     }
   55146    case 76:
   55147     $cmp472 = $width_0 < $bb_sroa_0_1;
   55148     $cmp476 = $height_0 < $bb_sroa_1_1;
   55149     $or_cond388 = $cmp472 | $cmp476;
   55150     if ($or_cond388) {
   55151       label = 77;
   55152       break;
   55153     } else {
   55154       $height_1 = $height_0;
   55155       $width_1 = $width_0;
   55156       label = 79;
   55157       break;
   55158     }
   55159    case 77:
   55160     $name479 = $n + 12 | 0;
   55161     $48 = HEAP32[$name479 >> 2] | 0;
   55162     $49 = HEAP32[$graph >> 2] | 0;
   55163     $name481 = $49 + 12 | 0;
   55164     $50 = HEAP32[$name481 >> 2] | 0;
   55165     $call482 = _agerr(0, 96728, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $48, HEAP32[tempInt + 8 >> 2] = $50, tempInt) | 0) | 0;
   55166     $height_1 = $height_0;
   55167     $width_1 = $width_0;
   55168     label = 79;
   55169     break;
   55170    case 78:
   55171     $cmp488 = $width_0 > $bb_sroa_0_1;
   55172     $width_0_bb_sroa_0_1 = $cmp488 ? $width_0 : $bb_sroa_0_1;
   55173     $cmp497 = $height_0 > $bb_sroa_1_1;
   55174     $cond503 = $cmp497 ? $height_0 : $bb_sroa_1_1;
   55175     $height_1 = $cond503;
   55176     $width_1 = $width_0_bb_sroa_0_1;
   55177     label = 79;
   55178     break;
   55179    case 79:
   55180     if ($tobool) {
   55181       label = 80;
   55182       break;
   55183     } else {
   55184       $height_2 = $height_1;
   55185       $width_2 = $width_1;
   55186       label = 81;
   55187       break;
   55188     }
   55189    case 80:
   55190     $cmp510 = $width_1 > $height_1;
   55191     $width_1_height_1 = $cmp510 ? $width_1 : $height_1;
   55192     $height_2 = $width_1_height_1;
   55193     $width_2 = $width_1_height_1;
   55194     label = 81;
   55195     break;
   55196    case 81:
   55197     $51 = HEAP32[41582] | 0;
   55198     $call521 = _late_string($8, $51, 100880) | 0;
   55199     $call522 = _mapbool($call521) | 0;
   55200     $tobool523 = $call522 << 24 >> 24 == 0;
   55201     if ($tobool523) {
   55202       label = 82;
   55203       break;
   55204     } else {
   55205       label = 87;
   55206       break;
   55207     }
   55208    case 82:
   55209     if ($46) {
   55210       label = 83;
   55211       break;
   55212     } else {
   55213       label = 84;
   55214       break;
   55215     }
   55216    case 83:
   55217     $cmp529 = $dimen_sroa_0_1 > $width_2;
   55218     $dimen_sroa_0_1_width_2 = $cmp529 ? $dimen_sroa_0_1 : $width_2;
   55219     $sub537 = $dimen_sroa_0_1_width_2 - $sub240;
   55220     $52 = HEAP32[$label >> 2] | 0;
   55221     $x540 = $52 + 40 | 0;
   55222     HEAPF64[$x540 >> 3] = $sub537;
   55223     label = 88;
   55224     break;
   55225    case 84:
   55226     $cmp544 = $dimen_sroa_1_1 < $height_2;
   55227     if ($cmp544) {
   55228       label = 85;
   55229       break;
   55230     } else {
   55231       label = 86;
   55232       break;
   55233     }
   55234    case 85:
   55235     $mul550 = $dimen_sroa_1_1 * $dimen_sroa_1_1;
   55236     $mul553 = $height_2 * $height_2;
   55237     $div554 = $mul550 / $mul553;
   55238     $sub555 = 1.0 - $div554;
   55239     $call556 = +Math_sqrt(+$sub555);
   55240     $mul557 = $width_2 * $call556;
   55241     $cmp559 = $dimen_sroa_0_1 > $mul557;
   55242     $dimen_sroa_0_1_mul557 = $cmp559 ? $dimen_sroa_0_1 : $mul557;
   55243     $sub566 = $dimen_sroa_0_1_mul557 - $sub240;
   55244     $53 = HEAP32[$label >> 2] | 0;
   55245     $x570 = $53 + 40 | 0;
   55246     HEAPF64[$x570 >> 3] = $sub566;
   55247     label = 88;
   55248     break;
   55249    case 86:
   55250     $sub573 = $dimen_sroa_0_1 - $sub240;
   55251     $54 = HEAP32[$label >> 2] | 0;
   55252     $x577 = $54 + 40 | 0;
   55253     HEAPF64[$x577 >> 3] = $sub573;
   55254     label = 88;
   55255     break;
   55256    case 87:
   55257     $sub582 = $dimen_sroa_0_1 - $sub240;
   55258     $55 = HEAP32[$label >> 2] | 0;
   55259     $x586 = $55 + 40 | 0;
   55260     HEAPF64[$x586 >> 3] = $sub582;
   55261     label = 88;
   55262     break;
   55263    case 88:
   55264     $sub590 = $height_2 - $bb_sroa_1_1;
   55265     $cmp594 = $dimen_sroa_1_1 < $conv365;
   55266     if ($cmp594) {
   55267       label = 89;
   55268       break;
   55269     } else {
   55270       $temp_0 = $sub590;
   55271       label = 90;
   55272       break;
   55273     }
   55274    case 89:
   55275     $sub600 = $conv365 - $dimen_sroa_1_1;
   55276     $add601 = $sub600 + $sub590;
   55277     $temp_0 = $add601;
   55278     label = 90;
   55279     break;
   55280    case 90:
   55281     $add604 = $dimen_sroa_1_1 + $temp_0;
   55282     $56 = HEAP32[$label >> 2] | 0;
   55283     $y608 = $56 + 48 | 0;
   55284     HEAPF64[$y608 >> 3] = $add604;
   55285     $cmp609 = ($call98 | 0) < 1;
   55286     $_call98 = $cmp609 ? 1 : $call98;
   55287     $cmp613 = ($sides_1 | 0) < 3;
   55288     if ($cmp613) {
   55289       label = 91;
   55290       break;
   55291     } else {
   55292       label = 94;
   55293       break;
   55294     }
   55295    case 91:
   55296     $mul617 = $_call98 << 5;
   55297     $call618 = _zmalloc($mul617) | 0;
   55298     $57 = $call618;
   55299     $div620 = $width_2 * .5;
   55300     $div623 = $height_2 * .5;
   55301     $sub626 = -0.0 - $div620;
   55302     $x628 = $call618;
   55303     HEAPF64[$x628 >> 3] = $sub626;
   55304     $sub630 = -0.0 - $div623;
   55305     $y632 = $call618 + 8 | 0;
   55306     $58 = $y632;
   55307     HEAPF64[$58 >> 3] = $sub630;
   55308     $P_sroa_0_0__idx229 = $call618 + 16 | 0;
   55309     $59 = $P_sroa_0_0__idx229;
   55310     HEAPF64[$59 >> 3] = $div620;
   55311     $P_sroa_1_8__idx254 = $call618 + 24 | 0;
   55312     $60 = $P_sroa_1_8__idx254;
   55313     HEAPF64[$60 >> 3] = $div623;
   55314     $cmp634 = ($call98 | 0) > 1;
   55315     if ($cmp634) {
   55316       $P_sroa_0_0397 = $div620;
   55317       $P_sroa_1_0398 = $div623;
   55318       $i_0399 = 2;
   55319       $j_0400 = 1;
   55320       label = 92;
   55321       break;
   55322     } else {
   55323       $bb_sroa_1_5 = $height_2;
   55324       $sides_2 = 2;
   55325       $bb_sroa_0_5 = $width_2;
   55326       $vertices_0 = $57;
   55327       label = 109;
   55328       break;
   55329     }
   55330    case 92:
   55331     $add640 = $P_sroa_0_0397 + 4.0;
   55332     $add642 = $P_sroa_1_0398 + 4.0;
   55333     $sub644 = -0.0 - $add640;
   55334     $x646 = $57 + ($i_0399 << 4) | 0;
   55335     HEAPF64[$x646 >> 3] = $sub644;
   55336     $sub648 = -0.0 - $add642;
   55337     $y650 = $57 + ($i_0399 << 4) + 8 | 0;
   55338     HEAPF64[$y650 >> 3] = $sub648;
   55339     $inc384 = $i_0399 | 1;
   55340     $x653 = $57 + ($inc384 << 4) | 0;
   55341     HEAPF64[$x653 >> 3] = $add640;
   55342     $y656 = $57 + ($inc384 << 4) + 8 | 0;
   55343     HEAPF64[$y656 >> 3] = $add642;
   55344     $inc657 = $i_0399 + 2 | 0;
   55345     $inc658 = $j_0400 + 1 | 0;
   55346     $cmp637 = ($inc658 | 0) < ($call98 | 0);
   55347     if ($cmp637) {
   55348       $P_sroa_0_0397 = $add640;
   55349       $P_sroa_1_0398 = $add642;
   55350       $i_0399 = $inc657;
   55351       $j_0400 = $inc658;
   55352       label = 92;
   55353       break;
   55354     } else {
   55355       label = 93;
   55356       break;
   55357     }
   55358    case 93:
   55359     $mul660 = $add640 * 2.0;
   55360     $mul663 = $add642 * 2.0;
   55361     $bb_sroa_1_5 = $mul663;
   55362     $sides_2 = 2;
   55363     $bb_sroa_0_5 = $mul660;
   55364     $vertices_0 = $57;
   55365     label = 109;
   55366     break;
   55367    case 94:
   55368     $mul667 = $_call98 << 4;
   55369     $mul668 = Math_imul($mul667, $sides_1);
   55370     $call669 = _zmalloc($mul668) | 0;
   55371     $61 = $call669;
   55372     $conv670 = +($sides_1 | 0);
   55373     $div671 = 6.283185307179586 / $conv670;
   55374     $div672 = $div671 * .5;
   55375     $call673 = +Math_sin(+$div672);
   55376     $call674 = +Math_abs(+$distortion_0);
   55377     $call675 = +Math_abs(+$skew_0);
   55378     $add676 = $call674 + $call675;
   55379     $call677 = +_hypot(+$add676, 1.0);
   55380     $mul678 = $distortion_0 * 1.4142135623730951;
   55381     $call680 = +Math_cos(+$div672);
   55382     $div681 = $mul678 / $call680;
   55383     $div682 = $skew_0 * .5;
   55384     $sub683 = $div671 - 3.141592653589793;
   55385     $div684 = $sub683 * .5;
   55386     $call685 = +Math_sin(+$div684);
   55387     $call686 = +Math_cos(+$div684);
   55388     $mul687 = $call686 * .5;
   55389     $mul689 = $call685 * .5;
   55390     $sub691 = 3.141592653589793 - $div671;
   55391     $div692 = $sub691 * .5;
   55392     $add693 = $div684 + $div692;
   55393     $div718 = $add100 / 180.0;
   55394     $mul719 = $div718 * 3.141592653589793;
   55395     $i_1 = 0;
   55396     $ymax_0 = 0.0;
   55397     $xmax_0 = 0.0;
   55398     $angle_0 = $add693;
   55399     $R_sroa_1_0 = $mul689;
   55400     $R_sroa_0_0 = $mul687;
   55401     label = 95;
   55402     break;
   55403    case 95:
   55404     $cmp695 = ($i_1 | 0) < ($sides_1 | 0);
   55405     if ($cmp695) {
   55406       label = 96;
   55407       break;
   55408     } else {
   55409       $ymax_1 = $ymax_0;
   55410       $xmax_1 = $xmax_0;
   55411       label = 98;
   55412       break;
   55413     }
   55414    case 96:
   55415     $add698 = $div671 + $angle_0;
   55416     $call699 = +Math_sin(+$add698);
   55417     $call700 = +Math_cos(+$add698);
   55418     $mul701 = $call673 * $call700;
   55419     $add703 = $R_sroa_0_0 + $mul701;
   55420     $mul704 = $call673 * $call699;
   55421     $add706 = $R_sroa_1_0 + $mul704;
   55422     $mul709 = $div681 * $add706;
   55423     $add710 = $call677 + $mul709;
   55424     $mul711 = $add703 * $add710;
   55425     $mul713 = $div682 * $add706;
   55426     $add714 = $mul713 + $mul711;
   55427     $call722 = +Math_atan2(+$add706, +$add714);
   55428     $add723 = $mul719 + $call722;
   55429     $call724 = +Math_sin(+$add723);
   55430     $call725 = +Math_cos(+$add723);
   55431     $call728 = +_hypot(+$add714, +$add706);
   55432     $mul732 = $call725 * $call728;
   55433     $mul734 = $call724 * $call728;
   55434     $mul737 = $width_2 * $mul732;
   55435     $mul740 = $height_2 * $mul734;
   55436     $call742 = +Math_abs(+$mul737);
   55437     $cmp743 = $call742 > $xmax_0;
   55438     $call742_xmax_0 = $cmp743 ? $call742 : $xmax_0;
   55439     $call752 = +Math_abs(+$mul740);
   55440     $cmp753 = $call752 > $ymax_0;
   55441     $cond760 = $cmp753 ? $call752 : $ymax_0;
   55442     $P_sroa_0_0__idx218 = $61 + ($i_1 << 4) | 0;
   55443     HEAPF64[$P_sroa_0_0__idx218 >> 3] = $mul737;
   55444     $P_sroa_1_8__idx242 = $61 + ($i_1 << 4) + 8 | 0;
   55445     HEAPF64[$P_sroa_1_8__idx242 >> 3] = $mul740;
   55446     $inc788 = $i_1 + 1 | 0;
   55447     if ($46) {
   55448       label = 97;
   55449       break;
   55450     } else {
   55451       $i_1 = $inc788;
   55452       $ymax_0 = $cond760;
   55453       $xmax_0 = $call742_xmax_0;
   55454       $angle_0 = $add698;
   55455       $R_sroa_1_0 = $add706;
   55456       $R_sroa_0_0 = $add703;
   55457       label = 95;
   55458       break;
   55459     }
   55460    case 97:
   55461     $sub765 = -0.0 - $mul737;
   55462     $arrayidx766 = $call669 + 16 | 0;
   55463     $x767 = $arrayidx766;
   55464     HEAPF64[$x767 >> 3] = $sub765;
   55465     $y770 = $call669 + 24 | 0;
   55466     $62 = $y770;
   55467     HEAPF64[$62 >> 3] = $mul740;
   55468     $arrayidx773 = $call669 + 32 | 0;
   55469     $x774 = $arrayidx773;
   55470     HEAPF64[$x774 >> 3] = $sub765;
   55471     $sub776 = -0.0 - $mul740;
   55472     $y778 = $call669 + 40 | 0;
   55473     $63 = $y778;
   55474     HEAPF64[$63 >> 3] = $sub776;
   55475     $arrayidx780 = $call669 + 48 | 0;
   55476     $x781 = $arrayidx780;
   55477     HEAPF64[$x781 >> 3] = $mul737;
   55478     $y785 = $call669 + 56 | 0;
   55479     $64 = $y785;
   55480     HEAPF64[$64 >> 3] = $sub776;
   55481     $ymax_1 = $cond760;
   55482     $xmax_1 = $call742_xmax_0;
   55483     label = 98;
   55484     break;
   55485    case 98:
   55486     $mul790 = $xmax_1 * 2.0;
   55487     $mul791 = $ymax_1 * 2.0;
   55488     $cmp792 = $width_2 > $mul790;
   55489     $cond797 = $cmp792 ? $width_2 : $mul790;
   55490     $cmp799 = $height_2 > $mul791;
   55491     $cond804 = $cmp799 ? $height_2 : $mul791;
   55492     $div807 = $cond797 / $mul790;
   55493     $div809 = $cond804 / $mul791;
   55494     $cmp811417 = ($sides_1 | 0) > 0;
   55495     if ($cmp811417) {
   55496       $i_2418 = 0;
   55497       label = 99;
   55498       break;
   55499     } else {
   55500       label = 100;
   55501       break;
   55502     }
   55503    case 99:
   55504     $P_sroa_0_0__idx213 = $61 + ($i_2418 << 4) | 0;
   55505     $P_sroa_0_0_copyload214 = +HEAPF64[$P_sroa_0_0__idx213 >> 3];
   55506     $P_sroa_1_8__idx237 = $61 + ($i_2418 << 4) + 8 | 0;
   55507     $P_sroa_1_8_copyload238 = +HEAPF64[$P_sroa_1_8__idx237 >> 3];
   55508     $mul816 = $div807 * $P_sroa_0_0_copyload214;
   55509     $mul818 = $div809 * $P_sroa_1_8_copyload238;
   55510     HEAPF64[$P_sroa_0_0__idx213 >> 3] = $mul816;
   55511     HEAPF64[$P_sroa_1_8__idx237 >> 3] = $mul818;
   55512     $inc821 = $i_2418 + 1 | 0;
   55513     $cmp811 = ($inc821 | 0) < ($sides_1 | 0);
   55514     if ($cmp811) {
   55515       $i_2418 = $inc821;
   55516       label = 99;
   55517       break;
   55518     } else {
   55519       label = 100;
   55520       break;
   55521     }
   55522    case 100:
   55523     $cmp823 = ($call98 | 0) > 1;
   55524     if ($cmp823) {
   55525       label = 101;
   55526       break;
   55527     } else {
   55528       $bb_sroa_1_5 = $cond804;
   55529       $sides_2 = $sides_1;
   55530       $bb_sroa_0_5 = $cond797;
   55531       $vertices_0 = $61;
   55532       label = 109;
   55533       break;
   55534     }
   55535    case 101:
   55536     $sub826 = $sides_1 - 1 | 0;
   55537     $R_sroa_0_0__idx182 = $call669;
   55538     $R_sroa_0_0_copyload183 = +HEAPF64[$R_sroa_0_0__idx182 >> 3];
   55539     $R_sroa_1_8__idx190 = $call669 + 8 | 0;
   55540     $65 = $R_sroa_1_8__idx190;
   55541     $R_sroa_1_8_copyload191 = +HEAPF64[$65 >> 3];
   55542     $cmp837412 = ($sides_1 | 0) > 0;
   55543     if ($cmp837412) {
   55544       label = 102;
   55545       break;
   55546     } else {
   55547       $bb_sroa_1_5 = $cond804;
   55548       $sides_2 = $sides_1;
   55549       $bb_sroa_0_5 = $cond797;
   55550       $vertices_0 = $61;
   55551       label = 109;
   55552       break;
   55553     }
   55554    case 102:
   55555     $Q_sroa_1_8__idx207 = $61 + ($sub826 << 4) + 8 | 0;
   55556     $Q_sroa_0_0__idx200 = $61 + ($sub826 << 4) | 0;
   55557     $Q_sroa_1_8_copyload208 = +HEAPF64[$Q_sroa_1_8__idx207 >> 3];
   55558     $Q_sroa_0_0_copyload201 = +HEAPF64[$Q_sroa_0_0__idx200 >> 3];
   55559     $sub834 = $R_sroa_0_0_copyload183 - $Q_sroa_0_0_copyload201;
   55560     $sub831 = $R_sroa_1_8_copyload191 - $Q_sroa_1_8_copyload208;
   55561     $call835 = +Math_atan2(+$sub831, +$sub834);
   55562     $cmp862408 = ($call98 | 0) > 1;
   55563     $beta_0413 = $call835;
   55564     $R_sroa_0_1414 = $R_sroa_0_0_copyload183;
   55565     $R_sroa_1_1415 = $R_sroa_1_8_copyload191;
   55566     $i_3416 = 0;
   55567     label = 106;
   55568     break;
   55569    case 103:
   55570     $cmp837 = ($add840 | 0) < ($sides_1 | 0);
   55571     if ($cmp837) {
   55572       $beta_0413 = $call849;
   55573       $R_sroa_0_1414 = $R_sroa_0_0_copyload;
   55574       $R_sroa_1_1415 = $R_sroa_1_8_copyload;
   55575       $i_3416 = $add840;
   55576       label = 106;
   55577       break;
   55578     } else {
   55579       label = 104;
   55580       break;
   55581     }
   55582    case 104:
   55583     $cmp879402 = ($sides_1 | 0) > 0;
   55584     if ($cmp879402) {
   55585       label = 105;
   55586       break;
   55587     } else {
   55588       $bb_sroa_1_5 = $cond804;
   55589       $sides_2 = $sides_1;
   55590       $bb_sroa_0_5 = $cond797;
   55591       $vertices_0 = $61;
   55592       label = 109;
   55593       break;
   55594     }
   55595    case 105:
   55596     $sub882 = $call98 - 1 | 0;
   55597     $mul883 = Math_imul($sides_1, $sub882);
   55598     $bb_sroa_0_4403 = $cond797;
   55599     $i_4404 = 0;
   55600     $bb_sroa_1_4405 = $cond804;
   55601     label = 108;
   55602     break;
   55603    case 106:
   55604     $add840 = $i_3416 + 1 | 0;
   55605     $66 = ($add840 | 0) == ($sides_1 | 0);
   55606     $tmp421 = $66 ? 0 : $add840;
   55607     $R_sroa_0_0__idx = $61 + ($tmp421 << 4) | 0;
   55608     $R_sroa_0_0_copyload = +HEAPF64[$R_sroa_0_0__idx >> 3];
   55609     $R_sroa_1_8__idx186 = $61 + ($tmp421 << 4) + 8 | 0;
   55610     $R_sroa_1_8_copyload = +HEAPF64[$R_sroa_1_8__idx186 >> 3];
   55611     $sub845 = $R_sroa_1_8_copyload - $R_sroa_1_1415;
   55612     $sub848 = $R_sroa_0_0_copyload - $R_sroa_0_1414;
   55613     $call849 = +Math_atan2(+$sub845, +$sub848);
   55614     $add850 = $beta_0413 + 3.141592653589793;
   55615     $sub851 = $add850 - $call849;
   55616     $div852 = $sub851 * .5;
   55617     $call853 = +Math_sin(+$div852);
   55618     $div854 = 4.0 / $call853;
   55619     $sub855 = $beta_0413 - $div852;
   55620     $call856 = +Math_sin(+$sub855);
   55621     $call858 = +Math_cos(+$sub855);
   55622     $mul859 = $div854 * $call856;
   55623     $mul860 = $div854 * $call858;
   55624     if ($cmp862408) {
   55625       $Q_sroa_0_1409 = $R_sroa_0_1414;
   55626       $Q_sroa_1_1410 = $R_sroa_1_1415;
   55627       $j_1411 = 1;
   55628       label = 107;
   55629       break;
   55630     } else {
   55631       label = 103;
   55632       break;
   55633     }
   55634    case 107:
   55635     $add866 = $mul860 + $Q_sroa_0_1409;
   55636     $add868 = $mul859 + $Q_sroa_1_1410;
   55637     $mul869 = Math_imul($j_1411, $sides_1);
   55638     $add870 = $mul869 + $i_3416 | 0;
   55639     $Q_sroa_0_0__idx = $61 + ($add870 << 4) | 0;
   55640     HEAPF64[$Q_sroa_0_0__idx >> 3] = $add866;
   55641     $Q_sroa_1_8__idx202 = $61 + ($add870 << 4) + 8 | 0;
   55642     HEAPF64[$Q_sroa_1_8__idx202 >> 3] = $add868;
   55643     $inc873 = $j_1411 + 1 | 0;
   55644     $cmp862 = ($inc873 | 0) < ($call98 | 0);
   55645     if ($cmp862) {
   55646       $Q_sroa_0_1409 = $add866;
   55647       $Q_sroa_1_1410 = $add868;
   55648       $j_1411 = $inc873;
   55649       label = 107;
   55650       break;
   55651     } else {
   55652       label = 103;
   55653       break;
   55654     }
   55655    case 108:
   55656     $add884 = $i_4404 + $mul883 | 0;
   55657     $P_sroa_0_0__idx = $61 + ($add884 << 4) | 0;
   55658     $P_sroa_0_0_copyload = +HEAPF64[$P_sroa_0_0__idx >> 3];
   55659     $P_sroa_1_8__idx233 = $61 + ($add884 << 4) + 8 | 0;
   55660     $P_sroa_1_8_copyload = +HEAPF64[$P_sroa_1_8__idx233 >> 3];
   55661     $call887 = +Math_abs(+$P_sroa_0_0_copyload);
   55662     $mul888 = $call887 * 2.0;
   55663     $cmp890 = $mul888 > $bb_sroa_0_4403;
   55664     $mul888_bb_sroa_0_4 = $cmp890 ? $mul888 : $bb_sroa_0_4403;
   55665     $call902 = +Math_abs(+$P_sroa_1_8_copyload);
   55666     $mul903 = $call902 * 2.0;
   55667     $cmp905 = $mul903 > $bb_sroa_1_4405;
   55668     $cond914 = $cmp905 ? $mul903 : $bb_sroa_1_4405;
   55669     $inc917 = $i_4404 + 1 | 0;
   55670     $cmp879 = ($inc917 | 0) < ($sides_1 | 0);
   55671     if ($cmp879) {
   55672       $bb_sroa_0_4403 = $mul888_bb_sroa_0_4;
   55673       $i_4404 = $inc917;
   55674       $bb_sroa_1_4405 = $cond914;
   55675       label = 108;
   55676       break;
   55677     } else {
   55678       $bb_sroa_1_5 = $cond914;
   55679       $sides_2 = $sides_1;
   55680       $bb_sroa_0_5 = $mul888_bb_sroa_0_4;
   55681       $vertices_0 = $61;
   55682       label = 109;
   55683       break;
   55684     }
   55685    case 109:
   55686     $regular921 = $call;
   55687     HEAP32[$regular921 >> 2] = $or;
   55688     $peripheries922 = $call + 4 | 0;
   55689     $67 = $peripheries922;
   55690     HEAP32[$67 >> 2] = $call98;
   55691     $sides923 = $call + 8 | 0;
   55692     $68 = $sides923;
   55693     HEAP32[$68 >> 2] = $sides_2;
   55694     $orientation924 = $call + 16 | 0;
   55695     $69 = $orientation924;
   55696     HEAPF64[$69 >> 3] = $add100;
   55697     $skew925 = $call + 32 | 0;
   55698     $70 = $skew925;
   55699     HEAPF64[$70 >> 3] = $skew_0;
   55700     $distortion926 = $call + 24 | 0;
   55701     $71 = $distortion926;
   55702     HEAPF64[$71 >> 3] = $distortion_0;
   55703     $vertices927 = $call + 44 | 0;
   55704     $72 = $vertices927;
   55705     HEAP32[$72 >> 2] = $vertices_0;
   55706     $div929 = $bb_sroa_0_5 / 72.0;
   55707     $width931 = $n + 48 | 0;
   55708     HEAPF64[$width931 >> 3] = $div929;
   55709     $div933 = $bb_sroa_1_5 / 72.0;
   55710     $height935 = $n + 56 | 0;
   55711     HEAPF64[$height935 >> 3] = $div933;
   55712     $shape_info = $n + 28 | 0;
   55713     HEAP32[$shape_info >> 2] = $call;
   55714     STACKTOP = __stackBase__;
   55715     return;
   55716   }
   55717 }
   55718 function _isPolygon($n) {
   55719   $n = $n | 0;
   55720   var $shape = 0, $0 = 0, $tobool = 0, $fns = 0, $1 = 0, $initfn = 0, $2 = 0, $cmp = 0, $phitmp = 0, $3 = 0, label = 0;
   55721   label = 2;
   55722   while (1) switch (label | 0) {
   55723    case 2:
   55724     $shape = $n + 24 | 0;
   55725     $0 = HEAP32[$shape >> 2] | 0;
   55726     $tobool = ($0 | 0) == 0;
   55727     if ($tobool) {
   55728       $3 = 0;
   55729       label = 4;
   55730       break;
   55731     } else {
   55732       label = 3;
   55733       break;
   55734     }
   55735    case 3:
   55736     $fns = $0 + 4 | 0;
   55737     $1 = HEAP32[$fns >> 2] | 0;
   55738     $initfn = $1 | 0;
   55739     $2 = HEAP32[$initfn >> 2] | 0;
   55740     $cmp = ($2 | 0) == 476;
   55741     $phitmp = $cmp & 1;
   55742     $3 = $phitmp;
   55743     label = 4;
   55744     break;
   55745    case 4:
   55746     return $3 | 0;
   55747   }
   55748   return 0;
   55749 }
   55750 function _pointfof795($agg_result, $x, $y) {
   55751   $agg_result = $agg_result | 0;
   55752   $x = +$x;
   55753   $y = +$y;
   55754   HEAPF64[$agg_result >> 3] = $x;
   55755   HEAPF64[$agg_result + 8 >> 3] = $y;
   55756   return;
   55757 }
   55758 function _record_init($n) {
   55759   $n = $n | 0;
   55760   var $ul = 0, $sz = 0, $tmp = 0, $graph = 0, $0 = 0, $rankdir = 0, $1 = 0, $and = 0, $and_lobit = 0, $2 = 0, $label = 0, $3 = 0, $text = 0, $4 = 0, $call = 0, $cmp = 0, $call_op = 0, $add = 0, $call2 = 0, $call3 = 0, $tobool4 = 0, $5 = 0, $text7 = 0, $6 = 0, $call8 = 0, $call9 = 0, $info_0 = 0, $width = 0, $7 = 0.0, $mul11 = 0.0, $cmp12 = 0, $add17 = 0.0, $sub = 0.0, $add17_sink = 0.0, $conv = 0, $conv25 = 0.0, $x = 0, $height = 0, $8 = 0.0, $mul27 = 0.0, $cmp28 = 0, $add34 = 0.0, $sub40 = 0.0, $add34_sink = 0.0, $conv35 = 0, $conv44 = 0.0, $y = 0, $9 = 0, $10 = 0, $call45 = 0, $call46 = 0, $tobool47 = 0, $x61 = 0, $11 = 0.0, $12 = 0.0, $cmp63 = 0, $_ = 0.0, $y74 = 0, $13 = 0.0, $14 = 0.0, $cmp76 = 0, $cond84 = 0.0, $15 = 0, $call87 = 0, $call88 = 0, $conv89 = 0, $16 = 0.0, $div = 0.0, $17 = 0.0, $div93 = 0.0, $x96 = 0, $18 = 0.0, $div97 = 0.0, $y101 = 0, $19 = 0.0, $add102 = 0.0, $div103 = 0.0, $20 = 0, $shape_info = 0, label = 0, __stackBase__ = 0;
   55761   __stackBase__ = STACKTOP;
   55762   STACKTOP = STACKTOP + 48 | 0;
   55763   label = 2;
   55764   while (1) switch (label | 0) {
   55765    case 2:
   55766     $ul = __stackBase__ | 0;
   55767     $sz = __stackBase__ + 16 | 0;
   55768     $tmp = __stackBase__ + 32 | 0;
   55769     $graph = $n + 20 | 0;
   55770     $0 = HEAP32[$graph >> 2] | 0;
   55771     $rankdir = $0 + 156 | 0;
   55772     $1 = HEAP32[$rankdir >> 2] | 0;
   55773     $and = $1 >>> 2;
   55774     $and_lobit = $and & 1;
   55775     $2 = $and_lobit ^ 1;
   55776     $label = $n + 120 | 0;
   55777     $3 = HEAP32[$label >> 2] | 0;
   55778     $text = $3 | 0;
   55779     $4 = HEAP32[$text >> 2] | 0;
   55780     HEAP32[1158] = $4;
   55781     $call = _strlen($4 | 0) | 0;
   55782     $cmp = ($call | 0) > 1;
   55783     $call_op = $call + 1 | 0;
   55784     $add = $cmp ? $call_op : 2;
   55785     $call2 = _zmalloc($add) | 0;
   55786     $call3 = _parse_reclbl($n, $2, 1, $call2) | 0;
   55787     $tobool4 = ($call3 | 0) == 0;
   55788     if ($tobool4) {
   55789       label = 3;
   55790       break;
   55791     } else {
   55792       $info_0 = $call3;
   55793       label = 4;
   55794       break;
   55795     }
   55796    case 3:
   55797     $5 = HEAP32[$label >> 2] | 0;
   55798     $text7 = $5 | 0;
   55799     $6 = HEAP32[$text7 >> 2] | 0;
   55800     $call8 = _agerr(1, 100528, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $6, tempInt) | 0) | 0;
   55801     HEAP32[1158] = 100032;
   55802     $call9 = _parse_reclbl($n, $2, 1, $call2) | 0;
   55803     $info_0 = $call9;
   55804     label = 4;
   55805     break;
   55806    case 4:
   55807     _free($call2);
   55808     _size_reclbl($tmp, $n, $info_0);
   55809     $width = $n + 48 | 0;
   55810     $7 = +HEAPF64[$width >> 3];
   55811     $mul11 = $7 * 72.0;
   55812     $cmp12 = $mul11 < 0.0;
   55813     if ($cmp12) {
   55814       label = 6;
   55815       break;
   55816     } else {
   55817       label = 5;
   55818       break;
   55819     }
   55820    case 5:
   55821     $add17 = $mul11 + .5;
   55822     $add17_sink = $add17;
   55823     label = 7;
   55824     break;
   55825    case 6:
   55826     $sub = $mul11 + -.5;
   55827     $add17_sink = $sub;
   55828     label = 7;
   55829     break;
   55830    case 7:
   55831     $conv = ~~$add17_sink;
   55832     $conv25 = +($conv | 0);
   55833     $x = $sz | 0;
   55834     HEAPF64[$x >> 3] = $conv25;
   55835     $height = $n + 56 | 0;
   55836     $8 = +HEAPF64[$height >> 3];
   55837     $mul27 = $8 * 72.0;
   55838     $cmp28 = $mul27 < 0.0;
   55839     if ($cmp28) {
   55840       label = 9;
   55841       break;
   55842     } else {
   55843       label = 8;
   55844       break;
   55845     }
   55846    case 8:
   55847     $add34 = $mul27 + .5;
   55848     $add34_sink = $add34;
   55849     label = 10;
   55850     break;
   55851    case 9:
   55852     $sub40 = $mul27 + -.5;
   55853     $add34_sink = $sub40;
   55854     label = 10;
   55855     break;
   55856    case 10:
   55857     $conv35 = ~~$add34_sink;
   55858     $conv44 = +($conv35 | 0);
   55859     $y = $sz + 8 | 0;
   55860     HEAPF64[$y >> 3] = $conv44;
   55861     $9 = $n | 0;
   55862     $10 = HEAP32[41602] | 0;
   55863     $call45 = _late_string($9, $10, 100880) | 0;
   55864     $call46 = _mapbool($call45) | 0;
   55865     $tobool47 = $call46 << 24 >> 24 == 0;
   55866     if ($tobool47) {
   55867       label = 11;
   55868       break;
   55869     } else {
   55870       label = 12;
   55871       break;
   55872     }
   55873    case 11:
   55874     $x61 = $info_0 | 0;
   55875     $11 = +HEAPF64[$x61 >> 3];
   55876     $12 = +HEAPF64[$x >> 3];
   55877     $cmp63 = $11 > $12;
   55878     $_ = $cmp63 ? $11 : $12;
   55879     HEAPF64[$x >> 3] = $_;
   55880     $y74 = $info_0 + 8 | 0;
   55881     $13 = +HEAPF64[$y74 >> 3];
   55882     $14 = +HEAPF64[$y >> 3];
   55883     $cmp76 = $13 > $14;
   55884     $cond84 = $cmp76 ? $13 : $14;
   55885     HEAPF64[$y >> 3] = $cond84;
   55886     label = 12;
   55887     break;
   55888    case 12:
   55889     $15 = HEAP32[41582] | 0;
   55890     $call87 = _late_string($9, $15, 100880) | 0;
   55891     $call88 = _mapbool($call87) | 0;
   55892     $conv89 = $call88 & 255;
   55893     _resize_reclbl($info_0, $sz, $conv89);
   55894     $16 = +HEAPF64[$x >> 3];
   55895     $div = $16 * -.5;
   55896     $17 = +HEAPF64[$y >> 3];
   55897     $div93 = $17 * .5;
   55898     _pointfof795($ul, $div, $div93);
   55899     _pos_reclbl($info_0, $ul, 15);
   55900     $x96 = $info_0 | 0;
   55901     $18 = +HEAPF64[$x96 >> 3];
   55902     $div97 = $18 / 72.0;
   55903     HEAPF64[$width >> 3] = $div97;
   55904     $y101 = $info_0 + 8 | 0;
   55905     $19 = +HEAPF64[$y101 >> 3];
   55906     $add102 = $19 + 1.0;
   55907     $div103 = $add102 / 72.0;
   55908     HEAPF64[$height >> 3] = $div103;
   55909     $20 = $info_0;
   55910     $shape_info = $n + 28 | 0;
   55911     HEAP32[$shape_info >> 2] = $20;
   55912     STACKTOP = __stackBase__;
   55913     return;
   55914   }
   55915 }
   55916 function _point_init($n) {
   55917   $n = $n | 0;
   55918   var $call = 0, $shape = 0, $0 = 0, $polygon = 0, $1 = 0, $peripheries1 = 0, $2 = 0, $3 = 0, $4 = 0, $call2 = 0.0, $5 = 0, $call3 = 0.0, $cmp = 0, $cond = 0.0, $cmp4 = 0, $cmp5 = 0, $or_cond = 0, $height = 0, $width = 0, $width11 = 0, $width13 = 0, $6 = 0.0, $mul = 0.0, $7 = 0, $call14 = 0, $cmp15 = 0, $phitmp = 0, $outp_0 = 0, $call21 = 0, $8 = 0, $div = 0.0, $sub = 0.0, $x23 = 0, $y27 = 0, $9 = 0, $P_sroa_0_0__idx = 0, $10 = 0, $P_sroa_1_8__idx24 = 0, $11 = 0, $cmp29 = 0, $j_056 = 0, $i_055 = 0, $P_sroa_0_054 = 0.0, $P_sroa_1_053 = 0.0, $add = 0.0, $add34 = 0.0, $sub36 = 0.0, $x38 = 0, $sub40 = 0.0, $y42 = 0, $inc51 = 0, $x45 = 0, $y48 = 0, $inc49 = 0, $inc50 = 0, $cmp31 = 0, $mul52 = 0.0, $sz_0 = 0.0, $regular = 0, $peripheries54 = 0, $12 = 0, $sides55 = 0, $13 = 0, $orientation = 0, $vertices56 = 0, $14 = 0, $div57 = 0.0, $shape_info = 0, label = 0;
   55919   label = 2;
   55920   while (1) switch (label | 0) {
   55921    case 2:
   55922     $call = _zmalloc(48) | 0;
   55923     $shape = $n + 24 | 0;
   55924     $0 = HEAP32[$shape >> 2] | 0;
   55925     $polygon = $0 + 8 | 0;
   55926     $1 = HEAP32[$polygon >> 2] | 0;
   55927     $peripheries1 = $1 + 4 | 0;
   55928     $2 = HEAP32[$peripheries1 >> 2] | 0;
   55929     $3 = $n | 0;
   55930     $4 = HEAP32[41552] | 0;
   55931     $call2 = +_late_double($3, $4, 1.7976931348623157e+308, 3.0e-4);
   55932     $5 = HEAP32[41592] | 0;
   55933     $call3 = +_late_double($3, $5, 1.7976931348623157e+308, 3.0e-4);
   55934     $cmp = $call2 < $call3;
   55935     $cond = $cmp ? $call2 : $call3;
   55936     $cmp4 = $cond == 1.7976931348623157e+308;
   55937     $cmp5 = $call3 == 1.7976931348623157e+308;
   55938     $or_cond = $cmp4 & $cmp5;
   55939     $height = $n + 56 | 0;
   55940     if ($or_cond) {
   55941       label = 3;
   55942       break;
   55943     } else {
   55944       label = 4;
   55945       break;
   55946     }
   55947    case 3:
   55948     HEAPF64[$height >> 3] = .05;
   55949     $width = $n + 48 | 0;
   55950     HEAPF64[$width >> 3] = .05;
   55951     label = 5;
   55952     break;
   55953    case 4:
   55954     HEAPF64[$height >> 3] = $cond;
   55955     $width11 = $n + 48 | 0;
   55956     HEAPF64[$width11 >> 3] = $cond;
   55957     label = 5;
   55958     break;
   55959    case 5:
   55960     $width13 = $n + 48 | 0;
   55961     $6 = +HEAPF64[$width13 >> 3];
   55962     $mul = $6 * 72.0;
   55963     $7 = HEAP32[41574] | 0;
   55964     $call14 = _late_int($3, $7, $2, 0) | 0;
   55965     $cmp15 = ($call14 | 0) < 1;
   55966     $phitmp = $call14 << 5;
   55967     $outp_0 = $cmp15 ? 32 : $phitmp;
   55968     $call21 = _zmalloc($outp_0) | 0;
   55969     $8 = $call21;
   55970     $div = $mul * .5;
   55971     $sub = -0.0 - $div;
   55972     $x23 = $call21;
   55973     HEAPF64[$x23 >> 3] = $sub;
   55974     $y27 = $call21 + 8 | 0;
   55975     $9 = $y27;
   55976     HEAPF64[$9 >> 3] = $sub;
   55977     $P_sroa_0_0__idx = $call21 + 16 | 0;
   55978     $10 = $P_sroa_0_0__idx;
   55979     HEAPF64[$10 >> 3] = $div;
   55980     $P_sroa_1_8__idx24 = $call21 + 24 | 0;
   55981     $11 = $P_sroa_1_8__idx24;
   55982     HEAPF64[$11 >> 3] = $div;
   55983     $cmp29 = ($call14 | 0) > 1;
   55984     if ($cmp29) {
   55985       $P_sroa_1_053 = $div;
   55986       $P_sroa_0_054 = $div;
   55987       $i_055 = 2;
   55988       $j_056 = 1;
   55989       label = 6;
   55990       break;
   55991     } else {
   55992       $sz_0 = $mul;
   55993       label = 8;
   55994       break;
   55995     }
   55996    case 6:
   55997     $add = $P_sroa_0_054 + 4.0;
   55998     $add34 = $P_sroa_1_053 + 4.0;
   55999     $sub36 = -0.0 - $add;
   56000     $x38 = $8 + ($i_055 << 4) | 0;
   56001     HEAPF64[$x38 >> 3] = $sub36;
   56002     $sub40 = -0.0 - $add34;
   56003     $y42 = $8 + ($i_055 << 4) + 8 | 0;
   56004     HEAPF64[$y42 >> 3] = $sub40;
   56005     $inc51 = $i_055 | 1;
   56006     $x45 = $8 + ($inc51 << 4) | 0;
   56007     HEAPF64[$x45 >> 3] = $add;
   56008     $y48 = $8 + ($inc51 << 4) + 8 | 0;
   56009     HEAPF64[$y48 >> 3] = $add34;
   56010     $inc49 = $i_055 + 2 | 0;
   56011     $inc50 = $j_056 + 1 | 0;
   56012     $cmp31 = ($inc50 | 0) < ($call14 | 0);
   56013     if ($cmp31) {
   56014       $P_sroa_1_053 = $add34;
   56015       $P_sroa_0_054 = $add;
   56016       $i_055 = $inc49;
   56017       $j_056 = $inc50;
   56018       label = 6;
   56019       break;
   56020     } else {
   56021       label = 7;
   56022       break;
   56023     }
   56024    case 7:
   56025     $mul52 = $add * 2.0;
   56026     $sz_0 = $mul52;
   56027     label = 8;
   56028     break;
   56029    case 8:
   56030     $regular = $call;
   56031     HEAP32[$regular >> 2] = 1;
   56032     $peripheries54 = $call + 4 | 0;
   56033     $12 = $peripheries54;
   56034     HEAP32[$12 >> 2] = $call14;
   56035     $sides55 = $call + 8 | 0;
   56036     $13 = $sides55;
   56037     HEAP32[$13 >> 2] = 2;
   56038     $orientation = $call + 16 | 0;
   56039     $vertices56 = $call + 44 | 0;
   56040     $14 = $vertices56;
   56041     _memset($orientation | 0, 0, 24);
   56042     HEAP32[$14 >> 2] = $8;
   56043     $div57 = $sz_0 / 72.0;
   56044     HEAPF64[$width13 >> 3] = $div57;
   56045     HEAPF64[$height >> 3] = $div57;
   56046     $shape_info = $n + 28 | 0;
   56047     HEAP32[$shape_info >> 2] = $call;
   56048     return;
   56049   }
   56050 }
   56051 function _find_user_shape($name) {
   56052   $name = $name | 0;
   56053   var $0 = 0, $tobool = 0, $1 = 0, $cmp6 = 0, $or_cond = 0, $2 = 0, $3 = 0, $4 = 0, $i_07 = 0, $arrayidx = 0, $5 = 0, $name1 = 0, $6 = 0, $7 = 0, $cmp3 = 0, $call = 0, $tobool7 = 0, $inc = 0, $cmp = 0, $retval_0 = 0, label = 0;
   56054   label = 2;
   56055   while (1) switch (label | 0) {
   56056    case 2:
   56057     $0 = HEAP32[41230] | 0;
   56058     $tobool = ($0 | 0) != 0;
   56059     $1 = HEAP32[41622] | 0;
   56060     $cmp6 = ($1 | 0) > 0;
   56061     $or_cond = $tobool & $cmp6;
   56062     if ($or_cond) {
   56063       label = 3;
   56064       break;
   56065     } else {
   56066       $retval_0 = 0;
   56067       label = 7;
   56068       break;
   56069     }
   56070    case 3:
   56071     $2 = HEAP32[41230] | 0;
   56072     $3 = HEAP8[$name] | 0;
   56073     $4 = HEAP32[41622] | 0;
   56074     $i_07 = 0;
   56075     label = 4;
   56076     break;
   56077    case 4:
   56078     $arrayidx = $2 + ($i_07 << 2) | 0;
   56079     $5 = HEAP32[$arrayidx >> 2] | 0;
   56080     $name1 = $5 | 0;
   56081     $6 = HEAP32[$name1 >> 2] | 0;
   56082     $7 = HEAP8[$6] | 0;
   56083     $cmp3 = $7 << 24 >> 24 == $3 << 24 >> 24;
   56084     if ($cmp3) {
   56085       label = 5;
   56086       break;
   56087     } else {
   56088       label = 6;
   56089       break;
   56090     }
   56091    case 5:
   56092     $call = _strcmp($6 | 0, $name | 0) | 0;
   56093     $tobool7 = ($call | 0) == 0;
   56094     if ($tobool7) {
   56095       $retval_0 = $5;
   56096       label = 7;
   56097       break;
   56098     } else {
   56099       label = 6;
   56100       break;
   56101     }
   56102    case 6:
   56103     $inc = $i_07 + 1 | 0;
   56104     $cmp = ($inc | 0) < ($4 | 0);
   56105     if ($cmp) {
   56106       $i_07 = $inc;
   56107       label = 4;
   56108       break;
   56109     } else {
   56110       $retval_0 = 0;
   56111       label = 7;
   56112       break;
   56113     }
   56114    case 7:
   56115     return $retval_0 | 0;
   56116   }
   56117   return 0;
   56118 }
   56119 function _bind_shape($name, $np) {
   56120   $name = $name | 0;
   56121   $np = $np | 0;
   56122   var $0 = 0, $call = 0, $call1 = 0, $tobool = 0, $1 = 0, $cmp = 0, $call5 = 0, $tobool6 = 0, $name_addr_0 = 0, $2 = 0, $cmp9 = 0, $call12 = 0, $tobool13 = 0, $3 = 0, $tobool1614 = 0, $4 = 0, $5 = 0, $ptr_015 = 0, $6 = 0, $cmp20 = 0, $call24 = 0, $tobool25 = 0, $incdec_ptr = 0, $name15 = 0, $7 = 0, $tobool16 = 0, $cmp29 = 0, $call32 = 0, $rv_1 = 0, label = 0;
   56123   label = 2;
   56124   while (1) switch (label | 0) {
   56125    case 2:
   56126     $0 = $np | 0;
   56127     $call = _agget($0, 120672) | 0;
   56128     $call1 = _safefile($call) | 0;
   56129     $tobool = ($call1 | 0) == 0;
   56130     if ($tobool) {
   56131       $name_addr_0 = $name;
   56132       label = 6;
   56133       break;
   56134     } else {
   56135       label = 3;
   56136       break;
   56137     }
   56138    case 3:
   56139     $1 = HEAP8[$name] | 0;
   56140     $cmp = $1 << 24 >> 24 == 101;
   56141     if ($cmp) {
   56142       label = 4;
   56143       break;
   56144     } else {
   56145       label = 5;
   56146       break;
   56147     }
   56148    case 4:
   56149     $call5 = _strcmp($name | 0, 115056) | 0;
   56150     $tobool6 = ($call5 | 0) == 0;
   56151     if ($tobool6) {
   56152       $name_addr_0 = $name;
   56153       label = 6;
   56154       break;
   56155     } else {
   56156       label = 5;
   56157       break;
   56158     }
   56159    case 5:
   56160     $name_addr_0 = 110216;
   56161     label = 6;
   56162     break;
   56163    case 6:
   56164     $2 = HEAP8[$name_addr_0] | 0;
   56165     $cmp9 = $2 << 24 >> 24 == 99;
   56166     if ($cmp9) {
   56167       label = 7;
   56168       break;
   56169     } else {
   56170       label = 8;
   56171       break;
   56172     }
   56173    case 7:
   56174     $call12 = _strcmp($name_addr_0 | 0, 110216) | 0;
   56175     $tobool13 = ($call12 | 0) == 0;
   56176     if ($tobool13) {
   56177       label = 14;
   56178       break;
   56179     } else {
   56180       label = 8;
   56181       break;
   56182     }
   56183    case 8:
   56184     $3 = HEAP32[41364] | 0;
   56185     $tobool1614 = ($3 | 0) == 0;
   56186     if ($tobool1614) {
   56187       label = 14;
   56188       break;
   56189     } else {
   56190       label = 9;
   56191       break;
   56192     }
   56193    case 9:
   56194     $4 = HEAP8[$name_addr_0] | 0;
   56195     $ptr_015 = 165456;
   56196     $5 = $3;
   56197     label = 10;
   56198     break;
   56199    case 10:
   56200     $6 = HEAP8[$5] | 0;
   56201     $cmp20 = $6 << 24 >> 24 == $4 << 24 >> 24;
   56202     if ($cmp20) {
   56203       label = 11;
   56204       break;
   56205     } else {
   56206       label = 12;
   56207       break;
   56208     }
   56209    case 11:
   56210     $call24 = _strcmp($5 | 0, $name_addr_0 | 0) | 0;
   56211     $tobool25 = ($call24 | 0) == 0;
   56212     if ($tobool25) {
   56213       label = 13;
   56214       break;
   56215     } else {
   56216       label = 12;
   56217       break;
   56218     }
   56219    case 12:
   56220     $incdec_ptr = $ptr_015 + 16 | 0;
   56221     $name15 = $incdec_ptr | 0;
   56222     $7 = HEAP32[$name15 >> 2] | 0;
   56223     $tobool16 = ($7 | 0) == 0;
   56224     if ($tobool16) {
   56225       label = 14;
   56226       break;
   56227     } else {
   56228       $ptr_015 = $incdec_ptr;
   56229       $5 = $7;
   56230       label = 10;
   56231       break;
   56232     }
   56233    case 13:
   56234     $cmp29 = ($ptr_015 | 0) == 0;
   56235     if ($cmp29) {
   56236       label = 14;
   56237       break;
   56238     } else {
   56239       $rv_1 = $ptr_015;
   56240       label = 15;
   56241       break;
   56242     }
   56243    case 14:
   56244     $call32 = _user_shape($name_addr_0) | 0;
   56245     $rv_1 = $call32;
   56246     label = 15;
   56247     break;
   56248    case 15:
   56249     return $rv_1 | 0;
   56250   }
   56251   return 0;
   56252 }
   56253 function _user_shape($name) {
   56254   $name = $name | 0;
   56255   var $call = 0, $tobool = 0, $0 = 0, $inc = 0, $1 = 0, $tobool1 = 0, $2 = 0, $mul = 0, $call2 = 0, $mul3 = 0, $call4 = 0, $call2_sink = 0, $3 = 0, $call5 = 0, $4 = 0, $5 = 0, $arrayidx = 0, $call6 = 0, $name7 = 0, $6 = 0, $cmp = 0, $7 = 0, $cmp9 = 0, $call12 = 0, $tobool13 = 0, $8 = 0, $9 = 0, $call16 = 0, $10 = 0, $11 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   56256   __stackBase__ = STACKTOP;
   56257   label = 2;
   56258   while (1) switch (label | 0) {
   56259    case 2:
   56260     $call = _find_user_shape($name) | 0;
   56261     $tobool = ($call | 0) == 0;
   56262     if ($tobool) {
   56263       label = 3;
   56264       break;
   56265     } else {
   56266       $retval_0 = $call;
   56267       label = 11;
   56268       break;
   56269     }
   56270    case 3:
   56271     $0 = HEAP32[41622] | 0;
   56272     $inc = $0 + 1 | 0;
   56273     HEAP32[41622] = $inc;
   56274     $1 = HEAP32[41230] | 0;
   56275     $tobool1 = ($1 | 0) == 0;
   56276     if ($tobool1) {
   56277       label = 5;
   56278       break;
   56279     } else {
   56280       label = 4;
   56281       break;
   56282     }
   56283    case 4:
   56284     $2 = $1;
   56285     $mul = $inc << 2;
   56286     $call2 = _grealloc($2, $mul) | 0;
   56287     $call2_sink = $call2;
   56288     label = 6;
   56289     break;
   56290    case 5:
   56291     $mul3 = $inc << 2;
   56292     $call4 = _gmalloc($mul3) | 0;
   56293     $call2_sink = $call4;
   56294     label = 6;
   56295     break;
   56296    case 6:
   56297     $3 = $call2_sink;
   56298     HEAP32[41230] = $3;
   56299     $call5 = _zmalloc(16) | 0;
   56300     $4 = $call5;
   56301     $5 = HEAP32[41230] | 0;
   56302     $arrayidx = $5 + ($0 << 2) | 0;
   56303     HEAP32[$arrayidx >> 2] = $4;
   56304     HEAP32[$call5 >> 2] = HEAP32[41364] | 0;
   56305     HEAP32[$call5 + 4 >> 2] = HEAP32[41365] | 0;
   56306     HEAP32[$call5 + 8 >> 2] = HEAP32[41366] | 0;
   56307     HEAP32[$call5 + 12 >> 2] = HEAP32[41367] | 0;
   56308     $call6 = _strdup($name | 0) | 0;
   56309     $name7 = $call5;
   56310     HEAP32[$name7 >> 2] = $call6;
   56311     $6 = HEAP32[41648] | 0;
   56312     $cmp = ($6 | 0) == 0;
   56313     if ($cmp) {
   56314       label = 7;
   56315       break;
   56316     } else {
   56317       label = 10;
   56318       break;
   56319     }
   56320    case 7:
   56321     $7 = HEAP8[$name] | 0;
   56322     $cmp9 = $7 << 24 >> 24 == 99;
   56323     if ($cmp9) {
   56324       label = 8;
   56325       break;
   56326     } else {
   56327       label = 9;
   56328       break;
   56329     }
   56330    case 8:
   56331     $call12 = _strcmp($name | 0, 110216) | 0;
   56332     $tobool13 = ($call12 | 0) == 0;
   56333     if ($tobool13) {
   56334       label = 10;
   56335       break;
   56336     } else {
   56337       label = 9;
   56338       break;
   56339     }
   56340    case 9:
   56341     $8 = HEAP32[41364] | 0;
   56342     $9 = HEAP32[$name7 >> 2] | 0;
   56343     $call16 = _agerr(0, 90624, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $8, HEAP32[tempInt + 8 >> 2] = $9, tempInt) | 0) | 0;
   56344     $10 = $call5 + 12 | 0;
   56345     HEAP8[$10] = 0;
   56346     $retval_0 = $4;
   56347     label = 11;
   56348     break;
   56349    case 10:
   56350     $11 = $call5 + 12 | 0;
   56351     HEAP8[$11] = 1;
   56352     $retval_0 = $4;
   56353     label = 11;
   56354     break;
   56355    case 11:
   56356     STACKTOP = __stackBase__;
   56357     return $retval_0 | 0;
   56358   }
   56359   return 0;
   56360 }
   56361 function _resolvePort($agg_result, $n, $other, $oldport) {
   56362   $agg_result = $agg_result | 0;
   56363   $n = $n | 0;
   56364   $other = $other | 0;
   56365   $oldport = $oldport | 0;
   56366   var $rv = 0, $call = 0, $3 = 0, $4 = 0, __stackBase__ = 0;
   56367   __stackBase__ = STACKTOP;
   56368   STACKTOP = STACKTOP + 40 | 0;
   56369   $rv = __stackBase__ | 0;
   56370   $call = _closestSide($n, $other, $oldport) | 0;
   56371   HEAP32[$rv + 36 >> 2] = HEAP32[$oldport + 36 >> 2] | 0;
   56372   _compassPort($n, HEAP32[$oldport + 24 >> 2] | 0, $rv, $call, HEAPU8[$oldport + 33 | 0] | 0, 0);
   56373   $3 = $agg_result;
   56374   $4 = $rv;
   56375   HEAP32[$3 >> 2] = HEAP32[$4 >> 2] | 0;
   56376   HEAP32[$3 + 4 >> 2] = HEAP32[$4 + 4 >> 2] | 0;
   56377   HEAP32[$3 + 8 >> 2] = HEAP32[$4 + 8 >> 2] | 0;
   56378   HEAP32[$3 + 12 >> 2] = HEAP32[$4 + 12 >> 2] | 0;
   56379   HEAP32[$3 + 16 >> 2] = HEAP32[$4 + 16 >> 2] | 0;
   56380   HEAP32[$3 + 20 >> 2] = HEAP32[$4 + 20 >> 2] | 0;
   56381   HEAP32[$3 + 24 >> 2] = HEAP32[$4 + 24 >> 2] | 0;
   56382   HEAP32[$3 + 28 >> 2] = HEAP32[$4 + 28 >> 2] | 0;
   56383   HEAP32[$3 + 32 >> 2] = HEAP32[$4 + 32 >> 2] | 0;
   56384   HEAP32[$3 + 36 >> 2] = HEAP32[$4 + 36 >> 2] | 0;
   56385   STACKTOP = __stackBase__;
   56386   return;
   56387 }
   56388 function _closestSide($n, $other, $oldport) {
   56389   $n = $n | 0;
   56390   $other = $other | 0;
   56391   $oldport = $oldport | 0;
   56392   var $pt = 0, $opt = 0, $graph = 0, $0 = 0, $root = 0, $1 = 0, $rankdir = 0, $2 = 0, $and = 0, $coord = 0, $coord3 = 0, $side = 0, $3 = 0, $conv = 0, $bp = 0, $4 = 0, $tobool = 0, $b_sroa_0_0__idx = 0, $b_sroa_0_0_copyload = 0.0, $b_sroa_1_8__idx17 = 0, $b_sroa_1_8_copyload = 0.0, $b_sroa_2_16__idx20 = 0, $b_sroa_2_16_copyload = 0.0, $b_sroa_3_24__idx25 = 0, $b_sroa_3_24_copyload = 0.0, $5 = 0, $rankdir11 = 0, $6 = 0, $and13 = 0, $tobool14 = 0, $ht = 0, $7 = 0.0, $div = 0.0, $sub35 = 0.0, $lw39 = 0, $8 = 0.0, $sub44 = 0.0, $sub35_sub44 = 0.0, $sub44_sub35 = 0.0, $_div = 0.0, $div_ = 0.0, $b_sroa_1_0 = 0.0, $b_sroa_0_0 = 0.0, $b_sroa_2_0 = 0.0, $b_sroa_3_0 = 0.0, $x106 = 0, $9 = 0, $y109 = 0, $10 = 0, $x113 = 0, $11 = 0, $y119 = 0, $12 = 0, $conv70 = 0, $add76 = 0.0, $div77 = 0.0, $conv78 = 0, $conv83 = 0, $add89 = 0.0, $div90 = 0.0, $conv91 = 0, $conv96 = 0, $add102 = 0.0, $div103 = 0.0, $conv104 = 0, $and51 = 0, $cmp52 = 0, $add = 0.0, $div64 = 0.0, $conv65 = 0, $conv58 = 0, $add108 = 0, $add111 = 0, $sub114 = 0, $sub120 = 0, $mul124 = 0, $mul = 0, $add125 = 0, $rv_1 = 0, $mind_1 = 0, $and51_1 = 0, $cmp52_1 = 0, $retval_0 = 0, $add108_1 = 0, $add111_1 = 0, $sub114_1 = 0, $mul_1 = 0, $sub120_1 = 0, $mul124_1 = 0, $add125_1 = 0, $tobool126_1 = 0, $cmp128_1 = 0, $or_cond_1 = 0, $_rv_1 = 0, $add125_1_mind_1 = 0, $rv_1_1 = 0, $mind_1_1 = 0, $and51_2 = 0, $cmp52_2 = 0, $add108_2 = 0, $add111_2 = 0, $sub114_2 = 0, $mul_2 = 0, $sub120_2 = 0, $mul124_2 = 0, $add125_2 = 0, $tobool126_2 = 0, $cmp128_2 = 0, $or_cond_2 = 0, $_rv_1_1 = 0, $add125_2_mind_1_1 = 0, $rv_1_2 = 0, $mind_1_2 = 0, $and51_3 = 0, $cmp52_3 = 0, $add108_3 = 0, $add111_3 = 0, $sub114_3 = 0, $mul_3 = 0, $sub120_3 = 0, $mul124_3 = 0, $add125_3 = 0, $tobool126_3 = 0, $cmp128_3 = 0, $or_cond_3 = 0, $_rv_1_2 = 0, label = 0, __stackBase__ = 0;
   56393   __stackBase__ = STACKTOP;
   56394   STACKTOP = STACKTOP + 16 | 0;
   56395   label = 2;
   56396   while (1) switch (label | 0) {
   56397    case 2:
   56398     $pt = __stackBase__ | 0;
   56399     $opt = __stackBase__ + 8 | 0;
   56400     $graph = $n + 20 | 0;
   56401     $0 = HEAP32[$graph >> 2] | 0;
   56402     $root = $0 + 32 | 0;
   56403     $1 = HEAP32[$root >> 2] | 0;
   56404     $rankdir = $1 + 156 | 0;
   56405     $2 = HEAP32[$rankdir >> 2] | 0;
   56406     $and = $2 & 3;
   56407     $coord = $n + 32 | 0;
   56408     _cvtPt($pt, $coord, $and);
   56409     $coord3 = $other + 32 | 0;
   56410     _cvtPt($opt, $coord3, $and);
   56411     $side = $oldport + 33 | 0;
   56412     $3 = HEAP8[$side] | 0;
   56413     $conv = $3 & 255;
   56414     if (($3 << 24 >> 24 | 0) == 15 | ($3 << 24 >> 24 | 0) == 0) {
   56415       $retval_0 = 0;
   56416       label = 9;
   56417       break;
   56418     } else {
   56419       label = 3;
   56420       break;
   56421     }
   56422    case 3:
   56423     $bp = $oldport + 24 | 0;
   56424     $4 = HEAP32[$bp >> 2] | 0;
   56425     $tobool = ($4 | 0) == 0;
   56426     if ($tobool) {
   56427       label = 5;
   56428       break;
   56429     } else {
   56430       label = 4;
   56431       break;
   56432     }
   56433    case 4:
   56434     $b_sroa_0_0__idx = $4 | 0;
   56435     $b_sroa_0_0_copyload = +HEAPF64[$b_sroa_0_0__idx >> 3];
   56436     $b_sroa_1_8__idx17 = $4 + 8 | 0;
   56437     $b_sroa_1_8_copyload = +HEAPF64[$b_sroa_1_8__idx17 >> 3];
   56438     $b_sroa_2_16__idx20 = $4 + 16 | 0;
   56439     $b_sroa_2_16_copyload = +HEAPF64[$b_sroa_2_16__idx20 >> 3];
   56440     $b_sroa_3_24__idx25 = $4 + 24 | 0;
   56441     $b_sroa_3_24_copyload = +HEAPF64[$b_sroa_3_24__idx25 >> 3];
   56442     $b_sroa_3_0 = $b_sroa_3_24_copyload;
   56443     $b_sroa_2_0 = $b_sroa_2_16_copyload;
   56444     $b_sroa_0_0 = $b_sroa_0_0_copyload;
   56445     $b_sroa_1_0 = $b_sroa_1_8_copyload;
   56446     label = 6;
   56447     break;
   56448    case 5:
   56449     $5 = HEAP32[$graph >> 2] | 0;
   56450     $rankdir11 = $5 + 156 | 0;
   56451     $6 = HEAP32[$rankdir11 >> 2] | 0;
   56452     $and13 = $6 & 1;
   56453     $tobool14 = ($and13 | 0) == 0;
   56454     $ht = $n + 96 | 0;
   56455     $7 = +HEAPF64[$ht >> 3];
   56456     $div = $7 * .5;
   56457     $sub35 = -0.0 - $div;
   56458     $lw39 = $n + 104 | 0;
   56459     $8 = +HEAPF64[$lw39 >> 3];
   56460     $sub44 = -0.0 - $8;
   56461     $sub35_sub44 = $tobool14 ? $sub35 : $sub44;
   56462     $sub44_sub35 = $tobool14 ? $sub44 : $sub35;
   56463     $_div = $tobool14 ? $8 : $div;
   56464     $div_ = $tobool14 ? $div : $8;
   56465     $b_sroa_3_0 = $div_;
   56466     $b_sroa_2_0 = $_div;
   56467     $b_sroa_0_0 = $sub44_sub35;
   56468     $b_sroa_1_0 = $sub35_sub44;
   56469     label = 6;
   56470     break;
   56471    case 6:
   56472     $x106 = $pt | 0;
   56473     $9 = HEAP32[$x106 >> 2] | 0;
   56474     $y109 = $pt + 4 | 0;
   56475     $10 = HEAP32[$y109 >> 2] | 0;
   56476     $x113 = $opt | 0;
   56477     $11 = HEAP32[$x113 >> 2] | 0;
   56478     $y119 = $opt + 4 | 0;
   56479     $12 = HEAP32[$y119 >> 2] | 0;
   56480     $conv70 = ~~$b_sroa_2_0;
   56481     $add76 = $b_sroa_1_0 + $b_sroa_3_0;
   56482     $div77 = $add76 * .5;
   56483     $conv78 = ~~$div77;
   56484     $conv83 = ~~$b_sroa_3_0;
   56485     $add89 = $b_sroa_0_0 + $b_sroa_2_0;
   56486     $div90 = $add89 * .5;
   56487     $conv91 = ~~$div90;
   56488     $conv96 = ~~$b_sroa_0_0;
   56489     $add102 = $b_sroa_1_0 + $b_sroa_3_0;
   56490     $div103 = $add102 * .5;
   56491     $conv104 = ~~$div103;
   56492     $and51 = $conv & 1;
   56493     $cmp52 = ($and51 | 0) == 0;
   56494     if ($cmp52) {
   56495       $mind_1 = 0;
   56496       $rv_1 = 0;
   56497       label = 8;
   56498       break;
   56499     } else {
   56500       label = 7;
   56501       break;
   56502     }
   56503    case 7:
   56504     $add = $b_sroa_0_0 + $b_sroa_2_0;
   56505     $div64 = $add * .5;
   56506     $conv65 = ~~$div64;
   56507     $conv58 = ~~$b_sroa_1_0;
   56508     $add108 = $9 + $conv65 | 0;
   56509     $add111 = $10 + $conv58 | 0;
   56510     $sub114 = $add108 - $11 | 0;
   56511     $sub120 = $add111 - $12 | 0;
   56512     $mul124 = Math_imul($sub120, $sub120);
   56513     $mul = Math_imul($sub114, $sub114);
   56514     $add125 = $mul124 + $mul | 0;
   56515     $mind_1 = $add125;
   56516     $rv_1 = 106048;
   56517     label = 8;
   56518     break;
   56519    case 8:
   56520     $and51_1 = $conv & 2;
   56521     $cmp52_1 = ($and51_1 | 0) == 0;
   56522     if ($cmp52_1) {
   56523       $mind_1_1 = $mind_1;
   56524       $rv_1_1 = $rv_1;
   56525       label = 11;
   56526       break;
   56527     } else {
   56528       label = 10;
   56529       break;
   56530     }
   56531    case 9:
   56532     STACKTOP = __stackBase__;
   56533     return $retval_0 | 0;
   56534    case 10:
   56535     $add108_1 = $9 + $conv70 | 0;
   56536     $add111_1 = $10 + $conv78 | 0;
   56537     $sub114_1 = $add108_1 - $11 | 0;
   56538     $mul_1 = Math_imul($sub114_1, $sub114_1);
   56539     $sub120_1 = $add111_1 - $12 | 0;
   56540     $mul124_1 = Math_imul($sub120_1, $sub120_1);
   56541     $add125_1 = $mul124_1 + $mul_1 | 0;
   56542     $tobool126_1 = ($rv_1 | 0) == 0;
   56543     $cmp128_1 = ($add125_1 | 0) < ($mind_1 | 0);
   56544     $or_cond_1 = $tobool126_1 | $cmp128_1;
   56545     $_rv_1 = $or_cond_1 ? 102144 : $rv_1;
   56546     $add125_1_mind_1 = $or_cond_1 ? $add125_1 : $mind_1;
   56547     $mind_1_1 = $add125_1_mind_1;
   56548     $rv_1_1 = $_rv_1;
   56549     label = 11;
   56550     break;
   56551    case 11:
   56552     $and51_2 = $conv & 4;
   56553     $cmp52_2 = ($and51_2 | 0) == 0;
   56554     if ($cmp52_2) {
   56555       $mind_1_2 = $mind_1_1;
   56556       $rv_1_2 = $rv_1_1;
   56557       label = 13;
   56558       break;
   56559     } else {
   56560       label = 12;
   56561       break;
   56562     }
   56563    case 12:
   56564     $add108_2 = $9 + $conv91 | 0;
   56565     $add111_2 = $10 + $conv83 | 0;
   56566     $sub114_2 = $add108_2 - $11 | 0;
   56567     $mul_2 = Math_imul($sub114_2, $sub114_2);
   56568     $sub120_2 = $add111_2 - $12 | 0;
   56569     $mul124_2 = Math_imul($sub120_2, $sub120_2);
   56570     $add125_2 = $mul124_2 + $mul_2 | 0;
   56571     $tobool126_2 = ($rv_1_1 | 0) == 0;
   56572     $cmp128_2 = ($add125_2 | 0) < ($mind_1_1 | 0);
   56573     $or_cond_2 = $tobool126_2 | $cmp128_2;
   56574     $_rv_1_1 = $or_cond_2 ? 97864 : $rv_1_1;
   56575     $add125_2_mind_1_1 = $or_cond_2 ? $add125_2 : $mind_1_1;
   56576     $mind_1_2 = $add125_2_mind_1_1;
   56577     $rv_1_2 = $_rv_1_1;
   56578     label = 13;
   56579     break;
   56580    case 13:
   56581     $and51_3 = $conv & 8;
   56582     $cmp52_3 = ($and51_3 | 0) == 0;
   56583     if ($cmp52_3) {
   56584       $retval_0 = $rv_1_2;
   56585       label = 9;
   56586       break;
   56587     } else {
   56588       label = 14;
   56589       break;
   56590     }
   56591    case 14:
   56592     $add108_3 = $9 + $conv96 | 0;
   56593     $add111_3 = $10 + $conv104 | 0;
   56594     $sub114_3 = $add108_3 - $11 | 0;
   56595     $mul_3 = Math_imul($sub114_3, $sub114_3);
   56596     $sub120_3 = $add111_3 - $12 | 0;
   56597     $mul124_3 = Math_imul($sub120_3, $sub120_3);
   56598     $add125_3 = $mul124_3 + $mul_3 | 0;
   56599     $tobool126_3 = ($rv_1_2 | 0) == 0;
   56600     $cmp128_3 = ($add125_3 | 0) < ($mind_1_2 | 0);
   56601     $or_cond_3 = $tobool126_3 | $cmp128_3;
   56602     $_rv_1_2 = $or_cond_3 ? 94128 : $rv_1_2;
   56603     STACKTOP = __stackBase__;
   56604     return $_rv_1_2 | 0;
   56605   }
   56606   return 0;
   56607 }
   56608 function _compassPort($n, $bp, $pp, $compass, $sides, $ictxt) {
   56609   $n = $n | 0;
   56610   $bp = $bp | 0;
   56611   $pp = $pp | 0;
   56612   $compass = $compass | 0;
   56613   $sides = $sides | 0;
   56614   $ictxt = $ictxt | 0;
   56615   var $p = 0, $tmp155 = 0, $tobool = 0, $b_sroa_0_0__idx = 0, $b_sroa_0_0_copyload = 0.0, $b_sroa_1_8__idx12 = 0, $b_sroa_1_8_copyload = 0.0, $b_sroa_2_16__idx14 = 0, $b_sroa_2_16_copyload = 0.0, $b_sroa_3_24__idx20 = 0, $b_sroa_3_24_copyload = 0.0, $add = 0.0, $div = 0.0, $add5 = 0.0, $div6 = 0.0, $graph = 0, $0 = 0, $1 = 0, $rankdir = 0, $2 = 0, $and9 = 0, $tobool10 = 0, $ht = 0, $3 = 0.0, $div13 = 0.0, $lw40 = 0, $4 = 0.0, $_div13 = 0.0, $div13_ = 0.0, $b_sroa_0_0 = 0.0, $b_sroa_1_0 = 0.0, $b_sroa_2_1 = 0.0, $b_sroa_1_1 = 0.0, $b_sroa_0_1 = 0.0, $b_sroa_3_1 = 0.0, $defined_0 = 0, $ctr_sroa_0_0__idx = 0, $ctr_sroa_0_0_copyload = 0.0, $ctr_sroa_1_8__idx8 = 0, $ctr_sroa_1_8_copyload = 0.0, $tobool49 = 0, $5 = 0, $tobool50 = 0, $conv = 0, $incdec_ptr = 0, $6 = 0, $tobool53 = 0, $and59 = 0, $7 = 0, $conv65 = 0, $tobool67 = 0, $and75 = 0, $tobool77 = 0, $and85 = 0, $tobool87 = 0, $and95 = 0, $8 = 0, $tobool99 = 0, $and105 = 0, $9 = 0, $conv111 = 0, $tobool113 = 0, $and121 = 0, $tobool123 = 0, $and131 = 0, $tobool133 = 0, $and141 = 0, $rv_0 = 0, $theta_0 = 0.0, $constrain_0 = 0, $dyna_0 = 0, $side_0 = 0, $clip_0 = 0, $defined_1 = 0, $graph151 = 0, $10 = 0, $rankdir153 = 0, $11 = 0, $and154 = 0, $mul = 0, $12 = 0, $13 = 0, $tobool156 = 0, $conv158 = 0, $side159 = 0, $14 = 0, $rankdir163 = 0, $15 = 0, $and164 = 0, $call = 0, $conv165 = 0, $side166 = 0, $bp168 = 0, $16 = 0.0, $cmp = 0, $add172 = 0.0, $sub175 = 0.0, $add172_sink = 0.0, $conv173 = 0, $conv177 = 0.0, $x179 = 0, $17 = 0.0, $cmp181 = 0, $add185 = 0.0, $sub189 = 0.0, $add185_sink = 0.0, $conv186 = 0, $conv193 = 0.0, $y195 = 0, $18 = 0, $rankdir198 = 0, $19 = 0, $and199 = 0, $call200 = 0.0, $theta201 = 0, $20 = 0.0, $cmp203 = 0, $21 = 0.0, $cmp207 = 0, $order = 0, $22 = 0.0, $23 = 0.0, $call214 = 0.0, $add215 = 0.0, $cmp216 = 0, $sub219 = 0.0, $angle_0 = 0.0, $mul221 = 0.0, $div222 = 0.0, $conv223 = 0, $conv224 = 0, $order225 = 0, $constrained = 0, $defined227 = 0, $clip228 = 0, $dyna229 = 0, label = 0, __stackBase__ = 0;
   56616   __stackBase__ = STACKTOP;
   56617   STACKTOP = STACKTOP + 32 | 0;
   56618   label = 2;
   56619   while (1) switch (label | 0) {
   56620    case 2:
   56621     $p = __stackBase__ | 0;
   56622     $tmp155 = __stackBase__ + 16 | 0;
   56623     $tobool = ($bp | 0) == 0;
   56624     if ($tobool) {
   56625       label = 4;
   56626       break;
   56627     } else {
   56628       label = 3;
   56629       break;
   56630     }
   56631    case 3:
   56632     $b_sroa_0_0__idx = $bp | 0;
   56633     $b_sroa_0_0_copyload = +HEAPF64[$b_sroa_0_0__idx >> 3];
   56634     $b_sroa_1_8__idx12 = $bp + 8 | 0;
   56635     $b_sroa_1_8_copyload = +HEAPF64[$b_sroa_1_8__idx12 >> 3];
   56636     $b_sroa_2_16__idx14 = $bp + 16 | 0;
   56637     $b_sroa_2_16_copyload = +HEAPF64[$b_sroa_2_16__idx14 >> 3];
   56638     $b_sroa_3_24__idx20 = $bp + 24 | 0;
   56639     $b_sroa_3_24_copyload = +HEAPF64[$b_sroa_3_24__idx20 >> 3];
   56640     $add = $b_sroa_0_0_copyload + $b_sroa_2_16_copyload;
   56641     $div = $add * .5;
   56642     $add5 = $b_sroa_1_8_copyload + $b_sroa_3_24_copyload;
   56643     $div6 = $add5 * .5;
   56644     _pointfof795($p, $div, $div6);
   56645     $defined_0 = 1;
   56646     $b_sroa_3_1 = $b_sroa_3_24_copyload;
   56647     $b_sroa_0_1 = $b_sroa_0_0_copyload;
   56648     $b_sroa_1_1 = $b_sroa_1_8_copyload;
   56649     $b_sroa_2_1 = $b_sroa_2_16_copyload;
   56650     label = 5;
   56651     break;
   56652    case 4:
   56653     $graph = $n + 20 | 0;
   56654     $0 = $p;
   56655     _memset($0 | 0, 0, 16);
   56656     $1 = HEAP32[$graph >> 2] | 0;
   56657     $rankdir = $1 + 156 | 0;
   56658     $2 = HEAP32[$rankdir >> 2] | 0;
   56659     $and9 = $2 & 1;
   56660     $tobool10 = ($and9 | 0) == 0;
   56661     $ht = $n + 96 | 0;
   56662     $3 = +HEAPF64[$ht >> 3];
   56663     $div13 = $3 * .5;
   56664     $lw40 = $n + 104 | 0;
   56665     $4 = +HEAPF64[$lw40 >> 3];
   56666     $_div13 = $tobool10 ? $4 : $div13;
   56667     $div13_ = $tobool10 ? $div13 : $4;
   56668     $b_sroa_0_0 = -0.0 - $_div13;
   56669     $b_sroa_1_0 = -0.0 - $div13_;
   56670     $defined_0 = 0;
   56671     $b_sroa_3_1 = $div13_;
   56672     $b_sroa_0_1 = $b_sroa_0_0;
   56673     $b_sroa_1_1 = $b_sroa_1_0;
   56674     $b_sroa_2_1 = $_div13;
   56675     label = 5;
   56676     break;
   56677    case 5:
   56678     $ctr_sroa_0_0__idx = $p | 0;
   56679     $ctr_sroa_0_0_copyload = +HEAPF64[$ctr_sroa_0_0__idx >> 3];
   56680     $ctr_sroa_1_8__idx8 = $p + 8 | 0;
   56681     $ctr_sroa_1_8_copyload = +HEAPF64[$ctr_sroa_1_8__idx8 >> 3];
   56682     $tobool49 = ($compass | 0) == 0;
   56683     if ($tobool49) {
   56684       $defined_1 = $defined_0;
   56685       $clip_0 = 1;
   56686       $side_0 = 0;
   56687       $dyna_0 = 0;
   56688       $constrain_0 = 0;
   56689       $theta_0 = 0.0;
   56690       $rv_0 = 0;
   56691       label = 42;
   56692       break;
   56693     } else {
   56694       label = 6;
   56695       break;
   56696     }
   56697    case 6:
   56698     $5 = HEAP8[$compass] | 0;
   56699     $tobool50 = $5 << 24 >> 24 == 0;
   56700     if ($tobool50) {
   56701       $defined_1 = $defined_0;
   56702       $clip_0 = 1;
   56703       $side_0 = 0;
   56704       $dyna_0 = 0;
   56705       $constrain_0 = 0;
   56706       $theta_0 = 0.0;
   56707       $rv_0 = 0;
   56708       label = 42;
   56709       break;
   56710     } else {
   56711       label = 7;
   56712       break;
   56713     }
   56714    case 7:
   56715     $conv = $5 << 24 >> 24;
   56716     $incdec_ptr = $compass + 1 | 0;
   56717     if (($conv | 0) == 101) {
   56718       label = 8;
   56719       break;
   56720     } else if (($conv | 0) == 115) {
   56721       label = 10;
   56722       break;
   56723     } else if (($conv | 0) == 119) {
   56724       label = 24;
   56725       break;
   56726     } else if (($conv | 0) == 110) {
   56727       label = 26;
   56728       break;
   56729     } else if (($conv | 0) == 95) {
   56730       label = 40;
   56731       break;
   56732     } else if (($conv | 0) == 99) {
   56733       $defined_1 = $defined_0;
   56734       $clip_0 = 1;
   56735       $side_0 = 0;
   56736       $dyna_0 = 0;
   56737       $constrain_0 = 0;
   56738       $theta_0 = 0.0;
   56739       $rv_0 = 0;
   56740       label = 42;
   56741       break;
   56742     } else {
   56743       label = 41;
   56744       break;
   56745     }
   56746    case 8:
   56747     $6 = HEAP8[$incdec_ptr] | 0;
   56748     $tobool53 = $6 << 24 >> 24 == 0;
   56749     if ($tobool53) {
   56750       label = 9;
   56751       break;
   56752     } else {
   56753       $defined_1 = $defined_0;
   56754       $clip_0 = 1;
   56755       $side_0 = 0;
   56756       $dyna_0 = 0;
   56757       $constrain_0 = 0;
   56758       $theta_0 = 0.0;
   56759       $rv_0 = 1;
   56760       label = 42;
   56761       break;
   56762     }
   56763    case 9:
   56764     HEAPF64[$ctr_sroa_0_0__idx >> 3] = $b_sroa_2_1;
   56765     $and59 = $sides & 2;
   56766     $defined_1 = 1;
   56767     $clip_0 = 0;
   56768     $side_0 = $and59;
   56769     $dyna_0 = 0;
   56770     $constrain_0 = 1;
   56771     $theta_0 = 0.0;
   56772     $rv_0 = 0;
   56773     label = 42;
   56774     break;
   56775    case 10:
   56776     HEAPF64[$ctr_sroa_1_8__idx8 >> 3] = $b_sroa_1_1;
   56777     $7 = HEAP8[$incdec_ptr] | 0;
   56778     $conv65 = $7 << 24 >> 24;
   56779     if (($conv65 | 0) == 0) {
   56780       label = 11;
   56781       break;
   56782     } else if (($conv65 | 0) == 101) {
   56783       label = 15;
   56784       break;
   56785     } else if (($conv65 | 0) == 119) {
   56786       label = 19;
   56787       break;
   56788     } else {
   56789       label = 23;
   56790       break;
   56791     }
   56792    case 11:
   56793     $tobool67 = ($ictxt | 0) == 0;
   56794     if ($tobool67) {
   56795       label = 13;
   56796       break;
   56797     } else {
   56798       label = 12;
   56799       break;
   56800     }
   56801    case 12:
   56802     _compassPoint($p, $ictxt, -2147483647.0, $ctr_sroa_0_0_copyload);
   56803     label = 14;
   56804     break;
   56805    case 13:
   56806     HEAPF64[$ctr_sroa_0_0__idx >> 3] = $ctr_sroa_0_0_copyload;
   56807     label = 14;
   56808     break;
   56809    case 14:
   56810     $and75 = $sides & 1;
   56811     $defined_1 = 1;
   56812     $clip_0 = 0;
   56813     $side_0 = $and75;
   56814     $dyna_0 = 0;
   56815     $constrain_0 = 1;
   56816     $theta_0 = -1.5707963267948966;
   56817     $rv_0 = 0;
   56818     label = 42;
   56819     break;
   56820    case 15:
   56821     $tobool77 = ($ictxt | 0) == 0;
   56822     if ($tobool77) {
   56823       label = 17;
   56824       break;
   56825     } else {
   56826       label = 16;
   56827       break;
   56828     }
   56829    case 16:
   56830     _compassPoint($p, $ictxt, -2147483647.0, 2147483647.0);
   56831     label = 18;
   56832     break;
   56833    case 17:
   56834     HEAPF64[$ctr_sroa_0_0__idx >> 3] = $b_sroa_2_1;
   56835     label = 18;
   56836     break;
   56837    case 18:
   56838     $and85 = $sides & 3;
   56839     $defined_1 = 1;
   56840     $clip_0 = 0;
   56841     $side_0 = $and85;
   56842     $dyna_0 = 0;
   56843     $constrain_0 = 1;
   56844     $theta_0 = -.7853981633974483;
   56845     $rv_0 = 0;
   56846     label = 42;
   56847     break;
   56848    case 19:
   56849     $tobool87 = ($ictxt | 0) == 0;
   56850     if ($tobool87) {
   56851       label = 21;
   56852       break;
   56853     } else {
   56854       label = 20;
   56855       break;
   56856     }
   56857    case 20:
   56858     _compassPoint($p, $ictxt, -2147483647.0, -2147483647.0);
   56859     label = 22;
   56860     break;
   56861    case 21:
   56862     HEAPF64[$ctr_sroa_0_0__idx >> 3] = $b_sroa_0_1;
   56863     label = 22;
   56864     break;
   56865    case 22:
   56866     $and95 = $sides & 9;
   56867     $defined_1 = 1;
   56868     $clip_0 = 0;
   56869     $side_0 = $and95;
   56870     $dyna_0 = 0;
   56871     $constrain_0 = 1;
   56872     $theta_0 = -2.356194490192345;
   56873     $rv_0 = 0;
   56874     label = 42;
   56875     break;
   56876    case 23:
   56877     HEAPF64[$ctr_sroa_1_8__idx8 >> 3] = $ctr_sroa_1_8_copyload;
   56878     $defined_1 = $defined_0;
   56879     $clip_0 = 1;
   56880     $side_0 = 0;
   56881     $dyna_0 = 0;
   56882     $constrain_0 = 0;
   56883     $theta_0 = 0.0;
   56884     $rv_0 = 1;
   56885     label = 42;
   56886     break;
   56887    case 24:
   56888     $8 = HEAP8[$incdec_ptr] | 0;
   56889     $tobool99 = $8 << 24 >> 24 == 0;
   56890     if ($tobool99) {
   56891       label = 25;
   56892       break;
   56893     } else {
   56894       $defined_1 = $defined_0;
   56895       $clip_0 = 1;
   56896       $side_0 = 0;
   56897       $dyna_0 = 0;
   56898       $constrain_0 = 0;
   56899       $theta_0 = 0.0;
   56900       $rv_0 = 1;
   56901       label = 42;
   56902       break;
   56903     }
   56904    case 25:
   56905     HEAPF64[$ctr_sroa_0_0__idx >> 3] = $b_sroa_0_1;
   56906     $and105 = $sides & 8;
   56907     $defined_1 = 1;
   56908     $clip_0 = 0;
   56909     $side_0 = $and105;
   56910     $dyna_0 = 0;
   56911     $constrain_0 = 1;
   56912     $theta_0 = 3.141592653589793;
   56913     $rv_0 = 0;
   56914     label = 42;
   56915     break;
   56916    case 26:
   56917     HEAPF64[$ctr_sroa_1_8__idx8 >> 3] = $b_sroa_3_1;
   56918     $9 = HEAP8[$incdec_ptr] | 0;
   56919     $conv111 = $9 << 24 >> 24;
   56920     if (($conv111 | 0) == 0) {
   56921       label = 27;
   56922       break;
   56923     } else if (($conv111 | 0) == 101) {
   56924       label = 31;
   56925       break;
   56926     } else if (($conv111 | 0) == 119) {
   56927       label = 35;
   56928       break;
   56929     } else {
   56930       label = 39;
   56931       break;
   56932     }
   56933    case 27:
   56934     $tobool113 = ($ictxt | 0) == 0;
   56935     if ($tobool113) {
   56936       label = 29;
   56937       break;
   56938     } else {
   56939       label = 28;
   56940       break;
   56941     }
   56942    case 28:
   56943     _compassPoint($p, $ictxt, 2147483647.0, $ctr_sroa_0_0_copyload);
   56944     label = 30;
   56945     break;
   56946    case 29:
   56947     HEAPF64[$ctr_sroa_0_0__idx >> 3] = $ctr_sroa_0_0_copyload;
   56948     label = 30;
   56949     break;
   56950    case 30:
   56951     $and121 = $sides & 4;
   56952     $defined_1 = 1;
   56953     $clip_0 = 0;
   56954     $side_0 = $and121;
   56955     $dyna_0 = 0;
   56956     $constrain_0 = 1;
   56957     $theta_0 = 1.5707963267948966;
   56958     $rv_0 = 0;
   56959     label = 42;
   56960     break;
   56961    case 31:
   56962     $tobool123 = ($ictxt | 0) == 0;
   56963     if ($tobool123) {
   56964       label = 33;
   56965       break;
   56966     } else {
   56967       label = 32;
   56968       break;
   56969     }
   56970    case 32:
   56971     _compassPoint($p, $ictxt, 2147483647.0, 2147483647.0);
   56972     label = 34;
   56973     break;
   56974    case 33:
   56975     HEAPF64[$ctr_sroa_0_0__idx >> 3] = $b_sroa_2_1;
   56976     label = 34;
   56977     break;
   56978    case 34:
   56979     $and131 = $sides & 6;
   56980     $defined_1 = 1;
   56981     $clip_0 = 0;
   56982     $side_0 = $and131;
   56983     $dyna_0 = 0;
   56984     $constrain_0 = 1;
   56985     $theta_0 = .7853981633974483;
   56986     $rv_0 = 0;
   56987     label = 42;
   56988     break;
   56989    case 35:
   56990     $tobool133 = ($ictxt | 0) == 0;
   56991     if ($tobool133) {
   56992       label = 37;
   56993       break;
   56994     } else {
   56995       label = 36;
   56996       break;
   56997     }
   56998    case 36:
   56999     _compassPoint($p, $ictxt, 2147483647.0, -2147483647.0);
   57000     label = 38;
   57001     break;
   57002    case 37:
   57003     HEAPF64[$ctr_sroa_0_0__idx >> 3] = $b_sroa_0_1;
   57004     label = 38;
   57005     break;
   57006    case 38:
   57007     $and141 = $sides & 12;
   57008     $defined_1 = 1;
   57009     $clip_0 = 0;
   57010     $side_0 = $and141;
   57011     $dyna_0 = 0;
   57012     $constrain_0 = 1;
   57013     $theta_0 = 2.356194490192345;
   57014     $rv_0 = 0;
   57015     label = 42;
   57016     break;
   57017    case 39:
   57018     HEAPF64[$ctr_sroa_1_8__idx8 >> 3] = $ctr_sroa_1_8_copyload;
   57019     $defined_1 = $defined_0;
   57020     $clip_0 = 1;
   57021     $side_0 = 0;
   57022     $dyna_0 = 0;
   57023     $constrain_0 = 0;
   57024     $theta_0 = 0.0;
   57025     $rv_0 = 1;
   57026     label = 42;
   57027     break;
   57028    case 40:
   57029     $defined_1 = $defined_0;
   57030     $clip_0 = 1;
   57031     $side_0 = $sides;
   57032     $dyna_0 = 1;
   57033     $constrain_0 = 0;
   57034     $theta_0 = 0.0;
   57035     $rv_0 = 0;
   57036     label = 42;
   57037     break;
   57038    case 41:
   57039     $defined_1 = $defined_0;
   57040     $clip_0 = 1;
   57041     $side_0 = 0;
   57042     $dyna_0 = 0;
   57043     $constrain_0 = 0;
   57044     $theta_0 = 0.0;
   57045     $rv_0 = 1;
   57046     label = 42;
   57047     break;
   57048    case 42:
   57049     $graph151 = $n + 20 | 0;
   57050     $10 = HEAP32[$graph151 >> 2] | 0;
   57051     $rankdir153 = $10 + 156 | 0;
   57052     $11 = HEAP32[$rankdir153 >> 2] | 0;
   57053     $and154 = $11 & 3;
   57054     $mul = $and154 * 90 & -1;
   57055     _cwrotatepf($tmp155, $p, $mul);
   57056     $12 = $p;
   57057     $13 = $tmp155;
   57058     HEAP32[$12 >> 2] = HEAP32[$13 >> 2] | 0;
   57059     HEAP32[$12 + 4 >> 2] = HEAP32[$13 + 4 >> 2] | 0;
   57060     HEAP32[$12 + 8 >> 2] = HEAP32[$13 + 8 >> 2] | 0;
   57061     HEAP32[$12 + 12 >> 2] = HEAP32[$13 + 12 >> 2] | 0;
   57062     $tobool156 = $dyna_0 << 24 >> 24 == 0;
   57063     if ($tobool156) {
   57064       label = 44;
   57065       break;
   57066     } else {
   57067       label = 43;
   57068       break;
   57069     }
   57070    case 43:
   57071     $conv158 = $side_0 & 255;
   57072     $side159 = $pp + 33 | 0;
   57073     HEAP8[$side159] = $conv158;
   57074     label = 45;
   57075     break;
   57076    case 44:
   57077     $14 = HEAP32[$graph151 >> 2] | 0;
   57078     $rankdir163 = $14 + 156 | 0;
   57079     $15 = HEAP32[$rankdir163 >> 2] | 0;
   57080     $and164 = $15 & 3;
   57081     $call = _invflip_side($side_0, $and164) | 0;
   57082     $conv165 = $call & 255;
   57083     $side166 = $pp + 33 | 0;
   57084     HEAP8[$side166] = $conv165;
   57085     label = 45;
   57086     break;
   57087    case 45:
   57088     $bp168 = $pp + 24 | 0;
   57089     HEAP32[$bp168 >> 2] = $bp;
   57090     $16 = +HEAPF64[$ctr_sroa_0_0__idx >> 3];
   57091     $cmp = $16 < 0.0;
   57092     if ($cmp) {
   57093       label = 47;
   57094       break;
   57095     } else {
   57096       label = 46;
   57097       break;
   57098     }
   57099    case 46:
   57100     $add172 = $16 + .5;
   57101     $add172_sink = $add172;
   57102     label = 48;
   57103     break;
   57104    case 47:
   57105     $sub175 = $16 + -.5;
   57106     $add172_sink = $sub175;
   57107     label = 48;
   57108     break;
   57109    case 48:
   57110     $conv173 = ~~$add172_sink;
   57111     $conv177 = +($conv173 | 0);
   57112     $x179 = $pp | 0;
   57113     HEAPF64[$x179 >> 3] = $conv177;
   57114     $17 = +HEAPF64[$ctr_sroa_1_8__idx8 >> 3];
   57115     $cmp181 = $17 < 0.0;
   57116     if ($cmp181) {
   57117       label = 50;
   57118       break;
   57119     } else {
   57120       label = 49;
   57121       break;
   57122     }
   57123    case 49:
   57124     $add185 = $17 + .5;
   57125     $add185_sink = $add185;
   57126     label = 51;
   57127     break;
   57128    case 50:
   57129     $sub189 = $17 + -.5;
   57130     $add185_sink = $sub189;
   57131     label = 51;
   57132     break;
   57133    case 51:
   57134     $conv186 = ~~$add185_sink;
   57135     $conv193 = +($conv186 | 0);
   57136     $y195 = $pp + 8 | 0;
   57137     HEAPF64[$y195 >> 3] = $conv193;
   57138     $18 = HEAP32[$graph151 >> 2] | 0;
   57139     $rankdir198 = $18 + 156 | 0;
   57140     $19 = HEAP32[$rankdir198 >> 2] | 0;
   57141     $and199 = $19 & 3;
   57142     $call200 = +_invflip_angle($theta_0, $and199);
   57143     $theta201 = $pp + 16 | 0;
   57144     HEAPF64[$theta201 >> 3] = $call200;
   57145     $20 = +HEAPF64[$ctr_sroa_0_0__idx >> 3];
   57146     $cmp203 = $20 == 0.0;
   57147     if ($cmp203) {
   57148       label = 52;
   57149       break;
   57150     } else {
   57151       label = 54;
   57152       break;
   57153     }
   57154    case 52:
   57155     $21 = +HEAPF64[$ctr_sroa_1_8__idx8 >> 3];
   57156     $cmp207 = $21 == 0.0;
   57157     if ($cmp207) {
   57158       label = 53;
   57159       break;
   57160     } else {
   57161       label = 54;
   57162       break;
   57163     }
   57164    case 53:
   57165     $order = $pp + 32 | 0;
   57166     HEAP8[$order] = -128;
   57167     label = 57;
   57168     break;
   57169    case 54:
   57170     $22 = +HEAPF64[$ctr_sroa_1_8__idx8 >> 3];
   57171     $23 = +HEAPF64[$ctr_sroa_0_0__idx >> 3];
   57172     $call214 = +Math_atan2(+$22, +$23);
   57173     $add215 = $call214 + 4.71238898038469;
   57174     $cmp216 = $add215 < 6.283185307179586;
   57175     if ($cmp216) {
   57176       $angle_0 = $add215;
   57177       label = 56;
   57178       break;
   57179     } else {
   57180       label = 55;
   57181       break;
   57182     }
   57183    case 55:
   57184     $sub219 = $add215 - 6.283185307179586;
   57185     $angle_0 = $sub219;
   57186     label = 56;
   57187     break;
   57188    case 56:
   57189     $mul221 = $angle_0 * 256.0;
   57190     $div222 = $mul221 / 6.283185307179586;
   57191     $conv223 = ~~$div222;
   57192     $conv224 = $conv223 & 255;
   57193     $order225 = $pp + 32 | 0;
   57194     HEAP8[$order225] = $conv224;
   57195     label = 57;
   57196     break;
   57197    case 57:
   57198     $constrained = $pp + 29 | 0;
   57199     HEAP8[$constrained] = $constrain_0;
   57200     $defined227 = $pp + 28 | 0;
   57201     HEAP8[$defined227] = $defined_1;
   57202     $clip228 = $pp + 30 | 0;
   57203     HEAP8[$clip228] = $clip_0;
   57204     $dyna229 = $pp + 31 | 0;
   57205     HEAP8[$dyna229] = $dyna_0;
   57206     STACKTOP = __stackBase__;
   57207     return $rv_0 | 0;
   57208   }
   57209   return 0;
   57210 }
   57211 function _invflip_side($side, $rankdir) {
   57212   $side = $side | 0;
   57213   $rankdir = $rankdir | 0;
   57214   var $side_addr_0 = 0, label = 0;
   57215   label = 2;
   57216   while (1) switch (label | 0) {
   57217    case 2:
   57218     if (($rankdir | 0) == 2) {
   57219       label = 3;
   57220       break;
   57221     } else if (($rankdir | 0) == 1) {
   57222       label = 6;
   57223       break;
   57224     } else if (($rankdir | 0) == 3) {
   57225       label = 11;
   57226       break;
   57227     } else {
   57228       $side_addr_0 = $side;
   57229       label = 16;
   57230       break;
   57231     }
   57232    case 3:
   57233     if (($side | 0) == 4) {
   57234       label = 4;
   57235       break;
   57236     } else if (($side | 0) == 1) {
   57237       label = 5;
   57238       break;
   57239     } else {
   57240       $side_addr_0 = $side;
   57241       label = 16;
   57242       break;
   57243     }
   57244    case 4:
   57245     $side_addr_0 = 1;
   57246     label = 16;
   57247     break;
   57248    case 5:
   57249     $side_addr_0 = 4;
   57250     label = 16;
   57251     break;
   57252    case 6:
   57253     if (($side | 0) == 4) {
   57254       label = 7;
   57255       break;
   57256     } else if (($side | 0) == 1) {
   57257       label = 8;
   57258       break;
   57259     } else if (($side | 0) == 8) {
   57260       label = 9;
   57261       break;
   57262     } else if (($side | 0) == 2) {
   57263       label = 10;
   57264       break;
   57265     } else {
   57266       $side_addr_0 = $side;
   57267       label = 16;
   57268       break;
   57269     }
   57270    case 7:
   57271     $side_addr_0 = 2;
   57272     label = 16;
   57273     break;
   57274    case 8:
   57275     $side_addr_0 = 8;
   57276     label = 16;
   57277     break;
   57278    case 9:
   57279     $side_addr_0 = 4;
   57280     label = 16;
   57281     break;
   57282    case 10:
   57283     $side_addr_0 = 1;
   57284     label = 16;
   57285     break;
   57286    case 11:
   57287     if (($side | 0) == 4) {
   57288       label = 12;
   57289       break;
   57290     } else if (($side | 0) == 1) {
   57291       label = 13;
   57292       break;
   57293     } else if (($side | 0) == 8) {
   57294       label = 14;
   57295       break;
   57296     } else if (($side | 0) == 2) {
   57297       label = 15;
   57298       break;
   57299     } else {
   57300       $side_addr_0 = $side;
   57301       label = 16;
   57302       break;
   57303     }
   57304    case 12:
   57305     $side_addr_0 = 2;
   57306     label = 16;
   57307     break;
   57308    case 13:
   57309     $side_addr_0 = 8;
   57310     label = 16;
   57311     break;
   57312    case 14:
   57313     $side_addr_0 = 1;
   57314     label = 16;
   57315     break;
   57316    case 15:
   57317     $side_addr_0 = 4;
   57318     label = 16;
   57319     break;
   57320    case 16:
   57321     return $side_addr_0 | 0;
   57322   }
   57323   return 0;
   57324 }
   57325 function _invflip_angle($angle, $rankdir) {
   57326   $angle = +$angle;
   57327   $rankdir = $rankdir | 0;
   57328   var $mul = 0.0, $sub = 0.0, $cmp = 0, $cmp4 = 0, $cmp7 = 0, $cmp10 = 0, $cmp13 = 0, $cmp16 = 0, $cmp19 = 0, $retval = 0.0, $angle_addr_0 = 0.0, label = 0;
   57329   label = 2;
   57330   while (1) switch (label | 0) {
   57331    case 2:
   57332     if (($rankdir | 0) == 2) {
   57333       label = 3;
   57334       break;
   57335     } else if (($rankdir | 0) == 1) {
   57336       label = 4;
   57337       break;
   57338     } else if (($rankdir | 0) == 3) {
   57339       label = 5;
   57340       break;
   57341     } else {
   57342       $angle_addr_0 = $angle;
   57343       label = 12;
   57344       break;
   57345     }
   57346    case 3:
   57347     $mul = $angle * -1.0;
   57348     $angle_addr_0 = $mul;
   57349     label = 12;
   57350     break;
   57351    case 4:
   57352     $sub = $angle - 1.5707963267948966;
   57353     $angle_addr_0 = $sub;
   57354     label = 12;
   57355     break;
   57356    case 5:
   57357     $cmp = $angle == 3.141592653589793;
   57358     if ($cmp) {
   57359       $angle_addr_0 = -1.5707963267948966;
   57360       label = 12;
   57361       break;
   57362     } else {
   57363       label = 6;
   57364       break;
   57365     }
   57366    case 6:
   57367     $cmp4 = $angle == 2.356194490192345;
   57368     if ($cmp4) {
   57369       $angle_addr_0 = -.7853981633974483;
   57370       label = 12;
   57371       break;
   57372     } else {
   57373       label = 7;
   57374       break;
   57375     }
   57376    case 7:
   57377     $cmp7 = $angle == 1.5707963267948966;
   57378     if ($cmp7) {
   57379       $angle_addr_0 = 0.0;
   57380       label = 12;
   57381       break;
   57382     } else {
   57383       label = 8;
   57384       break;
   57385     }
   57386    case 8:
   57387     $cmp10 = $angle == .7853981633974483;
   57388     if ($cmp10) {
   57389       $angle_addr_0 = $angle;
   57390       label = 12;
   57391       break;
   57392     } else {
   57393       label = 9;
   57394       break;
   57395     }
   57396    case 9:
   57397     $cmp13 = $angle == 0.0;
   57398     if ($cmp13) {
   57399       $angle_addr_0 = 1.5707963267948966;
   57400       label = 12;
   57401       break;
   57402     } else {
   57403       label = 10;
   57404       break;
   57405     }
   57406    case 10:
   57407     $cmp16 = $angle == -.7853981633974483;
   57408     if ($cmp16) {
   57409       $angle_addr_0 = 2.356194490192345;
   57410       label = 12;
   57411       break;
   57412     } else {
   57413       label = 11;
   57414       break;
   57415     }
   57416    case 11:
   57417     $cmp19 = $angle == -1.5707963267948966;
   57418     $retval = $cmp19 ? 3.141592653589793 : $angle;
   57419     return +$retval;
   57420    case 12:
   57421     return +$angle_addr_0;
   57422   }
   57423   return 0.0;
   57424 }
   57425 function _cvtPt($agg_result, $p, $rankdir) {
   57426   $agg_result = $agg_result | 0;
   57427   $p = $p | 0;
   57428   $rankdir = $rankdir | 0;
   57429   var $q_sroa_0_0__idx = 0, $q_sroa_0_0_copyload = 0.0, $q_sroa_1_8__idx4 = 0, $q_sroa_1_8_copyload = 0.0, $x = 0, $0 = 0.0, $y = 0, $1 = 0.0, $sub = 0.0, $x5 = 0, $2 = 0.0, $y7 = 0, $3 = 0.0, $sub8 = 0.0, $x11 = 0, $4 = 0.0, $y13 = 0, $5 = 0.0, $q_sroa_0_0 = 0.0, $q_sroa_1_0 = 0.0, $cmp = 0, $q_sroa_1_08 = 0.0, $q_sroa_0_06 = 0.0, $add = 0.0, $sub18 = 0.0, $q_sroa_1_07 = 0.0, $add_sink = 0.0, $conv = 0, $cmp22 = 0, $add26 = 0.0, $sub30 = 0.0, $add26_sink = 0.0, $conv27 = 0, $Q_sroa_0_0__idx = 0, $Q_sroa_1_4__idx1 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   57430   __stackBase__ = STACKTOP;
   57431   tempParam = $p;
   57432   $p = STACKTOP;
   57433   STACKTOP = STACKTOP + 16 | 0;
   57434   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   57435   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   57436   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   57437   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   57438   label = 2;
   57439   while (1) switch (label | 0) {
   57440    case 2:
   57441     if (($rankdir | 0) == 0) {
   57442       label = 3;
   57443       break;
   57444     } else if (($rankdir | 0) == 2) {
   57445       label = 4;
   57446       break;
   57447     } else if (($rankdir | 0) == 1) {
   57448       label = 5;
   57449       break;
   57450     } else if (($rankdir | 0) == 3) {
   57451       label = 6;
   57452       break;
   57453     } else {
   57454       $q_sroa_0_06 = 0.0;
   57455       $q_sroa_1_08 = 0.0;
   57456       label = 8;
   57457       break;
   57458     }
   57459    case 3:
   57460     $q_sroa_0_0__idx = $p | 0;
   57461     $q_sroa_0_0_copyload = +HEAPF64[$q_sroa_0_0__idx >> 3];
   57462     $q_sroa_1_8__idx4 = $p + 8 | 0;
   57463     $q_sroa_1_8_copyload = +HEAPF64[$q_sroa_1_8__idx4 >> 3];
   57464     $q_sroa_1_0 = $q_sroa_1_8_copyload;
   57465     $q_sroa_0_0 = $q_sroa_0_0_copyload;
   57466     label = 7;
   57467     break;
   57468    case 4:
   57469     $x = $p | 0;
   57470     $0 = +HEAPF64[$x >> 3];
   57471     $y = $p + 8 | 0;
   57472     $1 = +HEAPF64[$y >> 3];
   57473     $sub = -0.0 - $1;
   57474     $q_sroa_1_0 = $sub;
   57475     $q_sroa_0_0 = $0;
   57476     label = 7;
   57477     break;
   57478    case 5:
   57479     $x5 = $p | 0;
   57480     $2 = +HEAPF64[$x5 >> 3];
   57481     $y7 = $p + 8 | 0;
   57482     $3 = +HEAPF64[$y7 >> 3];
   57483     $sub8 = -0.0 - $3;
   57484     $q_sroa_1_0 = $2;
   57485     $q_sroa_0_0 = $sub8;
   57486     label = 7;
   57487     break;
   57488    case 6:
   57489     $x11 = $p | 0;
   57490     $4 = +HEAPF64[$x11 >> 3];
   57491     $y13 = $p + 8 | 0;
   57492     $5 = +HEAPF64[$y13 >> 3];
   57493     $q_sroa_1_0 = $4;
   57494     $q_sroa_0_0 = $5;
   57495     label = 7;
   57496     break;
   57497    case 7:
   57498     $cmp = $q_sroa_0_0 < 0.0;
   57499     if ($cmp) {
   57500       label = 9;
   57501       break;
   57502     } else {
   57503       $q_sroa_0_06 = $q_sroa_0_0;
   57504       $q_sroa_1_08 = $q_sroa_1_0;
   57505       label = 8;
   57506       break;
   57507     }
   57508    case 8:
   57509     $add = $q_sroa_0_06 + .5;
   57510     $add_sink = $add;
   57511     $q_sroa_1_07 = $q_sroa_1_08;
   57512     label = 10;
   57513     break;
   57514    case 9:
   57515     $sub18 = $q_sroa_0_0 + -.5;
   57516     $add_sink = $sub18;
   57517     $q_sroa_1_07 = $q_sroa_1_0;
   57518     label = 10;
   57519     break;
   57520    case 10:
   57521     $conv = ~~$add_sink;
   57522     $cmp22 = $q_sroa_1_07 < 0.0;
   57523     if ($cmp22) {
   57524       label = 12;
   57525       break;
   57526     } else {
   57527       label = 11;
   57528       break;
   57529     }
   57530    case 11:
   57531     $add26 = $q_sroa_1_07 + .5;
   57532     $add26_sink = $add26;
   57533     label = 13;
   57534     break;
   57535    case 12:
   57536     $sub30 = $q_sroa_1_07 + -.5;
   57537     $add26_sink = $sub30;
   57538     label = 13;
   57539     break;
   57540    case 13:
   57541     $conv27 = ~~$add26_sink;
   57542     $Q_sroa_0_0__idx = $agg_result | 0;
   57543     HEAP32[$Q_sroa_0_0__idx >> 2] = $conv;
   57544     $Q_sroa_1_4__idx1 = $agg_result + 4 | 0;
   57545     HEAP32[$Q_sroa_1_4__idx1 >> 2] = $conv27;
   57546     STACKTOP = __stackBase__;
   57547     return;
   57548   }
   57549 }
   57550 function _compassPoint($agg_result, $ictxt, $y, $x) {
   57551   $agg_result = $agg_result | 0;
   57552   $ictxt = $ictxt | 0;
   57553   $y = +$y;
   57554   $x = +$x;
   57555   var $curve = 0, $0 = 0, $5 = 0, $6 = 0, __stackBase__ = 0;
   57556   __stackBase__ = STACKTOP;
   57557   STACKTOP = STACKTOP + 64 | 0;
   57558   $curve = __stackBase__ | 0;
   57559   $0 = HEAP32[$ictxt >> 2] | 0;
   57560   _memset($curve | 0, 0, 16);
   57561   HEAPF64[$curve + 16 >> 3] = $x / 3.0;
   57562   HEAPF64[$curve + 24 >> 3] = $y / 3.0;
   57563   HEAPF64[$curve + 32 >> 3] = $x * 2.0 / 3.0;
   57564   HEAPF64[$curve + 40 >> 3] = $y * 2.0 / 3.0;
   57565   HEAPF64[$curve + 48 >> 3] = $x;
   57566   HEAPF64[$curve + 56 >> 3] = $y;
   57567   _bezier_clip($ictxt, HEAP32[(HEAP32[(HEAP32[$0 + 24 >> 2] | 0) + 4 >> 2] | 0) + 12 >> 2] | 0, $curve | 0, 1);
   57568   $5 = $agg_result;
   57569   $6 = $curve;
   57570   HEAP32[$5 >> 2] = HEAP32[$6 >> 2] | 0;
   57571   HEAP32[$5 + 4 >> 2] = HEAP32[$6 + 4 >> 2] | 0;
   57572   HEAP32[$5 + 8 >> 2] = HEAP32[$6 + 8 >> 2] | 0;
   57573   HEAP32[$5 + 12 >> 2] = HEAP32[$6 + 12 >> 2] | 0;
   57574   STACKTOP = __stackBase__;
   57575   return;
   57576 }
   57577 function _poly_port($agg_result, $n, $portname, $compass) {
   57578   $agg_result = $agg_result | 0;
   57579   $n = $n | 0;
   57580   $portname = $portname | 0;
   57581   $compass = $compass | 0;
   57582   var $rv = 0, $sides = 0, $ictxt = 0, $0 = 0, $cmp = 0, $1 = 0, $cmp2 = 0, $_compass = 0, $label = 0, $2 = 0, $html = 0, $3 = 0, $tobool = 0, $call = 0, $tobool7 = 0, $4 = 0, $call9 = 0, $tobool10 = 0, $name = 0, $5 = 0, $call12 = 0, $shape = 0, $6 = 0, $polygon = 0, $7 = 0, $cmp15 = 0, $8 = 0, $n_c = 0, $bp21 = 0, $ictxtp_0 = 0, $9 = 0, $call23 = 0, $tobool24 = 0, $10 = 0, $11 = 0, label = 0, __stackBase__ = 0;
   57583   __stackBase__ = STACKTOP;
   57584   STACKTOP = STACKTOP + 56 | 0;
   57585   label = 2;
   57586   while (1) switch (label | 0) {
   57587    case 2:
   57588     $rv = __stackBase__ | 0;
   57589     $sides = __stackBase__ + 40 | 0;
   57590     $ictxt = __stackBase__ + 48 | 0;
   57591     $0 = HEAP8[$portname] | 0;
   57592     $cmp = $0 << 24 >> 24 == 0;
   57593     if ($cmp) {
   57594       label = 3;
   57595       break;
   57596     } else {
   57597       label = 4;
   57598       break;
   57599     }
   57600    case 3:
   57601     $1 = $agg_result;
   57602     HEAP32[$1 >> 2] = HEAP32[42020] | 0;
   57603     HEAP32[$1 + 4 >> 2] = HEAP32[42021] | 0;
   57604     HEAP32[$1 + 8 >> 2] = HEAP32[42022] | 0;
   57605     HEAP32[$1 + 12 >> 2] = HEAP32[42023] | 0;
   57606     HEAP32[$1 + 16 >> 2] = HEAP32[42024] | 0;
   57607     HEAP32[$1 + 20 >> 2] = HEAP32[42025] | 0;
   57608     HEAP32[$1 + 24 >> 2] = HEAP32[42026] | 0;
   57609     HEAP32[$1 + 28 >> 2] = HEAP32[42027] | 0;
   57610     HEAP32[$1 + 32 >> 2] = HEAP32[42028] | 0;
   57611     HEAP32[$1 + 36 >> 2] = HEAP32[42029] | 0;
   57612     label = 13;
   57613     break;
   57614    case 4:
   57615     $cmp2 = ($compass | 0) == 0;
   57616     $_compass = $cmp2 ? 109560 : $compass;
   57617     HEAP32[$sides >> 2] = 15;
   57618     $label = $n + 120 | 0;
   57619     $2 = HEAP32[$label >> 2] | 0;
   57620     $html = $2 + 82 | 0;
   57621     $3 = HEAP8[$html] | 0;
   57622     $tobool = $3 << 24 >> 24 == 0;
   57623     if ($tobool) {
   57624       label = 8;
   57625       break;
   57626     } else {
   57627       label = 5;
   57628       break;
   57629     }
   57630    case 5:
   57631     $call = _html_port($n, $portname, $sides) | 0;
   57632     $tobool7 = ($call | 0) == 0;
   57633     if ($tobool7) {
   57634       label = 8;
   57635       break;
   57636     } else {
   57637       label = 6;
   57638       break;
   57639     }
   57640    case 6:
   57641     $4 = HEAP32[$sides >> 2] | 0;
   57642     $call9 = _compassPort($n, $call, $rv, $_compass, $4, 0) | 0;
   57643     $tobool10 = ($call9 | 0) == 0;
   57644     if ($tobool10) {
   57645       label = 12;
   57646       break;
   57647     } else {
   57648       label = 7;
   57649       break;
   57650     }
   57651    case 7:
   57652     $name = $n + 12 | 0;
   57653     $5 = HEAP32[$name >> 2] | 0;
   57654     $call12 = _agerr(0, 109200, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = $5, HEAP32[tempInt + 8 >> 2] = $portname, HEAP32[tempInt + 16 >> 2] = $_compass, tempInt) | 0) | 0;
   57655     label = 12;
   57656     break;
   57657    case 8:
   57658     $shape = $n + 24 | 0;
   57659     $6 = HEAP32[$shape >> 2] | 0;
   57660     $polygon = $6 + 8 | 0;
   57661     $7 = HEAP32[$polygon >> 2] | 0;
   57662     $cmp15 = ($7 | 0) == 8800;
   57663     if ($cmp15) {
   57664       $ictxtp_0 = 0;
   57665       label = 10;
   57666       break;
   57667     } else {
   57668       label = 9;
   57669       break;
   57670     }
   57671    case 9:
   57672     $8 = $ictxt | 0;
   57673     $n_c = $n;
   57674     HEAP32[$8 >> 2] = $n_c;
   57675     $bp21 = $ictxt + 4 | 0;
   57676     HEAP32[$bp21 >> 2] = 0;
   57677     $ictxtp_0 = $ictxt;
   57678     label = 10;
   57679     break;
   57680    case 10:
   57681     $9 = HEAP32[$sides >> 2] | 0;
   57682     $call23 = _compassPort($n, 0, $rv, $portname, $9, $ictxtp_0) | 0;
   57683     $tobool24 = ($call23 | 0) == 0;
   57684     if ($tobool24) {
   57685       label = 12;
   57686       break;
   57687     } else {
   57688       label = 11;
   57689       break;
   57690     }
   57691    case 11:
   57692     _unrecognized($n, $portname);
   57693     label = 12;
   57694     break;
   57695    case 12:
   57696     $10 = $agg_result;
   57697     $11 = $rv;
   57698     HEAP32[$10 >> 2] = HEAP32[$11 >> 2] | 0;
   57699     HEAP32[$10 + 4 >> 2] = HEAP32[$11 + 4 >> 2] | 0;
   57700     HEAP32[$10 + 8 >> 2] = HEAP32[$11 + 8 >> 2] | 0;
   57701     HEAP32[$10 + 12 >> 2] = HEAP32[$11 + 12 >> 2] | 0;
   57702     HEAP32[$10 + 16 >> 2] = HEAP32[$11 + 16 >> 2] | 0;
   57703     HEAP32[$10 + 20 >> 2] = HEAP32[$11 + 20 >> 2] | 0;
   57704     HEAP32[$10 + 24 >> 2] = HEAP32[$11 + 24 >> 2] | 0;
   57705     HEAP32[$10 + 28 >> 2] = HEAP32[$11 + 28 >> 2] | 0;
   57706     HEAP32[$10 + 32 >> 2] = HEAP32[$11 + 32 >> 2] | 0;
   57707     HEAP32[$10 + 36 >> 2] = HEAP32[$11 + 36 >> 2] | 0;
   57708     label = 13;
   57709     break;
   57710    case 13:
   57711     STACKTOP = __stackBase__;
   57712     return;
   57713   }
   57714 }
   57715 function _epsf_inside($inside_context, $p) {
   57716   $inside_context = $inside_context | 0;
   57717   $p = $p | 0;
   57718   var $tmp = 0, $n1 = 0, $0 = 0, $graph = 0, $1 = 0, $rankdir = 0, $2 = 0, $and = 0, $mul = 0, $P_sroa_0_0__idx = 0, $P_sroa_0_0_copyload = 0.0, $P_sroa_1_8__idx7 = 0, $P_sroa_1_8_copyload = 0.0, $ht = 0, $3 = 0.0, $div = 0.0, $sub = 0.0, $cmp = 0, $cmp4 = 0, $or_cond = 0, $lw = 0, $4 = 0.0, $sub7 = 0.0, $cmp8 = 0, $rw = 0, $5 = 0.0, $cmp11 = 0, $phitmp = 0, $6 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   57719   __stackBase__ = STACKTOP;
   57720   STACKTOP = STACKTOP + 16 | 0;
   57721   tempParam = $p;
   57722   $p = STACKTOP;
   57723   STACKTOP = STACKTOP + 16 | 0;
   57724   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   57725   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   57726   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   57727   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   57728   label = 2;
   57729   while (1) switch (label | 0) {
   57730    case 2:
   57731     $tmp = __stackBase__ | 0;
   57732     $n1 = $inside_context;
   57733     $0 = HEAP32[$n1 >> 2] | 0;
   57734     $graph = $0 + 20 | 0;
   57735     $1 = HEAP32[$graph >> 2] | 0;
   57736     $rankdir = $1 + 156 | 0;
   57737     $2 = HEAP32[$rankdir >> 2] | 0;
   57738     $and = $2 & 3;
   57739     $mul = $and * 90 & -1;
   57740     _ccwrotatepf($tmp, $p, $mul);
   57741     $P_sroa_0_0__idx = $tmp | 0;
   57742     $P_sroa_0_0_copyload = +HEAPF64[$P_sroa_0_0__idx >> 3];
   57743     $P_sroa_1_8__idx7 = $tmp + 8 | 0;
   57744     $P_sroa_1_8_copyload = +HEAPF64[$P_sroa_1_8__idx7 >> 3];
   57745     $ht = $0 + 96 | 0;
   57746     $3 = +HEAPF64[$ht >> 3];
   57747     $div = $3 * .5;
   57748     $sub = -0.0 - $div;
   57749     $cmp = $P_sroa_1_8_copyload < $sub;
   57750     $cmp4 = $P_sroa_1_8_copyload > $div;
   57751     $or_cond = $cmp | $cmp4;
   57752     if ($or_cond) {
   57753       $6 = 0;
   57754       label = 5;
   57755       break;
   57756     } else {
   57757       label = 3;
   57758       break;
   57759     }
   57760    case 3:
   57761     $lw = $0 + 104 | 0;
   57762     $4 = +HEAPF64[$lw >> 3];
   57763     $sub7 = -0.0 - $4;
   57764     $cmp8 = $P_sroa_0_0_copyload < $sub7;
   57765     if ($cmp8) {
   57766       $6 = 0;
   57767       label = 5;
   57768       break;
   57769     } else {
   57770       label = 4;
   57771       break;
   57772     }
   57773    case 4:
   57774     $rw = $0 + 112 | 0;
   57775     $5 = +HEAPF64[$rw >> 3];
   57776     $cmp11 = $P_sroa_0_0_copyload <= $5;
   57777     $phitmp = $cmp11 & 1;
   57778     $6 = $phitmp;
   57779     label = 5;
   57780     break;
   57781    case 5:
   57782     STACKTOP = __stackBase__;
   57783     return $6 | 0;
   57784   }
   57785   return 0;
   57786 }
   57787 function _epsf_gencode($job, $n) {
   57788   $job = $job | 0;
   57789   $n = $n | 0;
   57790   var $obj1 = 0, $0 = 0, $url = 0, $1 = 0, $tobool = 0, $2 = 0, $3 = 0, $4 = 0, $_mask = 0, $tobool2 = 0, $5 = 0, $shape_info = 0, $6 = 0, $tobool3 = 0, $flags = 0, $7 = 0, $and = 0, $tobool5 = 0, $8 = 0, $tooltip = 0, $9 = 0, $target = 0, $10 = 0, $id = 0, $11 = 0, $output_file = 0, $12 = 0, $x = 0, $13 = 0.0, $offset = 0, $x12 = 0, $14 = 0, $conv = 0.0, $add = 0.0, $y = 0, $15 = 0.0, $y16 = 0, $16 = 0, $17 = 0, $conv17 = 0.0, $add18 = 0.0, $macro_id = 0, $18 = 0, $call = 0, $label = 0, $19 = 0, $pos = 0, $coord22 = 0, $20 = 0, $21 = 0, $22 = 0, $flags27 = 0, $23 = 0, $and28 = 0, $tobool29 = 0, $24 = 0, $tooltip32 = 0, $25 = 0, $target33 = 0, $26 = 0, $id34 = 0, $27 = 0, label = 0, __stackBase__ = 0;
   57791   __stackBase__ = STACKTOP;
   57792   label = 2;
   57793   while (1) switch (label | 0) {
   57794    case 2:
   57795     $obj1 = $job + 16 | 0;
   57796     $0 = HEAP32[$obj1 >> 2] | 0;
   57797     $url = $0 + 160 | 0;
   57798     $1 = HEAP32[$url >> 2] | 0;
   57799     $tobool = ($1 | 0) == 0;
   57800     if ($tobool) {
   57801       label = 3;
   57802       break;
   57803     } else {
   57804       $5 = 1;
   57805       label = 4;
   57806       break;
   57807     }
   57808    case 3:
   57809     $2 = $0 + 212 | 0;
   57810     $3 = $2;
   57811     $4 = HEAP32[$3 >> 2] | 0;
   57812     $_mask = $4 & 1;
   57813     $tobool2 = ($_mask | 0) != 0;
   57814     $5 = $tobool2;
   57815     label = 4;
   57816     break;
   57817    case 4:
   57818     $shape_info = $n + 28 | 0;
   57819     $6 = HEAP32[$shape_info >> 2] | 0;
   57820     $tobool3 = ($6 | 0) != 0;
   57821     if ($tobool3) {
   57822       label = 5;
   57823       break;
   57824     } else {
   57825       label = 14;
   57826       break;
   57827     }
   57828    case 5:
   57829     if ($5) {
   57830       label = 6;
   57831       break;
   57832     } else {
   57833       label = 9;
   57834       break;
   57835     }
   57836    case 6:
   57837     $flags = $job + 152 | 0;
   57838     $7 = HEAP32[$flags >> 2] | 0;
   57839     $and = $7 & 4;
   57840     $tobool5 = ($and | 0) == 0;
   57841     if ($tobool5) {
   57842       label = 7;
   57843       break;
   57844     } else {
   57845       label = 8;
   57846       break;
   57847     }
   57848    case 7:
   57849     $8 = HEAP32[$url >> 2] | 0;
   57850     $tooltip = $0 + 180 | 0;
   57851     $9 = HEAP32[$tooltip >> 2] | 0;
   57852     $target = $0 + 196 | 0;
   57853     $10 = HEAP32[$target >> 2] | 0;
   57854     $id = $0 + 164 | 0;
   57855     $11 = HEAP32[$id >> 2] | 0;
   57856     _gvrender_begin_anchor($job, $8, $9, $10, $11);
   57857     label = 8;
   57858     break;
   57859    case 8:
   57860     if ($tobool3) {
   57861       label = 9;
   57862       break;
   57863     } else {
   57864       label = 10;
   57865       break;
   57866     }
   57867    case 9:
   57868     $output_file = $job + 36 | 0;
   57869     $12 = HEAP32[$output_file >> 2] | 0;
   57870     $x = $n + 32 | 0;
   57871     $13 = +HEAPF64[$x >> 3];
   57872     $offset = $6 + 4 | 0;
   57873     $x12 = $offset;
   57874     $14 = HEAP32[$x12 >> 2] | 0;
   57875     $conv = +($14 | 0);
   57876     $add = $13 + $conv;
   57877     $y = $n + 40 | 0;
   57878     $15 = +HEAPF64[$y >> 3];
   57879     $y16 = $6 + 8 | 0;
   57880     $16 = $y16;
   57881     $17 = HEAP32[$16 >> 2] | 0;
   57882     $conv17 = +($17 | 0);
   57883     $add18 = $15 + $conv17;
   57884     $macro_id = $6;
   57885     $18 = HEAP32[$macro_id >> 2] | 0;
   57886     $call = _fprintf($12 | 0, 109912, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAPF64[tempInt >> 3] = $add, HEAPF64[tempInt + 8 >> 3] = $add18, HEAP32[tempInt + 16 >> 2] = $18, tempInt) | 0) | 0;
   57887     label = 10;
   57888     break;
   57889    case 10:
   57890     $label = $n + 120 | 0;
   57891     $19 = HEAP32[$label >> 2] | 0;
   57892     $pos = $19 + 56 | 0;
   57893     $coord22 = $n + 32 | 0;
   57894     $20 = $pos;
   57895     $21 = $coord22;
   57896     HEAP32[$20 >> 2] = HEAP32[$21 >> 2] | 0;
   57897     HEAP32[$20 + 4 >> 2] = HEAP32[$21 + 4 >> 2] | 0;
   57898     HEAP32[$20 + 8 >> 2] = HEAP32[$21 + 8 >> 2] | 0;
   57899     HEAP32[$20 + 12 >> 2] = HEAP32[$21 + 12 >> 2] | 0;
   57900     $22 = HEAP32[$label >> 2] | 0;
   57901     _emit_label($job, 10, $22);
   57902     if ($5) {
   57903       label = 11;
   57904       break;
   57905     } else {
   57906       label = 14;
   57907       break;
   57908     }
   57909    case 11:
   57910     $flags27 = $job + 152 | 0;
   57911     $23 = HEAP32[$flags27 >> 2] | 0;
   57912     $and28 = $23 & 4;
   57913     $tobool29 = ($and28 | 0) == 0;
   57914     if ($tobool29) {
   57915       label = 13;
   57916       break;
   57917     } else {
   57918       label = 12;
   57919       break;
   57920     }
   57921    case 12:
   57922     $24 = HEAP32[$url >> 2] | 0;
   57923     $tooltip32 = $0 + 180 | 0;
   57924     $25 = HEAP32[$tooltip32 >> 2] | 0;
   57925     $target33 = $0 + 196 | 0;
   57926     $26 = HEAP32[$target33 >> 2] | 0;
   57927     $id34 = $0 + 164 | 0;
   57928     $27 = HEAP32[$id34 >> 2] | 0;
   57929     _gvrender_begin_anchor($job, $24, $25, $26, $27);
   57930     label = 13;
   57931     break;
   57932    case 13:
   57933     _gvrender_end_anchor($job);
   57934     label = 14;
   57935     break;
   57936    case 14:
   57937     STACKTOP = __stackBase__;
   57938     return;
   57939   }
   57940 }
   57941 function _unrecognized($n, $p) {
   57942   $n = $n | 0;
   57943   $p = $p | 0;
   57944   var __stackBase__ = 0;
   57945   __stackBase__ = STACKTOP;
   57946   _agerr(0, 108792, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = HEAP32[$n + 12 >> 2] | 0, HEAP32[tempInt + 8 >> 2] = $p, tempInt) | 0);
   57947   STACKTOP = __stackBase__;
   57948   return;
   57949 }
   57950 function _record_free($n) {
   57951   $n = $n | 0;
   57952   _free_field(HEAP32[$n + 28 >> 2] | 0);
   57953   return;
   57954 }
   57955 function _record_port($agg_result, $n, $portname, $compass) {
   57956   $agg_result = $agg_result | 0;
   57957   $n = $n | 0;
   57958   $portname = $portname | 0;
   57959   $compass = $compass | 0;
   57960   var $rv = 0, $0 = 0, $cmp = 0, $1 = 0, $cmp2 = 0, $_compass = 0, $shape_info = 0, $2 = 0, $3 = 0, $call = 0, $tobool = 0, $b = 0, $sides7 = 0, $4 = 0, $conv8 = 0, $call9 = 0, $tobool10 = 0, $name = 0, $5 = 0, $call12 = 0, $b14 = 0, $6 = 0, $call15 = 0, $tobool16 = 0, $7 = 0, $8 = 0, label = 0, __stackBase__ = 0;
   57961   __stackBase__ = STACKTOP;
   57962   STACKTOP = STACKTOP + 40 | 0;
   57963   label = 2;
   57964   while (1) switch (label | 0) {
   57965    case 2:
   57966     $rv = __stackBase__ | 0;
   57967     $0 = HEAP8[$portname] | 0;
   57968     $cmp = $0 << 24 >> 24 == 0;
   57969     if ($cmp) {
   57970       label = 3;
   57971       break;
   57972     } else {
   57973       label = 4;
   57974       break;
   57975     }
   57976    case 3:
   57977     $1 = $agg_result;
   57978     HEAP32[$1 >> 2] = HEAP32[42020] | 0;
   57979     HEAP32[$1 + 4 >> 2] = HEAP32[42021] | 0;
   57980     HEAP32[$1 + 8 >> 2] = HEAP32[42022] | 0;
   57981     HEAP32[$1 + 12 >> 2] = HEAP32[42023] | 0;
   57982     HEAP32[$1 + 16 >> 2] = HEAP32[42024] | 0;
   57983     HEAP32[$1 + 20 >> 2] = HEAP32[42025] | 0;
   57984     HEAP32[$1 + 24 >> 2] = HEAP32[42026] | 0;
   57985     HEAP32[$1 + 28 >> 2] = HEAP32[42027] | 0;
   57986     HEAP32[$1 + 32 >> 2] = HEAP32[42028] | 0;
   57987     HEAP32[$1 + 36 >> 2] = HEAP32[42029] | 0;
   57988     label = 10;
   57989     break;
   57990    case 4:
   57991     $cmp2 = ($compass | 0) == 0;
   57992     $_compass = $cmp2 ? 109560 : $compass;
   57993     $shape_info = $n + 28 | 0;
   57994     $2 = HEAP32[$shape_info >> 2] | 0;
   57995     $3 = $2;
   57996     $call = _map_rec_port($3, $portname) | 0;
   57997     $tobool = ($call | 0) == 0;
   57998     if ($tobool) {
   57999       label = 7;
   58000       break;
   58001     } else {
   58002       label = 5;
   58003       break;
   58004     }
   58005    case 5:
   58006     $b = $call + 16 | 0;
   58007     $sides7 = $call + 65 | 0;
   58008     $4 = HEAP8[$sides7] | 0;
   58009     $conv8 = $4 & 255;
   58010     $call9 = _compassPort($n, $b, $rv, $_compass, $conv8, 0) | 0;
   58011     $tobool10 = ($call9 | 0) == 0;
   58012     if ($tobool10) {
   58013       label = 9;
   58014       break;
   58015     } else {
   58016       label = 6;
   58017       break;
   58018     }
   58019    case 6:
   58020     $name = $n + 12 | 0;
   58021     $5 = HEAP32[$name >> 2] | 0;
   58022     $call12 = _agerr(0, 109200, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = $5, HEAP32[tempInt + 8 >> 2] = $portname, HEAP32[tempInt + 16 >> 2] = $_compass, tempInt) | 0) | 0;
   58023     label = 9;
   58024     break;
   58025    case 7:
   58026     $b14 = $2 + 16 | 0;
   58027     $6 = $b14;
   58028     $call15 = _compassPort($n, $6, $rv, $portname, 15, 0) | 0;
   58029     $tobool16 = ($call15 | 0) == 0;
   58030     if ($tobool16) {
   58031       label = 9;
   58032       break;
   58033     } else {
   58034       label = 8;
   58035       break;
   58036     }
   58037    case 8:
   58038     _unrecognized($n, $portname);
   58039     label = 9;
   58040     break;
   58041    case 9:
   58042     $7 = $agg_result;
   58043     $8 = $rv;
   58044     HEAP32[$7 >> 2] = HEAP32[$8 >> 2] | 0;
   58045     HEAP32[$7 + 4 >> 2] = HEAP32[$8 + 4 >> 2] | 0;
   58046     HEAP32[$7 + 8 >> 2] = HEAP32[$8 + 8 >> 2] | 0;
   58047     HEAP32[$7 + 12 >> 2] = HEAP32[$8 + 12 >> 2] | 0;
   58048     HEAP32[$7 + 16 >> 2] = HEAP32[$8 + 16 >> 2] | 0;
   58049     HEAP32[$7 + 20 >> 2] = HEAP32[$8 + 20 >> 2] | 0;
   58050     HEAP32[$7 + 24 >> 2] = HEAP32[$8 + 24 >> 2] | 0;
   58051     HEAP32[$7 + 28 >> 2] = HEAP32[$8 + 28 >> 2] | 0;
   58052     HEAP32[$7 + 32 >> 2] = HEAP32[$8 + 32 >> 2] | 0;
   58053     HEAP32[$7 + 36 >> 2] = HEAP32[$8 + 36 >> 2] | 0;
   58054     label = 10;
   58055     break;
   58056    case 10:
   58057     STACKTOP = __stackBase__;
   58058     return;
   58059   }
   58060 }
   58061 function _record_inside($inside_context, $p) {
   58062   $inside_context = $inside_context | 0;
   58063   $p = $p | 0;
   58064   var $tmp = 0, $bp1 = 0, $0 = 0, $n3 = 0, $1 = 0, $graph = 0, $2 = 0, $rankdir = 0, $3 = 0, $and = 0, $mul = 0, $4 = 0, $5 = 0, $cmp = 0, $shape_info = 0, $6 = 0, $bbox_sroa_0_0__idx1 = 0, $7 = 0, $bbox_sroa_1_8__idx4 = 0, $8 = 0, $bbox_sroa_2_16__idx7 = 0, $9 = 0, $bbox_sroa_3_24__idx10 = 0, $10 = 0, $11 = 0, $12 = 0, $13 = 0, $bbox_sroa_3_0_in = 0, $bbox_sroa_2_0_in = 0, $bbox_sroa_1_0_in = 0, $bbox_sroa_0_0_in = 0, $bbox_sroa_0_0 = 0.0, $bbox_sroa_1_0 = 0.0, $bbox_sroa_3_0 = 0.0, $x5 = 0, $14 = 0.0, $cmp6 = 0, $bbox_sroa_2_0 = 0.0, $cmp9 = 0, $y11 = 0, $15 = 0.0, $cmp12 = 0, $cmp17 = 0, $phitmp = 0, $16 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   58065   __stackBase__ = STACKTOP;
   58066   STACKTOP = STACKTOP + 16 | 0;
   58067   tempParam = $p;
   58068   $p = STACKTOP;
   58069   STACKTOP = STACKTOP + 16 | 0;
   58070   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   58071   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   58072   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   58073   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   58074   label = 2;
   58075   while (1) switch (label | 0) {
   58076    case 2:
   58077     $tmp = __stackBase__ | 0;
   58078     $bp1 = $inside_context + 4 | 0;
   58079     $0 = HEAP32[$bp1 >> 2] | 0;
   58080     $n3 = $inside_context;
   58081     $1 = HEAP32[$n3 >> 2] | 0;
   58082     $graph = $1 + 20 | 0;
   58083     $2 = HEAP32[$graph >> 2] | 0;
   58084     $rankdir = $2 + 156 | 0;
   58085     $3 = HEAP32[$rankdir >> 2] | 0;
   58086     $and = $3 & 3;
   58087     $mul = $and * 90 & -1;
   58088     _ccwrotatepf($tmp, $p, $mul);
   58089     $4 = $p;
   58090     $5 = $tmp;
   58091     HEAP32[$4 >> 2] = HEAP32[$5 >> 2] | 0;
   58092     HEAP32[$4 + 4 >> 2] = HEAP32[$5 + 4 >> 2] | 0;
   58093     HEAP32[$4 + 8 >> 2] = HEAP32[$5 + 8 >> 2] | 0;
   58094     HEAP32[$4 + 12 >> 2] = HEAP32[$5 + 12 >> 2] | 0;
   58095     $cmp = ($0 | 0) == 0;
   58096     if ($cmp) {
   58097       label = 3;
   58098       break;
   58099     } else {
   58100       label = 4;
   58101       break;
   58102     }
   58103    case 3:
   58104     $shape_info = $1 + 28 | 0;
   58105     $6 = HEAP32[$shape_info >> 2] | 0;
   58106     $bbox_sroa_0_0__idx1 = $6 + 16 | 0;
   58107     $7 = $bbox_sroa_0_0__idx1;
   58108     $bbox_sroa_1_8__idx4 = $6 + 24 | 0;
   58109     $8 = $bbox_sroa_1_8__idx4;
   58110     $bbox_sroa_2_16__idx7 = $6 + 32 | 0;
   58111     $9 = $bbox_sroa_2_16__idx7;
   58112     $bbox_sroa_3_24__idx10 = $6 + 40 | 0;
   58113     $10 = $bbox_sroa_3_24__idx10;
   58114     $bbox_sroa_0_0_in = $7;
   58115     $bbox_sroa_1_0_in = $8;
   58116     $bbox_sroa_2_0_in = $9;
   58117     $bbox_sroa_3_0_in = $10;
   58118     label = 5;
   58119     break;
   58120    case 4:
   58121     $11 = $0 + 8 | 0;
   58122     $12 = $0 + 16 | 0;
   58123     $13 = $0 + 24 | 0;
   58124     $bbox_sroa_0_0_in = $0;
   58125     $bbox_sroa_1_0_in = $11;
   58126     $bbox_sroa_2_0_in = $12;
   58127     $bbox_sroa_3_0_in = $13;
   58128     label = 5;
   58129     break;
   58130    case 5:
   58131     $bbox_sroa_0_0 = +HEAPF64[$bbox_sroa_0_0_in >> 3];
   58132     $bbox_sroa_1_0 = +HEAPF64[$bbox_sroa_1_0_in >> 3];
   58133     $bbox_sroa_3_0 = +HEAPF64[$bbox_sroa_3_0_in >> 3];
   58134     $x5 = $p | 0;
   58135     $14 = +HEAPF64[$x5 >> 3];
   58136     $cmp6 = $bbox_sroa_0_0 > $14;
   58137     if ($cmp6) {
   58138       $16 = 0;
   58139       label = 9;
   58140       break;
   58141     } else {
   58142       label = 6;
   58143       break;
   58144     }
   58145    case 6:
   58146     $bbox_sroa_2_0 = +HEAPF64[$bbox_sroa_2_0_in >> 3];
   58147     $cmp9 = $14 > $bbox_sroa_2_0;
   58148     if ($cmp9) {
   58149       $16 = 0;
   58150       label = 9;
   58151       break;
   58152     } else {
   58153       label = 7;
   58154       break;
   58155     }
   58156    case 7:
   58157     $y11 = $p + 8 | 0;
   58158     $15 = +HEAPF64[$y11 >> 3];
   58159     $cmp12 = $bbox_sroa_1_0 > $15;
   58160     if ($cmp12) {
   58161       $16 = 0;
   58162       label = 9;
   58163       break;
   58164     } else {
   58165       label = 8;
   58166       break;
   58167     }
   58168    case 8:
   58169     $cmp17 = $15 <= $bbox_sroa_3_0;
   58170     $phitmp = $cmp17 & 1;
   58171     $16 = $phitmp;
   58172     label = 9;
   58173     break;
   58174    case 9:
   58175     STACKTOP = __stackBase__;
   58176     return $16 | 0;
   58177   }
   58178   return 0;
   58179 }
   58180 function _record_path($n, $prt, $side, $rv, $kptr) {
   58181   $n = $n | 0;
   58182   $prt = $prt | 0;
   58183   $side = $side | 0;
   58184   $rv = $rv | 0;
   58185   $kptr = $kptr | 0;
   58186   var $tmp = 0, $defined = 0, $0 = 0, $tobool = 0, $p_sroa_0_0__idx = 0, $p_sroa_0_0_copyload = 0.0, $shape_info = 0, $1 = 0, $n_flds = 0, $2 = 0, $3 = 0, $cmp30 = 0, $graph = 0, $4 = 0, $rankdir = 0, $5 = 0, $and3 = 0, $tobool4 = 0, $fld11 = 0, $6 = 0, $7 = 0, $i_031 = 0, $arrayidx12 = 0, $8 = 0, $x = 0, $x9 = 0, $y = 0, $y20 = 0, $rs_0_in_in = 0, $ls_0_in_in = 0, $ls_0_in = 0.0, $ls_0 = 0, $conv23 = 0.0, $cmp25 = 0, $rs_0_in = 0.0, $rs_0 = 0, $conv28 = 0.0, $cmp29 = 0, $9 = 0, $rankdir34 = 0, $10 = 0, $and36 = 0, $tobool37 = 0, $11 = 0, $arrayidx41 = 0, $12 = 0, $b42 = 0, $coord = 0, $13 = 0, $14 = 0, $x47 = 0, $15 = 0.0, $add = 0.0, $x51 = 0, $y54 = 0, $16 = 0.0, $ht = 0, $17 = 0.0, $div = 0.0, $sub = 0.0, $y58 = 0, $18 = 0.0, $add63 = 0.0, $x66 = 0, $y70 = 0, $19 = 0.0, $ht72 = 0, $20 = 0.0, $div73 = 0.0, $add74 = 0.0, $y77 = 0, $inc = 0, $21 = 0, $cmp = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   58187   __stackBase__ = STACKTOP;
   58188   STACKTOP = STACKTOP + 32 | 0;
   58189   label = 2;
   58190   while (1) switch (label | 0) {
   58191    case 2:
   58192     $tmp = __stackBase__ | 0;
   58193     $defined = $prt + 28 | 0;
   58194     $0 = HEAP8[$defined] | 0;
   58195     $tobool = $0 << 24 >> 24 == 0;
   58196     if ($tobool) {
   58197       $retval_0 = 0;
   58198       label = 15;
   58199       break;
   58200     } else {
   58201       label = 3;
   58202       break;
   58203     }
   58204    case 3:
   58205     $p_sroa_0_0__idx = $prt | 0;
   58206     $p_sroa_0_0_copyload = +HEAPF64[$p_sroa_0_0__idx >> 3];
   58207     $shape_info = $n + 28 | 0;
   58208     $1 = HEAP32[$shape_info >> 2] | 0;
   58209     $n_flds = $1 + 48 | 0;
   58210     $2 = $n_flds;
   58211     $3 = HEAP32[$2 >> 2] | 0;
   58212     $cmp30 = ($3 | 0) > 0;
   58213     if ($cmp30) {
   58214       label = 4;
   58215       break;
   58216     } else {
   58217       $retval_0 = $side;
   58218       label = 15;
   58219       break;
   58220     }
   58221    case 4:
   58222     $graph = $n + 20 | 0;
   58223     $4 = HEAP32[$graph >> 2] | 0;
   58224     $rankdir = $4 + 156 | 0;
   58225     $5 = HEAP32[$rankdir >> 2] | 0;
   58226     $and3 = $5 & 1;
   58227     $tobool4 = ($and3 | 0) == 0;
   58228     $fld11 = $1 + 56 | 0;
   58229     $6 = $fld11;
   58230     $7 = HEAP32[$6 >> 2] | 0;
   58231     $i_031 = 0;
   58232     label = 5;
   58233     break;
   58234    case 5:
   58235     $arrayidx12 = $7 + ($i_031 << 2) | 0;
   58236     $8 = HEAP32[$arrayidx12 >> 2] | 0;
   58237     if ($tobool4) {
   58238       label = 6;
   58239       break;
   58240     } else {
   58241       label = 7;
   58242       break;
   58243     }
   58244    case 6:
   58245     $x = $8 + 16 | 0;
   58246     $x9 = $8 + 32 | 0;
   58247     $ls_0_in_in = $x;
   58248     $rs_0_in_in = $x9;
   58249     label = 8;
   58250     break;
   58251    case 7:
   58252     $y = $8 + 24 | 0;
   58253     $y20 = $8 + 40 | 0;
   58254     $ls_0_in_in = $y;
   58255     $rs_0_in_in = $y20;
   58256     label = 8;
   58257     break;
   58258    case 8:
   58259     $ls_0_in = +HEAPF64[$ls_0_in_in >> 3];
   58260     $ls_0 = ~~$ls_0_in;
   58261     $conv23 = +($ls_0 | 0);
   58262     $cmp25 = $conv23 > $p_sroa_0_0_copyload;
   58263     if ($cmp25) {
   58264       label = 14;
   58265       break;
   58266     } else {
   58267       label = 9;
   58268       break;
   58269     }
   58270    case 9:
   58271     $rs_0_in = +HEAPF64[$rs_0_in_in >> 3];
   58272     $rs_0 = ~~$rs_0_in;
   58273     $conv28 = +($rs_0 | 0);
   58274     $cmp29 = $p_sroa_0_0_copyload > $conv28;
   58275     if ($cmp29) {
   58276       label = 14;
   58277       break;
   58278     } else {
   58279       label = 10;
   58280       break;
   58281     }
   58282    case 10:
   58283     $9 = HEAP32[$graph >> 2] | 0;
   58284     $rankdir34 = $9 + 156 | 0;
   58285     $10 = HEAP32[$rankdir34 >> 2] | 0;
   58286     $and36 = $10 & 1;
   58287     $tobool37 = ($and36 | 0) == 0;
   58288     if ($tobool37) {
   58289       label = 12;
   58290       break;
   58291     } else {
   58292       label = 11;
   58293       break;
   58294     }
   58295    case 11:
   58296     $11 = HEAP32[$6 >> 2] | 0;
   58297     $arrayidx41 = $11 + ($i_031 << 2) | 0;
   58298     $12 = HEAP32[$arrayidx41 >> 2] | 0;
   58299     $b42 = $12 + 16 | 0;
   58300     $coord = $n + 32 | 0;
   58301     _flip_rec_boxf($tmp, $b42, $coord);
   58302     $13 = $rv;
   58303     $14 = $tmp;
   58304     HEAP32[$13 >> 2] = HEAP32[$14 >> 2] | 0;
   58305     HEAP32[$13 + 4 >> 2] = HEAP32[$14 + 4 >> 2] | 0;
   58306     HEAP32[$13 + 8 >> 2] = HEAP32[$14 + 8 >> 2] | 0;
   58307     HEAP32[$13 + 12 >> 2] = HEAP32[$14 + 12 >> 2] | 0;
   58308     HEAP32[$13 + 16 >> 2] = HEAP32[$14 + 16 >> 2] | 0;
   58309     HEAP32[$13 + 20 >> 2] = HEAP32[$14 + 20 >> 2] | 0;
   58310     HEAP32[$13 + 24 >> 2] = HEAP32[$14 + 24 >> 2] | 0;
   58311     HEAP32[$13 + 28 >> 2] = HEAP32[$14 + 28 >> 2] | 0;
   58312     label = 13;
   58313     break;
   58314    case 12:
   58315     $x47 = $n + 32 | 0;
   58316     $15 = +HEAPF64[$x47 >> 3];
   58317     $add = $conv23 + $15;
   58318     $x51 = $rv | 0;
   58319     HEAPF64[$x51 >> 3] = $add;
   58320     $y54 = $n + 40 | 0;
   58321     $16 = +HEAPF64[$y54 >> 3];
   58322     $ht = $n + 96 | 0;
   58323     $17 = +HEAPF64[$ht >> 3];
   58324     $div = $17 * .5;
   58325     $sub = $16 - $div;
   58326     $y58 = $rv + 8 | 0;
   58327     HEAPF64[$y58 >> 3] = $sub;
   58328     $18 = +HEAPF64[$x47 >> 3];
   58329     $add63 = $conv28 + $18;
   58330     $x66 = $rv + 16 | 0;
   58331     HEAPF64[$x66 >> 3] = $add63;
   58332     label = 13;
   58333     break;
   58334    case 13:
   58335     $y70 = $n + 40 | 0;
   58336     $19 = +HEAPF64[$y70 >> 3];
   58337     $ht72 = $n + 96 | 0;
   58338     $20 = +HEAPF64[$ht72 >> 3];
   58339     $div73 = $20 * .5;
   58340     $add74 = $19 + $div73;
   58341     $y77 = $rv + 24 | 0;
   58342     HEAPF64[$y77 >> 3] = $add74;
   58343     HEAP32[$kptr >> 2] = 1;
   58344     $retval_0 = $side;
   58345     label = 15;
   58346     break;
   58347    case 14:
   58348     $inc = $i_031 + 1 | 0;
   58349     $21 = HEAP32[$2 >> 2] | 0;
   58350     $cmp = ($inc | 0) < ($21 | 0);
   58351     if ($cmp) {
   58352       $i_031 = $inc;
   58353       label = 5;
   58354       break;
   58355     } else {
   58356       $retval_0 = $side;
   58357       label = 15;
   58358       break;
   58359     }
   58360    case 15:
   58361     STACKTOP = __stackBase__;
   58362     return $retval_0 | 0;
   58363   }
   58364   return 0;
   58365 }
   58366 function _record_gencode($job, $n) {
   58367   $job = $job | 0;
   58368   $n = $n | 0;
   58369   var $BF = 0, $AF = 0, $obj1 = 0, $0 = 0, $url = 0, $1 = 0, $tobool = 0, $2 = 0, $3 = 0, $4 = 0, $_mask = 0, $tobool2 = 0, $5 = 0, $shape_info = 0, $6 = 0, $7 = 0, $b = 0, $8 = 0, $x = 0, $9 = 0.0, $x4 = 0, $10 = 0.0, $add = 0.0, $y = 0, $11 = 0.0, $y8 = 0, $12 = 0.0, $add9 = 0.0, $13 = 0.0, $UR = 0, $x13 = 0, $14 = 0.0, $add14 = 0.0, $15 = 0.0, $y19 = 0, $16 = 0.0, $add20 = 0.0, $flags = 0, $17 = 0, $and = 0, $tobool22 = 0, $18 = 0, $tooltip = 0, $19 = 0, $target = 0, $20 = 0, $id = 0, $21 = 0, $call = 0, $and24 = 0, $tobool25 = 0, $call27 = 0, $shape = 0, $22 = 0, $name = 0, $23 = 0, $24 = 0, $cmp = 0, $call36 = 0, $tobool37 = 0, $or = 0, $or_call = 0, $style_0 = 0, $and40 = 0, $tobool41 = 0, $arrayidx = 0, $25 = 0, $arrayidx44 = 0, $26 = 0, $27 = 0, $x47 = 0, $28 = 0.0, $x49 = 0, $y51 = 0, $29 = 0.0, $y53 = 0, $x55 = 0, $30 = 0.0, $x57 = 0, $y59 = 0, $31 = 0.0, $y61 = 0, $and62 = 0, $style_0_tr = 0, $conv64 = 0, $flags68 = 0, $32 = 0, $and69 = 0, $tobool70 = 0, $33 = 0, $tooltip73 = 0, $34 = 0, $target74 = 0, $35 = 0, $id75 = 0, $36 = 0, label = 0, __stackBase__ = 0;
   58370   __stackBase__ = STACKTOP;
   58371   STACKTOP = STACKTOP + 96 | 0;
   58372   label = 2;
   58373   while (1) switch (label | 0) {
   58374    case 2:
   58375     $BF = __stackBase__ | 0;
   58376     $AF = __stackBase__ + 32 | 0;
   58377     $obj1 = $job + 16 | 0;
   58378     $0 = HEAP32[$obj1 >> 2] | 0;
   58379     $url = $0 + 160 | 0;
   58380     $1 = HEAP32[$url >> 2] | 0;
   58381     $tobool = ($1 | 0) == 0;
   58382     if ($tobool) {
   58383       label = 3;
   58384       break;
   58385     } else {
   58386       $5 = 1;
   58387       label = 4;
   58388       break;
   58389     }
   58390    case 3:
   58391     $2 = $0 + 212 | 0;
   58392     $3 = $2;
   58393     $4 = HEAP32[$3 >> 2] | 0;
   58394     $_mask = $4 & 1;
   58395     $tobool2 = ($_mask | 0) != 0;
   58396     $5 = $tobool2;
   58397     label = 4;
   58398     break;
   58399    case 4:
   58400     $shape_info = $n + 28 | 0;
   58401     $6 = HEAP32[$shape_info >> 2] | 0;
   58402     $7 = $6;
   58403     $b = $6 + 16 | 0;
   58404     $8 = $BF;
   58405     HEAP32[$8 >> 2] = HEAP32[$b >> 2] | 0;
   58406     HEAP32[$8 + 4 >> 2] = HEAP32[$b + 4 >> 2] | 0;
   58407     HEAP32[$8 + 8 >> 2] = HEAP32[$b + 8 >> 2] | 0;
   58408     HEAP32[$8 + 12 >> 2] = HEAP32[$b + 12 >> 2] | 0;
   58409     HEAP32[$8 + 16 >> 2] = HEAP32[$b + 16 >> 2] | 0;
   58410     HEAP32[$8 + 20 >> 2] = HEAP32[$b + 20 >> 2] | 0;
   58411     HEAP32[$8 + 24 >> 2] = HEAP32[$b + 24 >> 2] | 0;
   58412     HEAP32[$8 + 28 >> 2] = HEAP32[$b + 28 >> 2] | 0;
   58413     $x = $n + 32 | 0;
   58414     $9 = +HEAPF64[$x >> 3];
   58415     $x4 = $BF | 0;
   58416     $10 = +HEAPF64[$x4 >> 3];
   58417     $add = $9 + $10;
   58418     HEAPF64[$x4 >> 3] = $add;
   58419     $y = $n + 40 | 0;
   58420     $11 = +HEAPF64[$y >> 3];
   58421     $y8 = $BF + 8 | 0;
   58422     $12 = +HEAPF64[$y8 >> 3];
   58423     $add9 = $11 + $12;
   58424     HEAPF64[$y8 >> 3] = $add9;
   58425     $13 = +HEAPF64[$x >> 3];
   58426     $UR = $BF + 16 | 0;
   58427     $x13 = $UR | 0;
   58428     $14 = +HEAPF64[$x13 >> 3];
   58429     $add14 = $13 + $14;
   58430     HEAPF64[$x13 >> 3] = $add14;
   58431     $15 = +HEAPF64[$y >> 3];
   58432     $y19 = $BF + 24 | 0;
   58433     $16 = +HEAPF64[$y19 >> 3];
   58434     $add20 = $15 + $16;
   58435     HEAPF64[$y19 >> 3] = $add20;
   58436     if ($5) {
   58437       label = 5;
   58438       break;
   58439     } else {
   58440       label = 7;
   58441       break;
   58442     }
   58443    case 5:
   58444     $flags = $job + 152 | 0;
   58445     $17 = HEAP32[$flags >> 2] | 0;
   58446     $and = $17 & 4;
   58447     $tobool22 = ($and | 0) == 0;
   58448     if ($tobool22) {
   58449       label = 6;
   58450       break;
   58451     } else {
   58452       label = 7;
   58453       break;
   58454     }
   58455    case 6:
   58456     $18 = HEAP32[$url >> 2] | 0;
   58457     $tooltip = $0 + 180 | 0;
   58458     $19 = HEAP32[$tooltip >> 2] | 0;
   58459     $target = $0 + 196 | 0;
   58460     $20 = HEAP32[$target >> 2] | 0;
   58461     $id = $0 + 164 | 0;
   58462     $21 = HEAP32[$id >> 2] | 0;
   58463     _gvrender_begin_anchor($job, $18, $19, $20, $21);
   58464     label = 7;
   58465     break;
   58466    case 7:
   58467     $call = _stylenode($job, $n) | 0;
   58468     _pencolor($job, $n);
   58469     $and24 = $call & 1;
   58470     $tobool25 = ($and24 | 0) == 0;
   58471     if ($tobool25) {
   58472       label = 9;
   58473       break;
   58474     } else {
   58475       label = 8;
   58476       break;
   58477     }
   58478    case 8:
   58479     $call27 = _findFill($n) | 0;
   58480     _gvrender_set_fillcolor($job, $call27);
   58481     label = 9;
   58482     break;
   58483    case 9:
   58484     $shape = $n + 24 | 0;
   58485     $22 = HEAP32[$shape >> 2] | 0;
   58486     $name = $22 | 0;
   58487     $23 = HEAP32[$name >> 2] | 0;
   58488     $24 = HEAP8[$23] | 0;
   58489     $cmp = $24 << 24 >> 24 == 77;
   58490     if ($cmp) {
   58491       label = 10;
   58492       break;
   58493     } else {
   58494       $style_0 = $call;
   58495       label = 11;
   58496       break;
   58497     }
   58498    case 10:
   58499     $call36 = _strcmp($23 | 0, 110408) | 0;
   58500     $tobool37 = ($call36 | 0) == 0;
   58501     $or = $call | 2;
   58502     $or_call = $tobool37 ? $or : $call;
   58503     $style_0 = $or_call;
   58504     label = 11;
   58505     break;
   58506    case 11:
   58507     $and40 = $style_0 & 998;
   58508     $tobool41 = ($and40 | 0) == 0;
   58509     if ($tobool41) {
   58510       label = 13;
   58511       break;
   58512     } else {
   58513       label = 12;
   58514       break;
   58515     }
   58516    case 12:
   58517     $arrayidx = $AF | 0;
   58518     $25 = $AF;
   58519     HEAP32[$25 >> 2] = HEAP32[$8 >> 2] | 0;
   58520     HEAP32[$25 + 4 >> 2] = HEAP32[$8 + 4 >> 2] | 0;
   58521     HEAP32[$25 + 8 >> 2] = HEAP32[$8 + 8 >> 2] | 0;
   58522     HEAP32[$25 + 12 >> 2] = HEAP32[$8 + 12 >> 2] | 0;
   58523     $arrayidx44 = $AF + 32 | 0;
   58524     $26 = $arrayidx44;
   58525     $27 = $UR;
   58526     HEAP32[$26 >> 2] = HEAP32[$27 >> 2] | 0;
   58527     HEAP32[$26 + 4 >> 2] = HEAP32[$27 + 4 >> 2] | 0;
   58528     HEAP32[$26 + 8 >> 2] = HEAP32[$27 + 8 >> 2] | 0;
   58529     HEAP32[$26 + 12 >> 2] = HEAP32[$27 + 12 >> 2] | 0;
   58530     $x47 = $arrayidx44 | 0;
   58531     $28 = +HEAPF64[$x47 >> 3];
   58532     $x49 = $AF + 16 | 0;
   58533     HEAPF64[$x49 >> 3] = $28;
   58534     $y51 = $AF + 8 | 0;
   58535     $29 = +HEAPF64[$y51 >> 3];
   58536     $y53 = $AF + 24 | 0;
   58537     HEAPF64[$y53 >> 3] = $29;
   58538     $x55 = $AF | 0;
   58539     $30 = +HEAPF64[$x55 >> 3];
   58540     $x57 = $AF + 48 | 0;
   58541     HEAPF64[$x57 >> 3] = $30;
   58542     $y59 = $AF + 40 | 0;
   58543     $31 = +HEAPF64[$y59 >> 3];
   58544     $y61 = $AF + 56 | 0;
   58545     HEAPF64[$y61 >> 3] = $31;
   58546     $and62 = $style_0 & 1;
   58547     _node_round_corners($job, $n, $arrayidx, 4, $style_0, $and62);
   58548     label = 14;
   58549     break;
   58550    case 13:
   58551     $style_0_tr = $style_0 & 255;
   58552     $conv64 = $style_0_tr & 1;
   58553     _gvrender_box($job, $BF, $conv64);
   58554     label = 14;
   58555     break;
   58556    case 14:
   58557     _gen_fields($job, $n, $7);
   58558     if ($5) {
   58559       label = 15;
   58560       break;
   58561     } else {
   58562       label = 18;
   58563       break;
   58564     }
   58565    case 15:
   58566     $flags68 = $job + 152 | 0;
   58567     $32 = HEAP32[$flags68 >> 2] | 0;
   58568     $and69 = $32 & 4;
   58569     $tobool70 = ($and69 | 0) == 0;
   58570     if ($tobool70) {
   58571       label = 17;
   58572       break;
   58573     } else {
   58574       label = 16;
   58575       break;
   58576     }
   58577    case 16:
   58578     $33 = HEAP32[$url >> 2] | 0;
   58579     $tooltip73 = $0 + 180 | 0;
   58580     $34 = HEAP32[$tooltip73 >> 2] | 0;
   58581     $target74 = $0 + 196 | 0;
   58582     $35 = HEAP32[$target74 >> 2] | 0;
   58583     $id75 = $0 + 164 | 0;
   58584     $36 = HEAP32[$id75 >> 2] | 0;
   58585     _gvrender_begin_anchor($job, $33, $34, $35, $36);
   58586     label = 17;
   58587     break;
   58588    case 17:
   58589     _gvrender_end_anchor($job);
   58590     label = 18;
   58591     break;
   58592    case 18:
   58593     STACKTOP = __stackBase__;
   58594     return;
   58595   }
   58596 }
   58597 function _stylenode($job, $n) {
   58598   $job = $job | 0;
   58599   $n = $n | 0;
   58600   var $istyle = 0, $call = 0, $tobool = 0, $0 = 0, $tobool1 = 0, $1 = 0, $index = 0, $2 = 0, $call2 = 0, $tobool3 = 0, $3 = 0, $tobool5 = 0, $call7 = 0.0, $4 = 0, label = 0, __stackBase__ = 0;
   58601   __stackBase__ = STACKTOP;
   58602   STACKTOP = STACKTOP + 8 | 0;
   58603   label = 2;
   58604   while (1) switch (label | 0) {
   58605    case 2:
   58606     $istyle = __stackBase__ | 0;
   58607     $call = _checkStyle($n, $istyle) | 0;
   58608     $tobool = ($call | 0) == 0;
   58609     if ($tobool) {
   58610       label = 4;
   58611       break;
   58612     } else {
   58613       label = 3;
   58614       break;
   58615     }
   58616    case 3:
   58617     _gvrender_set_style($job, $call);
   58618     label = 4;
   58619     break;
   58620    case 4:
   58621     $0 = HEAP32[41576] | 0;
   58622     $tobool1 = ($0 | 0) == 0;
   58623     if ($tobool1) {
   58624       label = 8;
   58625       break;
   58626     } else {
   58627       label = 5;
   58628       break;
   58629     }
   58630    case 5:
   58631     $1 = $n | 0;
   58632     $index = $0 + 8 | 0;
   58633     $2 = HEAP32[$index >> 2] | 0;
   58634     $call2 = _agxget($1, $2) | 0;
   58635     $tobool3 = ($call2 | 0) == 0;
   58636     if ($tobool3) {
   58637       label = 8;
   58638       break;
   58639     } else {
   58640       label = 6;
   58641       break;
   58642     }
   58643    case 6:
   58644     $3 = HEAP8[$call2] | 0;
   58645     $tobool5 = $3 << 24 >> 24 == 0;
   58646     if ($tobool5) {
   58647       label = 8;
   58648       break;
   58649     } else {
   58650       label = 7;
   58651       break;
   58652     }
   58653    case 7:
   58654     $call7 = +_late_double($1, $0, 1.0, 0.0);
   58655     _gvrender_set_penwidth($job, $call7);
   58656     label = 8;
   58657     break;
   58658    case 8:
   58659     $4 = HEAP32[$istyle >> 2] | 0;
   58660     STACKTOP = __stackBase__;
   58661     return $4 | 0;
   58662   }
   58663   return 0;
   58664 }
   58665 function _pencolor($job, $n) {
   58666   $job = $job | 0;
   58667   $n = $n | 0;
   58668   var $0 = 0, $1 = 0, $call = 0, $2 = 0, $tobool = 0, label = 0;
   58669   label = 2;
   58670   while (1) switch (label | 0) {
   58671    case 2:
   58672     $0 = $n | 0;
   58673     $1 = HEAP32[41616] | 0;
   58674     $call = _late_nnstring($0, $1, 108168) | 0;
   58675     $2 = HEAP8[$call] | 0;
   58676     $tobool = $2 << 24 >> 24 == 0;
   58677     if ($tobool) {
   58678       label = 4;
   58679       break;
   58680     } else {
   58681       label = 3;
   58682       break;
   58683     }
   58684    case 3:
   58685     _gvrender_set_pencolor($job, $call);
   58686     label = 5;
   58687     break;
   58688    case 4:
   58689     _gvrender_set_pencolor($job, 107704);
   58690     label = 5;
   58691     break;
   58692    case 5:
   58693     return;
   58694   }
   58695 }
   58696 function _findFill($n) {
   58697   $n = $n | 0;
   58698   return _findFillDflt($n, 107272) | 0;
   58699 }
   58700 function _node_round_corners($job, $n, $AF, $sides, $style, $filled) {
   58701   $job = $job | 0;
   58702   $n = $n | 0;
   58703   $AF = $AF | 0;
   58704   $sides = $sides | 0;
   58705   $style = $style | 0;
   58706   $filled = $filled | 0;
   58707   var $call = 0;
   58708   $call = _findFill($n) | 0;
   58709   _round_corners($job, $call, _findPen($n) | 0, $AF, $sides, $style, $filled);
   58710   return;
   58711 }
   58712 function _add_pointf796($agg_result, $p, $q) {
   58713   $agg_result = $agg_result | 0;
   58714   $p = $p | 0;
   58715   $q = $q | 0;
   58716   var $add4 = 0.0, tempParam = 0, __stackBase__ = 0;
   58717   __stackBase__ = STACKTOP;
   58718   tempParam = $p;
   58719   $p = STACKTOP;
   58720   STACKTOP = STACKTOP + 16 | 0;
   58721   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   58722   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   58723   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   58724   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   58725   tempParam = $q;
   58726   $q = STACKTOP;
   58727   STACKTOP = STACKTOP + 16 | 0;
   58728   HEAP32[$q >> 2] = HEAP32[tempParam >> 2] | 0;
   58729   HEAP32[$q + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   58730   HEAP32[$q + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   58731   HEAP32[$q + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   58732   $add4 = +HEAPF64[$p + 8 >> 3] + +HEAPF64[$q + 8 >> 3];
   58733   HEAPF64[$agg_result >> 3] = +HEAPF64[$p >> 3] + +HEAPF64[$q >> 3];
   58734   HEAPF64[$agg_result + 8 >> 3] = $add4;
   58735   STACKTOP = __stackBase__;
   58736   return;
   58737 }
   58738 function _mid_pointf797($agg_result, $p, $q) {
   58739   $agg_result = $agg_result | 0;
   58740   $p = $p | 0;
   58741   $q = $q | 0;
   58742   var $div5 = 0.0, tempParam = 0, __stackBase__ = 0;
   58743   __stackBase__ = STACKTOP;
   58744   tempParam = $p;
   58745   $p = STACKTOP;
   58746   STACKTOP = STACKTOP + 16 | 0;
   58747   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   58748   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   58749   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   58750   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   58751   tempParam = $q;
   58752   $q = STACKTOP;
   58753   STACKTOP = STACKTOP + 16 | 0;
   58754   HEAP32[$q >> 2] = HEAP32[tempParam >> 2] | 0;
   58755   HEAP32[$q + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   58756   HEAP32[$q + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   58757   HEAP32[$q + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   58758   $div5 = (+HEAPF64[$p + 8 >> 3] + +HEAPF64[$q + 8 >> 3]) * .5;
   58759   HEAPF64[$agg_result >> 3] = (+HEAPF64[$p >> 3] + +HEAPF64[$q >> 3]) * .5;
   58760   HEAPF64[$agg_result + 8 >> 3] = $div5;
   58761   STACKTOP = __stackBase__;
   58762   return;
   58763 }
   58764 function _gen_fields($job, $n, $f) {
   58765   $job = $job | 0;
   58766   $n = $n | 0;
   58767   $f = $f | 0;
   58768   var $AF = 0, $coord = 0, $agg_tmp = 0, $tmp = 0, $tmp42 = 0, $tmp45 = 0, $lp = 0, $0 = 0, $tobool = 0, $pos = 0, $LL = 0, $UR = 0, $coord3 = 0, $1 = 0, $2 = 0, $3 = 0, $coord6 = 0, $4 = 0, $5 = 0, $n_flds = 0, $6 = 0, $cmp25 = 0, $LR = 0, $arrayidx23 = 0, $fld24 = 0, $7 = 0, $x34 = 0, $y36 = 0, $y38 = 0, $arrayidx40 = 0, $8 = 0, $9 = 0, $arrayidx43 = 0, $10 = 0, $11 = 0, $fld = 0, $12 = 0, $x = 0, $x16 = 0, $y22 = 0, $fld47 = 0, $i_026 = 0, $cmp7 = 0, $13 = 0, $tobool9 = 0, $14 = 0, $arrayidx11 = 0, $15 = 0, $LL13 = 0, $16 = 0, $17 = 0.0, $18 = 0, $arrayidx18 = 0, $19 = 0, $y = 0, $20 = 0.0, $21 = 0, $arrayidx25 = 0, $22 = 0, $UR27 = 0, $23 = 0, $24 = 0, $arrayidx29 = 0, $25 = 0, $x32 = 0, $26 = 0.0, $27 = 0.0, $28 = 0, $arrayidx48 = 0, $29 = 0, $inc = 0, $30 = 0, $cmp = 0, label = 0, __stackBase__ = 0;
   58769   __stackBase__ = STACKTOP;
   58770   STACKTOP = STACKTOP + 112 | 0;
   58771   label = 2;
   58772   while (1) switch (label | 0) {
   58773    case 2:
   58774     $AF = __stackBase__ | 0;
   58775     $coord = __stackBase__ + 32 | 0;
   58776     $agg_tmp = __stackBase__ + 48 | 0;
   58777     $tmp = __stackBase__ + 64 | 0;
   58778     $tmp42 = __stackBase__ + 80 | 0;
   58779     $tmp45 = __stackBase__ + 96 | 0;
   58780     $lp = $f + 52 | 0;
   58781     $0 = HEAP32[$lp >> 2] | 0;
   58782     $tobool = ($0 | 0) == 0;
   58783     if ($tobool) {
   58784       label = 4;
   58785       break;
   58786     } else {
   58787       label = 3;
   58788       break;
   58789     }
   58790    case 3:
   58791     $pos = $0 + 56 | 0;
   58792     $LL = $f + 16 | 0;
   58793     $UR = $f + 32 | 0;
   58794     _mid_pointf797($agg_tmp, $LL, $UR);
   58795     $coord3 = $n + 32 | 0;
   58796     _add_pointf796($tmp, $agg_tmp, $coord3);
   58797     $1 = $pos;
   58798     $2 = $tmp;
   58799     HEAP32[$1 >> 2] = HEAP32[$2 >> 2] | 0;
   58800     HEAP32[$1 + 4 >> 2] = HEAP32[$2 + 4 >> 2] | 0;
   58801     HEAP32[$1 + 8 >> 2] = HEAP32[$2 + 8 >> 2] | 0;
   58802     HEAP32[$1 + 12 >> 2] = HEAP32[$2 + 12 >> 2] | 0;
   58803     $3 = HEAP32[$lp >> 2] | 0;
   58804     _emit_label($job, 10, $3);
   58805     _pencolor($job, $n);
   58806     label = 4;
   58807     break;
   58808    case 4:
   58809     $coord6 = $n + 32 | 0;
   58810     $4 = $coord;
   58811     $5 = $coord6;
   58812     HEAP32[$4 >> 2] = HEAP32[$5 >> 2] | 0;
   58813     HEAP32[$4 + 4 >> 2] = HEAP32[$5 + 4 >> 2] | 0;
   58814     HEAP32[$4 + 8 >> 2] = HEAP32[$5 + 8 >> 2] | 0;
   58815     HEAP32[$4 + 12 >> 2] = HEAP32[$5 + 12 >> 2] | 0;
   58816     $n_flds = $f + 48 | 0;
   58817     $6 = HEAP32[$n_flds >> 2] | 0;
   58818     $cmp25 = ($6 | 0) > 0;
   58819     if ($cmp25) {
   58820       label = 5;
   58821       break;
   58822     } else {
   58823       label = 12;
   58824       break;
   58825     }
   58826    case 5:
   58827     $LR = $f + 64 | 0;
   58828     $arrayidx23 = $AF + 16 | 0;
   58829     $fld24 = $f + 56 | 0;
   58830     $7 = $arrayidx23;
   58831     $x34 = $AF | 0;
   58832     $y36 = $AF + 24 | 0;
   58833     $y38 = $AF + 8 | 0;
   58834     $arrayidx40 = $AF | 0;
   58835     $8 = $AF;
   58836     $9 = $tmp42;
   58837     $arrayidx43 = $AF + 16 | 0;
   58838     $10 = $arrayidx43;
   58839     $11 = $tmp45;
   58840     $fld = $f + 56 | 0;
   58841     $12 = $AF;
   58842     $x = $AF | 0;
   58843     $x16 = $AF + 16 | 0;
   58844     $y22 = $AF + 24 | 0;
   58845     $fld47 = $f + 56 | 0;
   58846     $i_026 = 0;
   58847     label = 6;
   58848     break;
   58849    case 6:
   58850     $cmp7 = ($i_026 | 0) > 0;
   58851     if ($cmp7) {
   58852       label = 7;
   58853       break;
   58854     } else {
   58855       label = 11;
   58856       break;
   58857     }
   58858    case 7:
   58859     $13 = HEAP8[$LR] | 0;
   58860     $tobool9 = $13 << 24 >> 24 == 0;
   58861     if ($tobool9) {
   58862       label = 9;
   58863       break;
   58864     } else {
   58865       label = 8;
   58866       break;
   58867     }
   58868    case 8:
   58869     $14 = HEAP32[$fld >> 2] | 0;
   58870     $arrayidx11 = $14 + ($i_026 << 2) | 0;
   58871     $15 = HEAP32[$arrayidx11 >> 2] | 0;
   58872     $LL13 = $15 + 16 | 0;
   58873     $16 = $LL13;
   58874     HEAP32[$12 >> 2] = HEAP32[$16 >> 2] | 0;
   58875     HEAP32[$12 + 4 >> 2] = HEAP32[$16 + 4 >> 2] | 0;
   58876     HEAP32[$12 + 8 >> 2] = HEAP32[$16 + 8 >> 2] | 0;
   58877     HEAP32[$12 + 12 >> 2] = HEAP32[$16 + 12 >> 2] | 0;
   58878     $17 = +HEAPF64[$x >> 3];
   58879     HEAPF64[$x16 >> 3] = $17;
   58880     $18 = HEAP32[$fld >> 2] | 0;
   58881     $arrayidx18 = $18 + ($i_026 << 2) | 0;
   58882     $19 = HEAP32[$arrayidx18 >> 2] | 0;
   58883     $y = $19 + 40 | 0;
   58884     $20 = +HEAPF64[$y >> 3];
   58885     HEAPF64[$y22 >> 3] = $20;
   58886     label = 10;
   58887     break;
   58888    case 9:
   58889     $21 = HEAP32[$fld24 >> 2] | 0;
   58890     $arrayidx25 = $21 + ($i_026 << 2) | 0;
   58891     $22 = HEAP32[$arrayidx25 >> 2] | 0;
   58892     $UR27 = $22 + 32 | 0;
   58893     $23 = $UR27;
   58894     HEAP32[$7 >> 2] = HEAP32[$23 >> 2] | 0;
   58895     HEAP32[$7 + 4 >> 2] = HEAP32[$23 + 4 >> 2] | 0;
   58896     HEAP32[$7 + 8 >> 2] = HEAP32[$23 + 8 >> 2] | 0;
   58897     HEAP32[$7 + 12 >> 2] = HEAP32[$23 + 12 >> 2] | 0;
   58898     $24 = HEAP32[$fld24 >> 2] | 0;
   58899     $arrayidx29 = $24 + ($i_026 << 2) | 0;
   58900     $25 = HEAP32[$arrayidx29 >> 2] | 0;
   58901     $x32 = $25 + 16 | 0;
   58902     $26 = +HEAPF64[$x32 >> 3];
   58903     HEAPF64[$x34 >> 3] = $26;
   58904     $27 = +HEAPF64[$y36 >> 3];
   58905     HEAPF64[$y38 >> 3] = $27;
   58906     label = 10;
   58907     break;
   58908    case 10:
   58909     _add_pointf796($tmp42, $arrayidx40, $coord);
   58910     HEAP32[$8 >> 2] = HEAP32[$9 >> 2] | 0;
   58911     HEAP32[$8 + 4 >> 2] = HEAP32[$9 + 4 >> 2] | 0;
   58912     HEAP32[$8 + 8 >> 2] = HEAP32[$9 + 8 >> 2] | 0;
   58913     HEAP32[$8 + 12 >> 2] = HEAP32[$9 + 12 >> 2] | 0;
   58914     _add_pointf796($tmp45, $arrayidx43, $coord);
   58915     HEAP32[$10 >> 2] = HEAP32[$11 >> 2] | 0;
   58916     HEAP32[$10 + 4 >> 2] = HEAP32[$11 + 4 >> 2] | 0;
   58917     HEAP32[$10 + 8 >> 2] = HEAP32[$11 + 8 >> 2] | 0;
   58918     HEAP32[$10 + 12 >> 2] = HEAP32[$11 + 12 >> 2] | 0;
   58919     _gvrender_polyline($job, $arrayidx40, 2);
   58920     label = 11;
   58921     break;
   58922    case 11:
   58923     $28 = HEAP32[$fld47 >> 2] | 0;
   58924     $arrayidx48 = $28 + ($i_026 << 2) | 0;
   58925     $29 = HEAP32[$arrayidx48 >> 2] | 0;
   58926     _gen_fields($job, $n, $29);
   58927     $inc = $i_026 + 1 | 0;
   58928     $30 = HEAP32[$n_flds >> 2] | 0;
   58929     $cmp = ($inc | 0) < ($30 | 0);
   58930     if ($cmp) {
   58931       $i_026 = $inc;
   58932       label = 6;
   58933       break;
   58934     } else {
   58935       label = 12;
   58936       break;
   58937     }
   58938    case 12:
   58939     STACKTOP = __stackBase__;
   58940     return;
   58941   }
   58942 }
   58943 function _findPen($n) {
   58944   $n = $n | 0;
   58945   var $call = 0;
   58946   $call = _late_nnstring($n | 0, HEAP32[41616] | 0, 108168) | 0;
   58947   return ((HEAP8[$call] | 0) == 0 ? 107704 : $call) | 0;
   58948 }
   58949 function _findFillDflt($n, $dflt) {
   58950   $n = $n | 0;
   58951   $dflt = $dflt | 0;
   58952   var $0 = 0, $1 = 0, $call = 0, $2 = 0, $tobool = 0, $3 = 0, $call1 = 0, $4 = 0, $tobool3 = 0, $dflt_call1 = 0, label = 0;
   58953   label = 2;
   58954   while (1) switch (label | 0) {
   58955    case 2:
   58956     $0 = $n | 0;
   58957     $1 = HEAP32[41604] | 0;
   58958     $call = _late_nnstring($0, $1, 108168) | 0;
   58959     $2 = HEAP8[$call] | 0;
   58960     $tobool = $2 << 24 >> 24 == 0;
   58961     if ($tobool) {
   58962       label = 3;
   58963       break;
   58964     } else {
   58965       label = 4;
   58966       break;
   58967     }
   58968    case 3:
   58969     $3 = HEAP32[41616] | 0;
   58970     $call1 = _late_nnstring($0, $3, 108168) | 0;
   58971     $4 = HEAP8[$call1] | 0;
   58972     $tobool3 = $4 << 24 >> 24 == 0;
   58973     $dflt_call1 = $tobool3 ? $dflt : $call1;
   58974     return $dflt_call1 | 0;
   58975    case 4:
   58976     return $call | 0;
   58977   }
   58978   return 0;
   58979 }
   58980 function _checkStyle($n, $flagp) {
   58981   $n = $n | 0;
   58982   $flagp = $flagp | 0;
   58983   var $0 = 0, $1 = 0, $call = 0, $2 = 0, $tobool = 0, $call1 = 0, $3 = 0, $tobool23337 = 0, $or28 = 0, $or16 = 0, $4 = 0, $pp_0_ph39 = 0, $istyle_0_ph38 = 0, $5 = 0, $pp_034 = 0, $6 = 0, $cmp = 0, $call5 = 0, $tobool6 = 0, $or = 0, $incdec_ptr = 0, $_pr = 0, $7 = 0, $cmp10 = 0, $call13 = 0, $tobool14 = 0, $qp_0 = 0, $incdec_ptr17 = 0, $8 = 0, $9 = 0, $tobool18 = 0, $10 = 0, $cmp22 = 0, $call25 = 0, $tobool26 = 0, $qp_1 = 0, $incdec_ptr30 = 0, $11 = 0, $12 = 0, $tobool33 = 0, $_pr27 = 0, $13 = 0, $cmp38 = 0, $call41 = 0, $tobool42 = 0, $or44 = 0, $incdec_ptr45 = 0, $istyle_0_ph_be = 0, $pp_0_ph_be = 0, $14 = 0, $tobool233 = 0, $incdec_ptr47 = 0, $15 = 0, $tobool2 = 0, $istyle_1 = 0, $pstyle_0 = 0, $shape = 0, $16 = 0, $polygon = 0, $17 = 0, $tobool52 = 0, $option = 0, $18 = 0, $or54 = 0, $istyle_2 = 0, label = 0;
   58984   label = 2;
   58985   while (1) switch (label | 0) {
   58986    case 2:
   58987     $0 = $n | 0;
   58988     $1 = HEAP32[41560] | 0;
   58989     $call = _late_nnstring($0, $1, 108168) | 0;
   58990     $2 = HEAP8[$call] | 0;
   58991     $tobool = $2 << 24 >> 24 == 0;
   58992     if ($tobool) {
   58993       $pstyle_0 = 0;
   58994       $istyle_1 = 0;
   58995       label = 23;
   58996       break;
   58997     } else {
   58998       label = 3;
   58999       break;
   59000     }
   59001    case 3:
   59002     $call1 = _parse_style($call) | 0;
   59003     $3 = HEAP32[1820] | 0;
   59004     $tobool23337 = ($3 | 0) == 0;
   59005     if ($tobool23337) {
   59006       $pstyle_0 = 7280;
   59007       $istyle_1 = 0;
   59008       label = 23;
   59009       break;
   59010     } else {
   59011       $istyle_0_ph38 = 0;
   59012       $pp_0_ph39 = 7280;
   59013       $4 = $3;
   59014       label = 6;
   59015       break;
   59016     }
   59017    case 4:
   59018     $or28 = $istyle_0_ph38 | 4;
   59019     $pp_0_ph_be = $pp_034;
   59020     $istyle_0_ph_be = $or28;
   59021     label = 21;
   59022     break;
   59023    case 5:
   59024     $or16 = $istyle_0_ph38 | 2;
   59025     $pp_0_ph_be = $pp_034;
   59026     $istyle_0_ph_be = $or16;
   59027     label = 21;
   59028     break;
   59029    case 6:
   59030     $pp_034 = $pp_0_ph39;
   59031     $5 = $4;
   59032     label = 7;
   59033     break;
   59034    case 7:
   59035     $6 = HEAP8[$5] | 0;
   59036     $cmp = $6 << 24 >> 24 == 102;
   59037     if ($cmp) {
   59038       label = 8;
   59039       break;
   59040     } else {
   59041       $7 = $6;
   59042       label = 11;
   59043       break;
   59044     }
   59045    case 8:
   59046     $call5 = _strcmp($5 | 0, 106944) | 0;
   59047     $tobool6 = ($call5 | 0) == 0;
   59048     if ($tobool6) {
   59049       label = 9;
   59050       break;
   59051     } else {
   59052       label = 10;
   59053       break;
   59054     }
   59055    case 9:
   59056     $or = $istyle_0_ph38 | 1;
   59057     $incdec_ptr = $pp_034 + 4 | 0;
   59058     $pp_0_ph_be = $incdec_ptr;
   59059     $istyle_0_ph_be = $or;
   59060     label = 21;
   59061     break;
   59062    case 10:
   59063     $_pr = HEAP8[$5] | 0;
   59064     $7 = $_pr;
   59065     label = 11;
   59066     break;
   59067    case 11:
   59068     $cmp10 = $7 << 24 >> 24 == 114;
   59069     if ($cmp10) {
   59070       label = 12;
   59071       break;
   59072     } else {
   59073       label = 14;
   59074       break;
   59075     }
   59076    case 12:
   59077     $call13 = _strcmp($5 | 0, 106552) | 0;
   59078     $tobool14 = ($call13 | 0) == 0;
   59079     if ($tobool14) {
   59080       $qp_0 = $pp_034;
   59081       label = 13;
   59082       break;
   59083     } else {
   59084       label = 14;
   59085       break;
   59086     }
   59087    case 13:
   59088     $incdec_ptr17 = $qp_0 + 4 | 0;
   59089     $8 = HEAP32[$incdec_ptr17 >> 2] | 0;
   59090     HEAP32[$qp_0 >> 2] = $8;
   59091     $9 = HEAP32[$incdec_ptr17 >> 2] | 0;
   59092     $tobool18 = ($9 | 0) == 0;
   59093     if ($tobool18) {
   59094       label = 5;
   59095       break;
   59096     } else {
   59097       $qp_0 = $incdec_ptr17;
   59098       label = 13;
   59099       break;
   59100     }
   59101    case 14:
   59102     $10 = HEAP8[$5] | 0;
   59103     $cmp22 = $10 << 24 >> 24 == 100;
   59104     if ($cmp22) {
   59105       label = 15;
   59106       break;
   59107     } else {
   59108       $13 = $10;
   59109       label = 18;
   59110       break;
   59111     }
   59112    case 15:
   59113     $call25 = _strcmp($5 | 0, 106216) | 0;
   59114     $tobool26 = ($call25 | 0) == 0;
   59115     if ($tobool26) {
   59116       $qp_1 = $pp_034;
   59117       label = 16;
   59118       break;
   59119     } else {
   59120       label = 17;
   59121       break;
   59122     }
   59123    case 16:
   59124     $incdec_ptr30 = $qp_1 + 4 | 0;
   59125     $11 = HEAP32[$incdec_ptr30 >> 2] | 0;
   59126     HEAP32[$qp_1 >> 2] = $11;
   59127     $12 = HEAP32[$incdec_ptr30 >> 2] | 0;
   59128     $tobool33 = ($12 | 0) == 0;
   59129     if ($tobool33) {
   59130       label = 4;
   59131       break;
   59132     } else {
   59133       $qp_1 = $incdec_ptr30;
   59134       label = 16;
   59135       break;
   59136     }
   59137    case 17:
   59138     $_pr27 = HEAP8[$5] | 0;
   59139     $13 = $_pr27;
   59140     label = 18;
   59141     break;
   59142    case 18:
   59143     $cmp38 = $13 << 24 >> 24 == 105;
   59144     if ($cmp38) {
   59145       label = 19;
   59146       break;
   59147     } else {
   59148       label = 22;
   59149       break;
   59150     }
   59151    case 19:
   59152     $call41 = _strcmp($5 | 0, 105840) | 0;
   59153     $tobool42 = ($call41 | 0) == 0;
   59154     if ($tobool42) {
   59155       label = 20;
   59156       break;
   59157     } else {
   59158       label = 22;
   59159       break;
   59160     }
   59161    case 20:
   59162     $or44 = $istyle_0_ph38 | 16;
   59163     $incdec_ptr45 = $pp_034 + 4 | 0;
   59164     $pp_0_ph_be = $incdec_ptr45;
   59165     $istyle_0_ph_be = $or44;
   59166     label = 21;
   59167     break;
   59168    case 21:
   59169     $14 = HEAP32[$pp_0_ph_be >> 2] | 0;
   59170     $tobool233 = ($14 | 0) == 0;
   59171     if ($tobool233) {
   59172       $pstyle_0 = 7280;
   59173       $istyle_1 = $istyle_0_ph_be;
   59174       label = 23;
   59175       break;
   59176     } else {
   59177       $istyle_0_ph38 = $istyle_0_ph_be;
   59178       $pp_0_ph39 = $pp_0_ph_be;
   59179       $4 = $14;
   59180       label = 6;
   59181       break;
   59182     }
   59183    case 22:
   59184     $incdec_ptr47 = $pp_034 + 4 | 0;
   59185     $15 = HEAP32[$incdec_ptr47 >> 2] | 0;
   59186     $tobool2 = ($15 | 0) == 0;
   59187     if ($tobool2) {
   59188       $pstyle_0 = 7280;
   59189       $istyle_1 = $istyle_0_ph38;
   59190       label = 23;
   59191       break;
   59192     } else {
   59193       $pp_034 = $incdec_ptr47;
   59194       $5 = $15;
   59195       label = 7;
   59196       break;
   59197     }
   59198    case 23:
   59199     $shape = $n + 24 | 0;
   59200     $16 = HEAP32[$shape >> 2] | 0;
   59201     $polygon = $16 + 8 | 0;
   59202     $17 = HEAP32[$polygon >> 2] | 0;
   59203     $tobool52 = ($17 | 0) == 0;
   59204     if ($tobool52) {
   59205       $istyle_2 = $istyle_1;
   59206       label = 25;
   59207       break;
   59208     } else {
   59209       label = 24;
   59210       break;
   59211     }
   59212    case 24:
   59213     $option = $17 + 40 | 0;
   59214     $18 = HEAP32[$option >> 2] | 0;
   59215     $or54 = $18 | $istyle_1;
   59216     $istyle_2 = $or54;
   59217     label = 25;
   59218     break;
   59219    case 25:
   59220     HEAP32[$flagp >> 2] = $istyle_2;
   59221     return $pstyle_0 | 0;
   59222   }
   59223   return 0;
   59224 }
   59225 function _map_rec_port($f, $str) {
   59226   $f = $f | 0;
   59227   $str = $str | 0;
   59228   var $id = 0, $0 = 0, $tobool = 0, $1 = 0, $2 = 0, $cmp = 0, $call = 0, $tobool6 = 0, $fld = 0, $n_flds = 0, $3 = 0, $sub_0 = 0, $cmp7 = 0, $4 = 0, $arrayidx = 0, $5 = 0, $call9 = 0, $tobool10 = 0, $inc = 0, $rv_1 = 0, label = 0;
   59229   label = 2;
   59230   while (1) switch (label | 0) {
   59231    case 2:
   59232     $id = $f + 60 | 0;
   59233     $0 = HEAP32[$id >> 2] | 0;
   59234     $tobool = ($0 | 0) == 0;
   59235     if ($tobool) {
   59236       label = 5;
   59237       break;
   59238     } else {
   59239       label = 3;
   59240       break;
   59241     }
   59242    case 3:
   59243     $1 = HEAP8[$0] | 0;
   59244     $2 = HEAP8[$str] | 0;
   59245     $cmp = $1 << 24 >> 24 == $2 << 24 >> 24;
   59246     if ($cmp) {
   59247       label = 4;
   59248       break;
   59249     } else {
   59250       label = 5;
   59251       break;
   59252     }
   59253    case 4:
   59254     $call = _strcmp($0 | 0, $str | 0) | 0;
   59255     $tobool6 = ($call | 0) == 0;
   59256     if ($tobool6) {
   59257       $rv_1 = $f;
   59258       label = 8;
   59259       break;
   59260     } else {
   59261       label = 5;
   59262       break;
   59263     }
   59264    case 5:
   59265     $fld = $f + 56 | 0;
   59266     $n_flds = $f + 48 | 0;
   59267     $3 = HEAP32[$n_flds >> 2] | 0;
   59268     $sub_0 = 0;
   59269     label = 6;
   59270     break;
   59271    case 6:
   59272     $cmp7 = ($sub_0 | 0) < ($3 | 0);
   59273     if ($cmp7) {
   59274       label = 7;
   59275       break;
   59276     } else {
   59277       $rv_1 = 0;
   59278       label = 8;
   59279       break;
   59280     }
   59281    case 7:
   59282     $4 = HEAP32[$fld >> 2] | 0;
   59283     $arrayidx = $4 + ($sub_0 << 2) | 0;
   59284     $5 = HEAP32[$arrayidx >> 2] | 0;
   59285     $call9 = _map_rec_port($5, $str) | 0;
   59286     $tobool10 = ($call9 | 0) == 0;
   59287     $inc = $sub_0 + 1 | 0;
   59288     if ($tobool10) {
   59289       $sub_0 = $inc;
   59290       label = 6;
   59291       break;
   59292     } else {
   59293       $rv_1 = $call9;
   59294       label = 8;
   59295       break;
   59296     }
   59297    case 8:
   59298     return $rv_1 | 0;
   59299   }
   59300   return 0;
   59301 }
   59302 function _free_field($f) {
   59303   $f = $f | 0;
   59304   var $n_flds = 0, $0 = 0, $cmp8 = 0, $fld = 0, $i_09 = 0, $1 = 0, $arrayidx = 0, $2 = 0, $inc = 0, $3 = 0, $cmp = 0, $id = 0, $4 = 0, $lp = 0, $5 = 0, $fld1 = 0, $6 = 0, $7 = 0, $8 = 0, label = 0;
   59305   label = 2;
   59306   while (1) switch (label | 0) {
   59307    case 2:
   59308     $n_flds = $f + 48 | 0;
   59309     $0 = HEAP32[$n_flds >> 2] | 0;
   59310     $cmp8 = ($0 | 0) > 0;
   59311     if ($cmp8) {
   59312       label = 3;
   59313       break;
   59314     } else {
   59315       label = 5;
   59316       break;
   59317     }
   59318    case 3:
   59319     $fld = $f + 56 | 0;
   59320     $i_09 = 0;
   59321     label = 4;
   59322     break;
   59323    case 4:
   59324     $1 = HEAP32[$fld >> 2] | 0;
   59325     $arrayidx = $1 + ($i_09 << 2) | 0;
   59326     $2 = HEAP32[$arrayidx >> 2] | 0;
   59327     _free_field($2);
   59328     $inc = $i_09 + 1 | 0;
   59329     $3 = HEAP32[$n_flds >> 2] | 0;
   59330     $cmp = ($inc | 0) < ($3 | 0);
   59331     if ($cmp) {
   59332       $i_09 = $inc;
   59333       label = 4;
   59334       break;
   59335     } else {
   59336       label = 5;
   59337       break;
   59338     }
   59339    case 5:
   59340     $id = $f + 60 | 0;
   59341     $4 = HEAP32[$id >> 2] | 0;
   59342     _free($4);
   59343     $lp = $f + 52 | 0;
   59344     $5 = HEAP32[$lp >> 2] | 0;
   59345     _free_label($5);
   59346     $fld1 = $f + 56 | 0;
   59347     $6 = HEAP32[$fld1 >> 2] | 0;
   59348     $7 = $6;
   59349     _free($7);
   59350     $8 = $f;
   59351     _free($8);
   59352     return;
   59353   }
   59354 }
   59355 function _poly_free($n) {
   59356   $n = $n | 0;
   59357   var $shape_info = 0, $0 = 0, $tobool = 0, $vertices = 0, $1 = 0, $2 = 0, $3 = 0, label = 0;
   59358   label = 2;
   59359   while (1) switch (label | 0) {
   59360    case 2:
   59361     $shape_info = $n + 28 | 0;
   59362     $0 = HEAP32[$shape_info >> 2] | 0;
   59363     $tobool = ($0 | 0) == 0;
   59364     if ($tobool) {
   59365       label = 4;
   59366       break;
   59367     } else {
   59368       label = 3;
   59369       break;
   59370     }
   59371    case 3:
   59372     $vertices = $0 + 44 | 0;
   59373     $1 = $vertices;
   59374     $2 = HEAP32[$1 >> 2] | 0;
   59375     $3 = $2;
   59376     _free($3);
   59377     _free($0);
   59378     label = 4;
   59379     break;
   59380    case 4:
   59381     return;
   59382   }
   59383 }
   59384 function _point_inside($inside_context, $p) {
   59385   $inside_context = $inside_context | 0;
   59386   $p = $p | 0;
   59387   var $tmp = 0, $n1 = 0, $0 = 0, $graph = 0, $1 = 0, $rankdir = 0, $2 = 0, $and = 0, $mul = 0, $P_sroa_0_0__idx = 0, $P_sroa_0_0_copyload = 0.0, $P_sroa_1_8__idx8 = 0, $P_sroa_1_8_copyload = 0.0, $3 = 0, $cmp = 0, $shape_info = 0, $4 = 0, $peripheries = 0, $5 = 0, $6 = 0, $sub = 0, $mul5 = 0, $cmp6 = 0, $mul5_op = 0, $add9 = 0, $vertices = 0, $7 = 0, $8 = 0, $x = 0, $9 = 0.0, $call = 0.0, $10 = 0.0, $cmp10 = 0, $call11 = 0.0, $cmp12 = 0, $call17 = 0.0, $11 = 0.0, $cmp18 = 0, $conv19 = 0, $retval_0 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   59388   __stackBase__ = STACKTOP;
   59389   STACKTOP = STACKTOP + 16 | 0;
   59390   tempParam = $p;
   59391   $p = STACKTOP;
   59392   STACKTOP = STACKTOP + 16 | 0;
   59393   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   59394   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   59395   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   59396   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   59397   label = 2;
   59398   while (1) switch (label | 0) {
   59399    case 2:
   59400     $tmp = __stackBase__ | 0;
   59401     $n1 = $inside_context;
   59402     $0 = HEAP32[$n1 >> 2] | 0;
   59403     $graph = $0 + 20 | 0;
   59404     $1 = HEAP32[$graph >> 2] | 0;
   59405     $rankdir = $1 + 156 | 0;
   59406     $2 = HEAP32[$rankdir >> 2] | 0;
   59407     $and = $2 & 3;
   59408     $mul = $and * 90 & -1;
   59409     _ccwrotatepf($tmp, $p, $mul);
   59410     $P_sroa_0_0__idx = $tmp | 0;
   59411     $P_sroa_0_0_copyload = +HEAPF64[$P_sroa_0_0__idx >> 3];
   59412     $P_sroa_1_8__idx8 = $tmp + 8 | 0;
   59413     $P_sroa_1_8_copyload = +HEAPF64[$P_sroa_1_8__idx8 >> 3];
   59414     $3 = HEAP32[1776] | 0;
   59415     $cmp = ($0 | 0) == ($3 | 0);
   59416     if ($cmp) {
   59417       label = 4;
   59418       break;
   59419     } else {
   59420       label = 3;
   59421       break;
   59422     }
   59423    case 3:
   59424     $shape_info = $0 + 28 | 0;
   59425     $4 = HEAP32[$shape_info >> 2] | 0;
   59426     $peripheries = $4 + 4 | 0;
   59427     $5 = $peripheries;
   59428     $6 = HEAP32[$5 >> 2] | 0;
   59429     $sub = $6 << 1;
   59430     $mul5 = $sub - 2 | 0;
   59431     $cmp6 = ($mul5 | 0) < 0;
   59432     $mul5_op = $mul5 | 1;
   59433     $add9 = $cmp6 ? 1 : $mul5_op;
   59434     $vertices = $4 + 44 | 0;
   59435     $7 = $vertices;
   59436     $8 = HEAP32[$7 >> 2] | 0;
   59437     $x = $8 + ($add9 << 4) | 0;
   59438     $9 = +HEAPF64[$x >> 3];
   59439     HEAPF64[887] = $9;
   59440     HEAP32[1776] = $0;
   59441     label = 4;
   59442     break;
   59443    case 4:
   59444     $call = +Math_abs(+$P_sroa_0_0_copyload);
   59445     $10 = +HEAPF64[887];
   59446     $cmp10 = $call > $10;
   59447     if ($cmp10) {
   59448       $retval_0 = 0;
   59449       label = 7;
   59450       break;
   59451     } else {
   59452       label = 5;
   59453       break;
   59454     }
   59455    case 5:
   59456     $call11 = +Math_abs(+$P_sroa_1_8_copyload);
   59457     $cmp12 = $call11 > $10;
   59458     if ($cmp12) {
   59459       $retval_0 = 0;
   59460       label = 7;
   59461       break;
   59462     } else {
   59463       label = 6;
   59464       break;
   59465     }
   59466    case 6:
   59467     $call17 = +_hypot(+$P_sroa_0_0_copyload, +$P_sroa_1_8_copyload);
   59468     $11 = +HEAPF64[887];
   59469     $cmp18 = $call17 <= $11;
   59470     $conv19 = $cmp18 & 1;
   59471     $retval_0 = $conv19;
   59472     label = 7;
   59473     break;
   59474    case 7:
   59475     STACKTOP = __stackBase__;
   59476     return $retval_0 | 0;
   59477   }
   59478   return 0;
   59479 }
   59480 function _point_gencode($job, $n) {
   59481   $job = $job | 0;
   59482   $n = $n | 0;
   59483   var $style = 0, $obj1 = 0, $0 = 0, $url = 0, $1 = 0, $tobool = 0, $2 = 0, $3 = 0, $4 = 0, $_mask = 0, $tobool2 = 0, $flags = 0, $5 = 0, $and = 0, $tobool4 = 0, $6 = 0, $tooltip = 0, $7 = 0, $target = 0, $8 = 0, $id = 0, $9 = 0, $10 = 0, $shape_info = 0, $11 = 0, $vertices6 = 0, $12 = 0, $13 = 0, $sides7 = 0, $14 = 0, $15 = 0, $peripheries8 = 0, $16 = 0, $17 = 0, $18 = 0, $cmp = 0, $add = 0, $19 = 0, $tobool10 = 0, $20 = 0, $mul = 0, $call = 0, $mul11 = 0, $call12 = 0, $call_sink = 0, $21 = 0, $call14 = 0, $22 = 0, $and15 = 0, $tobool16 = 0, $gui_state = 0, $23 = 0, $conv = 0, $and20 = 0, $tobool21 = 0, $24 = 0, $25 = 0, $call23 = 0, $26 = 0, $call24 = 0, $and29 = 0, $tobool30 = 0, $27 = 0, $28 = 0, $call32 = 0, $29 = 0, $call33 = 0, $and38 = 0, $tobool39 = 0, $30 = 0, $31 = 0, $call41 = 0, $32 = 0, $call42 = 0, $and47 = 0, $tobool48 = 0, $33 = 0, $34 = 0, $call50 = 0, $35 = 0, $call51 = 0, $call53 = 0, $color_0 = 0, $cmp58 = 0, $36 = 0, $tobool61 = 0, $cmp6568 = 0, $peripheries_072 = 0, $cmp6866 = 0, $x75 = 0, $y81 = 0, $filled_070 = 0, $j_069 = 0, $mul71 = 0, $37 = 0, $i_067 = 0, $add72 = 0, $P_sroa_0_0__idx = 0, $P_sroa_0_0_copyload = 0.0, $P_sroa_1_8__idx12 = 0, $P_sroa_1_8_copyload = 0.0, $38 = 0.0, $add76 = 0.0, $x78 = 0, $39 = 0.0, $add82 = 0.0, $y84 = 0, $inc = 0, $cmp68 = 0, $40 = 0, $inc86 = 0, $cmp65 = 0, $flags90 = 0, $41 = 0, $and91 = 0, $tobool92 = 0, $42 = 0, $tooltip95 = 0, $43 = 0, $target96 = 0, $44 = 0, $id97 = 0, $45 = 0, label = 0, __stackBase__ = 0;
   59484   __stackBase__ = STACKTOP;
   59485   STACKTOP = STACKTOP + 8 | 0;
   59486   label = 2;
   59487   while (1) switch (label | 0) {
   59488    case 2:
   59489     $style = __stackBase__ | 0;
   59490     $obj1 = $job + 16 | 0;
   59491     $0 = HEAP32[$obj1 >> 2] | 0;
   59492     $url = $0 + 160 | 0;
   59493     $1 = HEAP32[$url >> 2] | 0;
   59494     $tobool = ($1 | 0) == 0;
   59495     if ($tobool) {
   59496       label = 3;
   59497       break;
   59498     } else {
   59499       label = 4;
   59500       break;
   59501     }
   59502    case 3:
   59503     $2 = $0 + 212 | 0;
   59504     $3 = $2;
   59505     $4 = HEAP32[$3 >> 2] | 0;
   59506     $_mask = $4 & 1;
   59507     $tobool2 = ($_mask | 0) == 0;
   59508     if ($tobool2) {
   59509       $10 = 0;
   59510       label = 6;
   59511       break;
   59512     } else {
   59513       label = 4;
   59514       break;
   59515     }
   59516    case 4:
   59517     $flags = $job + 152 | 0;
   59518     $5 = HEAP32[$flags >> 2] | 0;
   59519     $and = $5 & 4;
   59520     $tobool4 = ($and | 0) == 0;
   59521     if ($tobool4) {
   59522       label = 5;
   59523       break;
   59524     } else {
   59525       $10 = 1;
   59526       label = 6;
   59527       break;
   59528     }
   59529    case 5:
   59530     $6 = HEAP32[$url >> 2] | 0;
   59531     $tooltip = $0 + 180 | 0;
   59532     $7 = HEAP32[$tooltip >> 2] | 0;
   59533     $target = $0 + 196 | 0;
   59534     $8 = HEAP32[$target >> 2] | 0;
   59535     $id = $0 + 164 | 0;
   59536     $9 = HEAP32[$id >> 2] | 0;
   59537     _gvrender_begin_anchor($job, $6, $7, $8, $9);
   59538     $10 = 1;
   59539     label = 6;
   59540     break;
   59541    case 6:
   59542     $shape_info = $n + 28 | 0;
   59543     $11 = HEAP32[$shape_info >> 2] | 0;
   59544     $vertices6 = $11 + 44 | 0;
   59545     $12 = $vertices6;
   59546     $13 = HEAP32[$12 >> 2] | 0;
   59547     $sides7 = $11 + 8 | 0;
   59548     $14 = $sides7;
   59549     $15 = HEAP32[$14 >> 2] | 0;
   59550     $peripheries8 = $11 + 4 | 0;
   59551     $16 = $peripheries8;
   59552     $17 = HEAP32[$16 >> 2] | 0;
   59553     $18 = HEAP32[1778] | 0;
   59554     $cmp = ($18 | 0) < ($15 | 0);
   59555     if ($cmp) {
   59556       label = 7;
   59557       break;
   59558     } else {
   59559       label = 11;
   59560       break;
   59561     }
   59562    case 7:
   59563     $add = $15 + 2 | 0;
   59564     HEAP32[1778] = $add;
   59565     $19 = HEAP32[1780] | 0;
   59566     $tobool10 = ($19 | 0) == 0;
   59567     if ($tobool10) {
   59568       label = 9;
   59569       break;
   59570     } else {
   59571       label = 8;
   59572       break;
   59573     }
   59574    case 8:
   59575     $20 = $19;
   59576     $mul = $add << 4;
   59577     $call = _grealloc($20, $mul) | 0;
   59578     $call_sink = $call;
   59579     label = 10;
   59580     break;
   59581    case 9:
   59582     $mul11 = $add << 4;
   59583     $call12 = _gmalloc($mul11) | 0;
   59584     $call_sink = $call12;
   59585     label = 10;
   59586     break;
   59587    case 10:
   59588     $21 = $call_sink;
   59589     HEAP32[1780] = $21;
   59590     label = 11;
   59591     break;
   59592    case 11:
   59593     $call14 = _checkStyle($n, $style) | 0;
   59594     $22 = HEAP32[$style >> 2] | 0;
   59595     $and15 = $22 & 16;
   59596     $tobool16 = ($and15 | 0) == 0;
   59597     if ($tobool16) {
   59598       label = 13;
   59599       break;
   59600     } else {
   59601       label = 12;
   59602       break;
   59603     }
   59604    case 12:
   59605     _gvrender_set_style($job, 7080);
   59606     label = 14;
   59607     break;
   59608    case 13:
   59609     _gvrender_set_style($job, 7084);
   59610     label = 14;
   59611     break;
   59612    case 14:
   59613     $gui_state = $n + 133 | 0;
   59614     $23 = HEAP8[$gui_state] | 0;
   59615     $conv = $23 & 255;
   59616     $and20 = $conv & 1;
   59617     $tobool21 = ($and20 | 0) == 0;
   59618     if ($tobool21) {
   59619       label = 16;
   59620       break;
   59621     } else {
   59622       label = 15;
   59623       break;
   59624     }
   59625    case 15:
   59626     $24 = $n | 0;
   59627     $25 = HEAP32[41618] | 0;
   59628     $call23 = _late_nnstring($24, $25, 105440) | 0;
   59629     _gvrender_set_pencolor($job, $call23);
   59630     $26 = HEAP32[41620] | 0;
   59631     $call24 = _late_nnstring($24, $26, 105128) | 0;
   59632     _gvrender_set_fillcolor($job, $call24);
   59633     $color_0 = $call24;
   59634     label = 23;
   59635     break;
   59636    case 16:
   59637     $and29 = $conv & 2;
   59638     $tobool30 = ($and29 | 0) == 0;
   59639     if ($tobool30) {
   59640       label = 18;
   59641       break;
   59642     } else {
   59643       label = 17;
   59644       break;
   59645     }
   59646    case 17:
   59647     $27 = $n | 0;
   59648     $28 = HEAP32[41570] | 0;
   59649     $call32 = _late_nnstring($27, $28, 104760) | 0;
   59650     _gvrender_set_pencolor($job, $call32);
   59651     $29 = HEAP32[41572] | 0;
   59652     $call33 = _late_nnstring($27, $29, 104240) | 0;
   59653     _gvrender_set_fillcolor($job, $call33);
   59654     $color_0 = $call33;
   59655     label = 23;
   59656     break;
   59657    case 18:
   59658     $and38 = $conv & 8;
   59659     $tobool39 = ($and38 | 0) == 0;
   59660     if ($tobool39) {
   59661       label = 20;
   59662       break;
   59663     } else {
   59664       label = 19;
   59665       break;
   59666     }
   59667    case 19:
   59668     $30 = $n | 0;
   59669     $31 = HEAP32[41610] | 0;
   59670     $call41 = _late_nnstring($30, $31, 103768) | 0;
   59671     _gvrender_set_pencolor($job, $call41);
   59672     $32 = HEAP32[41612] | 0;
   59673     $call42 = _late_nnstring($30, $32, 103376) | 0;
   59674     _gvrender_set_fillcolor($job, $call42);
   59675     $color_0 = $call42;
   59676     label = 23;
   59677     break;
   59678    case 20:
   59679     $and47 = $conv & 4;
   59680     $tobool48 = ($and47 | 0) == 0;
   59681     if ($tobool48) {
   59682       label = 22;
   59683       break;
   59684     } else {
   59685       label = 21;
   59686       break;
   59687     }
   59688    case 21:
   59689     $33 = $n | 0;
   59690     $34 = HEAP32[41554] | 0;
   59691     $call50 = _late_nnstring($33, $34, 103016) | 0;
   59692     _gvrender_set_pencolor($job, $call50);
   59693     $35 = HEAP32[41556] | 0;
   59694     $call51 = _late_nnstring($33, $35, 102672) | 0;
   59695     _gvrender_set_fillcolor($job, $call51);
   59696     $color_0 = $call51;
   59697     label = 23;
   59698     break;
   59699    case 22:
   59700     $call53 = _findFillDflt($n, 107704) | 0;
   59701     _gvrender_set_fillcolor($job, $call53);
   59702     _pencolor($job, $n);
   59703     $color_0 = $call53;
   59704     label = 23;
   59705     break;
   59706    case 23:
   59707     $cmp58 = ($17 | 0) == 0;
   59708     if ($cmp58) {
   59709       label = 24;
   59710       break;
   59711     } else {
   59712       label = 26;
   59713       break;
   59714     }
   59715    case 24:
   59716     $36 = HEAP8[$color_0] | 0;
   59717     $tobool61 = $36 << 24 >> 24 == 0;
   59718     if ($tobool61) {
   59719       $peripheries_072 = 1;
   59720       label = 27;
   59721       break;
   59722     } else {
   59723       label = 25;
   59724       break;
   59725     }
   59726    case 25:
   59727     _gvrender_set_pencolor($job, $color_0);
   59728     $peripheries_072 = 1;
   59729     label = 27;
   59730     break;
   59731    case 26:
   59732     $cmp6568 = ($17 | 0) > 0;
   59733     if ($cmp6568) {
   59734       $peripheries_072 = $17;
   59735       label = 27;
   59736       break;
   59737     } else {
   59738       label = 32;
   59739       break;
   59740     }
   59741    case 27:
   59742     $cmp6866 = ($15 | 0) > 0;
   59743     $x75 = $n + 32 | 0;
   59744     $y81 = $n + 40 | 0;
   59745     $j_069 = 0;
   59746     $filled_070 = 1;
   59747     label = 28;
   59748     break;
   59749    case 28:
   59750     if ($cmp6866) {
   59751       label = 29;
   59752       break;
   59753     } else {
   59754       label = 31;
   59755       break;
   59756     }
   59757    case 29:
   59758     $mul71 = Math_imul($j_069, $15);
   59759     $37 = HEAP32[1780] | 0;
   59760     $i_067 = 0;
   59761     label = 30;
   59762     break;
   59763    case 30:
   59764     $add72 = $i_067 + $mul71 | 0;
   59765     $P_sroa_0_0__idx = $13 + ($add72 << 4) | 0;
   59766     $P_sroa_0_0_copyload = +HEAPF64[$P_sroa_0_0__idx >> 3];
   59767     $P_sroa_1_8__idx12 = $13 + ($add72 << 4) + 8 | 0;
   59768     $P_sroa_1_8_copyload = +HEAPF64[$P_sroa_1_8__idx12 >> 3];
   59769     $38 = +HEAPF64[$x75 >> 3];
   59770     $add76 = $P_sroa_0_0_copyload + $38;
   59771     $x78 = $37 + ($i_067 << 4) | 0;
   59772     HEAPF64[$x78 >> 3] = $add76;
   59773     $39 = +HEAPF64[$y81 >> 3];
   59774     $add82 = $P_sroa_1_8_copyload + $39;
   59775     $y84 = $37 + ($i_067 << 4) + 8 | 0;
   59776     HEAPF64[$y84 >> 3] = $add82;
   59777     $inc = $i_067 + 1 | 0;
   59778     $cmp68 = ($inc | 0) < ($15 | 0);
   59779     if ($cmp68) {
   59780       $i_067 = $inc;
   59781       label = 30;
   59782       break;
   59783     } else {
   59784       label = 31;
   59785       break;
   59786     }
   59787    case 31:
   59788     $40 = HEAP32[1780] | 0;
   59789     _gvrender_ellipse($job, $40, 0, $filled_070);
   59790     $inc86 = $j_069 + 1 | 0;
   59791     $cmp65 = ($inc86 | 0) < ($peripheries_072 | 0);
   59792     if ($cmp65) {
   59793       $j_069 = $inc86;
   59794       $filled_070 = 0;
   59795       label = 28;
   59796       break;
   59797     } else {
   59798       label = 32;
   59799       break;
   59800     }
   59801    case 32:
   59802     if ($10) {
   59803       label = 33;
   59804       break;
   59805     } else {
   59806       label = 36;
   59807       break;
   59808     }
   59809    case 33:
   59810     $flags90 = $job + 152 | 0;
   59811     $41 = HEAP32[$flags90 >> 2] | 0;
   59812     $and91 = $41 & 4;
   59813     $tobool92 = ($and91 | 0) == 0;
   59814     if ($tobool92) {
   59815       label = 35;
   59816       break;
   59817     } else {
   59818       label = 34;
   59819       break;
   59820     }
   59821    case 34:
   59822     $42 = HEAP32[$url >> 2] | 0;
   59823     $tooltip95 = $0 + 180 | 0;
   59824     $43 = HEAP32[$tooltip95 >> 2] | 0;
   59825     $target96 = $0 + 196 | 0;
   59826     $44 = HEAP32[$target96 >> 2] | 0;
   59827     $id97 = $0 + 164 | 0;
   59828     $45 = HEAP32[$id97 >> 2] | 0;
   59829     _gvrender_begin_anchor($job, $42, $43, $44, $45);
   59830     label = 35;
   59831     break;
   59832    case 35:
   59833     _gvrender_end_anchor($job);
   59834     label = 36;
   59835     break;
   59836    case 36:
   59837     STACKTOP = __stackBase__;
   59838     return;
   59839   }
   59840 }
   59841 function _poly_path($n, $p, $side, $rv, $kptr) {
   59842   $n = $n | 0;
   59843   $p = $p | 0;
   59844   $side = $side | 0;
   59845   $rv = $rv | 0;
   59846   $kptr = $kptr | 0;
   59847   return 0;
   59848 }
   59849 function _same_side($p0, $p1, $L0, $L1) {
   59850   $p0 = $p0 | 0;
   59851   $p1 = $p1 | 0;
   59852   $L0 = $L0 | 0;
   59853   $L1 = $L1 | 0;
   59854   var $1 = 0.0, $sub2 = 0.0, $3 = 0.0, $sub4 = 0.0, $add = 0.0, tempParam = 0, __stackBase__ = 0;
   59855   __stackBase__ = STACKTOP;
   59856   tempParam = $p0;
   59857   $p0 = STACKTOP;
   59858   STACKTOP = STACKTOP + 16 | 0;
   59859   HEAP32[$p0 >> 2] = HEAP32[tempParam >> 2] | 0;
   59860   HEAP32[$p0 + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   59861   HEAP32[$p0 + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   59862   HEAP32[$p0 + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   59863   tempParam = $p1;
   59864   $p1 = STACKTOP;
   59865   STACKTOP = STACKTOP + 16 | 0;
   59866   HEAP32[$p1 >> 2] = HEAP32[tempParam >> 2] | 0;
   59867   HEAP32[$p1 + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   59868   HEAP32[$p1 + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   59869   HEAP32[$p1 + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   59870   tempParam = $L0;
   59871   $L0 = STACKTOP;
   59872   STACKTOP = STACKTOP + 16 | 0;
   59873   HEAP32[$L0 >> 2] = HEAP32[tempParam >> 2] | 0;
   59874   HEAP32[$L0 + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   59875   HEAP32[$L0 + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   59876   HEAP32[$L0 + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   59877   tempParam = $L1;
   59878   $L1 = STACKTOP;
   59879   STACKTOP = STACKTOP + 16 | 0;
   59880   HEAP32[$L1 >> 2] = HEAP32[tempParam >> 2] | 0;
   59881   HEAP32[$L1 + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   59882   HEAP32[$L1 + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   59883   HEAP32[$L1 + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   59884   $1 = +HEAPF64[$L0 + 8 >> 3];
   59885   $sub2 = -0.0 - (+HEAPF64[$L1 + 8 >> 3] - $1);
   59886   $3 = +HEAPF64[$L0 >> 3];
   59887   $sub4 = +HEAPF64[$L1 >> 3] - $3;
   59888   $add = $3 * $sub2 + $1 * $sub4;
   59889   STACKTOP = __stackBase__;
   59890   return (+HEAPF64[$p0 >> 3] * $sub2 + $sub4 * +HEAPF64[$p0 + 8 >> 3] - $add >= 0.0 ^ +HEAPF64[$p1 >> 3] * $sub2 + $sub4 * +HEAPF64[$p1 + 8 >> 3] - $add >= 0.0) & 1 ^ 1 | 0;
   59891 }
   59892 function _poly_inside($inside_context, $p) {
   59893   $inside_context = $inside_context | 0;
   59894   $p = $p | 0;
   59895   var $P = 0, $Q = 0, $R = 0, $bp2 = 0, $0 = 0, $n4 = 0, $1 = 0, $graph = 0, $2 = 0, $rankdir = 0, $3 = 0, $and = 0, $mul = 0, $tobool = 0, $bbox_sroa_0_0_copyload = 0.0, $4 = 0, $bbox_sroa_1_8_copyload = 0.0, $5 = 0, $bbox_sroa_3_24_copyload = 0.0, $x6 = 0, $6 = 0.0, $cmp = 0, $7 = 0, $bbox_sroa_2_16_copyload = 0.0, $cmp9 = 0, $y11 = 0, $8 = 0.0, $cmp12 = 0, $cmp17 = 0, $phitmp = 0, $9 = 0, $cmp19 = 0, $shape_info = 0, $10 = 0, $11 = 0, $vertices = 0, $12 = 0, $13 = 0, $sides = 0, $14 = 0, $15 = 0, $16 = 0, $rankdir25 = 0, $17 = 0, $and27 = 0, $tobool28 = 0, $lw = 0, $18 = 0.0, $rw = 0, $19 = 0.0, $add = 0.0, $ht = 0, $20 = 0.0, $ht39 = 0, $21 = 0.0, $22 = 0.0, $cmp41 = 0, $23 = 0.0, $cmp45 = 0, $width = 0, $24 = 0.0, $mul50 = 0.0, $cmp51 = 0, $add56 = 0.0, $sub = 0.0, $add56_sink = 0.0, $conv57 = 0, $conv62 = 0.0, $25 = 0.0, $div = 0.0, $height = 0, $26 = 0.0, $mul64 = 0.0, $cmp65 = 0, $add71 = 0.0, $sub77 = 0.0, $add71_sink = 0.0, $conv72 = 0, $conv81 = 0.0, $27 = 0.0, $div82 = 0.0, $28 = 0.0, $mul85 = 0.0, $cmp86 = 0, $add92 = 0.0, $sub98 = 0.0, $add92_sink = 0.0, $conv93 = 0, $conv102 = 0.0, $div103 = 0.0, $29 = 0.0, $mul106 = 0.0, $cmp107 = 0, $add113 = 0.0, $sub119 = 0.0, $add113_sink = 0.0, $conv114 = 0, $conv123 = 0.0, $div124 = 0.0, $30 = 0, $peripheries = 0, $31 = 0, $sub125 = 0, $32 = 0, $mul126 = 0, $cmp127 = 0, $_mul126 = 0, $33 = 0.0, $x132 = 0, $34 = 0.0, $mul133 = 0.0, $35 = 0.0, $y134 = 0, $36 = 0.0, $mul135 = 0.0, $37 = 0.0, $call = 0.0, $38 = 0.0, $cmp137 = 0, $call140 = 0.0, $39 = 0.0, $cmp141 = 0, $40 = 0, $cmp145 = 0, $div149 = 0.0, $div151 = 0.0, $call152 = 0.0, $cmp153 = 0, $conv155 = 0, $41 = 0, $rem = 0, $add157 = 0, $rem158 = 0, $42 = 0, $add159 = 0, $43 = 0, $arrayidx = 0, $44 = 0, $45 = 0, $46 = 0, $add160 = 0, $47 = 0, $arrayidx161 = 0, $48 = 0, $49 = 0, $call162 = 0, $tobool163 = 0, $call166 = 0, $tobool167 = 0, $call169 = 0, $tobool170 = 0, $50 = 0, $51 = 0, $52 = 0, $j_0 = 0, $i1_0 = 0, $i_0 = 0, $cmp173 = 0, $add177 = 0, $rem178 = 0, $add180 = 0, $sub181 = 0, $rem182 = 0, $i1_1 = 0, $i_1 = 0, $add184 = 0, $arrayidx185 = 0, $add186 = 0, $arrayidx187 = 0, $call188 = 0, $tobool189 = 0, $inc = 0, $retval_0 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   59896   __stackBase__ = STACKTOP;
   59897   STACKTOP = STACKTOP + 48 | 0;
   59898   tempParam = $p;
   59899   $p = STACKTOP;
   59900   STACKTOP = STACKTOP + 16 | 0;
   59901   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   59902   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   59903   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   59904   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   59905   label = 2;
   59906   while (1) switch (label | 0) {
   59907    case 2:
   59908     $P = __stackBase__ | 0;
   59909     $Q = __stackBase__ + 16 | 0;
   59910     $R = __stackBase__ + 32 | 0;
   59911     $bp2 = $inside_context + 4 | 0;
   59912     $0 = HEAP32[$bp2 >> 2] | 0;
   59913     $n4 = $inside_context;
   59914     $1 = HEAP32[$n4 >> 2] | 0;
   59915     $graph = $1 + 20 | 0;
   59916     $2 = HEAP32[$graph >> 2] | 0;
   59917     $rankdir = $2 + 156 | 0;
   59918     $3 = HEAP32[$rankdir >> 2] | 0;
   59919     $and = $3 & 3;
   59920     $mul = $and * 90 & -1;
   59921     _ccwrotatepf($P, $p, $mul);
   59922     $tobool = ($0 | 0) == 0;
   59923     if ($tobool) {
   59924       label = 7;
   59925       break;
   59926     } else {
   59927       label = 3;
   59928       break;
   59929     }
   59930    case 3:
   59931     $bbox_sroa_0_0_copyload = +HEAPF64[$0 >> 3];
   59932     $4 = $0 + 8 | 0;
   59933     $bbox_sroa_1_8_copyload = +HEAPF64[$4 >> 3];
   59934     $5 = $0 + 24 | 0;
   59935     $bbox_sroa_3_24_copyload = +HEAPF64[$5 >> 3];
   59936     $x6 = $P | 0;
   59937     $6 = +HEAPF64[$x6 >> 3];
   59938     $cmp = $bbox_sroa_0_0_copyload > $6;
   59939     if ($cmp) {
   59940       $retval_0 = 0;
   59941       label = 43;
   59942       break;
   59943     } else {
   59944       label = 4;
   59945       break;
   59946     }
   59947    case 4:
   59948     $7 = $0 + 16 | 0;
   59949     $bbox_sroa_2_16_copyload = +HEAPF64[$7 >> 3];
   59950     $cmp9 = $6 > $bbox_sroa_2_16_copyload;
   59951     if ($cmp9) {
   59952       $retval_0 = 0;
   59953       label = 43;
   59954       break;
   59955     } else {
   59956       label = 5;
   59957       break;
   59958     }
   59959    case 5:
   59960     $y11 = $P + 8 | 0;
   59961     $8 = +HEAPF64[$y11 >> 3];
   59962     $cmp12 = $bbox_sroa_1_8_copyload > $8;
   59963     if ($cmp12) {
   59964       $retval_0 = 0;
   59965       label = 43;
   59966       break;
   59967     } else {
   59968       label = 6;
   59969       break;
   59970     }
   59971    case 6:
   59972     $cmp17 = $8 <= $bbox_sroa_3_24_copyload;
   59973     $phitmp = $cmp17 & 1;
   59974     $retval_0 = $phitmp;
   59975     label = 43;
   59976     break;
   59977    case 7:
   59978     $9 = HEAP32[1748] | 0;
   59979     $cmp19 = ($1 | 0) == ($9 | 0);
   59980     if ($cmp19) {
   59981       label = 28;
   59982       break;
   59983     } else {
   59984       label = 8;
   59985       break;
   59986     }
   59987    case 8:
   59988     $shape_info = $1 + 28 | 0;
   59989     $10 = HEAP32[$shape_info >> 2] | 0;
   59990     $11 = $10;
   59991     HEAP32[1744] = $11;
   59992     $vertices = $10 + 44 | 0;
   59993     $12 = $vertices;
   59994     $13 = HEAP32[$12 >> 2] | 0;
   59995     HEAP32[1736] = $13;
   59996     $sides = $10 + 8 | 0;
   59997     $14 = $sides;
   59998     $15 = HEAP32[$14 >> 2] | 0;
   59999     HEAP32[1738] = $15;
   60000     $16 = HEAP32[$graph >> 2] | 0;
   60001     $rankdir25 = $16 + 156 | 0;
   60002     $17 = HEAP32[$rankdir25 >> 2] | 0;
   60003     $and27 = $17 & 1;
   60004     $tobool28 = ($and27 | 0) == 0;
   60005     $lw = $1 + 104 | 0;
   60006     $18 = +HEAPF64[$lw >> 3];
   60007     $rw = $1 + 112 | 0;
   60008     $19 = +HEAPF64[$rw >> 3];
   60009     $add = $18 + $19;
   60010     if ($tobool28) {
   60011       label = 10;
   60012       break;
   60013     } else {
   60014       label = 9;
   60015       break;
   60016     }
   60017    case 9:
   60018     HEAPF64[866] = $add;
   60019     $ht = $1 + 96 | 0;
   60020     $20 = +HEAPF64[$ht >> 3];
   60021     HEAPF64[867] = $20;
   60022     $22 = $20;
   60023     label = 11;
   60024     break;
   60025    case 10:
   60026     HEAPF64[867] = $add;
   60027     $ht39 = $1 + 96 | 0;
   60028     $21 = +HEAPF64[$ht39 >> 3];
   60029     HEAPF64[866] = $21;
   60030     $22 = $add;
   60031     label = 11;
   60032     break;
   60033    case 11:
   60034     $cmp41 = $22 == 0.0;
   60035     if ($cmp41) {
   60036       label = 12;
   60037       break;
   60038     } else {
   60039       label = 13;
   60040       break;
   60041     }
   60042    case 12:
   60043     HEAPF64[867] = 1.0;
   60044     label = 13;
   60045     break;
   60046    case 13:
   60047     $23 = +HEAPF64[866];
   60048     $cmp45 = $23 == 0.0;
   60049     if ($cmp45) {
   60050       label = 14;
   60051       break;
   60052     } else {
   60053       label = 15;
   60054       break;
   60055     }
   60056    case 14:
   60057     HEAPF64[866] = 1.0;
   60058     label = 15;
   60059     break;
   60060    case 15:
   60061     $width = $1 + 48 | 0;
   60062     $24 = +HEAPF64[$width >> 3];
   60063     $mul50 = $24 * 72.0;
   60064     $cmp51 = $mul50 < 0.0;
   60065     if ($cmp51) {
   60066       label = 17;
   60067       break;
   60068     } else {
   60069       label = 16;
   60070       break;
   60071     }
   60072    case 16:
   60073     $add56 = $mul50 + .5;
   60074     $add56_sink = $add56;
   60075     label = 18;
   60076     break;
   60077    case 17:
   60078     $sub = $mul50 + -.5;
   60079     $add56_sink = $sub;
   60080     label = 18;
   60081     break;
   60082    case 18:
   60083     $conv57 = ~~$add56_sink;
   60084     $conv62 = +($conv57 | 0);
   60085     $25 = +HEAPF64[867];
   60086     $div = $conv62 / $25;
   60087     HEAPF64[871] = $div;
   60088     $height = $1 + 56 | 0;
   60089     $26 = +HEAPF64[$height >> 3];
   60090     $mul64 = $26 * 72.0;
   60091     $cmp65 = $mul64 < 0.0;
   60092     if ($cmp65) {
   60093       label = 20;
   60094       break;
   60095     } else {
   60096       label = 19;
   60097       break;
   60098     }
   60099    case 19:
   60100     $add71 = $mul64 + .5;
   60101     $add71_sink = $add71;
   60102     label = 21;
   60103     break;
   60104    case 20:
   60105     $sub77 = $mul64 + -.5;
   60106     $add71_sink = $sub77;
   60107     label = 21;
   60108     break;
   60109    case 21:
   60110     $conv72 = ~~$add71_sink;
   60111     $conv81 = +($conv72 | 0);
   60112     $27 = +HEAPF64[866];
   60113     $div82 = $conv81 / $27;
   60114     HEAPF64[870] = $div82;
   60115     $28 = +HEAPF64[$width >> 3];
   60116     $mul85 = $28 * 72.0;
   60117     $cmp86 = $mul85 < 0.0;
   60118     if ($cmp86) {
   60119       label = 23;
   60120       break;
   60121     } else {
   60122       label = 22;
   60123       break;
   60124     }
   60125    case 22:
   60126     $add92 = $mul85 + .5;
   60127     $add92_sink = $add92;
   60128     label = 24;
   60129     break;
   60130    case 23:
   60131     $sub98 = $mul85 + -.5;
   60132     $add92_sink = $sub98;
   60133     label = 24;
   60134     break;
   60135    case 24:
   60136     $conv93 = ~~$add92_sink;
   60137     $conv102 = +($conv93 | 0);
   60138     $div103 = $conv102 * .5;
   60139     HEAPF64[877] = $div103;
   60140     $29 = +HEAPF64[$height >> 3];
   60141     $mul106 = $29 * 72.0;
   60142     $cmp107 = $mul106 < 0.0;
   60143     if ($cmp107) {
   60144       label = 26;
   60145       break;
   60146     } else {
   60147       label = 25;
   60148       break;
   60149     }
   60150    case 25:
   60151     $add113 = $mul106 + .5;
   60152     $add113_sink = $add113;
   60153     label = 27;
   60154     break;
   60155    case 26:
   60156     $sub119 = $mul106 + -.5;
   60157     $add113_sink = $sub119;
   60158     label = 27;
   60159     break;
   60160    case 27:
   60161     $conv114 = ~~$add113_sink;
   60162     $conv123 = +($conv114 | 0);
   60163     $div124 = $conv123 * .5;
   60164     HEAPF64[876] = $div124;
   60165     $30 = HEAP32[1744] | 0;
   60166     $peripheries = $30 + 4 | 0;
   60167     $31 = HEAP32[$peripheries >> 2] | 0;
   60168     $sub125 = $31 - 1 | 0;
   60169     $32 = HEAP32[1738] | 0;
   60170     $mul126 = Math_imul($sub125, $32);
   60171     $cmp127 = ($mul126 | 0) < 0;
   60172     $_mul126 = $cmp127 ? 0 : $mul126;
   60173     HEAP32[1746] = $_mul126;
   60174     HEAP32[1748] = $1;
   60175     label = 28;
   60176     break;
   60177    case 28:
   60178     $33 = +HEAPF64[871];
   60179     $x132 = $P | 0;
   60180     $34 = +HEAPF64[$x132 >> 3];
   60181     $mul133 = $33 * $34;
   60182     HEAPF64[$x132 >> 3] = $mul133;
   60183     $35 = +HEAPF64[870];
   60184     $y134 = $P + 8 | 0;
   60185     $36 = +HEAPF64[$y134 >> 3];
   60186     $mul135 = $35 * $36;
   60187     HEAPF64[$y134 >> 3] = $mul135;
   60188     $37 = +HEAPF64[$x132 >> 3];
   60189     $call = +Math_abs(+$37);
   60190     $38 = +HEAPF64[877];
   60191     $cmp137 = $call > $38;
   60192     if ($cmp137) {
   60193       $retval_0 = 0;
   60194       label = 43;
   60195       break;
   60196     } else {
   60197       label = 29;
   60198       break;
   60199     }
   60200    case 29:
   60201     $call140 = +Math_abs(+$mul135);
   60202     $39 = +HEAPF64[876];
   60203     $cmp141 = $call140 > $39;
   60204     if ($cmp141) {
   60205       $retval_0 = 0;
   60206       label = 43;
   60207       break;
   60208     } else {
   60209       label = 30;
   60210       break;
   60211     }
   60212    case 30:
   60213     $40 = HEAP32[1738] | 0;
   60214     $cmp145 = ($40 | 0) < 3;
   60215     if ($cmp145) {
   60216       label = 31;
   60217       break;
   60218     } else {
   60219       label = 32;
   60220       break;
   60221     }
   60222    case 31:
   60223     $div149 = $37 / $38;
   60224     $div151 = $mul135 / $39;
   60225     $call152 = +_hypot(+$div149, +$div151);
   60226     $cmp153 = $call152 < 1.0;
   60227     $conv155 = $cmp153 & 1;
   60228     $retval_0 = $conv155;
   60229     label = 43;
   60230     break;
   60231    case 32:
   60232     $41 = HEAP32[1750] | 0;
   60233     $rem = ($41 | 0) % ($40 | 0);
   60234     $add157 = $rem + 1 | 0;
   60235     $rem158 = ($add157 | 0) % ($40 | 0);
   60236     $42 = HEAP32[1746] | 0;
   60237     $add159 = $42 + $rem | 0;
   60238     $43 = HEAP32[1736] | 0;
   60239     $arrayidx = $43 + ($add159 << 4) | 0;
   60240     $44 = $Q;
   60241     $45 = $arrayidx;
   60242     HEAP32[$44 >> 2] = HEAP32[$45 >> 2] | 0;
   60243     HEAP32[$44 + 4 >> 2] = HEAP32[$45 + 4 >> 2] | 0;
   60244     HEAP32[$44 + 8 >> 2] = HEAP32[$45 + 8 >> 2] | 0;
   60245     HEAP32[$44 + 12 >> 2] = HEAP32[$45 + 12 >> 2] | 0;
   60246     $46 = HEAP32[1746] | 0;
   60247     $add160 = $46 + $rem158 | 0;
   60248     $47 = HEAP32[1736] | 0;
   60249     $arrayidx161 = $47 + ($add160 << 4) | 0;
   60250     $48 = $R;
   60251     $49 = $arrayidx161;
   60252     HEAP32[$48 >> 2] = HEAP32[$49 >> 2] | 0;
   60253     HEAP32[$48 + 4 >> 2] = HEAP32[$49 + 4 >> 2] | 0;
   60254     HEAP32[$48 + 8 >> 2] = HEAP32[$49 + 8 >> 2] | 0;
   60255     HEAP32[$48 + 12 >> 2] = HEAP32[$49 + 12 >> 2] | 0;
   60256     $call162 = _same_side($P, 7024, $Q, $R) | 0;
   60257     $tobool163 = ($call162 | 0) == 0;
   60258     if ($tobool163) {
   60259       $retval_0 = 0;
   60260       label = 43;
   60261       break;
   60262     } else {
   60263       label = 33;
   60264       break;
   60265     }
   60266    case 33:
   60267     $call166 = _same_side($P, $Q, $R, 7024) | 0;
   60268     $tobool167 = ($call166 | 0) != 0;
   60269     if ($tobool167) {
   60270       label = 34;
   60271       break;
   60272     } else {
   60273       label = 35;
   60274       break;
   60275     }
   60276    case 34:
   60277     $call169 = _same_side($P, $R, 7024, $Q) | 0;
   60278     $tobool170 = ($call169 | 0) == 0;
   60279     if ($tobool170) {
   60280       label = 35;
   60281       break;
   60282     } else {
   60283       $retval_0 = 1;
   60284       label = 43;
   60285       break;
   60286     }
   60287    case 35:
   60288     $50 = HEAP32[1738] | 0;
   60289     $51 = HEAP32[1746] | 0;
   60290     $52 = HEAP32[1736] | 0;
   60291     $i_0 = $rem;
   60292     $i1_0 = $rem158;
   60293     $j_0 = 1;
   60294     label = 36;
   60295     break;
   60296    case 36:
   60297     $cmp173 = ($j_0 | 0) < ($50 | 0);
   60298     if ($cmp173) {
   60299       label = 37;
   60300       break;
   60301     } else {
   60302       label = 42;
   60303       break;
   60304     }
   60305    case 37:
   60306     if ($tobool167) {
   60307       label = 38;
   60308       break;
   60309     } else {
   60310       label = 39;
   60311       break;
   60312     }
   60313    case 38:
   60314     $add177 = $i1_0 + 1 | 0;
   60315     $rem178 = ($add177 | 0) % ($50 | 0);
   60316     $i_1 = $i1_0;
   60317     $i1_1 = $rem178;
   60318     label = 40;
   60319     break;
   60320    case 39:
   60321     $add180 = $i_0 - 1 | 0;
   60322     $sub181 = $add180 + $50 | 0;
   60323     $rem182 = ($sub181 | 0) % ($50 | 0);
   60324     $i_1 = $rem182;
   60325     $i1_1 = $i_0;
   60326     label = 40;
   60327     break;
   60328    case 40:
   60329     $add184 = $51 + $i_1 | 0;
   60330     $arrayidx185 = $52 + ($add184 << 4) | 0;
   60331     $add186 = $51 + $i1_1 | 0;
   60332     $arrayidx187 = $52 + ($add186 << 4) | 0;
   60333     $call188 = _same_side($P, 7024, $arrayidx185, $arrayidx187) | 0;
   60334     $tobool189 = ($call188 | 0) == 0;
   60335     $inc = $j_0 + 1 | 0;
   60336     if ($tobool189) {
   60337       label = 41;
   60338       break;
   60339     } else {
   60340       $i_0 = $i_1;
   60341       $i1_0 = $i1_1;
   60342       $j_0 = $inc;
   60343       label = 36;
   60344       break;
   60345     }
   60346    case 41:
   60347     HEAP32[1750] = $i_1;
   60348     $retval_0 = 0;
   60349     label = 43;
   60350     break;
   60351    case 42:
   60352     HEAP32[1750] = $i_0;
   60353     $retval_0 = 1;
   60354     label = 43;
   60355     break;
   60356    case 43:
   60357     STACKTOP = __stackBase__;
   60358     return $retval_0 | 0;
   60359   }
   60360   return 0;
   60361 }
   60362 function _poly_gencode($job, $n) {
   60363   $job = $job | 0;
   60364   $n = $n | 0;
   60365   var $obj1 = 0, $0 = 0, $url = 0, $1 = 0, $tobool = 0, $2 = 0, $3 = 0, $4 = 0, $_mask = 0, $tobool2 = 0, $flags = 0, $5 = 0, $and = 0, $tobool4 = 0, $6 = 0, $tooltip = 0, $7 = 0, $target = 0, $8 = 0, $id = 0, $9 = 0, $10 = 0, $shape_info = 0, $11 = 0, $vertices6 = 0, $12 = 0, $13 = 0, $sides7 = 0, $14 = 0, $15 = 0, $peripheries8 = 0, $16 = 0, $17 = 0, $18 = 0, $cmp = 0, $add = 0, $19 = 0, $tobool10 = 0, $20 = 0, $mul = 0, $call = 0, $mul11 = 0, $call12 = 0, $call_sink = 0, $21 = 0, $label = 0, $22 = 0, $pos = 0, $coord = 0, $23 = 0, $24 = 0, $lw = 0, $25 = 0.0, $rw = 0, $26 = 0.0, $add18 = 0.0, $width = 0, $27 = 0.0, $mul20 = 0.0, $cmp21 = 0, $add26 = 0.0, $sub = 0.0, $add26_sink = 0.0, $conv = 0, $conv34 = 0.0, $div = 0.0, $ht = 0, $28 = 0.0, $height = 0, $29 = 0.0, $mul37 = 0.0, $cmp38 = 0, $add44 = 0.0, $sub50 = 0.0, $add44_sink = 0.0, $conv45 = 0, $conv54 = 0.0, $div55 = 0.0, $call56 = 0, $gui_state = 0, $30 = 0, $conv58 = 0, $and59 = 0, $tobool60 = 0, $31 = 0, $32 = 0, $call62 = 0, $33 = 0, $call63 = 0, $and67 = 0, $tobool68 = 0, $34 = 0, $35 = 0, $call70 = 0, $36 = 0, $call71 = 0, $and76 = 0, $tobool77 = 0, $37 = 0, $38 = 0, $call79 = 0, $39 = 0, $call80 = 0, $and85 = 0, $tobool86 = 0, $40 = 0, $41 = 0, $call88 = 0, $42 = 0, $call89 = 0, $and91 = 0, $tobool92 = 0, $call94 = 0, $filled_0 = 0, $filled_1 = 0, $shape = 0, $43 = 0, $usershape = 0, $44 = 0, $tobool102 = 0, $name106 = 0, $45 = 0, $46 = 0, $cmp109 = 0, $tobool123140 = 0, $call114 = 0, $phitmp = 0, $47 = 0, $cmp119 = 0, $tobool123 = 0, $or_cond = 0, $or_cond137 = 0, $call129 = 0, $48 = 0, $tobool130 = 0, $tobool126145 = 0, $tobool123144 = 0, $peripheries_0 = 0, $49 = 0, $usershape136 = 0, $50 = 0, $tobool137 = 0, $name141 = 0, $51 = 0, $52 = 0, $cmp144 = 0, $call147 = 0, $tobool148 = 0, $53 = 0, $call150 = 0, $54 = 0, $call153 = 0, $not_tobool154 = 0, $name_1146_ph = 0, $cmp160152 = 0, $x166 = 0, $55 = 0, $y173 = 0, $i_0153 = 0, $P_sroa_0_0__idx23 = 0, $P_sroa_0_0_copyload24 = 0.0, $P_sroa_1_8__idx27 = 0, $P_sroa_1_8_copyload28 = 0.0, $mul163 = 0.0, $56 = 0.0, $add167 = 0.0, $x169 = 0, $mul170 = 0.0, $57 = 0.0, $add174 = 0.0, $y176 = 0, $inc = 0, $cmp160 = 0, $conv177 = 0, $or_cond138 = 0, $cmp183 = 0, $58 = 0, $and186 = 0, $tobool187 = 0, $and191 = 0, $tobool192 = 0, $59 = 0, $60 = 0, $61 = 0, $62 = 0, $call199 = 0, $filled_3_ph = 0, $cmp202149 = 0, $cmp206147 = 0, $cmp231 = 0, $and234 = 0, $tobool235 = 0, $and239 = 0, $tobool240 = 0, $x216 = 0, $y224 = 0, $j_0151 = 0, $filled_3150 = 0, $mul209 = 0, $63 = 0, $i_1148 = 0, $add210 = 0, $P_sroa_0_0__idx = 0, $P_sroa_0_0_copyload = 0.0, $P_sroa_1_8__idx25 = 0, $P_sroa_1_8_copyload = 0.0, $mul213 = 0.0, $64 = 0.0, $add217 = 0.0, $x219 = 0, $mul221 = 0.0, $65 = 0.0, $add225 = 0.0, $y227 = 0, $inc229 = 0, $cmp206 = 0, $66 = 0, $conv242 = 0, $inc247 = 0, $cmp202 = 0, $67 = 0, $flags253 = 0, $68 = 0, $and254 = 0, $tobool255 = 0, $69 = 0, $tooltip258 = 0, $70 = 0, $target259 = 0, $71 = 0, $id260 = 0, $72 = 0, label = 0;
   60366   label = 2;
   60367   while (1) switch (label | 0) {
   60368    case 2:
   60369     $obj1 = $job + 16 | 0;
   60370     $0 = HEAP32[$obj1 >> 2] | 0;
   60371     $url = $0 + 160 | 0;
   60372     $1 = HEAP32[$url >> 2] | 0;
   60373     $tobool = ($1 | 0) == 0;
   60374     if ($tobool) {
   60375       label = 3;
   60376       break;
   60377     } else {
   60378       label = 4;
   60379       break;
   60380     }
   60381    case 3:
   60382     $2 = $0 + 212 | 0;
   60383     $3 = $2;
   60384     $4 = HEAP32[$3 >> 2] | 0;
   60385     $_mask = $4 & 1;
   60386     $tobool2 = ($_mask | 0) == 0;
   60387     if ($tobool2) {
   60388       $10 = 0;
   60389       label = 6;
   60390       break;
   60391     } else {
   60392       label = 4;
   60393       break;
   60394     }
   60395    case 4:
   60396     $flags = $job + 152 | 0;
   60397     $5 = HEAP32[$flags >> 2] | 0;
   60398     $and = $5 & 4;
   60399     $tobool4 = ($and | 0) == 0;
   60400     if ($tobool4) {
   60401       label = 5;
   60402       break;
   60403     } else {
   60404       $10 = 1;
   60405       label = 6;
   60406       break;
   60407     }
   60408    case 5:
   60409     $6 = HEAP32[$url >> 2] | 0;
   60410     $tooltip = $0 + 180 | 0;
   60411     $7 = HEAP32[$tooltip >> 2] | 0;
   60412     $target = $0 + 196 | 0;
   60413     $8 = HEAP32[$target >> 2] | 0;
   60414     $id = $0 + 164 | 0;
   60415     $9 = HEAP32[$id >> 2] | 0;
   60416     _gvrender_begin_anchor($job, $6, $7, $8, $9);
   60417     $10 = 1;
   60418     label = 6;
   60419     break;
   60420    case 6:
   60421     $shape_info = $n + 28 | 0;
   60422     $11 = HEAP32[$shape_info >> 2] | 0;
   60423     $vertices6 = $11 + 44 | 0;
   60424     $12 = $vertices6;
   60425     $13 = HEAP32[$12 >> 2] | 0;
   60426     $sides7 = $11 + 8 | 0;
   60427     $14 = $sides7;
   60428     $15 = HEAP32[$14 >> 2] | 0;
   60429     $peripheries8 = $11 + 4 | 0;
   60430     $16 = $peripheries8;
   60431     $17 = HEAP32[$16 >> 2] | 0;
   60432     $18 = HEAP32[1760] | 0;
   60433     $cmp = ($18 | 0) < ($15 | 0);
   60434     if ($cmp) {
   60435       label = 7;
   60436       break;
   60437     } else {
   60438       label = 11;
   60439       break;
   60440     }
   60441    case 7:
   60442     $add = $15 + 5 | 0;
   60443     HEAP32[1760] = $add;
   60444     $19 = HEAP32[1762] | 0;
   60445     $tobool10 = ($19 | 0) == 0;
   60446     if ($tobool10) {
   60447       label = 9;
   60448       break;
   60449     } else {
   60450       label = 8;
   60451       break;
   60452     }
   60453    case 8:
   60454     $20 = $19;
   60455     $mul = $add << 4;
   60456     $call = _grealloc($20, $mul) | 0;
   60457     $call_sink = $call;
   60458     label = 10;
   60459     break;
   60460    case 9:
   60461     $mul11 = $add << 4;
   60462     $call12 = _gmalloc($mul11) | 0;
   60463     $call_sink = $call12;
   60464     label = 10;
   60465     break;
   60466    case 10:
   60467     $21 = $call_sink;
   60468     HEAP32[1762] = $21;
   60469     label = 11;
   60470     break;
   60471    case 11:
   60472     $label = $n + 120 | 0;
   60473     $22 = HEAP32[$label >> 2] | 0;
   60474     $pos = $22 + 56 | 0;
   60475     $coord = $n + 32 | 0;
   60476     $23 = $pos;
   60477     $24 = $coord;
   60478     HEAP32[$23 >> 2] = HEAP32[$24 >> 2] | 0;
   60479     HEAP32[$23 + 4 >> 2] = HEAP32[$24 + 4 >> 2] | 0;
   60480     HEAP32[$23 + 8 >> 2] = HEAP32[$24 + 8 >> 2] | 0;
   60481     HEAP32[$23 + 12 >> 2] = HEAP32[$24 + 12 >> 2] | 0;
   60482     $lw = $n + 104 | 0;
   60483     $25 = +HEAPF64[$lw >> 3];
   60484     $rw = $n + 112 | 0;
   60485     $26 = +HEAPF64[$rw >> 3];
   60486     $add18 = $25 + $26;
   60487     $width = $n + 48 | 0;
   60488     $27 = +HEAPF64[$width >> 3];
   60489     $mul20 = $27 * 72.0;
   60490     $cmp21 = $mul20 < 0.0;
   60491     if ($cmp21) {
   60492       label = 13;
   60493       break;
   60494     } else {
   60495       label = 12;
   60496       break;
   60497     }
   60498    case 12:
   60499     $add26 = $mul20 + .5;
   60500     $add26_sink = $add26;
   60501     label = 14;
   60502     break;
   60503    case 13:
   60504     $sub = $mul20 + -.5;
   60505     $add26_sink = $sub;
   60506     label = 14;
   60507     break;
   60508    case 14:
   60509     $conv = ~~$add26_sink;
   60510     $conv34 = +($conv | 0);
   60511     $div = $add18 / $conv34;
   60512     $ht = $n + 96 | 0;
   60513     $28 = +HEAPF64[$ht >> 3];
   60514     $height = $n + 56 | 0;
   60515     $29 = +HEAPF64[$height >> 3];
   60516     $mul37 = $29 * 72.0;
   60517     $cmp38 = $mul37 < 0.0;
   60518     if ($cmp38) {
   60519       label = 16;
   60520       break;
   60521     } else {
   60522       label = 15;
   60523       break;
   60524     }
   60525    case 15:
   60526     $add44 = $mul37 + .5;
   60527     $add44_sink = $add44;
   60528     label = 17;
   60529     break;
   60530    case 16:
   60531     $sub50 = $mul37 + -.5;
   60532     $add44_sink = $sub50;
   60533     label = 17;
   60534     break;
   60535    case 17:
   60536     $conv45 = ~~$add44_sink;
   60537     $conv54 = +($conv45 | 0);
   60538     $div55 = $28 / $conv54;
   60539     $call56 = _stylenode($job, $n) | 0;
   60540     $gui_state = $n + 133 | 0;
   60541     $30 = HEAP8[$gui_state] | 0;
   60542     $conv58 = $30 & 255;
   60543     $and59 = $conv58 & 1;
   60544     $tobool60 = ($and59 | 0) == 0;
   60545     if ($tobool60) {
   60546       label = 19;
   60547       break;
   60548     } else {
   60549       label = 18;
   60550       break;
   60551     }
   60552    case 18:
   60553     $31 = $n | 0;
   60554     $32 = HEAP32[41618] | 0;
   60555     $call62 = _late_nnstring($31, $32, 105440) | 0;
   60556     _gvrender_set_pencolor($job, $call62);
   60557     $33 = HEAP32[41620] | 0;
   60558     $call63 = _late_nnstring($31, $33, 105128) | 0;
   60559     _gvrender_set_fillcolor($job, $call63);
   60560     $filled_1 = 1;
   60561     label = 28;
   60562     break;
   60563    case 19:
   60564     $and67 = $conv58 & 2;
   60565     $tobool68 = ($and67 | 0) == 0;
   60566     if ($tobool68) {
   60567       label = 21;
   60568       break;
   60569     } else {
   60570       label = 20;
   60571       break;
   60572     }
   60573    case 20:
   60574     $34 = $n | 0;
   60575     $35 = HEAP32[41570] | 0;
   60576     $call70 = _late_nnstring($34, $35, 104760) | 0;
   60577     _gvrender_set_pencolor($job, $call70);
   60578     $36 = HEAP32[41572] | 0;
   60579     $call71 = _late_nnstring($34, $36, 104240) | 0;
   60580     _gvrender_set_fillcolor($job, $call71);
   60581     $filled_1 = 1;
   60582     label = 28;
   60583     break;
   60584    case 21:
   60585     $and76 = $conv58 & 8;
   60586     $tobool77 = ($and76 | 0) == 0;
   60587     if ($tobool77) {
   60588       label = 23;
   60589       break;
   60590     } else {
   60591       label = 22;
   60592       break;
   60593     }
   60594    case 22:
   60595     $37 = $n | 0;
   60596     $38 = HEAP32[41610] | 0;
   60597     $call79 = _late_nnstring($37, $38, 103768) | 0;
   60598     _gvrender_set_pencolor($job, $call79);
   60599     $39 = HEAP32[41612] | 0;
   60600     $call80 = _late_nnstring($37, $39, 103376) | 0;
   60601     _gvrender_set_fillcolor($job, $call80);
   60602     $filled_1 = 1;
   60603     label = 28;
   60604     break;
   60605    case 23:
   60606     $and85 = $conv58 & 4;
   60607     $tobool86 = ($and85 | 0) == 0;
   60608     if ($tobool86) {
   60609       label = 25;
   60610       break;
   60611     } else {
   60612       label = 24;
   60613       break;
   60614     }
   60615    case 24:
   60616     $40 = $n | 0;
   60617     $41 = HEAP32[41554] | 0;
   60618     $call88 = _late_nnstring($40, $41, 103016) | 0;
   60619     _gvrender_set_pencolor($job, $call88);
   60620     $42 = HEAP32[41556] | 0;
   60621     $call89 = _late_nnstring($40, $42, 102672) | 0;
   60622     _gvrender_set_fillcolor($job, $call89);
   60623     $filled_1 = 1;
   60624     label = 28;
   60625     break;
   60626    case 25:
   60627     $and91 = $call56 & 1;
   60628     $tobool92 = ($and91 | 0) == 0;
   60629     if ($tobool92) {
   60630       $filled_0 = 0;
   60631       label = 27;
   60632       break;
   60633     } else {
   60634       label = 26;
   60635       break;
   60636     }
   60637    case 26:
   60638     $call94 = _findFill($n) | 0;
   60639     _gvrender_set_fillcolor($job, $call94);
   60640     $filled_0 = 1;
   60641     label = 27;
   60642     break;
   60643    case 27:
   60644     _pencolor($job, $n);
   60645     $filled_1 = $filled_0;
   60646     label = 28;
   60647     break;
   60648    case 28:
   60649     $shape = $n + 24 | 0;
   60650     $43 = HEAP32[$shape >> 2] | 0;
   60651     $usershape = $43 + 12 | 0;
   60652     $44 = HEAP8[$usershape] | 0;
   60653     $tobool102 = $44 << 24 >> 24 == 0;
   60654     if ($tobool102) {
   60655       $47 = 0;
   60656       label = 32;
   60657       break;
   60658     } else {
   60659       label = 29;
   60660       break;
   60661     }
   60662    case 29:
   60663     $name106 = $43 | 0;
   60664     $45 = HEAP32[$name106 >> 2] | 0;
   60665     $46 = HEAP8[$45] | 0;
   60666     $cmp109 = $46 << 24 >> 24 == 99;
   60667     if ($cmp109) {
   60668       label = 31;
   60669       break;
   60670     } else {
   60671       label = 30;
   60672       break;
   60673     }
   60674    case 30:
   60675     $tobool123140 = $filled_1 << 24 >> 24 == 0;
   60676     $peripheries_0 = $17;
   60677     $tobool123144 = $tobool123140;
   60678     $tobool126145 = 1;
   60679     label = 35;
   60680     break;
   60681    case 31:
   60682     $call114 = _strcmp($45 | 0, 110216) | 0;
   60683     $phitmp = ($call114 | 0) != 0;
   60684     $47 = $phitmp;
   60685     label = 32;
   60686     break;
   60687    case 32:
   60688     $cmp119 = ($17 | 0) != 0;
   60689     $tobool123 = $filled_1 << 24 >> 24 == 0;
   60690     $or_cond = $cmp119 | $tobool123;
   60691     $or_cond137 = $or_cond | $47;
   60692     if ($or_cond137) {
   60693       $peripheries_0 = $17;
   60694       $tobool123144 = $tobool123;
   60695       $tobool126145 = $47;
   60696       label = 35;
   60697       break;
   60698     } else {
   60699       label = 33;
   60700       break;
   60701     }
   60702    case 33:
   60703     $call129 = _findFill($n) | 0;
   60704     $48 = HEAP8[$call129] | 0;
   60705     $tobool130 = $48 << 24 >> 24 == 0;
   60706     if ($tobool130) {
   60707       $peripheries_0 = 1;
   60708       $tobool123144 = $tobool123;
   60709       $tobool126145 = $47;
   60710       label = 35;
   60711       break;
   60712     } else {
   60713       label = 34;
   60714       break;
   60715     }
   60716    case 34:
   60717     _gvrender_set_pencolor($job, $call129);
   60718     $peripheries_0 = 1;
   60719     $tobool123144 = $tobool123;
   60720     $tobool126145 = $47;
   60721     label = 35;
   60722     break;
   60723    case 35:
   60724     $49 = HEAP32[$shape >> 2] | 0;
   60725     $usershape136 = $49 + 12 | 0;
   60726     $50 = HEAP8[$usershape136] | 0;
   60727     $tobool137 = $50 << 24 >> 24 == 0;
   60728     if ($tobool137) {
   60729       label = 39;
   60730       break;
   60731     } else {
   60732       label = 36;
   60733       break;
   60734     }
   60735    case 36:
   60736     $name141 = $49 | 0;
   60737     $51 = HEAP32[$name141 >> 2] | 0;
   60738     $52 = HEAP8[$51] | 0;
   60739     $cmp144 = $52 << 24 >> 24 == 99;
   60740     if ($cmp144) {
   60741       label = 37;
   60742       break;
   60743     } else {
   60744       $name_1146_ph = $51;
   60745       label = 40;
   60746       break;
   60747     }
   60748    case 37:
   60749     $call147 = _strcmp($51 | 0, 110216) | 0;
   60750     $tobool148 = ($call147 | 0) == 0;
   60751     if ($tobool148) {
   60752       label = 38;
   60753       break;
   60754     } else {
   60755       $name_1146_ph = $51;
   60756       label = 40;
   60757       break;
   60758     }
   60759    case 38:
   60760     $53 = $n | 0;
   60761     $call150 = _agget($53, 120672) | 0;
   60762     $name_1146_ph = $call150;
   60763     label = 40;
   60764     break;
   60765    case 39:
   60766     $54 = $n | 0;
   60767     $call153 = _agget($54, 101440) | 0;
   60768     $not_tobool154 = ($call153 | 0) == 0;
   60769     if ($not_tobool154) {
   60770       $filled_3_ph = $filled_1;
   60771       label = 51;
   60772       break;
   60773     } else {
   60774       $name_1146_ph = $call153;
   60775       label = 40;
   60776       break;
   60777     }
   60778    case 40:
   60779     $cmp160152 = ($15 | 0) > 0;
   60780     if ($cmp160152) {
   60781       label = 41;
   60782       break;
   60783     } else {
   60784       label = 43;
   60785       break;
   60786     }
   60787    case 41:
   60788     $x166 = $coord | 0;
   60789     $55 = HEAP32[1762] | 0;
   60790     $y173 = $n + 40 | 0;
   60791     $i_0153 = 0;
   60792     label = 42;
   60793     break;
   60794    case 42:
   60795     $P_sroa_0_0__idx23 = $13 + ($i_0153 << 4) | 0;
   60796     $P_sroa_0_0_copyload24 = +HEAPF64[$P_sroa_0_0__idx23 >> 3];
   60797     $P_sroa_1_8__idx27 = $13 + ($i_0153 << 4) + 8 | 0;
   60798     $P_sroa_1_8_copyload28 = +HEAPF64[$P_sroa_1_8__idx27 >> 3];
   60799     $mul163 = $div * $P_sroa_0_0_copyload24;
   60800     $56 = +HEAPF64[$x166 >> 3];
   60801     $add167 = $mul163 + $56;
   60802     $x169 = $55 + ($i_0153 << 4) | 0;
   60803     HEAPF64[$x169 >> 3] = $add167;
   60804     $mul170 = $div55 * $P_sroa_1_8_copyload28;
   60805     $57 = +HEAPF64[$y173 >> 3];
   60806     $add174 = $mul170 + $57;
   60807     $y176 = $55 + ($i_0153 << 4) + 8 | 0;
   60808     HEAPF64[$y176 >> 3] = $add174;
   60809     $inc = $i_0153 + 1 | 0;
   60810     $cmp160 = ($inc | 0) < ($15 | 0);
   60811     if ($cmp160) {
   60812       $i_0153 = $inc;
   60813       label = 42;
   60814       break;
   60815     } else {
   60816       label = 43;
   60817       break;
   60818     }
   60819    case 43:
   60820     $conv177 = $filled_1 & 255;
   60821     $or_cond138 = $tobool123144 | $tobool126145;
   60822     if ($or_cond138) {
   60823       label = 50;
   60824       break;
   60825     } else {
   60826       label = 44;
   60827       break;
   60828     }
   60829    case 44:
   60830     $cmp183 = ($15 | 0) < 3;
   60831     if ($cmp183) {
   60832       label = 45;
   60833       break;
   60834     } else {
   60835       label = 47;
   60836       break;
   60837     }
   60838    case 45:
   60839     $58 = HEAP32[1762] | 0;
   60840     _gvrender_ellipse($job, $58, 0, $filled_1);
   60841     $and186 = $call56 & 4;
   60842     $tobool187 = ($and186 | 0) == 0;
   60843     if ($tobool187) {
   60844       label = 50;
   60845       break;
   60846     } else {
   60847       label = 46;
   60848       break;
   60849     }
   60850    case 46:
   60851     _Mcircle_hack($job, $n);
   60852     label = 50;
   60853     break;
   60854    case 47:
   60855     $and191 = $call56 & 6;
   60856     $tobool192 = ($and191 | 0) == 0;
   60857     $59 = HEAP32[1762] | 0;
   60858     if ($tobool192) {
   60859       label = 49;
   60860       break;
   60861     } else {
   60862       label = 48;
   60863       break;
   60864     }
   60865    case 48:
   60866     _node_round_corners($job, $n, $59, $15, $call56, $conv177);
   60867     label = 50;
   60868     break;
   60869    case 49:
   60870     _gvrender_polygon($job, $59, $15, $filled_1);
   60871     label = 50;
   60872     break;
   60873    case 50:
   60874     $60 = HEAP32[1762] | 0;
   60875     $61 = $n | 0;
   60876     $62 = HEAP32[41590] | 0;
   60877     $call199 = _late_string($61, $62, 100880) | 0;
   60878     _gvrender_usershape($job, $name_1146_ph, $60, $15, $filled_1, $call199);
   60879     $filled_3_ph = 0;
   60880     label = 51;
   60881     break;
   60882    case 51:
   60883     $cmp202149 = ($peripheries_0 | 0) > 0;
   60884     if ($cmp202149) {
   60885       label = 52;
   60886       break;
   60887     } else {
   60888       label = 63;
   60889       break;
   60890     }
   60891    case 52:
   60892     $cmp206147 = ($15 | 0) > 0;
   60893     $cmp231 = ($15 | 0) < 3;
   60894     $and234 = $call56 & 4;
   60895     $tobool235 = ($and234 | 0) == 0;
   60896     $and239 = $call56 & 998;
   60897     $tobool240 = ($and239 | 0) == 0;
   60898     $x216 = $coord | 0;
   60899     $y224 = $n + 40 | 0;
   60900     $filled_3150 = $filled_3_ph;
   60901     $j_0151 = 0;
   60902     label = 53;
   60903     break;
   60904    case 53:
   60905     if ($cmp206147) {
   60906       label = 54;
   60907       break;
   60908     } else {
   60909       label = 56;
   60910       break;
   60911     }
   60912    case 54:
   60913     $mul209 = Math_imul($j_0151, $15);
   60914     $63 = HEAP32[1762] | 0;
   60915     $i_1148 = 0;
   60916     label = 55;
   60917     break;
   60918    case 55:
   60919     $add210 = $i_1148 + $mul209 | 0;
   60920     $P_sroa_0_0__idx = $13 + ($add210 << 4) | 0;
   60921     $P_sroa_0_0_copyload = +HEAPF64[$P_sroa_0_0__idx >> 3];
   60922     $P_sroa_1_8__idx25 = $13 + ($add210 << 4) + 8 | 0;
   60923     $P_sroa_1_8_copyload = +HEAPF64[$P_sroa_1_8__idx25 >> 3];
   60924     $mul213 = $div * $P_sroa_0_0_copyload;
   60925     $64 = +HEAPF64[$x216 >> 3];
   60926     $add217 = $mul213 + $64;
   60927     $x219 = $63 + ($i_1148 << 4) | 0;
   60928     HEAPF64[$x219 >> 3] = $add217;
   60929     $mul221 = $div55 * $P_sroa_1_8_copyload;
   60930     $65 = +HEAPF64[$y224 >> 3];
   60931     $add225 = $mul221 + $65;
   60932     $y227 = $63 + ($i_1148 << 4) + 8 | 0;
   60933     HEAPF64[$y227 >> 3] = $add225;
   60934     $inc229 = $i_1148 + 1 | 0;
   60935     $cmp206 = ($inc229 | 0) < ($15 | 0);
   60936     if ($cmp206) {
   60937       $i_1148 = $inc229;
   60938       label = 55;
   60939       break;
   60940     } else {
   60941       label = 56;
   60942       break;
   60943     }
   60944    case 56:
   60945     $66 = HEAP32[1762] | 0;
   60946     if ($cmp231) {
   60947       label = 57;
   60948       break;
   60949     } else {
   60950       label = 59;
   60951       break;
   60952     }
   60953    case 57:
   60954     _gvrender_ellipse($job, $66, 0, $filled_3150);
   60955     if ($tobool235) {
   60956       label = 62;
   60957       break;
   60958     } else {
   60959       label = 58;
   60960       break;
   60961     }
   60962    case 58:
   60963     _Mcircle_hack($job, $n);
   60964     label = 62;
   60965     break;
   60966    case 59:
   60967     if ($tobool240) {
   60968       label = 61;
   60969       break;
   60970     } else {
   60971       label = 60;
   60972       break;
   60973     }
   60974    case 60:
   60975     $conv242 = $filled_3150 & 255;
   60976     _node_round_corners($job, $n, $66, $15, $call56, $conv242);
   60977     label = 62;
   60978     break;
   60979    case 61:
   60980     _gvrender_polygon($job, $66, $15, $filled_3150);
   60981     label = 62;
   60982     break;
   60983    case 62:
   60984     $inc247 = $j_0151 + 1 | 0;
   60985     $cmp202 = ($inc247 | 0) < ($peripheries_0 | 0);
   60986     if ($cmp202) {
   60987       $filled_3150 = 0;
   60988       $j_0151 = $inc247;
   60989       label = 53;
   60990       break;
   60991     } else {
   60992       label = 63;
   60993       break;
   60994     }
   60995    case 63:
   60996     $67 = HEAP32[$label >> 2] | 0;
   60997     _emit_label($job, 10, $67);
   60998     if ($10) {
   60999       label = 64;
   61000       break;
   61001     } else {
   61002       label = 67;
   61003       break;
   61004     }
   61005    case 64:
   61006     $flags253 = $job + 152 | 0;
   61007     $68 = HEAP32[$flags253 >> 2] | 0;
   61008     $and254 = $68 & 4;
   61009     $tobool255 = ($and254 | 0) == 0;
   61010     if ($tobool255) {
   61011       label = 66;
   61012       break;
   61013     } else {
   61014       label = 65;
   61015       break;
   61016     }
   61017    case 65:
   61018     $69 = HEAP32[$url >> 2] | 0;
   61019     $tooltip258 = $0 + 180 | 0;
   61020     $70 = HEAP32[$tooltip258 >> 2] | 0;
   61021     $target259 = $0 + 196 | 0;
   61022     $71 = HEAP32[$target259 >> 2] | 0;
   61023     $id260 = $0 + 164 | 0;
   61024     $72 = HEAP32[$id260 >> 2] | 0;
   61025     _gvrender_begin_anchor($job, $69, $70, $71, $72);
   61026     label = 66;
   61027     break;
   61028    case 66:
   61029     _gvrender_end_anchor($job);
   61030     label = 67;
   61031     break;
   61032    case 67:
   61033     return;
   61034   }
   61035 }
   61036 function _Mcircle_hack($job, $n) {
   61037   $job = $job | 0;
   61038   $n = $n | 0;
   61039   var $AF = 0, $p = 0, $y1 = 0, $x4 = 0, $arrayidx = 0, $y7 = 0, $y9 = 0, $sub20 = 0.0, __stackBase__ = 0;
   61040   __stackBase__ = STACKTOP;
   61041   STACKTOP = STACKTOP + 48 | 0;
   61042   $AF = __stackBase__ | 0;
   61043   $p = __stackBase__ + 32 | 0;
   61044   $y1 = $p + 8 | 0;
   61045   HEAPF64[$y1 >> 3] = +HEAPF64[$n + 96 >> 3] * .75 * .5;
   61046   $x4 = $p | 0;
   61047   HEAPF64[$x4 >> 3] = +HEAPF64[$n + 112 >> 3] * .6614;
   61048   $arrayidx = $AF | 0;
   61049   _add_pointf796($AF | 0, $p, $n + 32 | 0);
   61050   $y7 = $AF + 8 | 0;
   61051   $y9 = $AF + 24 | 0;
   61052   HEAPF64[$y9 >> 3] = +HEAPF64[$y7 >> 3];
   61053   HEAPF64[$AF + 16 >> 3] = +HEAPF64[$AF >> 3] - +HEAPF64[$x4 >> 3] * 2.0;
   61054   _gvrender_polyline($job, $arrayidx, 2);
   61055   $sub20 = +HEAPF64[$y7 >> 3] - +HEAPF64[$y1 >> 3] * 2.0;
   61056   HEAPF64[$y7 >> 3] = $sub20;
   61057   HEAPF64[$y9 >> 3] = $sub20;
   61058   _gvrender_polyline($job, $arrayidx, 2);
   61059   STACKTOP = __stackBase__;
   61060   return;
   61061 }
   61062 function _quant($val, $q) {
   61063   $val = +$val;
   61064   $q = +$q;
   61065   var $conv = 0;
   61066   $conv = ~~($val / $q);
   61067   return +(+((+($conv | 0) * $q + 1.0e-5 < $val & 1) + $conv | 0) * $q);
   61068 }
   61069 function _parse_reclbl($n, $LR, $flag, $text) {
   61070   $n = $n | 0;
   61071   $LR = $LR | 0;
   61072   $flag = $flag | 0;
   61073   $text = $text | 0;
   61074   var $call = 0, $0 = 0, $label = 0, $1 = 0, $2 = 0, $sp_0 = 0, $maxf_0 = 0, $cnt_0 = 0, $3 = 0, $incdec_ptr = 0, $4 = 0, $sp_1 = 0, $5 = 0, $inc = 0, $dec = 0, $cmp33 = 0, $inc36 = 0, $inc36_maxf_0 = 0, $maxf_1 = 0, $cnt_1 = 0, $cmp40 = 0, $sp_2 = 0, $maxf_2 = 0, $cnt_2 = 0, $incdec_ptr44 = 0, $maxf_3 = 0, $mul = 0, $call45 = 0, $6 = 0, $fld = 0, $7 = 0, $conv46 = 0, $8 = 0, $lnot = 0, $lnot_ext = 0, $html59 = 0, $add_ptr = 0, $html = 0, $html244 = 0, $html201 = 0, $tobool105 = 0, $add_ptr132 = 0, $9 = 0, $html148 = 0, $fontsize = 0, $fontname = 0, $fontcolor = 0, $fp_0_ph_ph = 0, $tsp_0_ph_ph = 0, $psp_0_ph_ph = 0, $hstsp_0_ph_ph = 0, $hspsp_0_ph_ph = 0, $mode_0_ph_ph = 0, $wflag_0_ph_ph = 0, $ishardspace_0_ph_ph = 0, $fi_0_ph_ph = 0, $fp_0_ph = 0, $tsp_0_ph = 0, $psp_0_ph = 0, $hstsp_0_ph = 0, $hspsp_0_ph = 0, $mode_0_ph = 0, $ishardspace_0_ph = 0, $fi_0_ph = 0, $tsp_0_ph101 = 0, $psp_0_ph102 = 0, $hstsp_0_ph103 = 0, $hspsp_0_ph104 = 0, $tmpport_0_ph = 0, $mode_0_ph105 = 0, $ishardspace_0_ph107 = 0, $fi_0_ph108 = 0, $psp_0_ph110_ph = 0, $hspsp_0_ph112_ph = 0, $tmpport_0_ph113_ph = 0, $mode_0_ph114_ph = 0, $fi_0_ph117_ph = 0, $psp_0_ph110 = 0, $tmpport_0_ph113 = 0, $mode_0_ph114 = 0, $fi_0_ph117 = 0, $mode_0 = 0, $fi_0 = 0, $10 = 0, $11 = 0, $conv49 = 0, $and = 0, $tobool50 = 0, $12 = 0, $tobool54 = 0, $or = 0, $incdec_ptr57 = 0, $13 = 0, $tobool60 = 0, $and63 = 0, $tobool64 = 0, $cmp68 = 0, $add_ptr71 = 0, $cmp72 = 0, $14 = 0, $cmp77 = 0, $add_ptr71_psp_0 = 0, $psp_1 = 0, $call82 = 0, $and83 = 0, $15 = 0, $incdec_ptr84 = 0, $incdec_ptr86 = 0, $cmp87 = 0, $16 = 0, $tobool90 = 0, $call95 = 0, $inc96 = 0, $17 = 0, $arrayidx = 0, $tobool98 = 0, $18 = 0, $19 = 0, $tobool103 = 0, $or_cond = 0, $and107 = 0, $tobool108 = 0, $and112 = 0, $tobool113 = 0, $call115 = 0, $20 = 0, $inc116 = 0, $21 = 0, $arrayidx118 = 0, $fp_1 = 0, $fi_1 = 0, $tobool120 = 0, $id = 0, $and123 = 0, $tobool124 = 0, $or126 = 0, $incdec_ptr127 = 0, $tsp_1 = 0, $mode_1 = 0, $and129 = 0, $tobool130 = 0, $cmp133 = 0, $add_ptr136 = 0, $cmp137 = 0, $22 = 0, $cmp142 = 0, $add_ptr136_tsp_1 = 0, $tsp_2 = 0, $call147 = 0, $23 = 0, $tobool150 = 0, $cond = 0, $24 = 0.0, $25 = 0, $26 = 0, $call151 = 0, $lp = 0, $LR152 = 0, $tsp_3 = 0, $hstsp_1 = 0, $27 = 0, $28 = 0, $incdec_ptr160 = 0, $n_flds = 0, $29 = 0, $incdec_ptr162 = 0, $add_ptr166 = 0, $30 = 0, $31 = 0, $incdec_ptr194 = 0, $32 = 0, $tobool202 = 0, $incdec_ptr206 = 0, $or207 = 0, $33 = 0, $incdec_ptr208 = 0, $tsp_4 = 0, $mode_2 = 0, $ishardspace_1 = 0, $and212 = 0, $tobool213 = 0, $34 = 0, $35 = 0, $cmp216 = 0, $and221 = 0, $tobool222 = 0, $36 = 0, $37 = 0, $cmp225 = 0, $or228 = 0, $mode_2_or228 = 0, $mode_3 = 0, $and230 = 0, $tobool231 = 0, $38 = 0, $39 = 0, $cmp234 = 0, $tobool237 = 0, $or_cond96 = 0, $add_ptr239 = 0, $40 = 0, $cmp241 = 0, $41 = 0, $tobool245 = 0, $42 = 0, $43 = 0, $incdec_ptr247 = 0, $tsp_5 = 0, $add_ptr251 = 0, $and254 = 0, $tobool255 = 0, $44 = 0, $45 = 0, $cmp258 = 0, $tobool261 = 0, $or_cond97 = 0, $cmp263 = 0, $add_ptr266 = 0, $46 = 0, $cmp268 = 0, $47 = 0, $48 = 0, $incdec_ptr271 = 0, $psp_2 = 0, $add_ptr275 = 0, $tsp_6 = 0, $psp_3 = 0, $hstsp_2 = 0, $hspsp_1 = 0, $49 = 0, $incdec_ptr279 = 0, $50 = 0, $tobool28398 = 0, $51 = 0, $tsp_799 = 0, $incdec_ptr285 = 0, $52 = 0, $incdec_ptr286 = 0, $53 = 0, $54 = 0, $tobool283 = 0, $n_flds288 = 0, $55 = 0, $retval_0 = 0, label = 0;
   61075   label = 2;
   61076   while (1) switch (label | 0) {
   61077    case 2:
   61078     $call = _zmalloc(72) | 0;
   61079     $0 = $call;
   61080     $label = $n + 120 | 0;
   61081     $1 = HEAP32[$label >> 2] | 0;
   61082     $2 = HEAP32[1158] | 0;
   61083     $cnt_0 = 0;
   61084     $maxf_0 = 1;
   61085     $sp_0 = $2;
   61086     label = 3;
   61087     break;
   61088    case 3:
   61089     $3 = HEAP8[$sp_0] | 0;
   61090     if (($3 << 24 >> 24 | 0) == 92) {
   61091       label = 4;
   61092       break;
   61093     } else if (($3 << 24 >> 24 | 0) == 0) {
   61094       $maxf_3 = $maxf_0;
   61095       label = 11;
   61096       break;
   61097     } else {
   61098       $sp_1 = $sp_0;
   61099       label = 5;
   61100       break;
   61101     }
   61102    case 4:
   61103     $incdec_ptr = $sp_0 + 1 | 0;
   61104     $4 = HEAP8[$incdec_ptr] | 0;
   61105     if (($4 << 24 >> 24 | 0) == 92 | ($4 << 24 >> 24 | 0) == 123 | ($4 << 24 >> 24 | 0) == 125 | ($4 << 24 >> 24 | 0) == 124) {
   61106       $cnt_2 = $cnt_0;
   61107       $maxf_2 = $maxf_0;
   61108       $sp_2 = $incdec_ptr;
   61109       label = 10;
   61110       break;
   61111     } else {
   61112       $sp_1 = $incdec_ptr;
   61113       label = 5;
   61114       break;
   61115     }
   61116    case 5:
   61117     $5 = HEAP8[$sp_1] | 0;
   61118     if (($5 << 24 >> 24 | 0) == 123) {
   61119       label = 6;
   61120       break;
   61121     } else if (($5 << 24 >> 24 | 0) == 125) {
   61122       label = 7;
   61123       break;
   61124     } else if (($5 << 24 >> 24 | 0) == 124) {
   61125       label = 8;
   61126       break;
   61127     } else {
   61128       $cnt_1 = $cnt_0;
   61129       $maxf_1 = $maxf_0;
   61130       label = 9;
   61131       break;
   61132     }
   61133    case 6:
   61134     $inc = $cnt_0 + 1 | 0;
   61135     $cnt_1 = $inc;
   61136     $maxf_1 = $maxf_0;
   61137     label = 9;
   61138     break;
   61139    case 7:
   61140     $dec = $cnt_0 - 1 | 0;
   61141     $cnt_1 = $dec;
   61142     $maxf_1 = $maxf_0;
   61143     label = 9;
   61144     break;
   61145    case 8:
   61146     $cmp33 = ($cnt_0 | 0) == 0;
   61147     $inc36 = $cmp33 & 1;
   61148     $inc36_maxf_0 = $inc36 + $maxf_0 | 0;
   61149     $cnt_1 = $cnt_0;
   61150     $maxf_1 = $inc36_maxf_0;
   61151     label = 9;
   61152     break;
   61153    case 9:
   61154     $cmp40 = ($cnt_1 | 0) < 0;
   61155     if ($cmp40) {
   61156       $maxf_3 = $maxf_1;
   61157       label = 11;
   61158       break;
   61159     } else {
   61160       $cnt_2 = $cnt_1;
   61161       $maxf_2 = $maxf_1;
   61162       $sp_2 = $sp_1;
   61163       label = 10;
   61164       break;
   61165     }
   61166    case 10:
   61167     $incdec_ptr44 = $sp_2 + 1 | 0;
   61168     $cnt_0 = $cnt_2;
   61169     $maxf_0 = $maxf_2;
   61170     $sp_0 = $incdec_ptr44;
   61171     label = 3;
   61172     break;
   61173    case 11:
   61174     $mul = $maxf_3 << 2;
   61175     $call45 = _zmalloc($mul) | 0;
   61176     $6 = $call45;
   61177     $fld = $call + 56 | 0;
   61178     $7 = $fld;
   61179     HEAP32[$7 >> 2] = $6;
   61180     $conv46 = $LR & 255;
   61181     $8 = $call + 64 | 0;
   61182     HEAP8[$8] = $conv46;
   61183     $lnot = ($LR | 0) == 0;
   61184     $lnot_ext = $lnot & 1;
   61185     $html59 = $1 + 82 | 0;
   61186     $add_ptr = $text + 1 | 0;
   61187     $html = $1 + 82 | 0;
   61188     $html244 = $1 + 82 | 0;
   61189     $html201 = $1 + 82 | 0;
   61190     $tobool105 = ($flag | 0) == 0;
   61191     $add_ptr132 = $text + 1 | 0;
   61192     $9 = $n | 0;
   61193     $html148 = $1 + 82 | 0;
   61194     $fontsize = $1 + 16 | 0;
   61195     $fontname = $1 + 4 | 0;
   61196     $fontcolor = $1 + 8 | 0;
   61197     $fi_0_ph_ph = 0;
   61198     $ishardspace_0_ph_ph = 0;
   61199     $wflag_0_ph_ph = 0;
   61200     $mode_0_ph_ph = 0;
   61201     $hspsp_0_ph_ph = 0;
   61202     $hstsp_0_ph_ph = $text;
   61203     $psp_0_ph_ph = 0;
   61204     $tsp_0_ph_ph = $text;
   61205     $fp_0_ph_ph = 0;
   61206     label = 12;
   61207     break;
   61208    case 12:
   61209     $fi_0_ph = $fi_0_ph_ph;
   61210     $ishardspace_0_ph = $ishardspace_0_ph_ph;
   61211     $mode_0_ph = $mode_0_ph_ph;
   61212     $hspsp_0_ph = $hspsp_0_ph_ph;
   61213     $hstsp_0_ph = $hstsp_0_ph_ph;
   61214     $psp_0_ph = $psp_0_ph_ph;
   61215     $tsp_0_ph = $tsp_0_ph_ph;
   61216     $fp_0_ph = $fp_0_ph_ph;
   61217     label = 13;
   61218     break;
   61219    case 13:
   61220     $fi_0_ph108 = $fi_0_ph;
   61221     $ishardspace_0_ph107 = $ishardspace_0_ph;
   61222     $mode_0_ph105 = $mode_0_ph;
   61223     $tmpport_0_ph = 0;
   61224     $hspsp_0_ph104 = $hspsp_0_ph;
   61225     $hstsp_0_ph103 = $hstsp_0_ph;
   61226     $psp_0_ph102 = $psp_0_ph;
   61227     $tsp_0_ph101 = $tsp_0_ph;
   61228     label = 14;
   61229     break;
   61230    case 14:
   61231     $fi_0_ph117_ph = $fi_0_ph108;
   61232     $mode_0_ph114_ph = $mode_0_ph105;
   61233     $tmpport_0_ph113_ph = $tmpport_0_ph;
   61234     $hspsp_0_ph112_ph = $hspsp_0_ph104;
   61235     $psp_0_ph110_ph = $psp_0_ph102;
   61236     label = 15;
   61237     break;
   61238    case 15:
   61239     $fi_0_ph117 = $fi_0_ph117_ph;
   61240     $mode_0_ph114 = $mode_0_ph114_ph;
   61241     $tmpport_0_ph113 = $tmpport_0_ph113_ph;
   61242     $psp_0_ph110 = $psp_0_ph110_ph;
   61243     label = 16;
   61244     break;
   61245    case 16:
   61246     $fi_0 = $fi_0_ph117;
   61247     $mode_0 = $mode_0_ph114;
   61248     label = 17;
   61249     break;
   61250    case 17:
   61251     if ($wflag_0_ph_ph) {
   61252       label = 78;
   61253       break;
   61254     } else {
   61255       label = 18;
   61256       break;
   61257     }
   61258    case 18:
   61259     $10 = HEAP32[1158] | 0;
   61260     $11 = HEAP8[$10] | 0;
   61261     $conv49 = $11 << 24 >> 24;
   61262     if (($conv49 | 0) == 60) {
   61263       label = 19;
   61264       break;
   61265     } else if (($conv49 | 0) == 62) {
   61266       label = 23;
   61267       break;
   61268     } else if (($conv49 | 0) == 123) {
   61269       label = 30;
   61270       break;
   61271     } else if (($conv49 | 0) == 125 | ($conv49 | 0) == 124 | ($conv49 | 0) == 0) {
   61272       label = 35;
   61273       break;
   61274     } else if (($conv49 | 0) == 92) {
   61275       label = 52;
   61276       break;
   61277     } else {
   61278       $ishardspace_1 = $ishardspace_0_ph107;
   61279       $mode_2 = $mode_0;
   61280       $tsp_4 = $tsp_0_ph101;
   61281       label = 57;
   61282       break;
   61283     }
   61284    case 19:
   61285     $and = $mode_0 & 6;
   61286     $tobool50 = ($and | 0) == 0;
   61287     if ($tobool50) {
   61288       label = 21;
   61289       break;
   61290     } else {
   61291       label = 20;
   61292       break;
   61293     }
   61294    case 20:
   61295     _parse_error($0, $tmpport_0_ph113);
   61296     $retval_0 = 0;
   61297     label = 79;
   61298     break;
   61299    case 21:
   61300     $12 = HEAP8[$html] | 0;
   61301     $tobool54 = $12 << 24 >> 24 == 0;
   61302     if ($tobool54) {
   61303       label = 22;
   61304       break;
   61305     } else {
   61306       $ishardspace_1 = $ishardspace_0_ph107;
   61307       $mode_2 = $mode_0;
   61308       $tsp_4 = $tsp_0_ph101;
   61309       label = 57;
   61310       break;
   61311     }
   61312    case 22:
   61313     $or = $mode_0 | 18;
   61314     $incdec_ptr57 = $10 + 1 | 0;
   61315     HEAP32[1158] = $incdec_ptr57;
   61316     $fi_0_ph117_ph = $fi_0;
   61317     $mode_0_ph114_ph = $or;
   61318     $tmpport_0_ph113_ph = $tmpport_0_ph113;
   61319     $hspsp_0_ph112_ph = $text;
   61320     $psp_0_ph110_ph = $text;
   61321     label = 15;
   61322     break;
   61323    case 23:
   61324     $13 = HEAP8[$html59] | 0;
   61325     $tobool60 = $13 << 24 >> 24 == 0;
   61326     if ($tobool60) {
   61327       label = 24;
   61328       break;
   61329     } else {
   61330       $ishardspace_1 = $ishardspace_0_ph107;
   61331       $mode_2 = $mode_0;
   61332       $tsp_4 = $tsp_0_ph101;
   61333       label = 57;
   61334       break;
   61335     }
   61336    case 24:
   61337     $and63 = $mode_0 & 16;
   61338     $tobool64 = ($and63 | 0) == 0;
   61339     if ($tobool64) {
   61340       label = 25;
   61341       break;
   61342     } else {
   61343       label = 26;
   61344       break;
   61345     }
   61346    case 25:
   61347     _parse_error($0, $tmpport_0_ph113);
   61348     $retval_0 = 0;
   61349     label = 79;
   61350     break;
   61351    case 26:
   61352     $cmp68 = $psp_0_ph110 >>> 0 > $add_ptr >>> 0;
   61353     if ($cmp68) {
   61354       label = 27;
   61355       break;
   61356     } else {
   61357       $psp_1 = $psp_0_ph110;
   61358       label = 29;
   61359       break;
   61360     }
   61361    case 27:
   61362     $add_ptr71 = $psp_0_ph110 - 1 | 0;
   61363     $cmp72 = ($add_ptr71 | 0) == ($hspsp_0_ph112_ph | 0);
   61364     if ($cmp72) {
   61365       $psp_1 = $psp_0_ph110;
   61366       label = 29;
   61367       break;
   61368     } else {
   61369       label = 28;
   61370       break;
   61371     }
   61372    case 28:
   61373     $14 = HEAP8[$add_ptr71] | 0;
   61374     $cmp77 = $14 << 24 >> 24 == 32;
   61375     $add_ptr71_psp_0 = $cmp77 ? $add_ptr71 : $psp_0_ph110;
   61376     $psp_1 = $add_ptr71_psp_0;
   61377     label = 29;
   61378     break;
   61379    case 29:
   61380     HEAP8[$psp_1] = 0;
   61381     $call82 = _strdup($text | 0) | 0;
   61382     $and83 = $mode_0 & -17;
   61383     $15 = HEAP32[1158] | 0;
   61384     $incdec_ptr84 = $15 + 1 | 0;
   61385     HEAP32[1158] = $incdec_ptr84;
   61386     $fi_0_ph117 = $fi_0;
   61387     $mode_0_ph114 = $and83;
   61388     $tmpport_0_ph113 = $call82;
   61389     $psp_0_ph110 = $psp_1;
   61390     label = 16;
   61391     break;
   61392    case 30:
   61393     $incdec_ptr86 = $10 + 1 | 0;
   61394     HEAP32[1158] = $incdec_ptr86;
   61395     $cmp87 = ($mode_0 | 0) == 0;
   61396     if ($cmp87) {
   61397       label = 31;
   61398       break;
   61399     } else {
   61400       label = 32;
   61401       break;
   61402     }
   61403    case 31:
   61404     $16 = HEAP8[$incdec_ptr86] | 0;
   61405     $tobool90 = $16 << 24 >> 24 == 0;
   61406     if ($tobool90) {
   61407       label = 32;
   61408       break;
   61409     } else {
   61410       label = 33;
   61411       break;
   61412     }
   61413    case 32:
   61414     _parse_error($0, $tmpport_0_ph113);
   61415     $retval_0 = 0;
   61416     label = 79;
   61417     break;
   61418    case 33:
   61419     $call95 = _parse_reclbl($n, $lnot_ext, 0, $text) | 0;
   61420     $inc96 = $fi_0 + 1 | 0;
   61421     $17 = HEAP32[$7 >> 2] | 0;
   61422     $arrayidx = $17 + ($fi_0 << 2) | 0;
   61423     HEAP32[$arrayidx >> 2] = $call95;
   61424     $tobool98 = ($call95 | 0) == 0;
   61425     if ($tobool98) {
   61426       label = 34;
   61427       break;
   61428     } else {
   61429       $fi_0 = $inc96;
   61430       $mode_0 = 4;
   61431       label = 17;
   61432       break;
   61433     }
   61434    case 34:
   61435     _parse_error($0, $tmpport_0_ph113);
   61436     $retval_0 = 0;
   61437     label = 79;
   61438     break;
   61439    case 35:
   61440     $18 = HEAP32[1158] | 0;
   61441     $19 = HEAP8[$18] | 0;
   61442     $tobool103 = $19 << 24 >> 24 == 0;
   61443     $or_cond = $tobool103 & $tobool105;
   61444     if ($or_cond) {
   61445       label = 37;
   61446       break;
   61447     } else {
   61448       label = 36;
   61449       break;
   61450     }
   61451    case 36:
   61452     $and107 = $mode_0 & 16;
   61453     $tobool108 = ($and107 | 0) == 0;
   61454     if ($tobool108) {
   61455       label = 38;
   61456       break;
   61457     } else {
   61458       label = 37;
   61459       break;
   61460     }
   61461    case 37:
   61462     _parse_error($0, $tmpport_0_ph113);
   61463     $retval_0 = 0;
   61464     label = 79;
   61465     break;
   61466    case 38:
   61467     $and112 = $mode_0 & 4;
   61468     $tobool113 = ($and112 | 0) == 0;
   61469     if ($tobool113) {
   61470       label = 39;
   61471       break;
   61472     } else {
   61473       $fi_1 = $fi_0;
   61474       $fp_1 = $fp_0_ph;
   61475       label = 40;
   61476       break;
   61477     }
   61478    case 39:
   61479     $call115 = _zmalloc(72) | 0;
   61480     $20 = $call115;
   61481     $inc116 = $fi_0 + 1 | 0;
   61482     $21 = HEAP32[$7 >> 2] | 0;
   61483     $arrayidx118 = $21 + ($fi_0 << 2) | 0;
   61484     HEAP32[$arrayidx118 >> 2] = $20;
   61485     $fi_1 = $inc116;
   61486     $fp_1 = $20;
   61487     label = 40;
   61488     break;
   61489    case 40:
   61490     $tobool120 = ($tmpport_0_ph113 | 0) == 0;
   61491     if ($tobool120) {
   61492       label = 42;
   61493       break;
   61494     } else {
   61495       label = 41;
   61496       break;
   61497     }
   61498    case 41:
   61499     $id = $fp_1 + 60 | 0;
   61500     HEAP32[$id >> 2] = $tmpport_0_ph113;
   61501     label = 42;
   61502     break;
   61503    case 42:
   61504     $and123 = $mode_0 & 5;
   61505     $tobool124 = ($and123 | 0) == 0;
   61506     if ($tobool124) {
   61507       label = 43;
   61508       break;
   61509     } else {
   61510       $mode_1 = $mode_0;
   61511       $tsp_1 = $tsp_0_ph101;
   61512       label = 44;
   61513       break;
   61514     }
   61515    case 43:
   61516     $or126 = $mode_0 | 1;
   61517     $incdec_ptr127 = $tsp_0_ph101 + 1 | 0;
   61518     HEAP8[$tsp_0_ph101] = 32;
   61519     $mode_1 = $or126;
   61520     $tsp_1 = $incdec_ptr127;
   61521     label = 44;
   61522     break;
   61523    case 44:
   61524     $and129 = $mode_1 & 1;
   61525     $tobool130 = ($and129 | 0) == 0;
   61526     if ($tobool130) {
   61527       $hstsp_1 = $hstsp_0_ph103;
   61528       $tsp_3 = $tsp_1;
   61529       label = 49;
   61530       break;
   61531     } else {
   61532       label = 45;
   61533       break;
   61534     }
   61535    case 45:
   61536     $cmp133 = $tsp_1 >>> 0 > $add_ptr132 >>> 0;
   61537     if ($cmp133) {
   61538       label = 46;
   61539       break;
   61540     } else {
   61541       $tsp_2 = $tsp_1;
   61542       label = 48;
   61543       break;
   61544     }
   61545    case 46:
   61546     $add_ptr136 = $tsp_1 - 1 | 0;
   61547     $cmp137 = ($add_ptr136 | 0) == ($hstsp_0_ph103 | 0);
   61548     if ($cmp137) {
   61549       $tsp_2 = $tsp_1;
   61550       label = 48;
   61551       break;
   61552     } else {
   61553       label = 47;
   61554       break;
   61555     }
   61556    case 47:
   61557     $22 = HEAP8[$add_ptr136] | 0;
   61558     $cmp142 = $22 << 24 >> 24 == 32;
   61559     $add_ptr136_tsp_1 = $cmp142 ? $add_ptr136 : $tsp_1;
   61560     $tsp_2 = $add_ptr136_tsp_1;
   61561     label = 48;
   61562     break;
   61563    case 48:
   61564     HEAP8[$tsp_2] = 0;
   61565     $call147 = _strdup($text | 0) | 0;
   61566     $23 = HEAP8[$html148] | 0;
   61567     $tobool150 = $23 << 24 >> 24 != 0;
   61568     $cond = $tobool150 ? 2 : 0;
   61569     $24 = +HEAPF64[$fontsize >> 3];
   61570     $25 = HEAP32[$fontname >> 2] | 0;
   61571     $26 = HEAP32[$fontcolor >> 2] | 0;
   61572     $call151 = _make_label($9, $call147, $cond, $24, $25, $26) | 0;
   61573     $lp = $fp_1 + 52 | 0;
   61574     HEAP32[$lp >> 2] = $call151;
   61575     $LR152 = $fp_1 + 64 | 0;
   61576     HEAP8[$LR152] = 1;
   61577     $hstsp_1 = $text;
   61578     $tsp_3 = $text;
   61579     label = 49;
   61580     break;
   61581    case 49:
   61582     $27 = HEAP32[1158] | 0;
   61583     $28 = HEAP8[$27] | 0;
   61584     if (($28 << 24 >> 24 | 0) == 0) {
   61585       $fi_0_ph_ph = $fi_1;
   61586       $ishardspace_0_ph_ph = $ishardspace_0_ph107;
   61587       $wflag_0_ph_ph = 1;
   61588       $mode_0_ph_ph = $mode_1;
   61589       $hspsp_0_ph_ph = $hspsp_0_ph112_ph;
   61590       $hstsp_0_ph_ph = $hstsp_1;
   61591       $psp_0_ph_ph = $psp_0_ph110;
   61592       $tsp_0_ph_ph = $tsp_3;
   61593       $fp_0_ph_ph = $fp_1;
   61594       label = 12;
   61595       break;
   61596     } else if (($28 << 24 >> 24 | 0) == 125) {
   61597       label = 50;
   61598       break;
   61599     } else {
   61600       label = 51;
   61601       break;
   61602     }
   61603    case 50:
   61604     $incdec_ptr160 = $27 + 1 | 0;
   61605     HEAP32[1158] = $incdec_ptr160;
   61606     $n_flds = $call + 48 | 0;
   61607     $29 = $n_flds;
   61608     HEAP32[$29 >> 2] = $fi_1;
   61609     $retval_0 = $0;
   61610     label = 79;
   61611     break;
   61612    case 51:
   61613     $incdec_ptr162 = $27 + 1 | 0;
   61614     HEAP32[1158] = $incdec_ptr162;
   61615     $fi_0_ph = $fi_1;
   61616     $ishardspace_0_ph = $ishardspace_0_ph107;
   61617     $mode_0_ph = 0;
   61618     $hspsp_0_ph = $hspsp_0_ph112_ph;
   61619     $hstsp_0_ph = $hstsp_1;
   61620     $psp_0_ph = $psp_0_ph110;
   61621     $tsp_0_ph = $tsp_3;
   61622     $fp_0_ph = $fp_1;
   61623     label = 13;
   61624     break;
   61625    case 52:
   61626     $add_ptr166 = $10 + 1 | 0;
   61627     $30 = HEAP8[$add_ptr166] | 0;
   61628     if (($30 << 24 >> 24 | 0) == 123 | ($30 << 24 >> 24 | 0) == 125 | ($30 << 24 >> 24 | 0) == 124 | ($30 << 24 >> 24 | 0) == 60 | ($30 << 24 >> 24 | 0) == 62) {
   61629       label = 53;
   61630       break;
   61631     } else if (($30 << 24 >> 24 | 0) == 32) {
   61632       label = 54;
   61633       break;
   61634     } else if (($30 << 24 >> 24 | 0) == 0) {
   61635       $ishardspace_1 = $ishardspace_0_ph107;
   61636       $mode_2 = $mode_0;
   61637       $tsp_4 = $tsp_0_ph101;
   61638       label = 57;
   61639       break;
   61640     } else {
   61641       label = 56;
   61642       break;
   61643     }
   61644    case 53:
   61645     $31 = HEAP32[1158] | 0;
   61646     $incdec_ptr194 = $31 + 1 | 0;
   61647     HEAP32[1158] = $incdec_ptr194;
   61648     $ishardspace_1 = $ishardspace_0_ph107;
   61649     $mode_2 = $mode_0;
   61650     $tsp_4 = $tsp_0_ph101;
   61651     label = 57;
   61652     break;
   61653    case 54:
   61654     $32 = HEAP8[$html201] | 0;
   61655     $tobool202 = $32 << 24 >> 24 == 0;
   61656     if ($tobool202) {
   61657       label = 55;
   61658       break;
   61659     } else {
   61660       label = 56;
   61661       break;
   61662     }
   61663    case 55:
   61664     HEAP32[1158] = $add_ptr166;
   61665     $ishardspace_1 = 1;
   61666     $mode_2 = $mode_0;
   61667     $tsp_4 = $tsp_0_ph101;
   61668     label = 57;
   61669     break;
   61670    case 56:
   61671     $incdec_ptr206 = $tsp_0_ph101 + 1 | 0;
   61672     HEAP8[$tsp_0_ph101] = 92;
   61673     $or207 = $mode_0 | 9;
   61674     $33 = HEAP32[1158] | 0;
   61675     $incdec_ptr208 = $33 + 1 | 0;
   61676     HEAP32[1158] = $incdec_ptr208;
   61677     $ishardspace_1 = $ishardspace_0_ph107;
   61678     $mode_2 = $or207;
   61679     $tsp_4 = $incdec_ptr206;
   61680     label = 57;
   61681     break;
   61682    case 57:
   61683     $and212 = $mode_2 & 4;
   61684     $tobool213 = ($and212 | 0) == 0;
   61685     if ($tobool213) {
   61686       label = 60;
   61687       break;
   61688     } else {
   61689       label = 58;
   61690       break;
   61691     }
   61692    case 58:
   61693     $34 = HEAP32[1158] | 0;
   61694     $35 = HEAP8[$34] | 0;
   61695     $cmp216 = $35 << 24 >> 24 == 32;
   61696     if ($cmp216) {
   61697       label = 60;
   61698       break;
   61699     } else {
   61700       label = 59;
   61701       break;
   61702     }
   61703    case 59:
   61704     _parse_error($0, $tmpport_0_ph113);
   61705     $retval_0 = 0;
   61706     label = 79;
   61707     break;
   61708    case 60:
   61709     $and221 = $mode_2 & 24;
   61710     $tobool222 = ($and221 | 0) == 0;
   61711     if ($tobool222) {
   61712       label = 61;
   61713       break;
   61714     } else {
   61715       $mode_3 = $mode_2;
   61716       label = 62;
   61717       break;
   61718     }
   61719    case 61:
   61720     $36 = HEAP32[1158] | 0;
   61721     $37 = HEAP8[$36] | 0;
   61722     $cmp225 = $37 << 24 >> 24 == 32;
   61723     $or228 = $mode_2 | 9;
   61724     $mode_2_or228 = $cmp225 ? $mode_2 : $or228;
   61725     $mode_3 = $mode_2_or228;
   61726     label = 62;
   61727     break;
   61728    case 62:
   61729     $and230 = $mode_3 & 8;
   61730     $tobool231 = ($and230 | 0) == 0;
   61731     if ($tobool231) {
   61732       label = 69;
   61733       break;
   61734     } else {
   61735       label = 63;
   61736       break;
   61737     }
   61738    case 63:
   61739     $38 = HEAP32[1158] | 0;
   61740     $39 = HEAP8[$38] | 0;
   61741     $cmp234 = $39 << 24 >> 24 == 32;
   61742     $tobool237 = ($ishardspace_1 | 0) == 0;
   61743     $or_cond96 = $cmp234 & $tobool237;
   61744     if ($or_cond96) {
   61745       label = 64;
   61746       break;
   61747     } else {
   61748       label = 66;
   61749       break;
   61750     }
   61751    case 64:
   61752     $add_ptr239 = $tsp_4 - 1 | 0;
   61753     $40 = HEAP8[$add_ptr239] | 0;
   61754     $cmp241 = $40 << 24 >> 24 == 32;
   61755     if ($cmp241) {
   61756       label = 65;
   61757       break;
   61758     } else {
   61759       label = 66;
   61760       break;
   61761     }
   61762    case 65:
   61763     $41 = HEAP8[$html244] | 0;
   61764     $tobool245 = $41 << 24 >> 24 == 0;
   61765     if ($tobool245) {
   61766       $tsp_5 = $tsp_4;
   61767       label = 67;
   61768       break;
   61769     } else {
   61770       label = 66;
   61771       break;
   61772     }
   61773    case 66:
   61774     $42 = HEAP32[1158] | 0;
   61775     $43 = HEAP8[$42] | 0;
   61776     $incdec_ptr247 = $tsp_4 + 1 | 0;
   61777     HEAP8[$tsp_4] = $43;
   61778     $tsp_5 = $incdec_ptr247;
   61779     label = 67;
   61780     break;
   61781    case 67:
   61782     if ($tobool237) {
   61783       $hspsp_1 = $hspsp_0_ph112_ph;
   61784       $hstsp_2 = $hstsp_0_ph103;
   61785       $psp_3 = $psp_0_ph110;
   61786       $tsp_6 = $tsp_5;
   61787       label = 76;
   61788       break;
   61789     } else {
   61790       label = 68;
   61791       break;
   61792     }
   61793    case 68:
   61794     $add_ptr251 = $tsp_5 - 1 | 0;
   61795     $hspsp_1 = $hspsp_0_ph112_ph;
   61796     $hstsp_2 = $add_ptr251;
   61797     $psp_3 = $psp_0_ph110;
   61798     $tsp_6 = $tsp_5;
   61799     label = 76;
   61800     break;
   61801    case 69:
   61802     $and254 = $mode_3 & 16;
   61803     $tobool255 = ($and254 | 0) == 0;
   61804     if ($tobool255) {
   61805       $hspsp_1 = $hspsp_0_ph112_ph;
   61806       $hstsp_2 = $hstsp_0_ph103;
   61807       $psp_3 = $psp_0_ph110;
   61808       $tsp_6 = $tsp_4;
   61809       label = 76;
   61810       break;
   61811     } else {
   61812       label = 70;
   61813       break;
   61814     }
   61815    case 70:
   61816     $44 = HEAP32[1158] | 0;
   61817     $45 = HEAP8[$44] | 0;
   61818     $cmp258 = $45 << 24 >> 24 == 32;
   61819     $tobool261 = ($ishardspace_1 | 0) == 0;
   61820     $or_cond97 = $cmp258 & $tobool261;
   61821     if ($or_cond97) {
   61822       label = 71;
   61823       break;
   61824     } else {
   61825       label = 73;
   61826       break;
   61827     }
   61828    case 71:
   61829     $cmp263 = ($psp_0_ph110 | 0) == ($text | 0);
   61830     if ($cmp263) {
   61831       $psp_2 = $psp_0_ph110;
   61832       label = 74;
   61833       break;
   61834     } else {
   61835       label = 72;
   61836       break;
   61837     }
   61838    case 72:
   61839     $add_ptr266 = $psp_0_ph110 - 1 | 0;
   61840     $46 = HEAP8[$add_ptr266] | 0;
   61841     $cmp268 = $46 << 24 >> 24 == 32;
   61842     if ($cmp268) {
   61843       $psp_2 = $psp_0_ph110;
   61844       label = 74;
   61845       break;
   61846     } else {
   61847       label = 73;
   61848       break;
   61849     }
   61850    case 73:
   61851     $47 = HEAP32[1158] | 0;
   61852     $48 = HEAP8[$47] | 0;
   61853     $incdec_ptr271 = $psp_0_ph110 + 1 | 0;
   61854     HEAP8[$psp_0_ph110] = $48;
   61855     $psp_2 = $incdec_ptr271;
   61856     label = 74;
   61857     break;
   61858    case 74:
   61859     if ($tobool261) {
   61860       $hspsp_1 = $hspsp_0_ph112_ph;
   61861       $hstsp_2 = $hstsp_0_ph103;
   61862       $psp_3 = $psp_2;
   61863       $tsp_6 = $tsp_4;
   61864       label = 76;
   61865       break;
   61866     } else {
   61867       label = 75;
   61868       break;
   61869     }
   61870    case 75:
   61871     $add_ptr275 = $psp_2 - 1 | 0;
   61872     $hspsp_1 = $add_ptr275;
   61873     $hstsp_2 = $hstsp_0_ph103;
   61874     $psp_3 = $psp_2;
   61875     $tsp_6 = $tsp_4;
   61876     label = 76;
   61877     break;
   61878    case 76:
   61879     $49 = HEAP32[1158] | 0;
   61880     $incdec_ptr279 = $49 + 1 | 0;
   61881     HEAP32[1158] = $incdec_ptr279;
   61882     $50 = HEAP8[$incdec_ptr279] | 0;
   61883     $tobool28398 = $50 << 24 >> 24 < 0;
   61884     if ($tobool28398) {
   61885       $tsp_799 = $tsp_6;
   61886       $51 = $incdec_ptr279;
   61887       label = 77;
   61888       break;
   61889     } else {
   61890       $fi_0_ph108 = $fi_0;
   61891       $ishardspace_0_ph107 = $ishardspace_1;
   61892       $mode_0_ph105 = $mode_3;
   61893       $tmpport_0_ph = $tmpport_0_ph113;
   61894       $hspsp_0_ph104 = $hspsp_1;
   61895       $hstsp_0_ph103 = $hstsp_2;
   61896       $psp_0_ph102 = $psp_3;
   61897       $tsp_0_ph101 = $tsp_6;
   61898       label = 14;
   61899       break;
   61900     }
   61901    case 77:
   61902     $incdec_ptr285 = $51 + 1 | 0;
   61903     HEAP32[1158] = $incdec_ptr285;
   61904     $52 = HEAP8[$51] | 0;
   61905     $incdec_ptr286 = $tsp_799 + 1 | 0;
   61906     HEAP8[$tsp_799] = $52;
   61907     $53 = HEAP32[1158] | 0;
   61908     $54 = HEAP8[$53] | 0;
   61909     $tobool283 = $54 << 24 >> 24 < 0;
   61910     if ($tobool283) {
   61911       $tsp_799 = $incdec_ptr286;
   61912       $51 = $53;
   61913       label = 77;
   61914       break;
   61915     } else {
   61916       $fi_0_ph108 = $fi_0;
   61917       $ishardspace_0_ph107 = $ishardspace_1;
   61918       $mode_0_ph105 = $mode_3;
   61919       $tmpport_0_ph = $tmpport_0_ph113;
   61920       $hspsp_0_ph104 = $hspsp_1;
   61921       $hstsp_0_ph103 = $hstsp_2;
   61922       $psp_0_ph102 = $psp_3;
   61923       $tsp_0_ph101 = $incdec_ptr286;
   61924       label = 14;
   61925       break;
   61926     }
   61927    case 78:
   61928     $n_flds288 = $call + 48 | 0;
   61929     $55 = $n_flds288;
   61930     HEAP32[$55 >> 2] = $fi_0;
   61931     $retval_0 = $0;
   61932     label = 79;
   61933     break;
   61934    case 79:
   61935     return $retval_0 | 0;
   61936   }
   61937   return 0;
   61938 }
   61939 function _size_reclbl($agg_result, $n, $f) {
   61940   $agg_result = $agg_result | 0;
   61941   $n = $n | 0;
   61942   $f = $f | 0;
   61943   var $marginx = 0, $marginy = 0, $tmp = 0, $lp = 0, $0 = 0, $tobool = 0, $n_flds = 0, $1 = 0, $cmp7137 = 0, $fld = 0, $d0_sroa_0_0__idx = 0, $d0_sroa_1_8__idx17 = 0, $LR = 0, $dimen_sroa_0_0__idx4 = 0, $dimen_sroa_0_0_copyload5 = 0.0, $dimen_sroa_1_8__idx11 = 0, $dimen_sroa_1_8_copyload12 = 0.0, $cmp = 0, $cmp3 = 0, $or_cond = 0, $2 = 0, $call = 0, $tobool5 = 0, $call7 = 0, $cmp8 = 0, $3 = 0.0, $mul = 0.0, $cmp10 = 0, $add = 0.0, $sub = 0.0, $add_sink = 0.0, $conv = 0, $mul14 = 0, $conv15 = 0.0, $add17 = 0.0, $cmp18 = 0, $4 = 0.0, $mul21 = 0.0, $cmp22 = 0, $add26 = 0.0, $sub30 = 0.0, $add26_sink = 0.0, $conv27 = 0, $mul34 = 0, $conv35 = 0.0, $add37 = 0.0, $add43 = 0.0, $sub47 = 0.0, $add43_sink = 0.0, $conv44 = 0, $mul51 = 0, $conv52 = 0.0, $add54 = 0.0, $add57 = 0.0, $add59 = 0.0, $add63 = 0.0, $add65 = 0.0, $i_040 = 0, $d_sroa_1_039 = 0.0, $d_sroa_0_038 = 0.0, $5 = 0, $arrayidx = 0, $6 = 0, $d0_sroa_0_0_copyload = 0.0, $d0_sroa_1_8_copyload = 0.0, $7 = 0, $tobool73 = 0, $add77 = 0.0, $cmp80 = 0, $d_sroa_1_0_d0_sroa_1_8_copyload = 0.0, $add92 = 0.0, $cmp95 = 0, $d_sroa_0_0_d0_sroa_0_0_copyload = 0.0, $d_sroa_0_1 = 0.0, $d_sroa_1_1 = 0.0, $inc = 0, $8 = 0, $cmp71 = 0, $d_sroa_0_2 = 0.0, $d_sroa_1_2 = 0.0, $d_sroa_0_0__idx18 = 0, $d_sroa_1_8__idx23 = 0, $d_sroa_0_0__idx = 0, $d_sroa_1_8__idx22 = 0, label = 0, __stackBase__ = 0;
   61944   __stackBase__ = STACKTOP;
   61945   STACKTOP = STACKTOP + 32 | 0;
   61946   label = 2;
   61947   while (1) switch (label | 0) {
   61948    case 2:
   61949     $marginx = __stackBase__ | 0;
   61950     $marginy = __stackBase__ + 8 | 0;
   61951     $tmp = __stackBase__ + 16 | 0;
   61952     $lp = $f + 52 | 0;
   61953     $0 = HEAP32[$lp >> 2] | 0;
   61954     $tobool = ($0 | 0) == 0;
   61955     if ($tobool) {
   61956       label = 3;
   61957       break;
   61958     } else {
   61959       label = 5;
   61960       break;
   61961     }
   61962    case 3:
   61963     $n_flds = $f + 48 | 0;
   61964     $1 = HEAP32[$n_flds >> 2] | 0;
   61965     $cmp7137 = ($1 | 0) > 0;
   61966     if ($cmp7137) {
   61967       label = 4;
   61968       break;
   61969     } else {
   61970       $d_sroa_1_2 = 0.0;
   61971       $d_sroa_0_2 = 0.0;
   61972       label = 26;
   61973       break;
   61974     }
   61975    case 4:
   61976     $fld = $f + 56 | 0;
   61977     $d0_sroa_0_0__idx = $tmp | 0;
   61978     $d0_sroa_1_8__idx17 = $tmp + 8 | 0;
   61979     $LR = $f + 64 | 0;
   61980     $d_sroa_0_038 = 0.0;
   61981     $d_sroa_1_039 = 0.0;
   61982     $i_040 = 0;
   61983     label = 22;
   61984     break;
   61985    case 5:
   61986     $dimen_sroa_0_0__idx4 = $0 + 24 | 0;
   61987     $dimen_sroa_0_0_copyload5 = +HEAPF64[$dimen_sroa_0_0__idx4 >> 3];
   61988     $dimen_sroa_1_8__idx11 = $0 + 32 | 0;
   61989     $dimen_sroa_1_8_copyload12 = +HEAPF64[$dimen_sroa_1_8__idx11 >> 3];
   61990     $cmp = $dimen_sroa_0_0_copyload5 > 0.0;
   61991     $cmp3 = $dimen_sroa_1_8_copyload12 > 0.0;
   61992     $or_cond = $cmp | $cmp3;
   61993     if ($or_cond) {
   61994       label = 6;
   61995       break;
   61996     } else {
   61997       $d_sroa_1_2 = $dimen_sroa_1_8_copyload12;
   61998       $d_sroa_0_2 = $dimen_sroa_0_0_copyload5;
   61999       label = 26;
   62000       break;
   62001     }
   62002    case 6:
   62003     $2 = $n | 0;
   62004     $call = _agget($2, 99584) | 0;
   62005     $tobool5 = ($call | 0) == 0;
   62006     if ($tobool5) {
   62007       label = 21;
   62008       break;
   62009     } else {
   62010       label = 7;
   62011       break;
   62012     }
   62013    case 7:
   62014     $call7 = _sscanf($call | 0, 99208, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $marginx, HEAP32[tempInt + 8 >> 2] = $marginy, tempInt) | 0) | 0;
   62015     $cmp8 = ($call7 | 0) > 0;
   62016     if ($cmp8) {
   62017       label = 8;
   62018       break;
   62019     } else {
   62020       label = 20;
   62021       break;
   62022     }
   62023    case 8:
   62024     $3 = +HEAPF64[$marginx >> 3];
   62025     $mul = $3 * 72.0;
   62026     $cmp10 = $mul < 0.0;
   62027     if ($cmp10) {
   62028       label = 10;
   62029       break;
   62030     } else {
   62031       label = 9;
   62032       break;
   62033     }
   62034    case 9:
   62035     $add = $mul + .5;
   62036     $add_sink = $add;
   62037     label = 11;
   62038     break;
   62039    case 10:
   62040     $sub = $mul + -.5;
   62041     $add_sink = $sub;
   62042     label = 11;
   62043     break;
   62044    case 11:
   62045     $conv = ~~$add_sink;
   62046     $mul14 = $conv << 1;
   62047     $conv15 = +($mul14 | 0);
   62048     $add17 = $dimen_sroa_0_0_copyload5 + $conv15;
   62049     $cmp18 = ($call7 | 0) > 1;
   62050     $4 = +HEAPF64[$marginy >> 3];
   62051     $mul21 = $4 * 72.0;
   62052     $cmp22 = $mul21 >= 0.0;
   62053     if ($cmp18) {
   62054       label = 12;
   62055       break;
   62056     } else {
   62057       label = 16;
   62058       break;
   62059     }
   62060    case 12:
   62061     if ($cmp22) {
   62062       label = 13;
   62063       break;
   62064     } else {
   62065       label = 14;
   62066       break;
   62067     }
   62068    case 13:
   62069     $add26 = $mul21 + .5;
   62070     $add26_sink = $add26;
   62071     label = 15;
   62072     break;
   62073    case 14:
   62074     $sub30 = $mul21 + -.5;
   62075     $add26_sink = $sub30;
   62076     label = 15;
   62077     break;
   62078    case 15:
   62079     $conv27 = ~~$add26_sink;
   62080     $mul34 = $conv27 << 1;
   62081     $conv35 = +($mul34 | 0);
   62082     $add37 = $dimen_sroa_1_8_copyload12 + $conv35;
   62083     $d_sroa_1_2 = $add37;
   62084     $d_sroa_0_2 = $add17;
   62085     label = 26;
   62086     break;
   62087    case 16:
   62088     if ($cmp22) {
   62089       label = 17;
   62090       break;
   62091     } else {
   62092       label = 18;
   62093       break;
   62094     }
   62095    case 17:
   62096     $add43 = $mul21 + .5;
   62097     $add43_sink = $add43;
   62098     label = 19;
   62099     break;
   62100    case 18:
   62101     $sub47 = $mul21 + -.5;
   62102     $add43_sink = $sub47;
   62103     label = 19;
   62104     break;
   62105    case 19:
   62106     $conv44 = ~~$add43_sink;
   62107     $mul51 = $conv44 << 1;
   62108     $conv52 = +($mul51 | 0);
   62109     $add54 = $dimen_sroa_1_8_copyload12 + $conv52;
   62110     $d_sroa_1_2 = $add54;
   62111     $d_sroa_0_2 = $add17;
   62112     label = 26;
   62113     break;
   62114    case 20:
   62115     $add57 = $dimen_sroa_0_0_copyload5 + 16.0;
   62116     $add59 = $dimen_sroa_1_8_copyload12 + 8.0;
   62117     $d_sroa_1_2 = $add59;
   62118     $d_sroa_0_2 = $add57;
   62119     label = 26;
   62120     break;
   62121    case 21:
   62122     $add63 = $dimen_sroa_0_0_copyload5 + 16.0;
   62123     $add65 = $dimen_sroa_1_8_copyload12 + 8.0;
   62124     $d_sroa_1_2 = $add65;
   62125     $d_sroa_0_2 = $add63;
   62126     label = 26;
   62127     break;
   62128    case 22:
   62129     $5 = HEAP32[$fld >> 2] | 0;
   62130     $arrayidx = $5 + ($i_040 << 2) | 0;
   62131     $6 = HEAP32[$arrayidx >> 2] | 0;
   62132     _size_reclbl($tmp, $n, $6);
   62133     $d0_sroa_0_0_copyload = +HEAPF64[$d0_sroa_0_0__idx >> 3];
   62134     $d0_sroa_1_8_copyload = +HEAPF64[$d0_sroa_1_8__idx17 >> 3];
   62135     $7 = HEAP8[$LR] | 0;
   62136     $tobool73 = $7 << 24 >> 24 == 0;
   62137     if ($tobool73) {
   62138       label = 24;
   62139       break;
   62140     } else {
   62141       label = 23;
   62142       break;
   62143     }
   62144    case 23:
   62145     $add77 = $d_sroa_0_038 + $d0_sroa_0_0_copyload;
   62146     $cmp80 = $d_sroa_1_039 > $d0_sroa_1_8_copyload;
   62147     $d_sroa_1_0_d0_sroa_1_8_copyload = $cmp80 ? $d_sroa_1_039 : $d0_sroa_1_8_copyload;
   62148     $d_sroa_1_1 = $d_sroa_1_0_d0_sroa_1_8_copyload;
   62149     $d_sroa_0_1 = $add77;
   62150     label = 25;
   62151     break;
   62152    case 24:
   62153     $add92 = $d_sroa_1_039 + $d0_sroa_1_8_copyload;
   62154     $cmp95 = $d_sroa_0_038 > $d0_sroa_0_0_copyload;
   62155     $d_sroa_0_0_d0_sroa_0_0_copyload = $cmp95 ? $d_sroa_0_038 : $d0_sroa_0_0_copyload;
   62156     $d_sroa_1_1 = $add92;
   62157     $d_sroa_0_1 = $d_sroa_0_0_d0_sroa_0_0_copyload;
   62158     label = 25;
   62159     break;
   62160    case 25:
   62161     $inc = $i_040 + 1 | 0;
   62162     $8 = HEAP32[$n_flds >> 2] | 0;
   62163     $cmp71 = ($inc | 0) < ($8 | 0);
   62164     if ($cmp71) {
   62165       $d_sroa_0_038 = $d_sroa_0_1;
   62166       $d_sroa_1_039 = $d_sroa_1_1;
   62167       $i_040 = $inc;
   62168       label = 22;
   62169       break;
   62170     } else {
   62171       $d_sroa_1_2 = $d_sroa_1_1;
   62172       $d_sroa_0_2 = $d_sroa_0_1;
   62173       label = 26;
   62174       break;
   62175     }
   62176    case 26:
   62177     $d_sroa_0_0__idx18 = $f | 0;
   62178     HEAPF64[$d_sroa_0_0__idx18 >> 3] = $d_sroa_0_2;
   62179     $d_sroa_1_8__idx23 = $f + 8 | 0;
   62180     HEAPF64[$d_sroa_1_8__idx23 >> 3] = $d_sroa_1_2;
   62181     $d_sroa_0_0__idx = $agg_result | 0;
   62182     HEAPF64[$d_sroa_0_0__idx >> 3] = $d_sroa_0_2;
   62183     $d_sroa_1_8__idx22 = $agg_result + 8 | 0;
   62184     HEAPF64[$d_sroa_1_8__idx22 >> 3] = $d_sroa_1_2;
   62185     STACKTOP = __stackBase__;
   62186     return;
   62187   }
   62188 }
   62189 function _resize_reclbl($f, $sz, $nojustify_p) {
   62190   $f = $f | 0;
   62191   $sz = $sz | 0;
   62192   $nojustify_p = $nojustify_p | 0;
   62193   var $newsz = 0, $x = 0, $0 = 0.0, $x1 = 0, $1 = 0.0, $sub = 0.0, $y = 0, $2 = 0.0, $y4 = 0, $3 = 0.0, $sub5 = 0.0, $4 = 0, $5 = 0, $lp = 0, $6 = 0, $tobool = 0, $tobool8 = 0, $or_cond = 0, $x11 = 0, $7 = 0.0, $add = 0.0, $8 = 0, $y15 = 0, $9 = 0.0, $add16 = 0.0, $n_flds = 0, $10 = 0, $tobool17 = 0, $LR = 0, $11 = 0, $tobool19 = 0, $conv25 = 0.0, $sub_sink = 0.0, $div = 0.0, $12 = 0, $cmp24 = 0, $fld = 0, $13 = 0.0, $14 = 0.0, $i_025 = 0, $15 = 0, $arrayidx = 0, $16 = 0, $add30 = 0, $conv31 = 0.0, $mul = 0.0, $conv32 = 0, $conv33 = 0.0, $mul34 = 0.0, $conv35 = 0, $sub36 = 0, $17 = 0, $tobool38 = 0, $x41 = 0, $18 = 0.0, $conv42 = 0.0, $add43 = 0.0, $y48 = 0, $19 = 0.0, $conv49 = 0.0, $add50 = 0.0, $20 = 0, $cmp = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   62194   __stackBase__ = STACKTOP;
   62195   STACKTOP = STACKTOP + 16 | 0;
   62196   tempParam = $sz;
   62197   $sz = STACKTOP;
   62198   STACKTOP = STACKTOP + 16 | 0;
   62199   HEAP32[$sz >> 2] = HEAP32[tempParam >> 2] | 0;
   62200   HEAP32[$sz + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   62201   HEAP32[$sz + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   62202   HEAP32[$sz + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   62203   label = 2;
   62204   while (1) switch (label | 0) {
   62205    case 2:
   62206     $newsz = __stackBase__ | 0;
   62207     $x = $sz | 0;
   62208     $0 = +HEAPF64[$x >> 3];
   62209     $x1 = $f | 0;
   62210     $1 = +HEAPF64[$x1 >> 3];
   62211     $sub = $0 - $1;
   62212     $y = $sz + 8 | 0;
   62213     $2 = +HEAPF64[$y >> 3];
   62214     $y4 = $f + 8 | 0;
   62215     $3 = +HEAPF64[$y4 >> 3];
   62216     $sub5 = $2 - $3;
   62217     $4 = $f;
   62218     $5 = $sz;
   62219     HEAP32[$4 >> 2] = HEAP32[$5 >> 2] | 0;
   62220     HEAP32[$4 + 4 >> 2] = HEAP32[$5 + 4 >> 2] | 0;
   62221     HEAP32[$4 + 8 >> 2] = HEAP32[$5 + 8 >> 2] | 0;
   62222     HEAP32[$4 + 12 >> 2] = HEAP32[$5 + 12 >> 2] | 0;
   62223     $lp = $f + 52 | 0;
   62224     $6 = HEAP32[$lp >> 2] | 0;
   62225     $tobool = ($6 | 0) != 0;
   62226     $tobool8 = ($nojustify_p | 0) == 0;
   62227     $or_cond = $tobool & $tobool8;
   62228     if ($or_cond) {
   62229       label = 3;
   62230       break;
   62231     } else {
   62232       label = 4;
   62233       break;
   62234     }
   62235    case 3:
   62236     $x11 = $6 + 40 | 0;
   62237     $7 = +HEAPF64[$x11 >> 3];
   62238     $add = $sub + $7;
   62239     HEAPF64[$x11 >> 3] = $add;
   62240     $8 = HEAP32[$lp >> 2] | 0;
   62241     $y15 = $8 + 48 | 0;
   62242     $9 = +HEAPF64[$y15 >> 3];
   62243     $add16 = $sub5 + $9;
   62244     HEAPF64[$y15 >> 3] = $add16;
   62245     label = 4;
   62246     break;
   62247    case 4:
   62248     $n_flds = $f + 48 | 0;
   62249     $10 = HEAP32[$n_flds >> 2] | 0;
   62250     $tobool17 = ($10 | 0) == 0;
   62251     if ($tobool17) {
   62252       label = 11;
   62253       break;
   62254     } else {
   62255       label = 5;
   62256       break;
   62257     }
   62258    case 5:
   62259     $LR = $f + 64 | 0;
   62260     $11 = HEAP8[$LR] | 0;
   62261     $tobool19 = $11 << 24 >> 24 == 0;
   62262     $conv25 = +($10 | 0);
   62263     $sub_sink = $tobool19 ? $sub5 : $sub;
   62264     $div = $sub_sink / $conv25;
   62265     $12 = HEAP32[$n_flds >> 2] | 0;
   62266     $cmp24 = ($12 | 0) > 0;
   62267     if ($cmp24) {
   62268       label = 6;
   62269       break;
   62270     } else {
   62271       label = 11;
   62272       break;
   62273     }
   62274    case 6:
   62275     $fld = $f + 56 | 0;
   62276     $13 = +HEAPF64[$x >> 3];
   62277     $14 = +HEAPF64[$y >> 3];
   62278     $i_025 = 0;
   62279     label = 7;
   62280     break;
   62281    case 7:
   62282     $15 = HEAP32[$fld >> 2] | 0;
   62283     $arrayidx = $15 + ($i_025 << 2) | 0;
   62284     $16 = HEAP32[$arrayidx >> 2] | 0;
   62285     $add30 = $i_025 + 1 | 0;
   62286     $conv31 = +($add30 | 0);
   62287     $mul = $div * $conv31;
   62288     $conv32 = ~~$mul;
   62289     $conv33 = +($i_025 | 0);
   62290     $mul34 = $div * $conv33;
   62291     $conv35 = ~~$mul34;
   62292     $sub36 = $conv32 - $conv35 | 0;
   62293     $17 = HEAP8[$LR] | 0;
   62294     $tobool38 = $17 << 24 >> 24 == 0;
   62295     if ($tobool38) {
   62296       label = 9;
   62297       break;
   62298     } else {
   62299       label = 8;
   62300       break;
   62301     }
   62302    case 8:
   62303     $x41 = $16 | 0;
   62304     $18 = +HEAPF64[$x41 >> 3];
   62305     $conv42 = +($sub36 | 0);
   62306     $add43 = $conv42 + $18;
   62307     _pointfof795($newsz, $add43, $14);
   62308     label = 10;
   62309     break;
   62310    case 9:
   62311     $y48 = $16 + 8 | 0;
   62312     $19 = +HEAPF64[$y48 >> 3];
   62313     $conv49 = +($sub36 | 0);
   62314     $add50 = $conv49 + $19;
   62315     _pointfof795($newsz, $13, $add50);
   62316     label = 10;
   62317     break;
   62318    case 10:
   62319     _resize_reclbl($16, $newsz, $nojustify_p);
   62320     $20 = HEAP32[$n_flds >> 2] | 0;
   62321     $cmp = ($add30 | 0) < ($20 | 0);
   62322     if ($cmp) {
   62323       $i_025 = $add30;
   62324       label = 7;
   62325       break;
   62326     } else {
   62327       label = 11;
   62328       break;
   62329     }
   62330    case 11:
   62331     STACKTOP = __stackBase__;
   62332     return;
   62333   }
   62334 }
   62335 function _pos_reclbl($f, $ul, $sides) {
   62336   $f = $f | 0;
   62337   $ul = $ul | 0;
   62338   $sides = $sides | 0;
   62339   var $tmp = 0, $tmp8 = 0, $conv = 0, $sides1 = 0, $b = 0, $x = 0, $0 = 0.0, $y = 0, $1 = 0.0, $y2 = 0, $2 = 0.0, $sub = 0.0, $3 = 0, $4 = 0, $UR = 0, $5 = 0.0, $x6 = 0, $6 = 0.0, $add = 0.0, $7 = 0.0, $8 = 0, $9 = 0, $n_flds = 0, $10 = 0, $sub9 = 0, $cmp23 = 0, $tobool = 0, $fld = 0, $LR45 = 0, $LR = 0, $i_024 = 0, $11 = 0, $tobool11 = 0, $cmp13 = 0, $cmp16 = 0, $_ = 0, $_20 = 0, $_21 = 0, $_22 = 0, $mask_0 = 0, $12 = 0, $arrayidx = 0, $13 = 0, $and = 0, $14 = 0, $tobool46 = 0, $15 = 0.0, $16 = 0, $arrayidx50 = 0, $17 = 0, $x52 = 0, $18 = 0.0, $add53 = 0.0, $19 = 0.0, $20 = 0, $arrayidx58 = 0, $21 = 0, $y60 = 0, $22 = 0.0, $sub61 = 0.0, $inc = 0, $cmp = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   62340   __stackBase__ = STACKTOP;
   62341   STACKTOP = STACKTOP + 32 | 0;
   62342   tempParam = $ul;
   62343   $ul = STACKTOP;
   62344   STACKTOP = STACKTOP + 16 | 0;
   62345   HEAP32[$ul >> 2] = HEAP32[tempParam >> 2] | 0;
   62346   HEAP32[$ul + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   62347   HEAP32[$ul + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   62348   HEAP32[$ul + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   62349   label = 2;
   62350   while (1) switch (label | 0) {
   62351    case 2:
   62352     $tmp = __stackBase__ | 0;
   62353     $tmp8 = __stackBase__ + 16 | 0;
   62354     $conv = $sides & 255;
   62355     $sides1 = $f + 65 | 0;
   62356     HEAP8[$sides1] = $conv;
   62357     $b = $f + 16 | 0;
   62358     $x = $ul | 0;
   62359     $0 = +HEAPF64[$x >> 3];
   62360     $y = $ul + 8 | 0;
   62361     $1 = +HEAPF64[$y >> 3];
   62362     $y2 = $f + 8 | 0;
   62363     $2 = +HEAPF64[$y2 >> 3];
   62364     $sub = $1 - $2;
   62365     _pointfof795($tmp, $0, $sub);
   62366     $3 = $b;
   62367     $4 = $tmp;
   62368     HEAP32[$3 >> 2] = HEAP32[$4 >> 2] | 0;
   62369     HEAP32[$3 + 4 >> 2] = HEAP32[$4 + 4 >> 2] | 0;
   62370     HEAP32[$3 + 8 >> 2] = HEAP32[$4 + 8 >> 2] | 0;
   62371     HEAP32[$3 + 12 >> 2] = HEAP32[$4 + 12 >> 2] | 0;
   62372     $UR = $f + 32 | 0;
   62373     $5 = +HEAPF64[$x >> 3];
   62374     $x6 = $f | 0;
   62375     $6 = +HEAPF64[$x6 >> 3];
   62376     $add = $5 + $6;
   62377     $7 = +HEAPF64[$y >> 3];
   62378     _pointfof795($tmp8, $add, $7);
   62379     $8 = $UR;
   62380     $9 = $tmp8;
   62381     HEAP32[$8 >> 2] = HEAP32[$9 >> 2] | 0;
   62382     HEAP32[$8 + 4 >> 2] = HEAP32[$9 + 4 >> 2] | 0;
   62383     HEAP32[$8 + 8 >> 2] = HEAP32[$9 + 8 >> 2] | 0;
   62384     HEAP32[$8 + 12 >> 2] = HEAP32[$9 + 12 >> 2] | 0;
   62385     $n_flds = $f + 48 | 0;
   62386     $10 = HEAP32[$n_flds >> 2] | 0;
   62387     $sub9 = $10 - 1 | 0;
   62388     $cmp23 = ($sub9 | 0) < 0;
   62389     if ($cmp23) {
   62390       label = 16;
   62391       break;
   62392     } else {
   62393       label = 3;
   62394       break;
   62395     }
   62396    case 3:
   62397     $tobool = ($sides | 0) == 0;
   62398     $fld = $f + 56 | 0;
   62399     $LR45 = $f + 64 | 0;
   62400     $LR = $f + 64 | 0;
   62401     $i_024 = 0;
   62402     label = 4;
   62403     break;
   62404    case 4:
   62405     if ($tobool) {
   62406       $mask_0 = 0;
   62407       label = 12;
   62408       break;
   62409     } else {
   62410       label = 5;
   62411       break;
   62412     }
   62413    case 5:
   62414     $11 = HEAP8[$LR] | 0;
   62415     $tobool11 = $11 << 24 >> 24 == 0;
   62416     $cmp13 = ($i_024 | 0) == 0;
   62417     $cmp16 = ($i_024 | 0) == ($sub9 | 0);
   62418     if ($tobool11) {
   62419       label = 9;
   62420       break;
   62421     } else {
   62422       label = 6;
   62423       break;
   62424     }
   62425    case 6:
   62426     if ($cmp13) {
   62427       label = 7;
   62428       break;
   62429     } else {
   62430       label = 8;
   62431       break;
   62432     }
   62433    case 7:
   62434     $_ = $cmp16 ? 15 : 13;
   62435     $mask_0 = $_;
   62436     label = 12;
   62437     break;
   62438    case 8:
   62439     $_20 = $cmp16 ? 7 : 5;
   62440     $mask_0 = $_20;
   62441     label = 12;
   62442     break;
   62443    case 9:
   62444     if ($cmp13) {
   62445       label = 10;
   62446       break;
   62447     } else {
   62448       label = 11;
   62449       break;
   62450     }
   62451    case 10:
   62452     $_21 = $cmp16 ? 15 : 14;
   62453     $mask_0 = $_21;
   62454     label = 12;
   62455     break;
   62456    case 11:
   62457     $_22 = $cmp16 ? 11 : 10;
   62458     $mask_0 = $_22;
   62459     label = 12;
   62460     break;
   62461    case 12:
   62462     $12 = HEAP32[$fld >> 2] | 0;
   62463     $arrayidx = $12 + ($i_024 << 2) | 0;
   62464     $13 = HEAP32[$arrayidx >> 2] | 0;
   62465     $and = $mask_0 & $sides;
   62466     _pos_reclbl($13, $ul, $and);
   62467     $14 = HEAP8[$LR45] | 0;
   62468     $tobool46 = $14 << 24 >> 24 == 0;
   62469     if ($tobool46) {
   62470       label = 14;
   62471       break;
   62472     } else {
   62473       label = 13;
   62474       break;
   62475     }
   62476    case 13:
   62477     $15 = +HEAPF64[$x >> 3];
   62478     $16 = HEAP32[$fld >> 2] | 0;
   62479     $arrayidx50 = $16 + ($i_024 << 2) | 0;
   62480     $17 = HEAP32[$arrayidx50 >> 2] | 0;
   62481     $x52 = $17 | 0;
   62482     $18 = +HEAPF64[$x52 >> 3];
   62483     $add53 = $15 + $18;
   62484     HEAPF64[$x >> 3] = $add53;
   62485     label = 15;
   62486     break;
   62487    case 14:
   62488     $19 = +HEAPF64[$y >> 3];
   62489     $20 = HEAP32[$fld >> 2] | 0;
   62490     $arrayidx58 = $20 + ($i_024 << 2) | 0;
   62491     $21 = HEAP32[$arrayidx58 >> 2] | 0;
   62492     $y60 = $21 + 8 | 0;
   62493     $22 = +HEAPF64[$y60 >> 3];
   62494     $sub61 = $19 - $22;
   62495     HEAPF64[$y >> 3] = $sub61;
   62496     label = 15;
   62497     break;
   62498    case 15:
   62499     $inc = $i_024 + 1 | 0;
   62500     $cmp = ($inc | 0) > ($sub9 | 0);
   62501     if ($cmp) {
   62502       label = 16;
   62503       break;
   62504     } else {
   62505       $i_024 = $inc;
   62506       label = 4;
   62507       break;
   62508     }
   62509    case 16:
   62510     STACKTOP = __stackBase__;
   62511     return;
   62512   }
   62513 }
   62514 function _parse_error($rv, $port) {
   62515   $rv = $rv | 0;
   62516   $port = $port | 0;
   62517   var $tobool = 0, label = 0;
   62518   label = 2;
   62519   while (1) switch (label | 0) {
   62520    case 2:
   62521     _free_field($rv);
   62522     $tobool = ($port | 0) == 0;
   62523     if ($tobool) {
   62524       label = 4;
   62525       break;
   62526     } else {
   62527       label = 3;
   62528       break;
   62529     }
   62530    case 3:
   62531     _free($port);
   62532     label = 4;
   62533     break;
   62534    case 4:
   62535     return;
   62536   }
   62537 }
   62538 function _userSize($n) {
   62539   $n = $n | 0;
   62540   var $0 = 0, $1 = 0, $call = 0.0, $2 = 0, $call1 = 0.0, $cmp = 0, $cond = 0.0, $mul = 0.0, $cmp2 = 0, $add = 0.0, $sub = 0.0, $add_sink = 0.0, $conv = 0, $conv21 = 0.0, label = 0;
   62541   label = 2;
   62542   while (1) switch (label | 0) {
   62543    case 2:
   62544     $0 = $n | 0;
   62545     $1 = HEAP32[41552] | 0;
   62546     $call = +_late_double($0, $1, 0.0, .01);
   62547     $2 = HEAP32[41592] | 0;
   62548     $call1 = +_late_double($0, $2, 0.0, .02);
   62549     $cmp = $call > $call1;
   62550     $cond = $cmp ? $call : $call1;
   62551     $mul = $cond * 72.0;
   62552     $cmp2 = $mul < 0.0;
   62553     if ($cmp2) {
   62554       label = 4;
   62555       break;
   62556     } else {
   62557       label = 3;
   62558       break;
   62559     }
   62560    case 3:
   62561     $add = $mul + .5;
   62562     $add_sink = $add;
   62563     label = 5;
   62564     break;
   62565    case 4:
   62566     $sub = $mul + -.5;
   62567     $add_sink = $sub;
   62568     label = 5;
   62569     break;
   62570    case 5:
   62571     $conv = ~~$add_sink;
   62572     $conv21 = +($conv | 0);
   62573     return +$conv21;
   62574   }
   62575   return 0.0;
   62576 }
   62577 function _bezier_clip($inside_context, $inside, $sp, $left_inside) {
   62578   $inside_context = $inside_context | 0;
   62579   $inside = $inside | 0;
   62580   $sp = $sp | 0;
   62581   $left_inside = $left_inside | 0;
   62582   var $seg = 0, $best = 0, $pt = 0, $low = 0, $high = 0, $tobool = 0, $arraydecay1 = 0, $0 = 0, $1 = 0, $arrayidx2 = 0, $2 = 0, $3 = 0, $right_0 = 0, $left_0 = 0, $idir_0 = 0, $odir_0 = 0, $opt_sroa_0_0__idx = 0, $opt_sroa_1_8__idx16 = 0, $found_0 = 0, $opt_sroa_0_0_copyload = 0.0, $opt_sroa_1_8_copyload = 0.0, $high_0_load21 = 0.0, $low_0_load22 = 0.0, $add = 0.0, $div = 0.0, $call = 0, $tobool3 = 0, $4 = 0, $5 = 0, $arrayidx6_1 = 0, $arrayidx7_1 = 0, $6 = 0, $7 = 0, $arrayidx6_2 = 0, $arrayidx7_2 = 0, $8 = 0, $9 = 0, $arrayidx6_3 = 0, $arrayidx7_3 = 0, $10 = 0, $11 = 0, $found_1 = 0, $12 = 0.0, $sub = 0.0, $cmp10 = 0, $sub17 = 0.0, $cond = 0.0, $cmp18 = 0, $13 = 0.0, $sub20 = 0.0, $cmp21 = 0, $sub30 = 0.0, $cond32 = 0.0, $cmp33 = 0, $tobool34 = 0, $14 = 0, $15 = 0, $arrayidx39_1 = 0, $arrayidx40_1 = 0, $16 = 0, $17 = 0, $arrayidx39_2 = 0, $arrayidx40_2 = 0, $18 = 0, $19 = 0, $arrayidx39_3 = 0, $arrayidx40_3 = 0, $20 = 0, $21 = 0, $22 = 0, $arrayidx48_1 = 0, $arrayidx49_1 = 0, $23 = 0, $24 = 0, $arrayidx48_2 = 0, $arrayidx49_2 = 0, $25 = 0, $26 = 0, $arrayidx48_3 = 0, $arrayidx49_3 = 0, $27 = 0, $28 = 0, label = 0, __stackBase__ = 0;
   62583   __stackBase__ = STACKTOP;
   62584   STACKTOP = STACKTOP + 160 | 0;
   62585   label = 2;
   62586   while (1) switch (label | 0) {
   62587    case 2:
   62588     $seg = __stackBase__ | 0;
   62589     $best = __stackBase__ + 64 | 0;
   62590     $pt = __stackBase__ + 128 | 0;
   62591     $low = __stackBase__ + 144 | 0;
   62592     $high = __stackBase__ + 152 | 0;
   62593     $tobool = $left_inside << 24 >> 24 == 0;
   62594     $arraydecay1 = $seg | 0;
   62595     if ($tobool) {
   62596       label = 4;
   62597       break;
   62598     } else {
   62599       label = 3;
   62600       break;
   62601     }
   62602    case 3:
   62603     $0 = $pt;
   62604     $1 = $sp;
   62605     HEAP32[$0 >> 2] = HEAP32[$1 >> 2] | 0;
   62606     HEAP32[$0 + 4 >> 2] = HEAP32[$1 + 4 >> 2] | 0;
   62607     HEAP32[$0 + 8 >> 2] = HEAP32[$1 + 8 >> 2] | 0;
   62608     HEAP32[$0 + 12 >> 2] = HEAP32[$1 + 12 >> 2] | 0;
   62609     $odir_0 = $high;
   62610     $idir_0 = $low;
   62611     $left_0 = 0;
   62612     $right_0 = $arraydecay1;
   62613     label = 5;
   62614     break;
   62615    case 4:
   62616     $arrayidx2 = $sp + 48 | 0;
   62617     $2 = $pt;
   62618     $3 = $arrayidx2;
   62619     HEAP32[$2 >> 2] = HEAP32[$3 >> 2] | 0;
   62620     HEAP32[$2 + 4 >> 2] = HEAP32[$3 + 4 >> 2] | 0;
   62621     HEAP32[$2 + 8 >> 2] = HEAP32[$3 + 8 >> 2] | 0;
   62622     HEAP32[$2 + 12 >> 2] = HEAP32[$3 + 12 >> 2] | 0;
   62623     $odir_0 = $low;
   62624     $idir_0 = $high;
   62625     $left_0 = $arraydecay1;
   62626     $right_0 = 0;
   62627     label = 5;
   62628     break;
   62629    case 5:
   62630     HEAPF64[$low >> 3] = 0.0;
   62631     HEAPF64[$high >> 3] = 1.0;
   62632     $opt_sroa_0_0__idx = $pt | 0;
   62633     $opt_sroa_1_8__idx16 = $pt + 8 | 0;
   62634     $found_0 = 0;
   62635     label = 6;
   62636     break;
   62637    case 6:
   62638     $opt_sroa_0_0_copyload = +HEAPF64[$opt_sroa_0_0__idx >> 3];
   62639     $opt_sroa_1_8_copyload = +HEAPF64[$opt_sroa_1_8__idx16 >> 3];
   62640     $high_0_load21 = +HEAPF64[$high >> 3];
   62641     $low_0_load22 = +HEAPF64[$low >> 3];
   62642     $add = $high_0_load21 + $low_0_load22;
   62643     $div = $add * .5;
   62644     _Bezier($pt, $sp, 3, $div, $left_0, $right_0);
   62645     $call = FUNCTION_TABLE_iii[$inside & 1023]($inside_context, $pt) | 0;
   62646     $tobool3 = $call << 24 >> 24 == 0;
   62647     if ($tobool3) {
   62648       label = 8;
   62649       break;
   62650     } else {
   62651       label = 7;
   62652       break;
   62653     }
   62654    case 7:
   62655     HEAPF64[$idir_0 >> 3] = $div;
   62656     $found_1 = $found_0;
   62657     label = 9;
   62658     break;
   62659    case 8:
   62660     $4 = $best;
   62661     $5 = $seg;
   62662     HEAP32[$4 >> 2] = HEAP32[$5 >> 2] | 0;
   62663     HEAP32[$4 + 4 >> 2] = HEAP32[$5 + 4 >> 2] | 0;
   62664     HEAP32[$4 + 8 >> 2] = HEAP32[$5 + 8 >> 2] | 0;
   62665     HEAP32[$4 + 12 >> 2] = HEAP32[$5 + 12 >> 2] | 0;
   62666     $arrayidx6_1 = $best + 16 | 0;
   62667     $arrayidx7_1 = $seg + 16 | 0;
   62668     $6 = $arrayidx6_1;
   62669     $7 = $arrayidx7_1;
   62670     HEAP32[$6 >> 2] = HEAP32[$7 >> 2] | 0;
   62671     HEAP32[$6 + 4 >> 2] = HEAP32[$7 + 4 >> 2] | 0;
   62672     HEAP32[$6 + 8 >> 2] = HEAP32[$7 + 8 >> 2] | 0;
   62673     HEAP32[$6 + 12 >> 2] = HEAP32[$7 + 12 >> 2] | 0;
   62674     $arrayidx6_2 = $best + 32 | 0;
   62675     $arrayidx7_2 = $seg + 32 | 0;
   62676     $8 = $arrayidx6_2;
   62677     $9 = $arrayidx7_2;
   62678     HEAP32[$8 >> 2] = HEAP32[$9 >> 2] | 0;
   62679     HEAP32[$8 + 4 >> 2] = HEAP32[$9 + 4 >> 2] | 0;
   62680     HEAP32[$8 + 8 >> 2] = HEAP32[$9 + 8 >> 2] | 0;
   62681     HEAP32[$8 + 12 >> 2] = HEAP32[$9 + 12 >> 2] | 0;
   62682     $arrayidx6_3 = $best + 48 | 0;
   62683     $arrayidx7_3 = $seg + 48 | 0;
   62684     $10 = $arrayidx6_3;
   62685     $11 = $arrayidx7_3;
   62686     HEAP32[$10 >> 2] = HEAP32[$11 >> 2] | 0;
   62687     HEAP32[$10 + 4 >> 2] = HEAP32[$11 + 4 >> 2] | 0;
   62688     HEAP32[$10 + 8 >> 2] = HEAP32[$11 + 8 >> 2] | 0;
   62689     HEAP32[$10 + 12 >> 2] = HEAP32[$11 + 12 >> 2] | 0;
   62690     HEAPF64[$odir_0 >> 3] = $div;
   62691     $found_1 = 1;
   62692     label = 9;
   62693     break;
   62694    case 9:
   62695     $12 = +HEAPF64[$opt_sroa_0_0__idx >> 3];
   62696     $sub = $opt_sroa_0_0_copyload - $12;
   62697     $cmp10 = $sub < 0.0;
   62698     if ($cmp10) {
   62699       label = 10;
   62700       break;
   62701     } else {
   62702       $cond = $sub;
   62703       label = 11;
   62704       break;
   62705     }
   62706    case 10:
   62707     $sub17 = -0.0 - $sub;
   62708     $cond = $sub17;
   62709     label = 11;
   62710     break;
   62711    case 11:
   62712     $cmp18 = $cond > .5;
   62713     if ($cmp18) {
   62714       $found_0 = $found_1;
   62715       label = 6;
   62716       break;
   62717     } else {
   62718       label = 12;
   62719       break;
   62720     }
   62721    case 12:
   62722     $13 = +HEAPF64[$opt_sroa_1_8__idx16 >> 3];
   62723     $sub20 = $opt_sroa_1_8_copyload - $13;
   62724     $cmp21 = $sub20 < 0.0;
   62725     if ($cmp21) {
   62726       label = 13;
   62727       break;
   62728     } else {
   62729       $cond32 = $sub20;
   62730       label = 14;
   62731       break;
   62732     }
   62733    case 13:
   62734     $sub30 = -0.0 - $sub20;
   62735     $cond32 = $sub30;
   62736     label = 14;
   62737     break;
   62738    case 14:
   62739     $cmp33 = $cond32 > .5;
   62740     if ($cmp33) {
   62741       $found_0 = $found_1;
   62742       label = 6;
   62743       break;
   62744     } else {
   62745       label = 15;
   62746       break;
   62747     }
   62748    case 15:
   62749     $tobool34 = $found_1 << 24 >> 24 == 0;
   62750     $14 = $sp;
   62751     if ($tobool34) {
   62752       label = 17;
   62753       break;
   62754     } else {
   62755       label = 16;
   62756       break;
   62757     }
   62758    case 16:
   62759     $15 = $best;
   62760     HEAP32[$14 >> 2] = HEAP32[$15 >> 2] | 0;
   62761     HEAP32[$14 + 4 >> 2] = HEAP32[$15 + 4 >> 2] | 0;
   62762     HEAP32[$14 + 8 >> 2] = HEAP32[$15 + 8 >> 2] | 0;
   62763     HEAP32[$14 + 12 >> 2] = HEAP32[$15 + 12 >> 2] | 0;
   62764     $arrayidx39_1 = $sp + 16 | 0;
   62765     $arrayidx40_1 = $best + 16 | 0;
   62766     $16 = $arrayidx39_1;
   62767     $17 = $arrayidx40_1;
   62768     HEAP32[$16 >> 2] = HEAP32[$17 >> 2] | 0;
   62769     HEAP32[$16 + 4 >> 2] = HEAP32[$17 + 4 >> 2] | 0;
   62770     HEAP32[$16 + 8 >> 2] = HEAP32[$17 + 8 >> 2] | 0;
   62771     HEAP32[$16 + 12 >> 2] = HEAP32[$17 + 12 >> 2] | 0;
   62772     $arrayidx39_2 = $sp + 32 | 0;
   62773     $arrayidx40_2 = $best + 32 | 0;
   62774     $18 = $arrayidx39_2;
   62775     $19 = $arrayidx40_2;
   62776     HEAP32[$18 >> 2] = HEAP32[$19 >> 2] | 0;
   62777     HEAP32[$18 + 4 >> 2] = HEAP32[$19 + 4 >> 2] | 0;
   62778     HEAP32[$18 + 8 >> 2] = HEAP32[$19 + 8 >> 2] | 0;
   62779     HEAP32[$18 + 12 >> 2] = HEAP32[$19 + 12 >> 2] | 0;
   62780     $arrayidx39_3 = $sp + 48 | 0;
   62781     $arrayidx40_3 = $best + 48 | 0;
   62782     $20 = $arrayidx39_3;
   62783     $21 = $arrayidx40_3;
   62784     HEAP32[$20 >> 2] = HEAP32[$21 >> 2] | 0;
   62785     HEAP32[$20 + 4 >> 2] = HEAP32[$21 + 4 >> 2] | 0;
   62786     HEAP32[$20 + 8 >> 2] = HEAP32[$21 + 8 >> 2] | 0;
   62787     HEAP32[$20 + 12 >> 2] = HEAP32[$21 + 12 >> 2] | 0;
   62788     label = 18;
   62789     break;
   62790    case 17:
   62791     $22 = $seg;
   62792     HEAP32[$14 >> 2] = HEAP32[$22 >> 2] | 0;
   62793     HEAP32[$14 + 4 >> 2] = HEAP32[$22 + 4 >> 2] | 0;
   62794     HEAP32[$14 + 8 >> 2] = HEAP32[$22 + 8 >> 2] | 0;
   62795     HEAP32[$14 + 12 >> 2] = HEAP32[$22 + 12 >> 2] | 0;
   62796     $arrayidx48_1 = $sp + 16 | 0;
   62797     $arrayidx49_1 = $seg + 16 | 0;
   62798     $23 = $arrayidx48_1;
   62799     $24 = $arrayidx49_1;
   62800     HEAP32[$23 >> 2] = HEAP32[$24 >> 2] | 0;
   62801     HEAP32[$23 + 4 >> 2] = HEAP32[$24 + 4 >> 2] | 0;
   62802     HEAP32[$23 + 8 >> 2] = HEAP32[$24 + 8 >> 2] | 0;
   62803     HEAP32[$23 + 12 >> 2] = HEAP32[$24 + 12 >> 2] | 0;
   62804     $arrayidx48_2 = $sp + 32 | 0;
   62805     $arrayidx49_2 = $seg + 32 | 0;
   62806     $25 = $arrayidx48_2;
   62807     $26 = $arrayidx49_2;
   62808     HEAP32[$25 >> 2] = HEAP32[$26 >> 2] | 0;
   62809     HEAP32[$25 + 4 >> 2] = HEAP32[$26 + 4 >> 2] | 0;
   62810     HEAP32[$25 + 8 >> 2] = HEAP32[$26 + 8 >> 2] | 0;
   62811     HEAP32[$25 + 12 >> 2] = HEAP32[$26 + 12 >> 2] | 0;
   62812     $arrayidx48_3 = $sp + 48 | 0;
   62813     $arrayidx49_3 = $seg + 48 | 0;
   62814     $27 = $arrayidx48_3;
   62815     $28 = $arrayidx49_3;
   62816     HEAP32[$27 >> 2] = HEAP32[$28 >> 2] | 0;
   62817     HEAP32[$27 + 4 >> 2] = HEAP32[$28 + 4 >> 2] | 0;
   62818     HEAP32[$27 + 8 >> 2] = HEAP32[$28 + 8 >> 2] | 0;
   62819     HEAP32[$27 + 12 >> 2] = HEAP32[$28 + 12 >> 2] | 0;
   62820     label = 18;
   62821     break;
   62822    case 18:
   62823     STACKTOP = __stackBase__;
   62824     return;
   62825   }
   62826 }
   62827 function _shape_clip($n, $curve) {
   62828   $n = $n | 0;
   62829   $curve = $curve | 0;
   62830   var $c = 0, $inside_context = 0, $shape = 0, $0 = 0, $cmp = 0, $fns = 0, $1 = 0, $insidefn = 0, $2 = 0, $cmp3 = 0, $3 = 0, $n_c = 0, $bp = 0, $rw = 0, $4 = 0.0, $conv = 0, $x = 0, $5 = 0.0, $x8 = 0, $6 = 0.0, $sub = 0.0, $x9 = 0, $y = 0, $7 = 0.0, $y13 = 0, $8 = 0.0, $sub14 = 0.0, $y15 = 0, $9 = 0, $fns18 = 0, $10 = 0, $insidefn19 = 0, $11 = 0, $call = 0, $conv20 = 0.0, label = 0, __stackBase__ = 0;
   62831   __stackBase__ = STACKTOP;
   62832   STACKTOP = STACKTOP + 24 | 0;
   62833   label = 2;
   62834   while (1) switch (label | 0) {
   62835    case 2:
   62836     $c = __stackBase__ | 0;
   62837     $inside_context = __stackBase__ + 16 | 0;
   62838     $shape = $n + 24 | 0;
   62839     $0 = HEAP32[$shape >> 2] | 0;
   62840     $cmp = ($0 | 0) == 0;
   62841     if ($cmp) {
   62842       label = 5;
   62843       break;
   62844     } else {
   62845       label = 3;
   62846       break;
   62847     }
   62848    case 3:
   62849     $fns = $0 + 4 | 0;
   62850     $1 = HEAP32[$fns >> 2] | 0;
   62851     $insidefn = $1 + 12 | 0;
   62852     $2 = HEAP32[$insidefn >> 2] | 0;
   62853     $cmp3 = ($2 | 0) == 0;
   62854     if ($cmp3) {
   62855       label = 5;
   62856       break;
   62857     } else {
   62858       label = 4;
   62859       break;
   62860     }
   62861    case 4:
   62862     $3 = $inside_context | 0;
   62863     $n_c = $n;
   62864     HEAP32[$3 >> 2] = $n_c;
   62865     $bp = $inside_context + 4 | 0;
   62866     HEAP32[$bp >> 2] = 0;
   62867     $rw = $n + 112 | 0;
   62868     $4 = +HEAPF64[$rw >> 3];
   62869     $conv = ~~$4;
   62870     $x = $curve | 0;
   62871     $5 = +HEAPF64[$x >> 3];
   62872     $x8 = $n + 32 | 0;
   62873     $6 = +HEAPF64[$x8 >> 3];
   62874     $sub = $5 - $6;
   62875     $x9 = $c | 0;
   62876     HEAPF64[$x9 >> 3] = $sub;
   62877     $y = $curve + 8 | 0;
   62878     $7 = +HEAPF64[$y >> 3];
   62879     $y13 = $n + 40 | 0;
   62880     $8 = +HEAPF64[$y13 >> 3];
   62881     $sub14 = $7 - $8;
   62882     $y15 = $c + 8 | 0;
   62883     HEAPF64[$y15 >> 3] = $sub14;
   62884     $9 = HEAP32[$shape >> 2] | 0;
   62885     $fns18 = $9 + 4 | 0;
   62886     $10 = HEAP32[$fns18 >> 2] | 0;
   62887     $insidefn19 = $10 + 12 | 0;
   62888     $11 = HEAP32[$insidefn19 >> 2] | 0;
   62889     $call = FUNCTION_TABLE_iii[$11 & 1023]($inside_context, $c) | 0;
   62890     $conv20 = +($conv | 0);
   62891     HEAPF64[$rw >> 3] = $conv20;
   62892     _shape_clip0($inside_context, $n, $curve, $call);
   62893     label = 5;
   62894     break;
   62895    case 5:
   62896     STACKTOP = __stackBase__;
   62897     return;
   62898   }
   62899 }
   62900 function _shape_clip0($inside_context, $n, $curve, $left_inside) {
   62901   $inside_context = $inside_context | 0;
   62902   $n = $n | 0;
   62903   $curve = $curve | 0;
   62904   $left_inside = $left_inside | 0;
   62905   var $c = 0, $rw = 0, $0 = 0.0, $1 = 0.0, $2 = 0.0, $x22 = 0, $y29 = 0, __stackBase__ = 0;
   62906   __stackBase__ = STACKTOP;
   62907   STACKTOP = STACKTOP + 64 | 0;
   62908   $c = __stackBase__ | 0;
   62909   $rw = $n + 112 | 0;
   62910   $0 = +HEAPF64[$n + 32 >> 3];
   62911   $1 = +HEAPF64[$n + 40 >> 3];
   62912   $2 = +HEAPF64[$rw >> 3];
   62913   HEAPF64[$c >> 3] = +HEAPF64[$curve >> 3] - $0;
   62914   HEAPF64[$c + 8 >> 3] = +HEAPF64[$curve + 8 >> 3] - $1;
   62915   HEAPF64[$c + 16 >> 3] = +HEAPF64[$curve + 16 >> 3] - $0;
   62916   HEAPF64[$c + 24 >> 3] = +HEAPF64[$curve + 24 >> 3] - $1;
   62917   HEAPF64[$c + 32 >> 3] = +HEAPF64[$curve + 32 >> 3] - $0;
   62918   HEAPF64[$c + 40 >> 3] = +HEAPF64[$curve + 40 >> 3] - $1;
   62919   HEAPF64[$c + 48 >> 3] = +HEAPF64[$curve + 48 >> 3] - $0;
   62920   HEAPF64[$c + 56 >> 3] = +HEAPF64[$curve + 56 >> 3] - $1;
   62921   _bezier_clip($inside_context, HEAP32[(HEAP32[(HEAP32[$n + 24 >> 2] | 0) + 4 >> 2] | 0) + 12 >> 2] | 0, $c | 0, $left_inside);
   62922   $x22 = $n + 32 | 0;
   62923   $y29 = $n + 40 | 0;
   62924   HEAPF64[$curve >> 3] = +HEAPF64[$c >> 3] + +HEAPF64[$x22 >> 3];
   62925   HEAPF64[$curve + 8 >> 3] = +HEAPF64[$c + 8 >> 3] + +HEAPF64[$y29 >> 3];
   62926   HEAPF64[$curve + 16 >> 3] = +HEAPF64[$c + 16 >> 3] + +HEAPF64[$x22 >> 3];
   62927   HEAPF64[$curve + 24 >> 3] = +HEAPF64[$c + 24 >> 3] + +HEAPF64[$y29 >> 3];
   62928   HEAPF64[$curve + 32 >> 3] = +HEAPF64[$c + 32 >> 3] + +HEAPF64[$x22 >> 3];
   62929   HEAPF64[$curve + 40 >> 3] = +HEAPF64[$c + 40 >> 3] + +HEAPF64[$y29 >> 3];
   62930   HEAPF64[$curve + 48 >> 3] = +HEAPF64[$c + 48 >> 3] + +HEAPF64[$x22 >> 3];
   62931   HEAPF64[$curve + 56 >> 3] = +HEAPF64[$c + 56 >> 3] + +HEAPF64[$y29 >> 3];
   62932   HEAPF64[$rw >> 3] = +(~~$2 | 0);
   62933   STACKTOP = __stackBase__;
   62934   return;
   62935 }
   62936 function _new_spline($e, $sz) {
   62937   $e = $e | 0;
   62938   $sz = $sz | 0;
   62939   var $edge_type14 = 0, $0 = 0, $cmp15 = 0, $e_addr_016 = 0, $to_orig = 0, $1 = 0, $edge_type = 0, $2 = 0, $cmp = 0, $e_addr_0_lcssa = 0, $spl = 0, $3 = 0, $cmp4 = 0, $call = 0, $4 = 0, $5 = 0, $list = 0, $6 = 0, $tobool = 0, $7 = 0, $size = 0, $8 = 0, $9 = 0, $mul = 0, $call15 = 0, $size18 = 0, $10 = 0, $11 = 0, $mul20 = 0, $call21 = 0, $call15_sink = 0, $12 = 0, $13 = 0, $list24 = 0, $14 = 0, $size27 = 0, $15 = 0, $inc = 0, $16 = 0, $list30 = 0, $17 = 0, $arrayidx = 0, $mul31 = 0, $call32 = 0, $18 = 0, $list33 = 0, $size34 = 0, $eflag = 0, $sflag = 0, label = 0;
   62940   label = 2;
   62941   while (1) switch (label | 0) {
   62942    case 2:
   62943     $edge_type14 = $e + 128 | 0;
   62944     $0 = HEAP8[$edge_type14] | 0;
   62945     $cmp15 = $0 << 24 >> 24 == 0;
   62946     if ($cmp15) {
   62947       $e_addr_0_lcssa = $e;
   62948       label = 4;
   62949       break;
   62950     } else {
   62951       $e_addr_016 = $e;
   62952       label = 3;
   62953       break;
   62954     }
   62955    case 3:
   62956     $to_orig = $e_addr_016 + 132 | 0;
   62957     $1 = HEAP32[$to_orig >> 2] | 0;
   62958     $edge_type = $1 + 128 | 0;
   62959     $2 = HEAP8[$edge_type] | 0;
   62960     $cmp = $2 << 24 >> 24 == 0;
   62961     if ($cmp) {
   62962       $e_addr_0_lcssa = $1;
   62963       label = 4;
   62964       break;
   62965     } else {
   62966       $e_addr_016 = $1;
   62967       label = 3;
   62968       break;
   62969     }
   62970    case 4:
   62971     $spl = $e_addr_0_lcssa + 24 | 0;
   62972     $3 = HEAP32[$spl >> 2] | 0;
   62973     $cmp4 = ($3 | 0) == 0;
   62974     if ($cmp4) {
   62975       label = 5;
   62976       break;
   62977     } else {
   62978       label = 6;
   62979       break;
   62980     }
   62981    case 5:
   62982     $call = _zmalloc(40) | 0;
   62983     $4 = $call;
   62984     HEAP32[$spl >> 2] = $4;
   62985     label = 6;
   62986     break;
   62987    case 6:
   62988     $5 = HEAP32[$spl >> 2] | 0;
   62989     $list = $5 | 0;
   62990     $6 = HEAP32[$list >> 2] | 0;
   62991     $tobool = ($6 | 0) == 0;
   62992     if ($tobool) {
   62993       label = 8;
   62994       break;
   62995     } else {
   62996       label = 7;
   62997       break;
   62998     }
   62999    case 7:
   63000     $7 = $6;
   63001     $size = $5 + 4 | 0;
   63002     $8 = HEAP32[$size >> 2] | 0;
   63003     $9 = $8 * 48 & -1;
   63004     $mul = $9 + 48 | 0;
   63005     $call15 = _grealloc($7, $mul) | 0;
   63006     $call15_sink = $call15;
   63007     label = 9;
   63008     break;
   63009    case 8:
   63010     $size18 = $5 + 4 | 0;
   63011     $10 = HEAP32[$size18 >> 2] | 0;
   63012     $11 = $10 * 48 & -1;
   63013     $mul20 = $11 + 48 | 0;
   63014     $call21 = _gmalloc($mul20) | 0;
   63015     $call15_sink = $call21;
   63016     label = 9;
   63017     break;
   63018    case 9:
   63019     $12 = $call15_sink;
   63020     $13 = HEAP32[$spl >> 2] | 0;
   63021     $list24 = $13 | 0;
   63022     HEAP32[$list24 >> 2] = $12;
   63023     $14 = HEAP32[$spl >> 2] | 0;
   63024     $size27 = $14 + 4 | 0;
   63025     $15 = HEAP32[$size27 >> 2] | 0;
   63026     $inc = $15 + 1 | 0;
   63027     HEAP32[$size27 >> 2] = $inc;
   63028     $16 = HEAP32[$spl >> 2] | 0;
   63029     $list30 = $16 | 0;
   63030     $17 = HEAP32[$list30 >> 2] | 0;
   63031     $arrayidx = $17 + ($15 * 48 & -1) | 0;
   63032     $mul31 = $sz << 4;
   63033     $call32 = _zmalloc($mul31) | 0;
   63034     $18 = $call32;
   63035     $list33 = $arrayidx | 0;
   63036     HEAP32[$list33 >> 2] = $18;
   63037     $size34 = $17 + ($15 * 48 & -1) + 4 | 0;
   63038     HEAP32[$size34 >> 2] = $sz;
   63039     $eflag = $17 + ($15 * 48 & -1) + 12 | 0;
   63040     HEAP32[$eflag >> 2] = 0;
   63041     $sflag = $17 + ($15 * 48 & -1) + 8 | 0;
   63042     HEAP32[$sflag >> 2] = 0;
   63043     return $arrayidx | 0;
   63044   }
   63045   return 0;
   63046 }
   63047 function _clip_and_install($fe, $hn, $ps, $pn, $info) {
   63048   $fe = $fe | 0;
   63049   $hn = $hn | 0;
   63050   $ps = $ps | 0;
   63051   $pn = $pn | 0;
   63052   $info = $info | 0;
   63053   var $p2 = 0, $start = 0, $end = 0, $inside_context = 0, $cp = 0, $tail = 0, $0 = 0, $graph = 0, $1 = 0, $call = 0, $edge_type96 = 0, $2 = 0, $cmp97 = 0, $orig_098 = 0, $to_orig = 0, $3 = 0, $edge_type = 0, $4 = 0, $cmp = 0, $orig_0_lcssa = 0, $ignoreSwap = 0, $5 = 0, $tobool = 0, $rank = 0, $6 = 0, $rank5 = 0, $7 = 0, $cmp6 = 0, $order = 0, $8 = 0, $order11 = 0, $9 = 0, $cmp12 = 0, $hn_ = 0, $_hn = 0, $tn_0 = 0, $hn_addr_0 = 0, $tail14 = 0, $10 = 0, $cmp15 = 0, $clip = 0, $clip21 = 0, $bp = 0, $bp27 = 0, $clip30 = 0, $clip34 = 0, $bp38 = 0, $bp41 = 0, $clipTail_0_in_in = 0, $clipHead_0_in_in = 0, $tbox_0_in = 0, $hbox_0_in = 0, $clipHead_0_in = 0, $clipTail_0_in = 0, $hbox_0 = 0, $tbox_0 = 0, $tobool43 = 0, $shape = 0, $11 = 0, $tobool46 = 0, $fns = 0, $12 = 0, $insidefn = 0, $13 = 0, $tobool50 = 0, $14 = 0, $tn_0_c = 0, $bp53 = 0, $tbox_0_c = 0, $sub = 0, $cmp5594 = 0, $x59 = 0, $x61 = 0, $y66 = 0, $y68 = 0, $storemerge8695 = 0, $add = 0, $x = 0, $15 = 0.0, $16 = 0.0, $sub60 = 0.0, $17 = 0, $add62 = 0, $y = 0, $18 = 0.0, $19 = 0.0, $sub67 = 0.0, $20 = 0, $fns71 = 0, $21 = 0, $insidefn72 = 0, $22 = 0, $call73 = 0, $cmp75 = 0, $23 = 0, $add80 = 0, $cmp55 = 0, $24 = 0, $arrayidx82 = 0, $tobool85 = 0, $shape88 = 0, $25 = 0, $tobool89 = 0, $fns93 = 0, $26 = 0, $insidefn94 = 0, $27 = 0, $tobool95 = 0, $28 = 0, $hn_addr_0_c = 0, $bp100 = 0, $hbox_0_c = 0, $sub101 = 0, $cmp10392 = 0, $x110 = 0, $x112 = 0, $y117 = 0, $y119 = 0, $storemerge93 = 0, $x107 = 0, $29 = 0.0, $30 = 0.0, $sub111 = 0.0, $31 = 0, $y114 = 0, $32 = 0.0, $33 = 0.0, $sub118 = 0.0, $34 = 0, $fns122 = 0, $35 = 0, $insidefn123 = 0, $36 = 0, $call124 = 0, $cmp126 = 0, $37 = 0, $sub131 = 0, $cmp103 = 0, $38 = 0, $arrayidx133 = 0, $sub135 = 0, $39 = 0, $sub138 = 0, $cmp13991 = 0, $40 = 0, $x143 = 0, $41 = 0.0, $add144 = 0, $x146 = 0, $42 = 0.0, $sub147 = 0.0, $mul = 0.0, $y155 = 0, $43 = 0.0, $y158 = 0, $44 = 0.0, $sub159 = 0.0, $mul166 = 0.0, $add167 = 0.0, $cmp168 = 0, $cmp139 = 0, $_pr = 0, $cmp17690 = 0, $45 = 0, $x180 = 0, $46 = 0.0, $add181 = 0, $x183 = 0, $47 = 0.0, $sub184 = 0.0, $mul191 = 0.0, $y193 = 0, $48 = 0.0, $y196 = 0, $49 = 0.0, $sub197 = 0.0, $mul204 = 0.0, $add205 = 0.0, $cmp206 = 0, $sub211 = 0, $cmp176 = 0, $50 = 0, $51 = 0, $add21487 = 0, $cmp21588 = 0, $52 = 0, $list = 0, $53 = 0, $54 = 0, $add224 = 0, $arrayidx222 = 0, $arrayidx233 = 0, $55 = 0, $56 = 0, $arrayidx240 = 0, $57 = 0, $arrayidx243 = 0, $58 = 0, $bb = 0, $59 = 0, $add214 = 0, $i_089 = 0, $sub219 = 0, $60 = 0, $arrayidx220 = 0, $arrayidx221 = 0, $61 = 0, $62 = 0, $inc = 0, $cmp225 = 0, $sub229 = 0, $63 = 0, $arrayidx231 = 0, $arrayidx232 = 0, $64 = 0, $65 = 0, $inc235 = 0, $sub236 = 0, $66 = 0, $arrayidx238 = 0, $arrayidx239 = 0, $67 = 0, $68 = 0, $inc242 = 0, $arrayidx244 = 0, $69 = 0, $cmp215 = 0, $70 = 0, $71 = 0, $sub247 = 0, $add248 = 0, $size = 0, label = 0, __stackBase__ = 0;
   63054   __stackBase__ = STACKTOP;
   63055   STACKTOP = STACKTOP + 104 | 0;
   63056   label = 2;
   63057   while (1) switch (label | 0) {
   63058    case 2:
   63059     $p2 = __stackBase__ | 0;
   63060     $start = __stackBase__ + 16 | 0;
   63061     $end = __stackBase__ + 24 | 0;
   63062     $inside_context = __stackBase__ + 32 | 0;
   63063     $cp = __stackBase__ + 40 | 0;
   63064     $tail = $fe + 16 | 0;
   63065     $0 = HEAP32[$tail >> 2] | 0;
   63066     $graph = $0 + 20 | 0;
   63067     $1 = HEAP32[$graph >> 2] | 0;
   63068     $call = _new_spline($fe, $pn) | 0;
   63069     $edge_type96 = $fe + 128 | 0;
   63070     $2 = HEAP8[$edge_type96] | 0;
   63071     $cmp97 = $2 << 24 >> 24 == 0;
   63072     if ($cmp97) {
   63073       $orig_0_lcssa = $fe;
   63074       label = 4;
   63075       break;
   63076     } else {
   63077       $orig_098 = $fe;
   63078       label = 3;
   63079       break;
   63080     }
   63081    case 3:
   63082     $to_orig = $orig_098 + 132 | 0;
   63083     $3 = HEAP32[$to_orig >> 2] | 0;
   63084     $edge_type = $3 + 128 | 0;
   63085     $4 = HEAP8[$edge_type] | 0;
   63086     $cmp = $4 << 24 >> 24 == 0;
   63087     if ($cmp) {
   63088       $orig_0_lcssa = $3;
   63089       label = 4;
   63090       break;
   63091     } else {
   63092       $orig_098 = $3;
   63093       label = 3;
   63094       break;
   63095     }
   63096    case 4:
   63097     $ignoreSwap = $info + 8 | 0;
   63098     $5 = HEAP8[$ignoreSwap] | 0;
   63099     $tobool = $5 << 24 >> 24 == 0;
   63100     if ($tobool) {
   63101       label = 5;
   63102       break;
   63103     } else {
   63104       $hn_addr_0 = $hn;
   63105       $tn_0 = $0;
   63106       label = 7;
   63107       break;
   63108     }
   63109    case 5:
   63110     $rank = $0 + 236 | 0;
   63111     $6 = HEAP32[$rank >> 2] | 0;
   63112     $rank5 = $hn + 236 | 0;
   63113     $7 = HEAP32[$rank5 >> 2] | 0;
   63114     $cmp6 = ($6 | 0) == ($7 | 0);
   63115     if ($cmp6) {
   63116       label = 6;
   63117       break;
   63118     } else {
   63119       $hn_addr_0 = $hn;
   63120       $tn_0 = $0;
   63121       label = 7;
   63122       break;
   63123     }
   63124    case 6:
   63125     $order = $0 + 240 | 0;
   63126     $8 = HEAP32[$order >> 2] | 0;
   63127     $order11 = $hn + 240 | 0;
   63128     $9 = HEAP32[$order11 >> 2] | 0;
   63129     $cmp12 = ($8 | 0) > ($9 | 0);
   63130     $hn_ = $cmp12 ? $hn : $0;
   63131     $_hn = $cmp12 ? $0 : $hn;
   63132     $hn_addr_0 = $_hn;
   63133     $tn_0 = $hn_;
   63134     label = 7;
   63135     break;
   63136    case 7:
   63137     $tail14 = $orig_0_lcssa + 16 | 0;
   63138     $10 = HEAP32[$tail14 >> 2] | 0;
   63139     $cmp15 = ($tn_0 | 0) == ($10 | 0);
   63140     if ($cmp15) {
   63141       label = 8;
   63142       break;
   63143     } else {
   63144       label = 9;
   63145       break;
   63146     }
   63147    case 8:
   63148     $clip = $orig_0_lcssa + 62 | 0;
   63149     $clip21 = $orig_0_lcssa + 102 | 0;
   63150     $bp = $orig_0_lcssa + 56 | 0;
   63151     $bp27 = $orig_0_lcssa + 96 | 0;
   63152     $hbox_0_in = $bp27;
   63153     $tbox_0_in = $bp;
   63154     $clipHead_0_in_in = $clip21;
   63155     $clipTail_0_in_in = $clip;
   63156     label = 10;
   63157     break;
   63158    case 9:
   63159     $clip30 = $orig_0_lcssa + 102 | 0;
   63160     $clip34 = $orig_0_lcssa + 62 | 0;
   63161     $bp38 = $orig_0_lcssa + 56 | 0;
   63162     $bp41 = $orig_0_lcssa + 96 | 0;
   63163     $hbox_0_in = $bp38;
   63164     $tbox_0_in = $bp41;
   63165     $clipHead_0_in_in = $clip34;
   63166     $clipTail_0_in_in = $clip30;
   63167     label = 10;
   63168     break;
   63169    case 10:
   63170     $clipHead_0_in = HEAP8[$clipHead_0_in_in] | 0;
   63171     $clipTail_0_in = HEAP8[$clipTail_0_in_in] | 0;
   63172     $hbox_0 = HEAP32[$hbox_0_in >> 2] | 0;
   63173     $tbox_0 = HEAP32[$tbox_0_in >> 2] | 0;
   63174     $tobool43 = $clipTail_0_in << 24 >> 24 == 0;
   63175     if ($tobool43) {
   63176       label = 18;
   63177       break;
   63178     } else {
   63179       label = 11;
   63180       break;
   63181     }
   63182    case 11:
   63183     $shape = $tn_0 + 24 | 0;
   63184     $11 = HEAP32[$shape >> 2] | 0;
   63185     $tobool46 = ($11 | 0) == 0;
   63186     if ($tobool46) {
   63187       label = 18;
   63188       break;
   63189     } else {
   63190       label = 12;
   63191       break;
   63192     }
   63193    case 12:
   63194     $fns = $11 + 4 | 0;
   63195     $12 = HEAP32[$fns >> 2] | 0;
   63196     $insidefn = $12 + 12 | 0;
   63197     $13 = HEAP32[$insidefn >> 2] | 0;
   63198     $tobool50 = ($13 | 0) == 0;
   63199     if ($tobool50) {
   63200       label = 18;
   63201       break;
   63202     } else {
   63203       label = 13;
   63204       break;
   63205     }
   63206    case 13:
   63207     $14 = $inside_context | 0;
   63208     $tn_0_c = $tn_0;
   63209     HEAP32[$14 >> 2] = $tn_0_c;
   63210     $bp53 = $inside_context + 4 | 0;
   63211     $tbox_0_c = $tbox_0 | 0;
   63212     HEAP32[$bp53 >> 2] = $tbox_0_c;
   63213     HEAP32[$start >> 2] = 0;
   63214     $sub = $pn - 4 | 0;
   63215     $cmp5594 = ($sub | 0) > 0;
   63216     if ($cmp5594) {
   63217       label = 14;
   63218       break;
   63219     } else {
   63220       label = 17;
   63221       break;
   63222     }
   63223    case 14:
   63224     $x59 = $tn_0 + 32 | 0;
   63225     $x61 = $p2 | 0;
   63226     $y66 = $tn_0 + 40 | 0;
   63227     $y68 = $p2 + 8 | 0;
   63228     $storemerge8695 = 0;
   63229     label = 15;
   63230     break;
   63231    case 15:
   63232     $add = $storemerge8695 + 3 | 0;
   63233     $x = $ps + ($add << 4) | 0;
   63234     $15 = +HEAPF64[$x >> 3];
   63235     $16 = +HEAPF64[$x59 >> 3];
   63236     $sub60 = $15 - $16;
   63237     HEAPF64[$x61 >> 3] = $sub60;
   63238     $17 = HEAP32[$start >> 2] | 0;
   63239     $add62 = $17 + 3 | 0;
   63240     $y = $ps + ($add62 << 4) + 8 | 0;
   63241     $18 = +HEAPF64[$y >> 3];
   63242     $19 = +HEAPF64[$y66 >> 3];
   63243     $sub67 = $18 - $19;
   63244     HEAPF64[$y68 >> 3] = $sub67;
   63245     $20 = HEAP32[$shape >> 2] | 0;
   63246     $fns71 = $20 + 4 | 0;
   63247     $21 = HEAP32[$fns71 >> 2] | 0;
   63248     $insidefn72 = $21 + 12 | 0;
   63249     $22 = HEAP32[$insidefn72 >> 2] | 0;
   63250     $call73 = FUNCTION_TABLE_iii[$22 & 1023]($inside_context, $p2) | 0;
   63251     $cmp75 = $call73 << 24 >> 24 == 0;
   63252     if ($cmp75) {
   63253       label = 17;
   63254       break;
   63255     } else {
   63256       label = 16;
   63257       break;
   63258     }
   63259    case 16:
   63260     $23 = HEAP32[$start >> 2] | 0;
   63261     $add80 = $23 + 3 | 0;
   63262     HEAP32[$start >> 2] = $add80;
   63263     $cmp55 = ($add80 | 0) < ($sub | 0);
   63264     if ($cmp55) {
   63265       $storemerge8695 = $add80;
   63266       label = 15;
   63267       break;
   63268     } else {
   63269       label = 17;
   63270       break;
   63271     }
   63272    case 17:
   63273     $24 = HEAP32[$start >> 2] | 0;
   63274     $arrayidx82 = $ps + ($24 << 4) | 0;
   63275     _shape_clip0($inside_context, $tn_0, $arrayidx82, 1);
   63276     label = 19;
   63277     break;
   63278    case 18:
   63279     HEAP32[$start >> 2] = 0;
   63280     label = 19;
   63281     break;
   63282    case 19:
   63283     $tobool85 = $clipHead_0_in << 24 >> 24 == 0;
   63284     if ($tobool85) {
   63285       label = 27;
   63286       break;
   63287     } else {
   63288       label = 20;
   63289       break;
   63290     }
   63291    case 20:
   63292     $shape88 = $hn_addr_0 + 24 | 0;
   63293     $25 = HEAP32[$shape88 >> 2] | 0;
   63294     $tobool89 = ($25 | 0) == 0;
   63295     if ($tobool89) {
   63296       label = 27;
   63297       break;
   63298     } else {
   63299       label = 21;
   63300       break;
   63301     }
   63302    case 21:
   63303     $fns93 = $25 + 4 | 0;
   63304     $26 = HEAP32[$fns93 >> 2] | 0;
   63305     $insidefn94 = $26 + 12 | 0;
   63306     $27 = HEAP32[$insidefn94 >> 2] | 0;
   63307     $tobool95 = ($27 | 0) == 0;
   63308     if ($tobool95) {
   63309       label = 27;
   63310       break;
   63311     } else {
   63312       label = 22;
   63313       break;
   63314     }
   63315    case 22:
   63316     $28 = $inside_context | 0;
   63317     $hn_addr_0_c = $hn_addr_0;
   63318     HEAP32[$28 >> 2] = $hn_addr_0_c;
   63319     $bp100 = $inside_context + 4 | 0;
   63320     $hbox_0_c = $hbox_0 | 0;
   63321     HEAP32[$bp100 >> 2] = $hbox_0_c;
   63322     $sub101 = $pn - 4 | 0;
   63323     HEAP32[$end >> 2] = $sub101;
   63324     $cmp10392 = ($sub101 | 0) > 0;
   63325     if ($cmp10392) {
   63326       label = 23;
   63327       break;
   63328     } else {
   63329       label = 26;
   63330       break;
   63331     }
   63332    case 23:
   63333     $x110 = $hn_addr_0 + 32 | 0;
   63334     $x112 = $p2 | 0;
   63335     $y117 = $hn_addr_0 + 40 | 0;
   63336     $y119 = $p2 + 8 | 0;
   63337     $storemerge93 = $sub101;
   63338     label = 24;
   63339     break;
   63340    case 24:
   63341     $x107 = $ps + ($storemerge93 << 4) | 0;
   63342     $29 = +HEAPF64[$x107 >> 3];
   63343     $30 = +HEAPF64[$x110 >> 3];
   63344     $sub111 = $29 - $30;
   63345     HEAPF64[$x112 >> 3] = $sub111;
   63346     $31 = HEAP32[$end >> 2] | 0;
   63347     $y114 = $ps + ($31 << 4) + 8 | 0;
   63348     $32 = +HEAPF64[$y114 >> 3];
   63349     $33 = +HEAPF64[$y117 >> 3];
   63350     $sub118 = $32 - $33;
   63351     HEAPF64[$y119 >> 3] = $sub118;
   63352     $34 = HEAP32[$shape88 >> 2] | 0;
   63353     $fns122 = $34 + 4 | 0;
   63354     $35 = HEAP32[$fns122 >> 2] | 0;
   63355     $insidefn123 = $35 + 12 | 0;
   63356     $36 = HEAP32[$insidefn123 >> 2] | 0;
   63357     $call124 = FUNCTION_TABLE_iii[$36 & 1023]($inside_context, $p2) | 0;
   63358     $cmp126 = $call124 << 24 >> 24 == 0;
   63359     if ($cmp126) {
   63360       label = 26;
   63361       break;
   63362     } else {
   63363       label = 25;
   63364       break;
   63365     }
   63366    case 25:
   63367     $37 = HEAP32[$end >> 2] | 0;
   63368     $sub131 = $37 - 3 | 0;
   63369     HEAP32[$end >> 2] = $sub131;
   63370     $cmp103 = ($sub131 | 0) > 0;
   63371     if ($cmp103) {
   63372       $storemerge93 = $sub131;
   63373       label = 24;
   63374       break;
   63375     } else {
   63376       label = 26;
   63377       break;
   63378     }
   63379    case 26:
   63380     $38 = HEAP32[$end >> 2] | 0;
   63381     $arrayidx133 = $ps + ($38 << 4) | 0;
   63382     _shape_clip0($inside_context, $hn_addr_0, $arrayidx133, 0);
   63383     label = 28;
   63384     break;
   63385    case 27:
   63386     $sub135 = $pn - 4 | 0;
   63387     HEAP32[$end >> 2] = $sub135;
   63388     label = 28;
   63389     break;
   63390    case 28:
   63391     $39 = HEAP32[$start >> 2] | 0;
   63392     $sub138 = $pn - 4 | 0;
   63393     $cmp13991 = ($39 | 0) < ($sub138 | 0);
   63394     if ($cmp13991) {
   63395       $40 = $39;
   63396       label = 29;
   63397       break;
   63398     } else {
   63399       label = 31;
   63400       break;
   63401     }
   63402    case 29:
   63403     $x143 = $ps + ($40 << 4) | 0;
   63404     $41 = +HEAPF64[$x143 >> 3];
   63405     $add144 = $40 + 3 | 0;
   63406     $x146 = $ps + ($add144 << 4) | 0;
   63407     $42 = +HEAPF64[$x146 >> 3];
   63408     $sub147 = $41 - $42;
   63409     $mul = $sub147 * $sub147;
   63410     $y155 = $ps + ($40 << 4) + 8 | 0;
   63411     $43 = +HEAPF64[$y155 >> 3];
   63412     $y158 = $ps + ($add144 << 4) + 8 | 0;
   63413     $44 = +HEAPF64[$y158 >> 3];
   63414     $sub159 = $43 - $44;
   63415     $mul166 = $sub159 * $sub159;
   63416     $add167 = $mul + $mul166;
   63417     $cmp168 = $add167 < 1.0e-6;
   63418     if ($cmp168) {
   63419       label = 30;
   63420       break;
   63421     } else {
   63422       label = 31;
   63423       break;
   63424     }
   63425    case 30:
   63426     HEAP32[$start >> 2] = $add144;
   63427     $cmp139 = ($add144 | 0) < ($sub138 | 0);
   63428     if ($cmp139) {
   63429       $40 = $add144;
   63430       label = 29;
   63431       break;
   63432     } else {
   63433       label = 31;
   63434       break;
   63435     }
   63436    case 31:
   63437     $_pr = HEAP32[$end >> 2] | 0;
   63438     $cmp17690 = ($_pr | 0) > 0;
   63439     if ($cmp17690) {
   63440       $45 = $_pr;
   63441       label = 32;
   63442       break;
   63443     } else {
   63444       label = 34;
   63445       break;
   63446     }
   63447    case 32:
   63448     $x180 = $ps + ($45 << 4) | 0;
   63449     $46 = +HEAPF64[$x180 >> 3];
   63450     $add181 = $45 + 3 | 0;
   63451     $x183 = $ps + ($add181 << 4) | 0;
   63452     $47 = +HEAPF64[$x183 >> 3];
   63453     $sub184 = $46 - $47;
   63454     $mul191 = $sub184 * $sub184;
   63455     $y193 = $ps + ($45 << 4) + 8 | 0;
   63456     $48 = +HEAPF64[$y193 >> 3];
   63457     $y196 = $ps + ($add181 << 4) + 8 | 0;
   63458     $49 = +HEAPF64[$y196 >> 3];
   63459     $sub197 = $48 - $49;
   63460     $mul204 = $sub197 * $sub197;
   63461     $add205 = $mul191 + $mul204;
   63462     $cmp206 = $add205 < 1.0e-6;
   63463     if ($cmp206) {
   63464       label = 33;
   63465       break;
   63466     } else {
   63467       label = 34;
   63468       break;
   63469     }
   63470    case 33:
   63471     $sub211 = $45 - 3 | 0;
   63472     HEAP32[$end >> 2] = $sub211;
   63473     $cmp176 = ($sub211 | 0) > 0;
   63474     if ($cmp176) {
   63475       $45 = $sub211;
   63476       label = 32;
   63477       break;
   63478     } else {
   63479       label = 34;
   63480       break;
   63481     }
   63482    case 34:
   63483     _arrow_clip($fe, $hn_addr_0, $ps, $start, $end, $call, $info);
   63484     $50 = HEAP32[$start >> 2] | 0;
   63485     $51 = HEAP32[$end >> 2] | 0;
   63486     $add21487 = $51 + 4 | 0;
   63487     $cmp21588 = ($50 | 0) < ($add21487 | 0);
   63488     if ($cmp21588) {
   63489       label = 35;
   63490       break;
   63491     } else {
   63492       label = 38;
   63493       break;
   63494     }
   63495    case 35:
   63496     $52 = HEAP32[$start >> 2] | 0;
   63497     $list = $call | 0;
   63498     $53 = $cp;
   63499     $54 = HEAP32[$end >> 2] | 0;
   63500     $add224 = $54 + 4 | 0;
   63501     $arrayidx222 = $cp | 0;
   63502     $arrayidx233 = $cp + 16 | 0;
   63503     $55 = $arrayidx233;
   63504     $56 = HEAP32[$start >> 2] | 0;
   63505     $arrayidx240 = $cp + 32 | 0;
   63506     $57 = $arrayidx240;
   63507     $arrayidx243 = $cp + 48 | 0;
   63508     $58 = $arrayidx243;
   63509     $bb = $1 + 56 | 0;
   63510     $59 = HEAP32[$end >> 2] | 0;
   63511     $add214 = $59 + 4 | 0;
   63512     $i_089 = $50;
   63513     label = 36;
   63514     break;
   63515    case 36:
   63516     $sub219 = $i_089 - $52 | 0;
   63517     $60 = HEAP32[$list >> 2] | 0;
   63518     $arrayidx220 = $60 + ($sub219 << 4) | 0;
   63519     $arrayidx221 = $ps + ($i_089 << 4) | 0;
   63520     $61 = $arrayidx220;
   63521     $62 = $arrayidx221;
   63522     HEAP32[$61 >> 2] = HEAP32[$62 >> 2] | 0;
   63523     HEAP32[$61 + 4 >> 2] = HEAP32[$62 + 4 >> 2] | 0;
   63524     HEAP32[$61 + 8 >> 2] = HEAP32[$62 + 8 >> 2] | 0;
   63525     HEAP32[$61 + 12 >> 2] = HEAP32[$62 + 12 >> 2] | 0;
   63526     HEAP32[$53 >> 2] = HEAP32[$62 >> 2] | 0;
   63527     HEAP32[$53 + 4 >> 2] = HEAP32[$62 + 4 >> 2] | 0;
   63528     HEAP32[$53 + 8 >> 2] = HEAP32[$62 + 8 >> 2] | 0;
   63529     HEAP32[$53 + 12 >> 2] = HEAP32[$62 + 12 >> 2] | 0;
   63530     $inc = $i_089 + 1 | 0;
   63531     $cmp225 = ($inc | 0) < ($add224 | 0);
   63532     if ($cmp225) {
   63533       label = 37;
   63534       break;
   63535     } else {
   63536       label = 38;
   63537       break;
   63538     }
   63539    case 37:
   63540     $sub229 = $inc - $52 | 0;
   63541     $63 = HEAP32[$list >> 2] | 0;
   63542     $arrayidx231 = $63 + ($sub229 << 4) | 0;
   63543     $arrayidx232 = $ps + ($inc << 4) | 0;
   63544     $64 = $arrayidx231;
   63545     $65 = $arrayidx232;
   63546     HEAP32[$64 >> 2] = HEAP32[$65 >> 2] | 0;
   63547     HEAP32[$64 + 4 >> 2] = HEAP32[$65 + 4 >> 2] | 0;
   63548     HEAP32[$64 + 8 >> 2] = HEAP32[$65 + 8 >> 2] | 0;
   63549     HEAP32[$64 + 12 >> 2] = HEAP32[$65 + 12 >> 2] | 0;
   63550     HEAP32[$55 >> 2] = HEAP32[$65 >> 2] | 0;
   63551     HEAP32[$55 + 4 >> 2] = HEAP32[$65 + 4 >> 2] | 0;
   63552     HEAP32[$55 + 8 >> 2] = HEAP32[$65 + 8 >> 2] | 0;
   63553     HEAP32[$55 + 12 >> 2] = HEAP32[$65 + 12 >> 2] | 0;
   63554     $inc235 = $i_089 + 2 | 0;
   63555     $sub236 = $inc235 - $56 | 0;
   63556     $66 = HEAP32[$list >> 2] | 0;
   63557     $arrayidx238 = $66 + ($sub236 << 4) | 0;
   63558     $arrayidx239 = $ps + ($inc235 << 4) | 0;
   63559     $67 = $arrayidx238;
   63560     $68 = $arrayidx239;
   63561     HEAP32[$67 >> 2] = HEAP32[$68 >> 2] | 0;
   63562     HEAP32[$67 + 4 >> 2] = HEAP32[$68 + 4 >> 2] | 0;
   63563     HEAP32[$67 + 8 >> 2] = HEAP32[$68 + 8 >> 2] | 0;
   63564     HEAP32[$67 + 12 >> 2] = HEAP32[$68 + 12 >> 2] | 0;
   63565     HEAP32[$57 >> 2] = HEAP32[$68 >> 2] | 0;
   63566     HEAP32[$57 + 4 >> 2] = HEAP32[$68 + 4 >> 2] | 0;
   63567     HEAP32[$57 + 8 >> 2] = HEAP32[$68 + 8 >> 2] | 0;
   63568     HEAP32[$57 + 12 >> 2] = HEAP32[$68 + 12 >> 2] | 0;
   63569     $inc242 = $i_089 + 3 | 0;
   63570     $arrayidx244 = $ps + ($inc242 << 4) | 0;
   63571     $69 = $arrayidx244;
   63572     HEAP32[$58 >> 2] = HEAP32[$69 >> 2] | 0;
   63573     HEAP32[$58 + 4 >> 2] = HEAP32[$69 + 4 >> 2] | 0;
   63574     HEAP32[$58 + 8 >> 2] = HEAP32[$69 + 8 >> 2] | 0;
   63575     HEAP32[$58 + 12 >> 2] = HEAP32[$69 + 12 >> 2] | 0;
   63576     _update_bb_bz($bb, $arrayidx222);
   63577     $cmp215 = ($inc242 | 0) < ($add214 | 0);
   63578     if ($cmp215) {
   63579       $i_089 = $inc242;
   63580       label = 36;
   63581       break;
   63582     } else {
   63583       label = 38;
   63584       break;
   63585     }
   63586    case 38:
   63587     $70 = HEAP32[$end >> 2] | 0;
   63588     $71 = HEAP32[$start >> 2] | 0;
   63589     $sub247 = $70 + 4 | 0;
   63590     $add248 = $sub247 - $71 | 0;
   63591     $size = $call + 4 | 0;
   63592     HEAP32[$size >> 2] = $add248;
   63593     STACKTOP = __stackBase__;
   63594     return;
   63595   }
   63596 }
   63597 function _arrow_clip($fe, $hn, $ps, $startp, $endp, $spl, $info) {
   63598   $fe = $fe | 0;
   63599   $hn = $hn | 0;
   63600   $ps = $ps | 0;
   63601   $startp = $startp | 0;
   63602   $endp = $endp | 0;
   63603   $spl = $spl | 0;
   63604   $info = $info | 0;
   63605   var $sflag = 0, $eflag = 0, $e_0 = 0, $to_orig = 0, $0 = 0, $tobool = 0, $ignoreSwap = 0, $1 = 0, $tobool3 = 0, $swapEnds = 0, $2 = 0, $call = 0, $conv = 0, $j_0 = 0, $splineMerge = 0, $3 = 0, $call4 = 0, $tobool5 = 0, $4 = 0, $tail = 0, $5 = 0, $call9 = 0, $tobool10 = 0, $tobool13 = 0, $6 = 0, $7 = 0, $isOrtho = 0, $8 = 0, $tobool16 = 0, $9 = 0, $tobool18 = 0, $10 = 0, $tobool19 = 0, $11 = 0, $12 = 0, $13 = 0, $14 = 0, $15 = 0, $tobool23 = 0, $16 = 0, $17 = 0, $call25 = 0, $18 = 0, $tobool27 = 0, $19 = 0, $20 = 0, $call29 = 0, label = 0, __stackBase__ = 0;
   63606   __stackBase__ = STACKTOP;
   63607   STACKTOP = STACKTOP + 16 | 0;
   63608   label = 2;
   63609   while (1) switch (label | 0) {
   63610    case 2:
   63611     $sflag = __stackBase__ | 0;
   63612     $eflag = __stackBase__ + 8 | 0;
   63613     $e_0 = $fe;
   63614     label = 3;
   63615     break;
   63616    case 3:
   63617     $to_orig = $e_0 + 132 | 0;
   63618     $0 = HEAP32[$to_orig >> 2] | 0;
   63619     $tobool = ($0 | 0) == 0;
   63620     if ($tobool) {
   63621       label = 4;
   63622       break;
   63623     } else {
   63624       $e_0 = $0;
   63625       label = 3;
   63626       break;
   63627     }
   63628    case 4:
   63629     $ignoreSwap = $info + 8 | 0;
   63630     $1 = HEAP8[$ignoreSwap] | 0;
   63631     $tobool3 = $1 << 24 >> 24 == 0;
   63632     if ($tobool3) {
   63633       label = 5;
   63634       break;
   63635     } else {
   63636       $j_0 = 0;
   63637       label = 6;
   63638       break;
   63639     }
   63640    case 5:
   63641     $swapEnds = $info | 0;
   63642     $2 = HEAP32[$swapEnds >> 2] | 0;
   63643     $call = FUNCTION_TABLE_ii[$2 & 1023]($e_0) | 0;
   63644     $conv = $call & 255;
   63645     $j_0 = $conv;
   63646     label = 6;
   63647     break;
   63648    case 6:
   63649     _arrow_flags($e_0, $sflag, $eflag);
   63650     $splineMerge = $info + 4 | 0;
   63651     $3 = HEAP32[$splineMerge >> 2] | 0;
   63652     $call4 = FUNCTION_TABLE_ii[$3 & 1023]($hn) | 0;
   63653     $tobool5 = $call4 << 24 >> 24 == 0;
   63654     if ($tobool5) {
   63655       label = 8;
   63656       break;
   63657     } else {
   63658       label = 7;
   63659       break;
   63660     }
   63661    case 7:
   63662     HEAP32[$eflag >> 2] = 0;
   63663     label = 8;
   63664     break;
   63665    case 8:
   63666     $4 = HEAP32[$splineMerge >> 2] | 0;
   63667     $tail = $fe + 16 | 0;
   63668     $5 = HEAP32[$tail >> 2] | 0;
   63669     $call9 = FUNCTION_TABLE_ii[$4 & 1023]($5) | 0;
   63670     $tobool10 = $call9 << 24 >> 24 == 0;
   63671     if ($tobool10) {
   63672       label = 10;
   63673       break;
   63674     } else {
   63675       label = 9;
   63676       break;
   63677     }
   63678    case 9:
   63679     HEAP32[$sflag >> 2] = 0;
   63680     label = 10;
   63681     break;
   63682    case 10:
   63683     $tobool13 = ($j_0 | 0) == 0;
   63684     if ($tobool13) {
   63685       label = 12;
   63686       break;
   63687     } else {
   63688       label = 11;
   63689       break;
   63690     }
   63691    case 11:
   63692     $6 = HEAP32[$sflag >> 2] | 0;
   63693     $7 = HEAP32[$eflag >> 2] | 0;
   63694     HEAP32[$sflag >> 2] = $7;
   63695     HEAP32[$eflag >> 2] = $6;
   63696     label = 12;
   63697     break;
   63698    case 12:
   63699     $isOrtho = $info + 9 | 0;
   63700     $8 = HEAP8[$isOrtho] | 0;
   63701     $tobool16 = $8 << 24 >> 24 == 0;
   63702     if ($tobool16) {
   63703       label = 16;
   63704       break;
   63705     } else {
   63706       label = 13;
   63707       break;
   63708     }
   63709    case 13:
   63710     $9 = HEAP32[$eflag >> 2] | 0;
   63711     $tobool18 = ($9 | 0) == 0;
   63712     if ($tobool18) {
   63713       label = 14;
   63714       break;
   63715     } else {
   63716       label = 15;
   63717       break;
   63718     }
   63719    case 14:
   63720     $10 = HEAP32[$sflag >> 2] | 0;
   63721     $tobool19 = ($10 | 0) == 0;
   63722     if ($tobool19) {
   63723       label = 20;
   63724       break;
   63725     } else {
   63726       label = 15;
   63727       break;
   63728     }
   63729    case 15:
   63730     $11 = HEAP32[$startp >> 2] | 0;
   63731     $12 = HEAP32[$endp >> 2] | 0;
   63732     $13 = HEAP32[$sflag >> 2] | 0;
   63733     $14 = HEAP32[$eflag >> 2] | 0;
   63734     _arrowOrthoClip($e_0, $ps, $11, $12, $spl, $13, $14);
   63735     label = 20;
   63736     break;
   63737    case 16:
   63738     $15 = HEAP32[$sflag >> 2] | 0;
   63739     $tobool23 = ($15 | 0) == 0;
   63740     if ($tobool23) {
   63741       label = 18;
   63742       break;
   63743     } else {
   63744       label = 17;
   63745       break;
   63746     }
   63747    case 17:
   63748     $16 = HEAP32[$startp >> 2] | 0;
   63749     $17 = HEAP32[$endp >> 2] | 0;
   63750     $call25 = _arrowStartClip($e_0, $ps, $16, $17, $spl, $15) | 0;
   63751     HEAP32[$startp >> 2] = $call25;
   63752     label = 18;
   63753     break;
   63754    case 18:
   63755     $18 = HEAP32[$eflag >> 2] | 0;
   63756     $tobool27 = ($18 | 0) == 0;
   63757     if ($tobool27) {
   63758       label = 20;
   63759       break;
   63760     } else {
   63761       label = 19;
   63762       break;
   63763     }
   63764    case 19:
   63765     $19 = HEAP32[$startp >> 2] | 0;
   63766     $20 = HEAP32[$endp >> 2] | 0;
   63767     $call29 = _arrowEndClip($e_0, $ps, $19, $20, $spl, $18) | 0;
   63768     HEAP32[$endp >> 2] = $call29;
   63769     label = 20;
   63770     break;
   63771    case 20:
   63772     STACKTOP = __stackBase__;
   63773     return;
   63774   }
   63775 }
   63776 function _add_box($P, $b) {
   63777   $P = $P | 0;
   63778   $b = $b | 0;
   63779   var $x = 0, $0 = 0.0, $x1 = 0, $1 = 0.0, $cmp = 0, $y = 0, $2 = 0.0, $y4 = 0, $3 = 0.0, $cmp5 = 0, $nbox = 0, $4 = 0, $inc = 0, $boxes = 0, $5 = 0, $arrayidx = 0, $6 = 0, $7 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   63780   __stackBase__ = STACKTOP;
   63781   tempParam = $b;
   63782   $b = STACKTOP;
   63783   STACKTOP = STACKTOP + 32 | 0;
   63784   _memcpy($b, tempParam, 32);
   63785   label = 2;
   63786   while (1) switch (label | 0) {
   63787    case 2:
   63788     $x = $b | 0;
   63789     $0 = +HEAPF64[$x >> 3];
   63790     $x1 = $b + 16 | 0;
   63791     $1 = +HEAPF64[$x1 >> 3];
   63792     $cmp = $0 < $1;
   63793     if ($cmp) {
   63794       label = 3;
   63795       break;
   63796     } else {
   63797       label = 5;
   63798       break;
   63799     }
   63800    case 3:
   63801     $y = $b + 8 | 0;
   63802     $2 = +HEAPF64[$y >> 3];
   63803     $y4 = $b + 24 | 0;
   63804     $3 = +HEAPF64[$y4 >> 3];
   63805     $cmp5 = $2 < $3;
   63806     if ($cmp5) {
   63807       label = 4;
   63808       break;
   63809     } else {
   63810       label = 5;
   63811       break;
   63812     }
   63813    case 4:
   63814     $nbox = $P + 80 | 0;
   63815     $4 = HEAP32[$nbox >> 2] | 0;
   63816     $inc = $4 + 1 | 0;
   63817     HEAP32[$nbox >> 2] = $inc;
   63818     $boxes = $P + 84 | 0;
   63819     $5 = HEAP32[$boxes >> 2] | 0;
   63820     $arrayidx = $5 + ($4 << 5) | 0;
   63821     $6 = $arrayidx;
   63822     $7 = $b;
   63823     HEAP32[$6 >> 2] = HEAP32[$7 >> 2] | 0;
   63824     HEAP32[$6 + 4 >> 2] = HEAP32[$7 + 4 >> 2] | 0;
   63825     HEAP32[$6 + 8 >> 2] = HEAP32[$7 + 8 >> 2] | 0;
   63826     HEAP32[$6 + 12 >> 2] = HEAP32[$7 + 12 >> 2] | 0;
   63827     HEAP32[$6 + 16 >> 2] = HEAP32[$7 + 16 >> 2] | 0;
   63828     HEAP32[$6 + 20 >> 2] = HEAP32[$7 + 20 >> 2] | 0;
   63829     HEAP32[$6 + 24 >> 2] = HEAP32[$7 + 24 >> 2] | 0;
   63830     HEAP32[$6 + 28 >> 2] = HEAP32[$7 + 28 >> 2] | 0;
   63831     label = 5;
   63832     break;
   63833    case 5:
   63834     STACKTOP = __stackBase__;
   63835     return;
   63836   }
   63837 }
   63838 function _add_pointf804($agg_result, $p, $q) {
   63839   $agg_result = $agg_result | 0;
   63840   $p = $p | 0;
   63841   $q = $q | 0;
   63842   var $add4 = 0.0, tempParam = 0, __stackBase__ = 0;
   63843   __stackBase__ = STACKTOP;
   63844   tempParam = $p;
   63845   $p = STACKTOP;
   63846   STACKTOP = STACKTOP + 16 | 0;
   63847   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   63848   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   63849   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   63850   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   63851   tempParam = $q;
   63852   $q = STACKTOP;
   63853   STACKTOP = STACKTOP + 16 | 0;
   63854   HEAP32[$q >> 2] = HEAP32[tempParam >> 2] | 0;
   63855   HEAP32[$q + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   63856   HEAP32[$q + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   63857   HEAP32[$q + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   63858   $add4 = +HEAPF64[$p + 8 >> 3] + +HEAPF64[$q + 8 >> 3];
   63859   HEAPF64[$agg_result >> 3] = +HEAPF64[$p >> 3] + +HEAPF64[$q >> 3];
   63860   HEAPF64[$agg_result + 8 >> 3] = $add4;
   63861   STACKTOP = __stackBase__;
   63862   return;
   63863 }
   63864 function _conc_slope($n) {
   63865   $n = $n | 0;
   63866   var $list = 0, $0 = 0, $1 = 0, $tobool22 = 0, $2 = 0, $phitmp = 0.0, $s_in_0_lcssa = 0.0, $cnt_in_0_lcssa = 0.0, $list4 = 0, $3 = 0, $4 = 0, $tobool617 = 0, $5 = 0, $6 = 0, $s_in_024 = 0.0, $cnt_in_023 = 0, $tail = 0, $7 = 0, $x = 0, $8 = 0.0, $add = 0.0, $inc = 0, $arrayidx = 0, $9 = 0, $tobool = 0, $10 = 0, $s_out_019 = 0.0, $cnt_out_018 = 0, $head = 0, $11 = 0, $x10 = 0, $12 = 0.0, $add11 = 0.0, $inc13 = 0, $arrayidx5 = 0, $13 = 0, $tobool6 = 0, $phitmp28 = 0.0, $_lcssa = 0, $s_out_0_lcssa = 0.0, $cnt_out_0_lcssa = 0.0, $x17 = 0, $14 = 0.0, $div = 0.0, $sub = 0.0, $y = 0, $15 = 0.0, $16 = 0, $17 = 0, $tail25 = 0, $18 = 0, $y28 = 0, $19 = 0.0, $sub29 = 0.0, $call = 0.0, $div34 = 0.0, $sub38 = 0.0, $20 = 0, $head44 = 0, $21 = 0, $y47 = 0, $22 = 0.0, $sub51 = 0.0, $call55 = 0.0, $add56 = 0.0, $div57 = 0.0, label = 0;
   63867   label = 2;
   63868   while (1) switch (label | 0) {
   63869    case 2:
   63870     $list = $n + 176 | 0;
   63871     $0 = HEAP32[$list >> 2] | 0;
   63872     $1 = HEAP32[$0 >> 2] | 0;
   63873     $tobool22 = ($1 | 0) == 0;
   63874     if ($tobool22) {
   63875       $cnt_in_0_lcssa = 0.0;
   63876       $s_in_0_lcssa = 0.0;
   63877       label = 5;
   63878       break;
   63879     } else {
   63880       label = 3;
   63881       break;
   63882     }
   63883    case 3:
   63884     $2 = HEAP32[$list >> 2] | 0;
   63885     $cnt_in_023 = 0;
   63886     $s_in_024 = 0.0;
   63887     $6 = $1;
   63888     label = 7;
   63889     break;
   63890    case 4:
   63891     $phitmp = +($inc | 0);
   63892     $cnt_in_0_lcssa = $phitmp;
   63893     $s_in_0_lcssa = $add;
   63894     label = 5;
   63895     break;
   63896    case 5:
   63897     $list4 = $n + 184 | 0;
   63898     $3 = HEAP32[$list4 >> 2] | 0;
   63899     $4 = HEAP32[$3 >> 2] | 0;
   63900     $tobool617 = ($4 | 0) == 0;
   63901     if ($tobool617) {
   63902       $cnt_out_0_lcssa = 0.0;
   63903       $s_out_0_lcssa = 0.0;
   63904       $_lcssa = $3;
   63905       label = 10;
   63906       break;
   63907     } else {
   63908       label = 6;
   63909       break;
   63910     }
   63911    case 6:
   63912     $5 = HEAP32[$list4 >> 2] | 0;
   63913     $cnt_out_018 = 0;
   63914     $s_out_019 = 0.0;
   63915     $10 = $4;
   63916     label = 8;
   63917     break;
   63918    case 7:
   63919     $tail = $6 + 16 | 0;
   63920     $7 = HEAP32[$tail >> 2] | 0;
   63921     $x = $7 + 32 | 0;
   63922     $8 = +HEAPF64[$x >> 3];
   63923     $add = $s_in_024 + $8;
   63924     $inc = $cnt_in_023 + 1 | 0;
   63925     $arrayidx = $2 + ($inc << 2) | 0;
   63926     $9 = HEAP32[$arrayidx >> 2] | 0;
   63927     $tobool = ($9 | 0) == 0;
   63928     if ($tobool) {
   63929       label = 4;
   63930       break;
   63931     } else {
   63932       $cnt_in_023 = $inc;
   63933       $s_in_024 = $add;
   63934       $6 = $9;
   63935       label = 7;
   63936       break;
   63937     }
   63938    case 8:
   63939     $head = $10 + 12 | 0;
   63940     $11 = HEAP32[$head >> 2] | 0;
   63941     $x10 = $11 + 32 | 0;
   63942     $12 = +HEAPF64[$x10 >> 3];
   63943     $add11 = $s_out_019 + $12;
   63944     $inc13 = $cnt_out_018 + 1 | 0;
   63945     $arrayidx5 = $5 + ($inc13 << 2) | 0;
   63946     $13 = HEAP32[$arrayidx5 >> 2] | 0;
   63947     $tobool6 = ($13 | 0) == 0;
   63948     if ($tobool6) {
   63949       label = 9;
   63950       break;
   63951     } else {
   63952       $cnt_out_018 = $inc13;
   63953       $s_out_019 = $add11;
   63954       $10 = $13;
   63955       label = 8;
   63956       break;
   63957     }
   63958    case 9:
   63959     $phitmp28 = +($inc13 | 0);
   63960     $cnt_out_0_lcssa = $phitmp28;
   63961     $s_out_0_lcssa = $add11;
   63962     $_lcssa = $5;
   63963     label = 10;
   63964     break;
   63965    case 10:
   63966     $x17 = $n + 32 | 0;
   63967     $14 = +HEAPF64[$x17 >> 3];
   63968     $div = $s_in_0_lcssa / $cnt_in_0_lcssa;
   63969     $sub = $14 - $div;
   63970     $y = $n + 40 | 0;
   63971     $15 = +HEAPF64[$y >> 3];
   63972     $16 = HEAP32[$list >> 2] | 0;
   63973     $17 = HEAP32[$16 >> 2] | 0;
   63974     $tail25 = $17 + 16 | 0;
   63975     $18 = HEAP32[$tail25 >> 2] | 0;
   63976     $y28 = $18 + 40 | 0;
   63977     $19 = +HEAPF64[$y28 >> 3];
   63978     $sub29 = $15 - $19;
   63979     $call = +Math_atan2(+$sub29, +$sub);
   63980     $div34 = $s_out_0_lcssa / $cnt_out_0_lcssa;
   63981     $sub38 = $div34 - $14;
   63982     $20 = HEAP32[$_lcssa >> 2] | 0;
   63983     $head44 = $20 + 12 | 0;
   63984     $21 = HEAP32[$head44 >> 2] | 0;
   63985     $y47 = $21 + 40 | 0;
   63986     $22 = +HEAPF64[$y47 >> 3];
   63987     $sub51 = $22 - $15;
   63988     $call55 = +Math_atan2(+$sub51, +$sub38);
   63989     $add56 = $call + $call55;
   63990     $div57 = $add56 * .5;
   63991     return +$div57;
   63992   }
   63993   return 0.0;
   63994 }
   63995 function _beginpath($P, $e, $et, $endp, $merge) {
   63996   $P = $P | 0;
   63997   $e = $e | 0;
   63998   $et = $et | 0;
   63999   $endp = $endp | 0;
   64000   $merge = $merge | 0;
   64001   var $tmp = 0, $tmp17 = 0, $tail = 0, $0 = 0, $tail_port = 0, $dyna = 0, $1 = 0, $tobool = 0, $head = 0, $2 = 0, $3 = 0, $4 = 0, $shape = 0, $5 = 0, $tobool7 = 0, $fns = 0, $6 = 0, $pboxfn11 = 0, $7 = 0, $pboxfn_0 = 0, $coord = 0, $p16 = 0, $8 = 0, $9 = 0, $tobool18 = 0, $10 = 0, $call = 0.0, $theta = 0, $constrained = 0, $constrained26 = 0, $11 = 0, $tobool27 = 0, $theta31 = 0, $12 = 0.0, $theta33 = 0, $constrained35 = 0, $constrained38 = 0, $nbox = 0, $13 = 0, $data = 0, $np = 0, $14 = 0, $cmp = 0, $node_type = 0, $15 = 0, $cmp44 = 0, $side49 = 0, $16 = 0, $conv50 = 0, $tobool51 = 0, $b_sroa_0_0__idx62 = 0, $b_sroa_0_0_copyload63 = 0.0, $b_sroa_1_8__idx74 = 0, $b_sroa_1_8_copyload75 = 0.0, $b_sroa_2_16__idx85 = 0, $b_sroa_2_16_copyload86 = 0.0, $b_sroa_3_24__idx98 = 0, $b_sroa_3_24_copyload99 = 0.0, $and = 0, $tobool56 = 0, $sidemask = 0, $x = 0, $17 = 0.0, $x62 = 0, $18 = 0.0, $cmp63 = 0, $sub = 0.0, $y = 0, $19 = 0.0, $y78 = 0, $20 = 0.0, $ht = 0, $21 = 0.0, $cmp80 = 0, $add = 0.0, $sub87 = 0.0, $add_sink = 0.0, $conv84 = 0, $add89 = 0, $div = 0, $conv90 = 0.0, $add91 = 0.0, $graph = 0, $22 = 0, $ranksep = 0, $23 = 0, $div93 = 0, $conv94 = 0.0, $add95 = 0.0, $24 = 0.0, $lw = 0, $25 = 0.0, $sub102 = 0.0, $26 = 0.0, $27 = 0.0, $cmp115 = 0, $add120 = 0.0, $sub125 = 0.0, $add120_sink = 0.0, $conv121 = 0, $add129 = 0, $div130 = 0, $conv131 = 0.0, $sub132 = 0.0, $b0_sroa_0_0__idx101 = 0, $b0_sroa_1_8__idx104 = 0, $b0_sroa_2_16__idx108 = 0, $b0_sroa_3_24__idx111 = 0, $b_sroa_0_0__idx60 = 0, $b_sroa_1_8__idx72 = 0, $b_sroa_2_16__idx83 = 0, $b_sroa_3_24__idx96 = 0, $y147 = 0, $28 = 0.0, $add152 = 0.0, $y157 = 0, $29 = 0.0, $ht159 = 0, $30 = 0.0, $cmp160 = 0, $add165 = 0.0, $sub170 = 0.0, $add165_sink = 0.0, $conv166 = 0, $add174 = 0, $div175 = 0, $conv176 = 0.0, $add177 = 0.0, $graph178 = 0, $31 = 0, $ranksep180 = 0, $32 = 0, $div181 = 0, $conv182 = 0.0, $add183 = 0.0, $33 = 0.0, $rw = 0, $34 = 0.0, $add190 = 0.0, $add191 = 0.0, $35 = 0.0, $36 = 0.0, $cmp203 = 0, $add208 = 0.0, $sub213 = 0.0, $add208_sink = 0.0, $conv209 = 0, $add217 = 0, $div218 = 0, $conv219 = 0.0, $sub220 = 0.0, $b0_sroa_0_0__idx = 0, $b0_sroa_1_8__idx103 = 0, $b0_sroa_2_16__idx107 = 0, $b0_sroa_3_24__idx110 = 0, $b_sroa_0_0__idx58 = 0, $b_sroa_1_8__idx70 = 0, $b_sroa_2_16__idx81 = 0, $b_sroa_3_24__idx94 = 0, $y233 = 0, $37 = 0.0, $add234 = 0.0, $boxn = 0, $and236 = 0, $tobool237 = 0, $sidemask239 = 0, $y244 = 0, $38 = 0.0, $cmp245 = 0, $b_sroa_3_24_copyload99_ = 0.0, $b_sroa_0_0__idx56 = 0, $b_sroa_1_8__idx68 = 0, $b_sroa_2_16__idx79 = 0, $b_sroa_3_24__idx92 = 0, $boxn260 = 0, $39 = 0.0, $sub264 = 0.0, $and266 = 0, $tobool267 = 0, $sidemask269 = 0, $x272 = 0, $40 = 0.0, $y277 = 0, $41 = 0.0, $ht279 = 0, $42 = 0.0, $cmp280 = 0, $add285 = 0.0, $sub290 = 0.0, $add285_sink = 0.0, $conv286 = 0, $add294 = 0, $div295 = 0, $conv296 = 0.0, $sub297 = 0.0, $y302 = 0, $43 = 0.0, $b_sroa_0_0__idx54 = 0, $b_sroa_1_8__idx66 = 0, $b_sroa_2_16__idx77 = 0, $b_sroa_3_24__idx90 = 0, $boxn307 = 0, $44 = 0.0, $sub311 = 0.0, $x316 = 0, $45 = 0.0, $y321 = 0, $46 = 0.0, $ht323 = 0, $47 = 0.0, $cmp324 = 0, $add329 = 0.0, $sub334 = 0.0, $add329_sink = 0.0, $conv330 = 0, $add338 = 0, $div339 = 0, $conv340 = 0.0, $sub341 = 0.0, $y346 = 0, $48 = 0.0, $b_sroa_0_0__idx = 0, $b_sroa_1_8__idx65 = 0, $b_sroa_2_16__idx76 = 0, $b_sroa_3_24__idx89 = 0, $boxn351 = 0, $49 = 0.0, $add355 = 0.0, $edge_type267 = 0, $50 = 0, $cmp361268 = 0, $orig_0269 = 0, $to_orig = 0, $51 = 0, $edge_type = 0, $52 = 0, $cmp361 = 0, $orig_0_lcssa = 0, $tail364 = 0, $53 = 0, $cmp365 = 0, $clip = 0, $clip372 = 0, $cmp375 = 0, $side380 = 0, $54 = 0, $conv381 = 0, $tobool382 = 0, $b387_sroa_0_0__idx12 = 0, $b387_sroa_0_0_copyload13 = 0.0, $b387_sroa_1_8__idx23 = 0, $b387_sroa_1_8_copyload24 = 0.0, $b387_sroa_2_16__idx35 = 0, $b387_sroa_2_16_copyload36 = 0.0, $b387_sroa_3_24__idx47 = 0, $b387_sroa_3_24_copyload48 = 0.0, $and391 = 0, $tobool392 = 0, $y398 = 0, $55 = 0.0, $cmp399 = 0, $b387_sroa_1_8_copyload24_ = 0.0, $b387_sroa_0_0__idx10 = 0, $b387_sroa_1_8__idx21 = 0, $b387_sroa_2_16__idx33 = 0, $b387_sroa_3_24__idx45 = 0, $boxn414 = 0, $and416 = 0, $tobool417 = 0, $sidemask419 = 0, $56 = 0, $cmp420 = 0, $y425 = 0, $57 = 0.0, $ht427 = 0, $58 = 0.0, $cmp428 = 0, $add433 = 0.0, $sub438 = 0.0, $add433_sink = 0.0, $conv434 = 0, $add442 = 0, $div443 = 0, $conv444 = 0.0, $sub445 = 0.0, $add450 = 0.0, $x455 = 0, $59 = 0.0, $graph460 = 0, $60 = 0, $ranksep462 = 0, $61 = 0, $div463 = 0, $conv464 = 0.0, $sub465 = 0.0, $x470 = 0, $62 = 0.0, $rw472 = 0, $63 = 0.0, $add473 = 0.0, $add474 = 0.0, $64 = 0.0, $65 = 0.0, $cmp486 = 0, $add491 = 0.0, $sub496 = 0.0, $add491_sink = 0.0, $conv492 = 0, $add500 = 0, $div501 = 0, $conv502 = 0.0, $add503 = 0.0, $b0385_sroa_0_0__idx = 0, $b0385_sroa_1_8__idx50 = 0, $b0385_sroa_2_16__idx51 = 0, $b0385_sroa_3_24__idx52 = 0, $b387_sroa_0_0__idx8 = 0, $b387_sroa_1_8__idx19 = 0, $b387_sroa_2_16__idx31 = 0, $b387_sroa_3_24__idx43 = 0, $boxn513 = 0, $y519 = 0, $66 = 0.0, $cmp520 = 0, $b387_sroa_3_24_copyload48_ = 0.0, $b387_sroa_0_0__idx6 = 0, $b387_sroa_1_8__idx17 = 0, $b387_sroa_2_16__idx29 = 0, $b387_sroa_3_24__idx41 = 0, $boxn535 = 0, $and538 = 0, $tobool539 = 0, $x543 = 0, $67 = 0.0, $add544 = 0.0, $sidemask547 = 0, $68 = 0, $cmp548 = 0, $y553 = 0, $69 = 0.0, $ht555 = 0, $70 = 0.0, $cmp556 = 0, $add561 = 0.0, $sub566 = 0.0, $add561_sink = 0.0, $conv562 = 0, $add570 = 0, $div571 = 0, $conv572 = 0.0, $add573 = 0.0, $y578 = 0, $71 = 0.0, $sub579 = 0.0, $add593 = 0.0, $sub598 = 0.0, $add593_sink = 0.0, $conv594 = 0, $add602 = 0, $div603 = 0, $conv604 = 0.0, $sub605 = 0.0, $y610 = 0, $72 = 0.0, $add611 = 0.0, $b387_sroa_3_0 = 0.0, $b387_sroa_1_0 = 0.0, $b387_sroa_0_0__idx4 = 0, $b387_sroa_1_8__idx15 = 0, $b387_sroa_2_16__idx27 = 0, $b387_sroa_3_24__idx39 = 0, $boxn617 = 0, $sidemask624 = 0, $73 = 0, $cmp625 = 0, $y630 = 0, $74 = 0.0, $ht632 = 0, $75 = 0.0, $cmp633 = 0, $add638 = 0.0, $sub643 = 0.0, $add638_sink = 0.0, $conv639 = 0, $add647 = 0, $div648 = 0, $conv649 = 0.0, $add650 = 0.0, $y655 = 0, $76 = 0.0, $add669 = 0.0, $sub674 = 0.0, $add669_sink = 0.0, $conv670 = 0, $add678 = 0, $div679 = 0, $conv680 = 0.0, $sub681 = 0.0, $y686 = 0, $77 = 0.0, $add687 = 0.0, $b387_sroa_3_1 = 0.0, $b387_sroa_1_1 = 0.0, $b387_sroa_0_0__idx = 0, $b387_sroa_1_8__idx14 = 0, $b387_sroa_2_16__idx26 = 0, $b387_sroa_3_24__idx38 = 0, $boxn693 = 0, $edge_type699264 = 0, $78 = 0, $cmp701265 = 0, $orig390_0266 = 0, $to_orig706 = 0, $79 = 0, $edge_type699 = 0, $80 = 0, $cmp701 = 0, $orig390_0_lcssa = 0, $tail708 = 0, $81 = 0, $cmp709 = 0, $clip714 = 0, $clip718 = 0, $sidemask720 = 0, $sidemask726 = 0, $82 = 0, $side_0 = 0, $tobool728 = 0, $arrayidx733 = 0, $boxn734 = 0, $call735 = 0, $tobool736 = 0, $sidemask738 = 0, $arrayidx741 = 0, $83 = 0, $84 = 0, $boxn743 = 0, $sidemask745 = 0, $85 = 0, $cmp746 = 0, $y751 = 0, $86 = 0.0, $y755 = 0, $y763 = 0, $y768 = 0, $87 = 0.0, $y772 = 0, $sidemask773 = 0, $88 = 0.0, $sub777 = 0.0, label = 0, __stackBase__ = 0;
   64002   __stackBase__ = STACKTOP;
   64003   STACKTOP = STACKTOP + 56 | 0;
   64004   label = 2;
   64005   while (1) switch (label | 0) {
   64006    case 2:
   64007     $tmp = __stackBase__ | 0;
   64008     $tmp17 = __stackBase__ + 40 | 0;
   64009     $tail = $e + 16 | 0;
   64010     $0 = HEAP32[$tail >> 2] | 0;
   64011     $tail_port = $e + 32 | 0;
   64012     $dyna = $e + 63 | 0;
   64013     $1 = HEAP8[$dyna] | 0;
   64014     $tobool = $1 << 24 >> 24 == 0;
   64015     if ($tobool) {
   64016       label = 4;
   64017       break;
   64018     } else {
   64019       label = 3;
   64020       break;
   64021     }
   64022    case 3:
   64023     $head = $e + 12 | 0;
   64024     $2 = HEAP32[$head >> 2] | 0;
   64025     _resolvePort($tmp, $0, $2, $tail_port);
   64026     $3 = $tail_port;
   64027     $4 = $tmp;
   64028     HEAP32[$3 >> 2] = HEAP32[$4 >> 2] | 0;
   64029     HEAP32[$3 + 4 >> 2] = HEAP32[$4 + 4 >> 2] | 0;
   64030     HEAP32[$3 + 8 >> 2] = HEAP32[$4 + 8 >> 2] | 0;
   64031     HEAP32[$3 + 12 >> 2] = HEAP32[$4 + 12 >> 2] | 0;
   64032     HEAP32[$3 + 16 >> 2] = HEAP32[$4 + 16 >> 2] | 0;
   64033     HEAP32[$3 + 20 >> 2] = HEAP32[$4 + 20 >> 2] | 0;
   64034     HEAP32[$3 + 24 >> 2] = HEAP32[$4 + 24 >> 2] | 0;
   64035     HEAP32[$3 + 28 >> 2] = HEAP32[$4 + 28 >> 2] | 0;
   64036     HEAP32[$3 + 32 >> 2] = HEAP32[$4 + 32 >> 2] | 0;
   64037     HEAP32[$3 + 36 >> 2] = HEAP32[$4 + 36 >> 2] | 0;
   64038     label = 4;
   64039     break;
   64040    case 4:
   64041     $shape = $0 + 24 | 0;
   64042     $5 = HEAP32[$shape >> 2] | 0;
   64043     $tobool7 = ($5 | 0) == 0;
   64044     if ($tobool7) {
   64045       $pboxfn_0 = 0;
   64046       label = 6;
   64047       break;
   64048     } else {
   64049       label = 5;
   64050       break;
   64051     }
   64052    case 5:
   64053     $fns = $5 + 4 | 0;
   64054     $6 = HEAP32[$fns >> 2] | 0;
   64055     $pboxfn11 = $6 + 16 | 0;
   64056     $7 = HEAP32[$pboxfn11 >> 2] | 0;
   64057     $pboxfn_0 = $7;
   64058     label = 6;
   64059     break;
   64060    case 6:
   64061     $coord = $0 + 32 | 0;
   64062     $p16 = $tail_port | 0;
   64063     _add_pointf804($tmp17, $coord, $p16);
   64064     $8 = $P;
   64065     $9 = $tmp17;
   64066     HEAP32[$8 >> 2] = HEAP32[$9 >> 2] | 0;
   64067     HEAP32[$8 + 4 >> 2] = HEAP32[$9 + 4 >> 2] | 0;
   64068     HEAP32[$8 + 8 >> 2] = HEAP32[$9 + 8 >> 2] | 0;
   64069     HEAP32[$8 + 12 >> 2] = HEAP32[$9 + 12 >> 2] | 0;
   64070     $tobool18 = $merge << 24 >> 24 == 0;
   64071     if ($tobool18) {
   64072       label = 8;
   64073       break;
   64074     } else {
   64075       label = 7;
   64076       break;
   64077     }
   64078    case 7:
   64079     $10 = HEAP32[$tail >> 2] | 0;
   64080     $call = +_conc_slope($10);
   64081     $theta = $P + 16 | 0;
   64082     HEAPF64[$theta >> 3] = $call;
   64083     $constrained = $P + 29 | 0;
   64084     HEAP8[$constrained] = 1;
   64085     label = 11;
   64086     break;
   64087    case 8:
   64088     $constrained26 = $e + 61 | 0;
   64089     $11 = HEAP8[$constrained26] | 0;
   64090     $tobool27 = $11 << 24 >> 24 == 0;
   64091     if ($tobool27) {
   64092       label = 10;
   64093       break;
   64094     } else {
   64095       label = 9;
   64096       break;
   64097     }
   64098    case 9:
   64099     $theta31 = $e + 48 | 0;
   64100     $12 = +HEAPF64[$theta31 >> 3];
   64101     $theta33 = $P + 16 | 0;
   64102     HEAPF64[$theta33 >> 3] = $12;
   64103     $constrained35 = $P + 29 | 0;
   64104     HEAP8[$constrained35] = 1;
   64105     label = 11;
   64106     break;
   64107    case 10:
   64108     $constrained38 = $P + 29 | 0;
   64109     HEAP8[$constrained38] = 0;
   64110     label = 11;
   64111     break;
   64112    case 11:
   64113     $nbox = $P + 80 | 0;
   64114     HEAP32[$nbox >> 2] = 0;
   64115     $13 = $e | 0;
   64116     $data = $P + 88 | 0;
   64117     HEAP32[$data >> 2] = $13;
   64118     $np = $endp + 32 | 0;
   64119     $14 = $np;
   64120     HEAP32[$14 >> 2] = HEAP32[$8 >> 2] | 0;
   64121     HEAP32[$14 + 4 >> 2] = HEAP32[$8 + 4 >> 2] | 0;
   64122     HEAP32[$14 + 8 >> 2] = HEAP32[$8 + 8 >> 2] | 0;
   64123     HEAP32[$14 + 12 >> 2] = HEAP32[$8 + 12 >> 2] | 0;
   64124     $cmp = ($et | 0) == 1;
   64125     if ($cmp) {
   64126       label = 12;
   64127       break;
   64128     } else {
   64129       label = 47;
   64130       break;
   64131     }
   64132    case 12:
   64133     $node_type = $0 + 162 | 0;
   64134     $15 = HEAP8[$node_type] | 0;
   64135     $cmp44 = $15 << 24 >> 24 == 0;
   64136     if ($cmp44) {
   64137       label = 13;
   64138       break;
   64139     } else {
   64140       $side_0 = 1;
   64141       label = 90;
   64142       break;
   64143     }
   64144    case 13:
   64145     $side49 = $e + 65 | 0;
   64146     $16 = HEAP8[$side49] | 0;
   64147     $conv50 = $16 & 255;
   64148     $tobool51 = $16 << 24 >> 24 == 0;
   64149     if ($tobool51) {
   64150       label = 47;
   64151       break;
   64152     } else {
   64153       label = 14;
   64154       break;
   64155     }
   64156    case 14:
   64157     $b_sroa_0_0__idx62 = $endp | 0;
   64158     $b_sroa_0_0_copyload63 = +HEAPF64[$b_sroa_0_0__idx62 >> 3];
   64159     $b_sroa_1_8__idx74 = $endp + 8 | 0;
   64160     $b_sroa_1_8_copyload75 = +HEAPF64[$b_sroa_1_8__idx74 >> 3];
   64161     $b_sroa_2_16__idx85 = $endp + 16 | 0;
   64162     $b_sroa_2_16_copyload86 = +HEAPF64[$b_sroa_2_16__idx85 >> 3];
   64163     $b_sroa_3_24__idx98 = $endp + 24 | 0;
   64164     $b_sroa_3_24_copyload99 = +HEAPF64[$b_sroa_3_24__idx98 >> 3];
   64165     $and = $conv50 & 4;
   64166     $tobool56 = ($and | 0) == 0;
   64167     if ($tobool56) {
   64168       label = 31;
   64169       break;
   64170     } else {
   64171       label = 15;
   64172       break;
   64173     }
   64174    case 15:
   64175     $sidemask = $endp + 48 | 0;
   64176     HEAP32[$sidemask >> 2] = 4;
   64177     $x = $P | 0;
   64178     $17 = +HEAPF64[$x >> 3];
   64179     $x62 = $coord | 0;
   64180     $18 = +HEAPF64[$x62 >> 3];
   64181     $cmp63 = $17 < $18;
   64182     if ($cmp63) {
   64183       label = 16;
   64184       break;
   64185     } else {
   64186       label = 23;
   64187       break;
   64188     }
   64189    case 16:
   64190     $sub = $b_sroa_0_0_copyload63 + -1.0;
   64191     $y = $P + 8 | 0;
   64192     $19 = +HEAPF64[$y >> 3];
   64193     $y78 = $0 + 40 | 0;
   64194     $20 = +HEAPF64[$y78 >> 3];
   64195     $ht = $0 + 96 | 0;
   64196     $21 = +HEAPF64[$ht >> 3];
   64197     $cmp80 = $21 < 0.0;
   64198     if ($cmp80) {
   64199       label = 18;
   64200       break;
   64201     } else {
   64202       label = 17;
   64203       break;
   64204     }
   64205    case 17:
   64206     $add = $21 + .5;
   64207     $add_sink = $add;
   64208     label = 19;
   64209     break;
   64210    case 18:
   64211     $sub87 = $21 + -.5;
   64212     $add_sink = $sub87;
   64213     label = 19;
   64214     break;
   64215    case 19:
   64216     $conv84 = ~~$add_sink;
   64217     $add89 = $conv84 + 1 | 0;
   64218     $div = ($add89 | 0) / 2 & -1;
   64219     $conv90 = +($div | 0);
   64220     $add91 = $20 + $conv90;
   64221     $graph = $0 + 20 | 0;
   64222     $22 = HEAP32[$graph >> 2] | 0;
   64223     $ranksep = $22 + 264 | 0;
   64224     $23 = HEAP32[$ranksep >> 2] | 0;
   64225     $div93 = ($23 | 0) / 2 & -1;
   64226     $conv94 = +($div93 | 0);
   64227     $add95 = $add91 + $conv94;
   64228     $24 = +HEAPF64[$x62 >> 3];
   64229     $lw = $0 + 104 | 0;
   64230     $25 = +HEAPF64[$lw >> 3];
   64231     $sub102 = $24 - $25;
   64232     $26 = +HEAPF64[$y78 >> 3];
   64233     $27 = +HEAPF64[$ht >> 3];
   64234     $cmp115 = $27 < 0.0;
   64235     if ($cmp115) {
   64236       label = 21;
   64237       break;
   64238     } else {
   64239       label = 20;
   64240       break;
   64241     }
   64242    case 20:
   64243     $add120 = $27 + .5;
   64244     $add120_sink = $add120;
   64245     label = 22;
   64246     break;
   64247    case 21:
   64248     $sub125 = $27 + -.5;
   64249     $add120_sink = $sub125;
   64250     label = 22;
   64251     break;
   64252    case 22:
   64253     $conv121 = ~~$add120_sink;
   64254     $add129 = $conv121 + 1 | 0;
   64255     $div130 = ($add129 | 0) / 2 & -1;
   64256     $conv131 = +($div130 | 0);
   64257     $sub132 = $26 - $conv131;
   64258     $b0_sroa_0_0__idx101 = $endp + 56 | 0;
   64259     HEAPF64[$b0_sroa_0_0__idx101 >> 3] = $sub;
   64260     $b0_sroa_1_8__idx104 = $endp + 64 | 0;
   64261     HEAPF64[$b0_sroa_1_8__idx104 >> 3] = $19;
   64262     $b0_sroa_2_16__idx108 = $endp + 72 | 0;
   64263     HEAPF64[$b0_sroa_2_16__idx108 >> 3] = $b_sroa_2_16_copyload86;
   64264     $b0_sroa_3_24__idx111 = $endp + 80 | 0;
   64265     HEAPF64[$b0_sroa_3_24__idx111 >> 3] = $add95;
   64266     $b_sroa_0_0__idx60 = $endp + 88 | 0;
   64267     HEAPF64[$b_sroa_0_0__idx60 >> 3] = $sub;
   64268     $b_sroa_1_8__idx72 = $endp + 96 | 0;
   64269     HEAPF64[$b_sroa_1_8__idx72 >> 3] = $sub132;
   64270     $b_sroa_2_16__idx83 = $endp + 104 | 0;
   64271     HEAPF64[$b_sroa_2_16__idx83 >> 3] = $sub102;
   64272     $b_sroa_3_24__idx96 = $endp + 112 | 0;
   64273     HEAPF64[$b_sroa_3_24__idx96 >> 3] = $19;
   64274     label = 30;
   64275     break;
   64276    case 23:
   64277     $y147 = $P + 8 | 0;
   64278     $28 = +HEAPF64[$y147 >> 3];
   64279     $add152 = $b_sroa_2_16_copyload86 + 1.0;
   64280     $y157 = $0 + 40 | 0;
   64281     $29 = +HEAPF64[$y157 >> 3];
   64282     $ht159 = $0 + 96 | 0;
   64283     $30 = +HEAPF64[$ht159 >> 3];
   64284     $cmp160 = $30 < 0.0;
   64285     if ($cmp160) {
   64286       label = 25;
   64287       break;
   64288     } else {
   64289       label = 24;
   64290       break;
   64291     }
   64292    case 24:
   64293     $add165 = $30 + .5;
   64294     $add165_sink = $add165;
   64295     label = 26;
   64296     break;
   64297    case 25:
   64298     $sub170 = $30 + -.5;
   64299     $add165_sink = $sub170;
   64300     label = 26;
   64301     break;
   64302    case 26:
   64303     $conv166 = ~~$add165_sink;
   64304     $add174 = $conv166 + 1 | 0;
   64305     $div175 = ($add174 | 0) / 2 & -1;
   64306     $conv176 = +($div175 | 0);
   64307     $add177 = $29 + $conv176;
   64308     $graph178 = $0 + 20 | 0;
   64309     $31 = HEAP32[$graph178 >> 2] | 0;
   64310     $ranksep180 = $31 + 264 | 0;
   64311     $32 = HEAP32[$ranksep180 >> 2] | 0;
   64312     $div181 = ($32 | 0) / 2 & -1;
   64313     $conv182 = +($div181 | 0);
   64314     $add183 = $add177 + $conv182;
   64315     $33 = +HEAPF64[$x62 >> 3];
   64316     $rw = $0 + 112 | 0;
   64317     $34 = +HEAPF64[$rw >> 3];
   64318     $add190 = $33 + $34;
   64319     $add191 = $add190 + 0.0;
   64320     $35 = +HEAPF64[$y157 >> 3];
   64321     $36 = +HEAPF64[$ht159 >> 3];
   64322     $cmp203 = $36 < 0.0;
   64323     if ($cmp203) {
   64324       label = 28;
   64325       break;
   64326     } else {
   64327       label = 27;
   64328       break;
   64329     }
   64330    case 27:
   64331     $add208 = $36 + .5;
   64332     $add208_sink = $add208;
   64333     label = 29;
   64334     break;
   64335    case 28:
   64336     $sub213 = $36 + -.5;
   64337     $add208_sink = $sub213;
   64338     label = 29;
   64339     break;
   64340    case 29:
   64341     $conv209 = ~~$add208_sink;
   64342     $add217 = $conv209 + 1 | 0;
   64343     $div218 = ($add217 | 0) / 2 & -1;
   64344     $conv219 = +($div218 | 0);
   64345     $sub220 = $35 - $conv219;
   64346     $b0_sroa_0_0__idx = $endp + 56 | 0;
   64347     HEAPF64[$b0_sroa_0_0__idx >> 3] = $b_sroa_0_0_copyload63;
   64348     $b0_sroa_1_8__idx103 = $endp + 64 | 0;
   64349     HEAPF64[$b0_sroa_1_8__idx103 >> 3] = $28;
   64350     $b0_sroa_2_16__idx107 = $endp + 72 | 0;
   64351     HEAPF64[$b0_sroa_2_16__idx107 >> 3] = $add152;
   64352     $b0_sroa_3_24__idx110 = $endp + 80 | 0;
   64353     HEAPF64[$b0_sroa_3_24__idx110 >> 3] = $add183;
   64354     $b_sroa_0_0__idx58 = $endp + 88 | 0;
   64355     HEAPF64[$b_sroa_0_0__idx58 >> 3] = $add191;
   64356     $b_sroa_1_8__idx70 = $endp + 96 | 0;
   64357     HEAPF64[$b_sroa_1_8__idx70 >> 3] = $sub220;
   64358     $b_sroa_2_16__idx81 = $endp + 104 | 0;
   64359     HEAPF64[$b_sroa_2_16__idx81 >> 3] = $add152;
   64360     $b_sroa_3_24__idx94 = $endp + 112 | 0;
   64361     HEAPF64[$b_sroa_3_24__idx94 >> 3] = $28;
   64362     label = 30;
   64363     break;
   64364    case 30:
   64365     $y233 = $P + 8 | 0;
   64366     $37 = +HEAPF64[$y233 >> 3];
   64367     $add234 = $37 + 1.0;
   64368     HEAPF64[$y233 >> 3] = $add234;
   64369     $boxn = $endp + 52 | 0;
   64370     HEAP32[$boxn >> 2] = 2;
   64371     label = 42;
   64372     break;
   64373    case 31:
   64374     $and236 = $conv50 & 1;
   64375     $tobool237 = ($and236 | 0) == 0;
   64376     if ($tobool237) {
   64377       label = 33;
   64378       break;
   64379     } else {
   64380       label = 32;
   64381       break;
   64382     }
   64383    case 32:
   64384     $sidemask239 = $endp + 48 | 0;
   64385     HEAP32[$sidemask239 >> 2] = 1;
   64386     $y244 = $P + 8 | 0;
   64387     $38 = +HEAPF64[$y244 >> 3];
   64388     $cmp245 = $b_sroa_3_24_copyload99 > $38;
   64389     $b_sroa_3_24_copyload99_ = $cmp245 ? $b_sroa_3_24_copyload99 : $38;
   64390     $b_sroa_0_0__idx56 = $endp + 56 | 0;
   64391     HEAPF64[$b_sroa_0_0__idx56 >> 3] = $b_sroa_0_0_copyload63;
   64392     $b_sroa_1_8__idx68 = $endp + 64 | 0;
   64393     HEAPF64[$b_sroa_1_8__idx68 >> 3] = $b_sroa_1_8_copyload75;
   64394     $b_sroa_2_16__idx79 = $endp + 72 | 0;
   64395     HEAPF64[$b_sroa_2_16__idx79 >> 3] = $b_sroa_2_16_copyload86;
   64396     $b_sroa_3_24__idx92 = $endp + 80 | 0;
   64397     HEAPF64[$b_sroa_3_24__idx92 >> 3] = $b_sroa_3_24_copyload99_;
   64398     $boxn260 = $endp + 52 | 0;
   64399     HEAP32[$boxn260 >> 2] = 1;
   64400     $39 = +HEAPF64[$y244 >> 3];
   64401     $sub264 = $39 + -1.0;
   64402     HEAPF64[$y244 >> 3] = $sub264;
   64403     label = 42;
   64404     break;
   64405    case 33:
   64406     $and266 = $conv50 & 8;
   64407     $tobool267 = ($and266 | 0) == 0;
   64408     $sidemask269 = $endp + 48 | 0;
   64409     if ($tobool267) {
   64410       label = 38;
   64411       break;
   64412     } else {
   64413       label = 34;
   64414       break;
   64415     }
   64416    case 34:
   64417     HEAP32[$sidemask269 >> 2] = 8;
   64418     $x272 = $P | 0;
   64419     $40 = +HEAPF64[$x272 >> 3];
   64420     $y277 = $0 + 40 | 0;
   64421     $41 = +HEAPF64[$y277 >> 3];
   64422     $ht279 = $0 + 96 | 0;
   64423     $42 = +HEAPF64[$ht279 >> 3];
   64424     $cmp280 = $42 < 0.0;
   64425     if ($cmp280) {
   64426       label = 36;
   64427       break;
   64428     } else {
   64429       label = 35;
   64430       break;
   64431     }
   64432    case 35:
   64433     $add285 = $42 + .5;
   64434     $add285_sink = $add285;
   64435     label = 37;
   64436     break;
   64437    case 36:
   64438     $sub290 = $42 + -.5;
   64439     $add285_sink = $sub290;
   64440     label = 37;
   64441     break;
   64442    case 37:
   64443     $conv286 = ~~$add285_sink;
   64444     $add294 = $conv286 + 1 | 0;
   64445     $div295 = ($add294 | 0) / 2 & -1;
   64446     $conv296 = +($div295 | 0);
   64447     $sub297 = $41 - $conv296;
   64448     $y302 = $P + 8 | 0;
   64449     $43 = +HEAPF64[$y302 >> 3];
   64450     $b_sroa_0_0__idx54 = $endp + 56 | 0;
   64451     HEAPF64[$b_sroa_0_0__idx54 >> 3] = $b_sroa_0_0_copyload63;
   64452     $b_sroa_1_8__idx66 = $endp + 64 | 0;
   64453     HEAPF64[$b_sroa_1_8__idx66 >> 3] = $sub297;
   64454     $b_sroa_2_16__idx77 = $endp + 72 | 0;
   64455     HEAPF64[$b_sroa_2_16__idx77 >> 3] = $40;
   64456     $b_sroa_3_24__idx90 = $endp + 80 | 0;
   64457     HEAPF64[$b_sroa_3_24__idx90 >> 3] = $43;
   64458     $boxn307 = $endp + 52 | 0;
   64459     HEAP32[$boxn307 >> 2] = 1;
   64460     $44 = +HEAPF64[$x272 >> 3];
   64461     $sub311 = $44 + -1.0;
   64462     HEAPF64[$x272 >> 3] = $sub311;
   64463     label = 42;
   64464     break;
   64465    case 38:
   64466     HEAP32[$sidemask269 >> 2] = 2;
   64467     $x316 = $P | 0;
   64468     $45 = +HEAPF64[$x316 >> 3];
   64469     $y321 = $0 + 40 | 0;
   64470     $46 = +HEAPF64[$y321 >> 3];
   64471     $ht323 = $0 + 96 | 0;
   64472     $47 = +HEAPF64[$ht323 >> 3];
   64473     $cmp324 = $47 < 0.0;
   64474     if ($cmp324) {
   64475       label = 40;
   64476       break;
   64477     } else {
   64478       label = 39;
   64479       break;
   64480     }
   64481    case 39:
   64482     $add329 = $47 + .5;
   64483     $add329_sink = $add329;
   64484     label = 41;
   64485     break;
   64486    case 40:
   64487     $sub334 = $47 + -.5;
   64488     $add329_sink = $sub334;
   64489     label = 41;
   64490     break;
   64491    case 41:
   64492     $conv330 = ~~$add329_sink;
   64493     $add338 = $conv330 + 1 | 0;
   64494     $div339 = ($add338 | 0) / 2 & -1;
   64495     $conv340 = +($div339 | 0);
   64496     $sub341 = $46 - $conv340;
   64497     $y346 = $P + 8 | 0;
   64498     $48 = +HEAPF64[$y346 >> 3];
   64499     $b_sroa_0_0__idx = $endp + 56 | 0;
   64500     HEAPF64[$b_sroa_0_0__idx >> 3] = $45;
   64501     $b_sroa_1_8__idx65 = $endp + 64 | 0;
   64502     HEAPF64[$b_sroa_1_8__idx65 >> 3] = $sub341;
   64503     $b_sroa_2_16__idx76 = $endp + 72 | 0;
   64504     HEAPF64[$b_sroa_2_16__idx76 >> 3] = $b_sroa_2_16_copyload86;
   64505     $b_sroa_3_24__idx89 = $endp + 80 | 0;
   64506     HEAPF64[$b_sroa_3_24__idx89 >> 3] = $48;
   64507     $boxn351 = $endp + 52 | 0;
   64508     HEAP32[$boxn351 >> 2] = 1;
   64509     $49 = +HEAPF64[$x316 >> 3];
   64510     $add355 = $49 + 1.0;
   64511     HEAPF64[$x316 >> 3] = $add355;
   64512     label = 42;
   64513     break;
   64514    case 42:
   64515     $edge_type267 = $e + 128 | 0;
   64516     $50 = HEAP8[$edge_type267] | 0;
   64517     $cmp361268 = $50 << 24 >> 24 == 0;
   64518     if ($cmp361268) {
   64519       $orig_0_lcssa = $e;
   64520       label = 44;
   64521       break;
   64522     } else {
   64523       $orig_0269 = $e;
   64524       label = 43;
   64525       break;
   64526     }
   64527    case 43:
   64528     $to_orig = $orig_0269 + 132 | 0;
   64529     $51 = HEAP32[$to_orig >> 2] | 0;
   64530     $edge_type = $51 + 128 | 0;
   64531     $52 = HEAP8[$edge_type] | 0;
   64532     $cmp361 = $52 << 24 >> 24 == 0;
   64533     if ($cmp361) {
   64534       $orig_0_lcssa = $51;
   64535       label = 44;
   64536       break;
   64537     } else {
   64538       $orig_0269 = $51;
   64539       label = 43;
   64540       break;
   64541     }
   64542    case 44:
   64543     $tail364 = $orig_0_lcssa + 16 | 0;
   64544     $53 = HEAP32[$tail364 >> 2] | 0;
   64545     $cmp365 = ($0 | 0) == ($53 | 0);
   64546     if ($cmp365) {
   64547       label = 45;
   64548       break;
   64549     } else {
   64550       label = 46;
   64551       break;
   64552     }
   64553    case 45:
   64554     $clip = $orig_0_lcssa + 62 | 0;
   64555     HEAP8[$clip] = 0;
   64556     label = 99;
   64557     break;
   64558    case 46:
   64559     $clip372 = $orig_0_lcssa + 102 | 0;
   64560     HEAP8[$clip372] = 0;
   64561     label = 99;
   64562     break;
   64563    case 47:
   64564     $cmp375 = ($et | 0) == 2;
   64565     if ($cmp375) {
   64566       label = 48;
   64567       break;
   64568     } else {
   64569       label = 88;
   64570       break;
   64571     }
   64572    case 48:
   64573     $side380 = $e + 65 | 0;
   64574     $54 = HEAP8[$side380] | 0;
   64575     $conv381 = $54 & 255;
   64576     $tobool382 = $54 << 24 >> 24 == 0;
   64577     if ($tobool382) {
   64578       label = 88;
   64579       break;
   64580     } else {
   64581       label = 49;
   64582       break;
   64583     }
   64584    case 49:
   64585     $b387_sroa_0_0__idx12 = $endp | 0;
   64586     $b387_sroa_0_0_copyload13 = +HEAPF64[$b387_sroa_0_0__idx12 >> 3];
   64587     $b387_sroa_1_8__idx23 = $endp + 8 | 0;
   64588     $b387_sroa_1_8_copyload24 = +HEAPF64[$b387_sroa_1_8__idx23 >> 3];
   64589     $b387_sroa_2_16__idx35 = $endp + 16 | 0;
   64590     $b387_sroa_2_16_copyload36 = +HEAPF64[$b387_sroa_2_16__idx35 >> 3];
   64591     $b387_sroa_3_24__idx47 = $endp + 24 | 0;
   64592     $b387_sroa_3_24_copyload48 = +HEAPF64[$b387_sroa_3_24__idx47 >> 3];
   64593     $and391 = $conv381 & 4;
   64594     $tobool392 = ($and391 | 0) == 0;
   64595     if ($tobool392) {
   64596       label = 51;
   64597       break;
   64598     } else {
   64599       label = 50;
   64600       break;
   64601     }
   64602    case 50:
   64603     $y398 = $P + 8 | 0;
   64604     $55 = +HEAPF64[$y398 >> 3];
   64605     $cmp399 = $b387_sroa_1_8_copyload24 < $55;
   64606     $b387_sroa_1_8_copyload24_ = $cmp399 ? $b387_sroa_1_8_copyload24 : $55;
   64607     $b387_sroa_0_0__idx10 = $endp + 56 | 0;
   64608     HEAPF64[$b387_sroa_0_0__idx10 >> 3] = $b387_sroa_0_0_copyload13;
   64609     $b387_sroa_1_8__idx21 = $endp + 64 | 0;
   64610     HEAPF64[$b387_sroa_1_8__idx21 >> 3] = $b387_sroa_1_8_copyload24_;
   64611     $b387_sroa_2_16__idx33 = $endp + 72 | 0;
   64612     HEAPF64[$b387_sroa_2_16__idx33 >> 3] = $b387_sroa_2_16_copyload36;
   64613     $b387_sroa_3_24__idx45 = $endp + 80 | 0;
   64614     HEAPF64[$b387_sroa_3_24__idx45 >> 3] = $b387_sroa_3_24_copyload48;
   64615     $boxn414 = $endp + 52 | 0;
   64616     HEAP32[$boxn414 >> 2] = 1;
   64617     label = 82;
   64618     break;
   64619    case 51:
   64620     $and416 = $conv381 & 1;
   64621     $tobool417 = ($and416 | 0) == 0;
   64622     if ($tobool417) {
   64623       label = 61;
   64624       break;
   64625     } else {
   64626       label = 52;
   64627       break;
   64628     }
   64629    case 52:
   64630     $sidemask419 = $endp + 48 | 0;
   64631     $56 = HEAP32[$sidemask419 >> 2] | 0;
   64632     $cmp420 = ($56 | 0) == 4;
   64633     if ($cmp420) {
   64634       label = 53;
   64635       break;
   64636     } else {
   64637       label = 60;
   64638       break;
   64639     }
   64640    case 53:
   64641     $y425 = $0 + 40 | 0;
   64642     $57 = +HEAPF64[$y425 >> 3];
   64643     $ht427 = $0 + 96 | 0;
   64644     $58 = +HEAPF64[$ht427 >> 3];
   64645     $cmp428 = $58 < 0.0;
   64646     if ($cmp428) {
   64647       label = 55;
   64648       break;
   64649     } else {
   64650       label = 54;
   64651       break;
   64652     }
   64653    case 54:
   64654     $add433 = $58 + .5;
   64655     $add433_sink = $add433;
   64656     label = 56;
   64657     break;
   64658    case 55:
   64659     $sub438 = $58 + -.5;
   64660     $add433_sink = $sub438;
   64661     label = 56;
   64662     break;
   64663    case 56:
   64664     $conv434 = ~~$add433_sink;
   64665     $add442 = $conv434 + 1 | 0;
   64666     $div443 = ($add442 | 0) / 2 & -1;
   64667     $conv444 = +($div443 | 0);
   64668     $sub445 = $57 - $conv444;
   64669     $add450 = $b387_sroa_2_16_copyload36 + 1.0;
   64670     $x455 = $P | 0;
   64671     $59 = +HEAPF64[$x455 >> 3];
   64672     $graph460 = $0 + 20 | 0;
   64673     $60 = HEAP32[$graph460 >> 2] | 0;
   64674     $ranksep462 = $60 + 264 | 0;
   64675     $61 = HEAP32[$ranksep462 >> 2] | 0;
   64676     $div463 = ($61 | 0) / 2 & -1;
   64677     $conv464 = +($div463 | 0);
   64678     $sub465 = $sub445 - $conv464;
   64679     $x470 = $coord | 0;
   64680     $62 = +HEAPF64[$x470 >> 3];
   64681     $rw472 = $0 + 112 | 0;
   64682     $63 = +HEAPF64[$rw472 >> 3];
   64683     $add473 = $62 + $63;
   64684     $add474 = $add473 + 0.0;
   64685     $64 = +HEAPF64[$y425 >> 3];
   64686     $65 = +HEAPF64[$ht427 >> 3];
   64687     $cmp486 = $65 < 0.0;
   64688     if ($cmp486) {
   64689       label = 58;
   64690       break;
   64691     } else {
   64692       label = 57;
   64693       break;
   64694     }
   64695    case 57:
   64696     $add491 = $65 + .5;
   64697     $add491_sink = $add491;
   64698     label = 59;
   64699     break;
   64700    case 58:
   64701     $sub496 = $65 + -.5;
   64702     $add491_sink = $sub496;
   64703     label = 59;
   64704     break;
   64705    case 59:
   64706     $conv492 = ~~$add491_sink;
   64707     $add500 = $conv492 + 1 | 0;
   64708     $div501 = ($add500 | 0) / 2 & -1;
   64709     $conv502 = +($div501 | 0);
   64710     $add503 = $64 + $conv502;
   64711     $b0385_sroa_0_0__idx = $endp + 56 | 0;
   64712     HEAPF64[$b0385_sroa_0_0__idx >> 3] = $59;
   64713     $b0385_sroa_1_8__idx50 = $endp + 64 | 0;
   64714     HEAPF64[$b0385_sroa_1_8__idx50 >> 3] = $sub465;
   64715     $b0385_sroa_2_16__idx51 = $endp + 72 | 0;
   64716     HEAPF64[$b0385_sroa_2_16__idx51 >> 3] = $add450;
   64717     $b0385_sroa_3_24__idx52 = $endp + 80 | 0;
   64718     HEAPF64[$b0385_sroa_3_24__idx52 >> 3] = $sub445;
   64719     $b387_sroa_0_0__idx8 = $endp + 88 | 0;
   64720     HEAPF64[$b387_sroa_0_0__idx8 >> 3] = $add474;
   64721     $b387_sroa_1_8__idx19 = $endp + 96 | 0;
   64722     HEAPF64[$b387_sroa_1_8__idx19 >> 3] = $sub445;
   64723     $b387_sroa_2_16__idx31 = $endp + 104 | 0;
   64724     HEAPF64[$b387_sroa_2_16__idx31 >> 3] = $add450;
   64725     $b387_sroa_3_24__idx43 = $endp + 112 | 0;
   64726     HEAPF64[$b387_sroa_3_24__idx43 >> 3] = $add503;
   64727     $boxn513 = $endp + 52 | 0;
   64728     HEAP32[$boxn513 >> 2] = 2;
   64729     label = 82;
   64730     break;
   64731    case 60:
   64732     $y519 = $P + 8 | 0;
   64733     $66 = +HEAPF64[$y519 >> 3];
   64734     $cmp520 = $b387_sroa_3_24_copyload48 > $66;
   64735     $b387_sroa_3_24_copyload48_ = $cmp520 ? $b387_sroa_3_24_copyload48 : $66;
   64736     $b387_sroa_0_0__idx6 = $endp + 56 | 0;
   64737     HEAPF64[$b387_sroa_0_0__idx6 >> 3] = $b387_sroa_0_0_copyload13;
   64738     $b387_sroa_1_8__idx17 = $endp + 64 | 0;
   64739     HEAPF64[$b387_sroa_1_8__idx17 >> 3] = $b387_sroa_1_8_copyload24;
   64740     $b387_sroa_2_16__idx29 = $endp + 72 | 0;
   64741     HEAPF64[$b387_sroa_2_16__idx29 >> 3] = $b387_sroa_2_16_copyload36;
   64742     $b387_sroa_3_24__idx41 = $endp + 80 | 0;
   64743     HEAPF64[$b387_sroa_3_24__idx41 >> 3] = $b387_sroa_3_24_copyload48_;
   64744     $boxn535 = $endp + 52 | 0;
   64745     HEAP32[$boxn535 >> 2] = 1;
   64746     label = 82;
   64747     break;
   64748    case 61:
   64749     $and538 = $conv381 & 8;
   64750     $tobool539 = ($and538 | 0) == 0;
   64751     $x543 = $P | 0;
   64752     $67 = +HEAPF64[$x543 >> 3];
   64753     if ($tobool539) {
   64754       label = 72;
   64755       break;
   64756     } else {
   64757       label = 62;
   64758       break;
   64759     }
   64760    case 62:
   64761     $add544 = $67 + 1.0;
   64762     $sidemask547 = $endp + 48 | 0;
   64763     $68 = HEAP32[$sidemask547 >> 2] | 0;
   64764     $cmp548 = ($68 | 0) == 4;
   64765     $y553 = $0 + 40 | 0;
   64766     $69 = +HEAPF64[$y553 >> 3];
   64767     $ht555 = $0 + 96 | 0;
   64768     $70 = +HEAPF64[$ht555 >> 3];
   64769     $cmp556 = $70 >= 0.0;
   64770     if ($cmp548) {
   64771       label = 63;
   64772       break;
   64773     } else {
   64774       label = 67;
   64775       break;
   64776     }
   64777    case 63:
   64778     if ($cmp556) {
   64779       label = 64;
   64780       break;
   64781     } else {
   64782       label = 65;
   64783       break;
   64784     }
   64785    case 64:
   64786     $add561 = $70 + .5;
   64787     $add561_sink = $add561;
   64788     label = 66;
   64789     break;
   64790    case 65:
   64791     $sub566 = $70 + -.5;
   64792     $add561_sink = $sub566;
   64793     label = 66;
   64794     break;
   64795    case 66:
   64796     $conv562 = ~~$add561_sink;
   64797     $add570 = $conv562 + 1 | 0;
   64798     $div571 = ($add570 | 0) / 2 & -1;
   64799     $conv572 = +($div571 | 0);
   64800     $add573 = $69 + $conv572;
   64801     $y578 = $P + 8 | 0;
   64802     $71 = +HEAPF64[$y578 >> 3];
   64803     $sub579 = $71 + -1.0;
   64804     $b387_sroa_1_0 = $sub579;
   64805     $b387_sroa_3_0 = $add573;
   64806     label = 71;
   64807     break;
   64808    case 67:
   64809     if ($cmp556) {
   64810       label = 68;
   64811       break;
   64812     } else {
   64813       label = 69;
   64814       break;
   64815     }
   64816    case 68:
   64817     $add593 = $70 + .5;
   64818     $add593_sink = $add593;
   64819     label = 70;
   64820     break;
   64821    case 69:
   64822     $sub598 = $70 + -.5;
   64823     $add593_sink = $sub598;
   64824     label = 70;
   64825     break;
   64826    case 70:
   64827     $conv594 = ~~$add593_sink;
   64828     $add602 = $conv594 + 1 | 0;
   64829     $div603 = ($add602 | 0) / 2 & -1;
   64830     $conv604 = +($div603 | 0);
   64831     $sub605 = $69 - $conv604;
   64832     $y610 = $P + 8 | 0;
   64833     $72 = +HEAPF64[$y610 >> 3];
   64834     $add611 = $72 + 1.0;
   64835     $b387_sroa_1_0 = $sub605;
   64836     $b387_sroa_3_0 = $add611;
   64837     label = 71;
   64838     break;
   64839    case 71:
   64840     $b387_sroa_0_0__idx4 = $endp + 56 | 0;
   64841     HEAPF64[$b387_sroa_0_0__idx4 >> 3] = $b387_sroa_0_0_copyload13;
   64842     $b387_sroa_1_8__idx15 = $endp + 64 | 0;
   64843     HEAPF64[$b387_sroa_1_8__idx15 >> 3] = $b387_sroa_1_0;
   64844     $b387_sroa_2_16__idx27 = $endp + 72 | 0;
   64845     HEAPF64[$b387_sroa_2_16__idx27 >> 3] = $add544;
   64846     $b387_sroa_3_24__idx39 = $endp + 80 | 0;
   64847     HEAPF64[$b387_sroa_3_24__idx39 >> 3] = $b387_sroa_3_0;
   64848     $boxn617 = $endp + 52 | 0;
   64849     HEAP32[$boxn617 >> 2] = 1;
   64850     label = 82;
   64851     break;
   64852    case 72:
   64853     $sidemask624 = $endp + 48 | 0;
   64854     $73 = HEAP32[$sidemask624 >> 2] | 0;
   64855     $cmp625 = ($73 | 0) == 4;
   64856     $y630 = $0 + 40 | 0;
   64857     $74 = +HEAPF64[$y630 >> 3];
   64858     $ht632 = $0 + 96 | 0;
   64859     $75 = +HEAPF64[$ht632 >> 3];
   64860     $cmp633 = $75 >= 0.0;
   64861     if ($cmp625) {
   64862       label = 73;
   64863       break;
   64864     } else {
   64865       label = 77;
   64866       break;
   64867     }
   64868    case 73:
   64869     if ($cmp633) {
   64870       label = 74;
   64871       break;
   64872     } else {
   64873       label = 75;
   64874       break;
   64875     }
   64876    case 74:
   64877     $add638 = $75 + .5;
   64878     $add638_sink = $add638;
   64879     label = 76;
   64880     break;
   64881    case 75:
   64882     $sub643 = $75 + -.5;
   64883     $add638_sink = $sub643;
   64884     label = 76;
   64885     break;
   64886    case 76:
   64887     $conv639 = ~~$add638_sink;
   64888     $add647 = $conv639 + 1 | 0;
   64889     $div648 = ($add647 | 0) / 2 & -1;
   64890     $conv649 = +($div648 | 0);
   64891     $add650 = $74 + $conv649;
   64892     $y655 = $P + 8 | 0;
   64893     $76 = +HEAPF64[$y655 >> 3];
   64894     $b387_sroa_1_1 = $76;
   64895     $b387_sroa_3_1 = $add650;
   64896     label = 81;
   64897     break;
   64898    case 77:
   64899     if ($cmp633) {
   64900       label = 78;
   64901       break;
   64902     } else {
   64903       label = 79;
   64904       break;
   64905     }
   64906    case 78:
   64907     $add669 = $75 + .5;
   64908     $add669_sink = $add669;
   64909     label = 80;
   64910     break;
   64911    case 79:
   64912     $sub674 = $75 + -.5;
   64913     $add669_sink = $sub674;
   64914     label = 80;
   64915     break;
   64916    case 80:
   64917     $conv670 = ~~$add669_sink;
   64918     $add678 = $conv670 + 1 | 0;
   64919     $div679 = ($add678 | 0) / 2 & -1;
   64920     $conv680 = +($div679 | 0);
   64921     $sub681 = $74 - $conv680;
   64922     $y686 = $P + 8 | 0;
   64923     $77 = +HEAPF64[$y686 >> 3];
   64924     $add687 = $77 + 1.0;
   64925     $b387_sroa_1_1 = $sub681;
   64926     $b387_sroa_3_1 = $add687;
   64927     label = 81;
   64928     break;
   64929    case 81:
   64930     $b387_sroa_0_0__idx = $endp + 56 | 0;
   64931     HEAPF64[$b387_sroa_0_0__idx >> 3] = $67;
   64932     $b387_sroa_1_8__idx14 = $endp + 64 | 0;
   64933     HEAPF64[$b387_sroa_1_8__idx14 >> 3] = $b387_sroa_1_1;
   64934     $b387_sroa_2_16__idx26 = $endp + 72 | 0;
   64935     HEAPF64[$b387_sroa_2_16__idx26 >> 3] = $b387_sroa_2_16_copyload36;
   64936     $b387_sroa_3_24__idx38 = $endp + 80 | 0;
   64937     HEAPF64[$b387_sroa_3_24__idx38 >> 3] = $b387_sroa_3_1;
   64938     $boxn693 = $endp + 52 | 0;
   64939     HEAP32[$boxn693 >> 2] = 1;
   64940     label = 82;
   64941     break;
   64942    case 82:
   64943     $edge_type699264 = $e + 128 | 0;
   64944     $78 = HEAP8[$edge_type699264] | 0;
   64945     $cmp701265 = $78 << 24 >> 24 == 0;
   64946     if ($cmp701265) {
   64947       $orig390_0_lcssa = $e;
   64948       label = 84;
   64949       break;
   64950     } else {
   64951       $orig390_0266 = $e;
   64952       label = 83;
   64953       break;
   64954     }
   64955    case 83:
   64956     $to_orig706 = $orig390_0266 + 132 | 0;
   64957     $79 = HEAP32[$to_orig706 >> 2] | 0;
   64958     $edge_type699 = $79 + 128 | 0;
   64959     $80 = HEAP8[$edge_type699] | 0;
   64960     $cmp701 = $80 << 24 >> 24 == 0;
   64961     if ($cmp701) {
   64962       $orig390_0_lcssa = $79;
   64963       label = 84;
   64964       break;
   64965     } else {
   64966       $orig390_0266 = $79;
   64967       label = 83;
   64968       break;
   64969     }
   64970    case 84:
   64971     $tail708 = $orig390_0_lcssa + 16 | 0;
   64972     $81 = HEAP32[$tail708 >> 2] | 0;
   64973     $cmp709 = ($0 | 0) == ($81 | 0);
   64974     if ($cmp709) {
   64975       label = 85;
   64976       break;
   64977     } else {
   64978       label = 86;
   64979       break;
   64980     }
   64981    case 85:
   64982     $clip714 = $orig390_0_lcssa + 62 | 0;
   64983     HEAP8[$clip714] = 0;
   64984     label = 87;
   64985     break;
   64986    case 86:
   64987     $clip718 = $orig390_0_lcssa + 102 | 0;
   64988     HEAP8[$clip718] = 0;
   64989     label = 87;
   64990     break;
   64991    case 87:
   64992     $sidemask720 = $endp + 48 | 0;
   64993     HEAP32[$sidemask720 >> 2] = $conv381;
   64994     label = 99;
   64995     break;
   64996    case 88:
   64997     if ($cmp) {
   64998       $side_0 = 1;
   64999       label = 90;
   65000       break;
   65001     } else {
   65002       label = 89;
   65003       break;
   65004     }
   65005    case 89:
   65006     $sidemask726 = $endp + 48 | 0;
   65007     $82 = HEAP32[$sidemask726 >> 2] | 0;
   65008     $side_0 = $82;
   65009     label = 90;
   65010     break;
   65011    case 90:
   65012     $tobool728 = ($pboxfn_0 | 0) == 0;
   65013     if ($tobool728) {
   65014       label = 93;
   65015       break;
   65016     } else {
   65017       label = 91;
   65018       break;
   65019     }
   65020    case 91:
   65021     $arrayidx733 = $endp + 56 | 0;
   65022     $boxn734 = $endp + 52 | 0;
   65023     $call735 = FUNCTION_TABLE_iiiiii[$pboxfn_0 & 1023]($0, $tail_port, $side_0, $arrayidx733, $boxn734) | 0;
   65024     $tobool736 = ($call735 | 0) == 0;
   65025     if ($tobool736) {
   65026       label = 93;
   65027       break;
   65028     } else {
   65029       label = 92;
   65030       break;
   65031     }
   65032    case 92:
   65033     $sidemask738 = $endp + 48 | 0;
   65034     HEAP32[$sidemask738 >> 2] = $call735;
   65035     label = 99;
   65036     break;
   65037    case 93:
   65038     $arrayidx741 = $endp + 56 | 0;
   65039     $83 = $arrayidx741;
   65040     $84 = $endp;
   65041     HEAP32[$83 >> 2] = HEAP32[$84 >> 2] | 0;
   65042     HEAP32[$83 + 4 >> 2] = HEAP32[$84 + 4 >> 2] | 0;
   65043     HEAP32[$83 + 8 >> 2] = HEAP32[$84 + 8 >> 2] | 0;
   65044     HEAP32[$83 + 12 >> 2] = HEAP32[$84 + 12 >> 2] | 0;
   65045     HEAP32[$83 + 16 >> 2] = HEAP32[$84 + 16 >> 2] | 0;
   65046     HEAP32[$83 + 20 >> 2] = HEAP32[$84 + 20 >> 2] | 0;
   65047     HEAP32[$83 + 24 >> 2] = HEAP32[$84 + 24 >> 2] | 0;
   65048     HEAP32[$83 + 28 >> 2] = HEAP32[$84 + 28 >> 2] | 0;
   65049     $boxn743 = $endp + 52 | 0;
   65050     HEAP32[$boxn743 >> 2] = 1;
   65051     if (($et | 0) == 8) {
   65052       label = 94;
   65053       break;
   65054     } else if (($et | 0) == 2) {
   65055       label = 95;
   65056       break;
   65057     } else if (($et | 0) == 1) {
   65058       label = 98;
   65059       break;
   65060     } else {
   65061       label = 99;
   65062       break;
   65063     }
   65064    case 94:
   65065     ___assert_func(96712, 565, 164624, 137288);
   65066    case 95:
   65067     $sidemask745 = $endp + 48 | 0;
   65068     $85 = HEAP32[$sidemask745 >> 2] | 0;
   65069     $cmp746 = ($85 | 0) == 4;
   65070     $y751 = $P + 8 | 0;
   65071     $86 = +HEAPF64[$y751 >> 3];
   65072     if ($cmp746) {
   65073       label = 96;
   65074       break;
   65075     } else {
   65076       label = 97;
   65077       break;
   65078     }
   65079    case 96:
   65080     $y755 = $endp + 64 | 0;
   65081     HEAPF64[$y755 >> 3] = $86;
   65082     label = 99;
   65083     break;
   65084    case 97:
   65085     $y763 = $endp + 80 | 0;
   65086     HEAPF64[$y763 >> 3] = $86;
   65087     label = 99;
   65088     break;
   65089    case 98:
   65090     $y768 = $P + 8 | 0;
   65091     $87 = +HEAPF64[$y768 >> 3];
   65092     $y772 = $endp + 80 | 0;
   65093     HEAPF64[$y772 >> 3] = $87;
   65094     $sidemask773 = $endp + 48 | 0;
   65095     HEAP32[$sidemask773 >> 2] = 1;
   65096     $88 = +HEAPF64[$y768 >> 3];
   65097     $sub777 = $88 + -1.0;
   65098     HEAPF64[$y768 >> 3] = $sub777;
   65099     label = 99;
   65100     break;
   65101    case 99:
   65102     STACKTOP = __stackBase__;
   65103     return;
   65104   }
   65105 }
   65106 function _selfRightSpace($e) {
   65107   $e = $e | 0;
   65108   var $label = 0, $0 = 0, $defined = 0, $1 = 0, $tobool = 0, $defined3 = 0, $2 = 0, $tobool4 = 0, $side = 0, $3 = 0, $conv = 0, $and = 0, $tobool7 = 0, $side11 = 0, $4 = 0, $and13 = 0, $tobool14 = 0, $cmp = 0, $and30 = 0, $tobool31 = 0, $tobool32 = 0, $head = 0, $5 = 0, $graph = 0, $6 = 0, $rankdir = 0, $7 = 0, $and36 = 0, $tobool37 = 0, $y = 0, $x = 0, $cond_in = 0, $cond = 0.0, $add = 0.0, $conv40 = 0, $sw_0 = 0, label = 0;
   65109   label = 2;
   65110   while (1) switch (label | 0) {
   65111    case 2:
   65112     $label = $e + 112 | 0;
   65113     $0 = HEAP32[$label >> 2] | 0;
   65114     $defined = $e + 60 | 0;
   65115     $1 = HEAP8[$defined] | 0;
   65116     $tobool = $1 << 24 >> 24 == 0;
   65117     if ($tobool) {
   65118       label = 3;
   65119       break;
   65120     } else {
   65121       label = 4;
   65122       break;
   65123     }
   65124    case 3:
   65125     $defined3 = $e + 100 | 0;
   65126     $2 = HEAP8[$defined3] | 0;
   65127     $tobool4 = $2 << 24 >> 24 == 0;
   65128     if ($tobool4) {
   65129       label = 8;
   65130       break;
   65131     } else {
   65132       label = 4;
   65133       break;
   65134     }
   65135    case 4:
   65136     $side = $e + 65 | 0;
   65137     $3 = HEAP8[$side] | 0;
   65138     $conv = $3 & 255;
   65139     $and = $conv & 8;
   65140     $tobool7 = ($and | 0) == 0;
   65141     if ($tobool7) {
   65142       label = 5;
   65143       break;
   65144     } else {
   65145       $sw_0 = 0;
   65146       label = 10;
   65147       break;
   65148     }
   65149    case 5:
   65150     $side11 = $e + 105 | 0;
   65151     $4 = HEAP8[$side11] | 0;
   65152     $and13 = $4 & 8;
   65153     $tobool14 = $and13 << 24 >> 24 == 0;
   65154     if ($tobool14) {
   65155       label = 6;
   65156       break;
   65157     } else {
   65158       $sw_0 = 0;
   65159       label = 10;
   65160       break;
   65161     }
   65162    case 6:
   65163     $cmp = $3 << 24 >> 24 == $4 << 24 >> 24;
   65164     if ($cmp) {
   65165       label = 7;
   65166       break;
   65167     } else {
   65168       label = 8;
   65169       break;
   65170     }
   65171    case 7:
   65172     $and30 = $conv & 5;
   65173     $tobool31 = ($and30 | 0) == 0;
   65174     if ($tobool31) {
   65175       label = 8;
   65176       break;
   65177     } else {
   65178       $sw_0 = 0;
   65179       label = 10;
   65180       break;
   65181     }
   65182    case 8:
   65183     $tobool32 = ($0 | 0) == 0;
   65184     if ($tobool32) {
   65185       $sw_0 = 18;
   65186       label = 10;
   65187       break;
   65188     } else {
   65189       label = 9;
   65190       break;
   65191     }
   65192    case 9:
   65193     $head = $e + 12 | 0;
   65194     $5 = HEAP32[$head >> 2] | 0;
   65195     $graph = $5 + 20 | 0;
   65196     $6 = HEAP32[$graph >> 2] | 0;
   65197     $rankdir = $6 + 156 | 0;
   65198     $7 = HEAP32[$rankdir >> 2] | 0;
   65199     $and36 = $7 & 1;
   65200     $tobool37 = ($and36 | 0) == 0;
   65201     $y = $0 + 32 | 0;
   65202     $x = $0 + 24 | 0;
   65203     $cond_in = $tobool37 ? $x : $y;
   65204     $cond = +HEAPF64[$cond_in >> 3];
   65205     $add = $cond + 18.0;
   65206     $conv40 = ~~$add;
   65207     $sw_0 = $conv40;
   65208     label = 10;
   65209     break;
   65210    case 10:
   65211     return $sw_0 | 0;
   65212   }
   65213   return 0;
   65214 }
   65215 function _endpath($P, $e, $et, $endp, $merge) {
   65216   $P = $P | 0;
   65217   $e = $e | 0;
   65218   $et = $et | 0;
   65219   $endp = $endp | 0;
   65220   $merge = $merge | 0;
   65221   var $tmp = 0, $tmp17 = 0, $head = 0, $0 = 0, $head_port = 0, $dyna = 0, $1 = 0, $tobool = 0, $tail = 0, $2 = 0, $3 = 0, $4 = 0, $shape = 0, $5 = 0, $tobool7 = 0, $fns = 0, $6 = 0, $pboxfn11 = 0, $7 = 0, $pboxfn_0 = 0, $end = 0, $coord = 0, $p16 = 0, $8 = 0, $9 = 0, $tobool18 = 0, $10 = 0, $call = 0.0, $add = 0.0, $theta = 0, $cmp = 0, $constrained = 0, $constrained28 = 0, $11 = 0, $tobool29 = 0, $theta33 = 0, $12 = 0.0, $theta35 = 0, $constrained37 = 0, $constrained40 = 0, $np = 0, $13 = 0, $cmp45 = 0, $node_type = 0, $14 = 0, $cmp47 = 0, $side52 = 0, $15 = 0, $conv53 = 0, $tobool54 = 0, $b_sroa_0_0__idx62 = 0, $b_sroa_0_0_copyload63 = 0.0, $b_sroa_1_8__idx74 = 0, $b_sroa_1_8_copyload75 = 0.0, $b_sroa_2_16__idx86 = 0, $b_sroa_2_16_copyload87 = 0.0, $and = 0, $tobool59 = 0, $b_sroa_3_24__idx99 = 0, $b_sroa_3_24_copyload100 = 0.0, $sidemask = 0, $y63 = 0, $16 = 0.0, $cmp64 = 0, $b_sroa_1_8_copyload75_ = 0.0, $b_sroa_0_0__idx60 = 0, $b_sroa_1_8__idx72 = 0, $b_sroa_2_16__idx84 = 0, $b_sroa_3_24__idx97 = 0, $boxn = 0, $17 = 0.0, $add79 = 0.0, $and81 = 0, $tobool82 = 0, $sidemask84 = 0, $x = 0, $18 = 0.0, $x89 = 0, $19 = 0.0, $cmp90 = 0, $sub = 0.0, $y99 = 0, $20 = 0.0, $y107 = 0, $21 = 0.0, $ht = 0, $22 = 0.0, $cmp109 = 0, $add114 = 0.0, $sub119 = 0.0, $add114_sink = 0.0, $conv115 = 0, $add123 = 0, $div = 0, $conv124 = 0.0, $sub125 = 0.0, $graph = 0, $23 = 0, $ranksep = 0, $24 = 0, $div127 = 0, $conv128 = 0.0, $sub129 = 0.0, $25 = 0.0, $lw = 0, $26 = 0.0, $sub136 = 0.0, $27 = 0.0, $28 = 0.0, $cmp149 = 0, $add154 = 0.0, $sub159 = 0.0, $add154_sink = 0.0, $conv155 = 0, $add163 = 0, $div164 = 0, $conv165 = 0.0, $add166 = 0.0, $b0_sroa_0_0__idx101 = 0, $b0_sroa_1_8__idx104 = 0, $b0_sroa_2_16__idx107 = 0, $b0_sroa_3_24__idx110 = 0, $b_sroa_0_0__idx58 = 0, $b_sroa_1_8__idx70 = 0, $b_sroa_2_16__idx82 = 0, $b_sroa_3_24__idx95 = 0, $y183 = 0, $29 = 0.0, $add188 = 0.0, $y193 = 0, $30 = 0.0, $ht195 = 0, $31 = 0.0, $cmp196 = 0, $add201 = 0.0, $sub206 = 0.0, $add201_sink = 0.0, $conv202 = 0, $add210 = 0, $div211 = 0, $conv212 = 0.0, $sub213 = 0.0, $graph214 = 0, $32 = 0, $ranksep216 = 0, $33 = 0, $div217 = 0, $conv218 = 0.0, $sub219 = 0.0, $34 = 0.0, $rw = 0, $35 = 0.0, $add226 = 0.0, $add227 = 0.0, $36 = 0.0, $37 = 0.0, $cmp239 = 0, $add244 = 0.0, $sub249 = 0.0, $add244_sink = 0.0, $conv245 = 0, $add253 = 0, $div254 = 0, $conv255 = 0.0, $add256 = 0.0, $b0_sroa_0_0__idx = 0, $b0_sroa_1_8__idx103 = 0, $b0_sroa_2_16__idx106 = 0, $b0_sroa_3_24__idx109 = 0, $b_sroa_0_0__idx56 = 0, $b_sroa_1_8__idx68 = 0, $b_sroa_2_16__idx80 = 0, $b_sroa_3_24__idx93 = 0, $boxn267 = 0, $y270 = 0, $38 = 0.0, $sub271 = 0.0, $and273 = 0, $tobool274 = 0, $sidemask276 = 0, $x279 = 0, $39 = 0.0, $y284 = 0, $40 = 0.0, $ht286 = 0, $41 = 0.0, $cmp287 = 0, $add292 = 0.0, $sub297 = 0.0, $add292_sink = 0.0, $conv293 = 0, $add301 = 0, $div302 = 0, $conv303 = 0.0, $add304 = 0.0, $y309 = 0, $42 = 0.0, $b_sroa_0_0__idx54 = 0, $b_sroa_1_8__idx66 = 0, $b_sroa_2_16__idx78 = 0, $b_sroa_3_24__idx91 = 0, $boxn314 = 0, $43 = 0.0, $sub318 = 0.0, $x323 = 0, $44 = 0.0, $y328 = 0, $45 = 0.0, $ht330 = 0, $46 = 0.0, $cmp331 = 0, $add336 = 0.0, $sub341 = 0.0, $add336_sink = 0.0, $conv337 = 0, $add345 = 0, $div346 = 0, $conv347 = 0.0, $add348 = 0.0, $y353 = 0, $47 = 0.0, $b_sroa_0_0__idx = 0, $b_sroa_1_8__idx65 = 0, $b_sroa_2_16__idx77 = 0, $b_sroa_3_24__idx90 = 0, $boxn358 = 0, $48 = 0.0, $add362 = 0.0, $edge_type267 = 0, $49 = 0, $cmp368268 = 0, $orig_0269 = 0, $to_orig = 0, $50 = 0, $edge_type = 0, $51 = 0, $cmp368 = 0, $orig_0_lcssa = 0, $head371 = 0, $52 = 0, $cmp372 = 0, $clip = 0, $clip379 = 0, $sidemask381 = 0, $cmp383 = 0, $side388 = 0, $53 = 0, $conv389 = 0, $tobool390 = 0, $b395_sroa_0_0__idx12 = 0, $b395_sroa_0_0_copyload13 = 0.0, $b395_sroa_1_8__idx24 = 0, $b395_sroa_1_8_copyload25 = 0.0, $b395_sroa_2_16__idx36 = 0, $b395_sroa_2_16_copyload37 = 0.0, $b395_sroa_3_24__idx47 = 0, $b395_sroa_3_24_copyload48 = 0.0, $and399 = 0, $tobool400 = 0, $y406 = 0, $54 = 0.0, $cmp407 = 0, $b395_sroa_1_8_copyload25_ = 0.0, $b395_sroa_0_0__idx10 = 0, $b395_sroa_1_8__idx22 = 0, $b395_sroa_2_16__idx34 = 0, $b395_sroa_3_24__idx45 = 0, $boxn422 = 0, $and424 = 0, $tobool425 = 0, $sidemask427 = 0, $55 = 0, $cmp428 = 0, $sub433 = 0.0, $y438 = 0, $56 = 0.0, $ht440 = 0, $57 = 0.0, $cmp441 = 0, $add446 = 0.0, $sub451 = 0.0, $add446_sink = 0.0, $conv447 = 0, $add455 = 0, $div456 = 0, $conv457 = 0.0, $sub458 = 0.0, $x463 = 0, $58 = 0.0, $graph468 = 0, $59 = 0, $ranksep470 = 0, $60 = 0, $div471 = 0, $conv472 = 0.0, $sub473 = 0.0, $x478 = 0, $61 = 0.0, $lw480 = 0, $62 = 0.0, $sub481 = 0.0, $sub482 = 0.0, $63 = 0.0, $64 = 0.0, $cmp494 = 0, $add499 = 0.0, $sub504 = 0.0, $add499_sink = 0.0, $conv500 = 0, $add508 = 0, $div509 = 0, $conv510 = 0.0, $add511 = 0.0, $b0393_sroa_0_0__idx = 0, $b0393_sroa_1_8__idx50 = 0, $b0393_sroa_2_16__idx51 = 0, $b0393_sroa_3_24__idx52 = 0, $b395_sroa_0_0__idx8 = 0, $b395_sroa_1_8__idx20 = 0, $b395_sroa_2_16__idx32 = 0, $b395_sroa_3_24__idx43 = 0, $boxn521 = 0, $y526 = 0, $65 = 0.0, $cmp527 = 0, $b395_sroa_3_24_copyload48_ = 0.0, $b395_sroa_0_0__idx6 = 0, $b395_sroa_1_8__idx18 = 0, $b395_sroa_2_16__idx30 = 0, $b395_sroa_3_24__idx41 = 0, $boxn542 = 0, $and545 = 0, $tobool546 = 0, $x550 = 0, $66 = 0.0, $add551 = 0.0, $sidemask554 = 0, $67 = 0, $cmp555 = 0, $y560 = 0, $68 = 0.0, $ht562 = 0, $69 = 0.0, $cmp563 = 0, $add568 = 0.0, $sub573 = 0.0, $add568_sink = 0.0, $conv569 = 0, $add577 = 0, $div578 = 0, $conv579 = 0.0, $add580 = 0.0, $y585 = 0, $70 = 0.0, $sub586 = 0.0, $add600 = 0.0, $sub605 = 0.0, $add600_sink = 0.0, $conv601 = 0, $add609 = 0, $div610 = 0, $conv611 = 0.0, $sub612 = 0.0, $y617 = 0, $71 = 0.0, $add618 = 0.0, $b395_sroa_3_0 = 0.0, $b395_sroa_1_0 = 0.0, $b395_sroa_0_0__idx4 = 0, $b395_sroa_1_8__idx16 = 0, $b395_sroa_2_16__idx28 = 0, $b395_sroa_3_24__idx39 = 0, $boxn624 = 0, $sub629 = 0.0, $sidemask632 = 0, $72 = 0, $cmp633 = 0, $y638 = 0, $73 = 0.0, $ht640 = 0, $74 = 0.0, $cmp641 = 0, $add646 = 0.0, $sub651 = 0.0, $add646_sink = 0.0, $conv647 = 0, $add655 = 0, $div656 = 0, $conv657 = 0.0, $add658 = 0.0, $y663 = 0, $75 = 0.0, $sub664 = 0.0, $add678 = 0.0, $sub683 = 0.0, $add678_sink = 0.0, $conv679 = 0, $add687 = 0, $div688 = 0, $conv689 = 0.0, $sub690 = 0.0, $y695 = 0, $76 = 0.0, $b395_sroa_3_1 = 0.0, $b395_sroa_1_1 = 0.0, $b395_sroa_0_0__idx = 0, $b395_sroa_1_8__idx15 = 0, $b395_sroa_2_16__idx27 = 0, $b395_sroa_3_24__idx38 = 0, $boxn701 = 0, $edge_type707264 = 0, $77 = 0, $cmp709265 = 0, $orig398_0266 = 0, $to_orig714 = 0, $78 = 0, $edge_type707 = 0, $79 = 0, $cmp709 = 0, $orig398_0_lcssa = 0, $head716 = 0, $80 = 0, $cmp717 = 0, $clip722 = 0, $clip726 = 0, $sidemask728 = 0, $sidemask734 = 0, $81 = 0, $side_0 = 0, $tobool736 = 0, $arrayidx741 = 0, $boxn742 = 0, $call743 = 0, $tobool744 = 0, $sidemask746 = 0, $arrayidx749 = 0, $82 = 0, $83 = 0, $boxn751 = 0, $sidemask753 = 0, $84 = 0, $cmp754 = 0, $y759 = 0, $85 = 0.0, $y763 = 0, $y771 = 0, $y776 = 0, $86 = 0.0, $y780 = 0, $sidemask781 = 0, $87 = 0.0, $add785 = 0.0, label = 0, __stackBase__ = 0;
   65222   __stackBase__ = STACKTOP;
   65223   STACKTOP = STACKTOP + 56 | 0;
   65224   label = 2;
   65225   while (1) switch (label | 0) {
   65226    case 2:
   65227     $tmp = __stackBase__ | 0;
   65228     $tmp17 = __stackBase__ + 40 | 0;
   65229     $head = $e + 12 | 0;
   65230     $0 = HEAP32[$head >> 2] | 0;
   65231     $head_port = $e + 72 | 0;
   65232     $dyna = $e + 103 | 0;
   65233     $1 = HEAP8[$dyna] | 0;
   65234     $tobool = $1 << 24 >> 24 == 0;
   65235     if ($tobool) {
   65236       label = 4;
   65237       break;
   65238     } else {
   65239       label = 3;
   65240       break;
   65241     }
   65242    case 3:
   65243     $tail = $e + 16 | 0;
   65244     $2 = HEAP32[$tail >> 2] | 0;
   65245     _resolvePort($tmp, $0, $2, $head_port);
   65246     $3 = $head_port;
   65247     $4 = $tmp;
   65248     HEAP32[$3 >> 2] = HEAP32[$4 >> 2] | 0;
   65249     HEAP32[$3 + 4 >> 2] = HEAP32[$4 + 4 >> 2] | 0;
   65250     HEAP32[$3 + 8 >> 2] = HEAP32[$4 + 8 >> 2] | 0;
   65251     HEAP32[$3 + 12 >> 2] = HEAP32[$4 + 12 >> 2] | 0;
   65252     HEAP32[$3 + 16 >> 2] = HEAP32[$4 + 16 >> 2] | 0;
   65253     HEAP32[$3 + 20 >> 2] = HEAP32[$4 + 20 >> 2] | 0;
   65254     HEAP32[$3 + 24 >> 2] = HEAP32[$4 + 24 >> 2] | 0;
   65255     HEAP32[$3 + 28 >> 2] = HEAP32[$4 + 28 >> 2] | 0;
   65256     HEAP32[$3 + 32 >> 2] = HEAP32[$4 + 32 >> 2] | 0;
   65257     HEAP32[$3 + 36 >> 2] = HEAP32[$4 + 36 >> 2] | 0;
   65258     label = 4;
   65259     break;
   65260    case 4:
   65261     $shape = $0 + 24 | 0;
   65262     $5 = HEAP32[$shape >> 2] | 0;
   65263     $tobool7 = ($5 | 0) == 0;
   65264     if ($tobool7) {
   65265       $pboxfn_0 = 0;
   65266       label = 6;
   65267       break;
   65268     } else {
   65269       label = 5;
   65270       break;
   65271     }
   65272    case 5:
   65273     $fns = $5 + 4 | 0;
   65274     $6 = HEAP32[$fns >> 2] | 0;
   65275     $pboxfn11 = $6 + 16 | 0;
   65276     $7 = HEAP32[$pboxfn11 >> 2] | 0;
   65277     $pboxfn_0 = $7;
   65278     label = 6;
   65279     break;
   65280    case 6:
   65281     $end = $P + 40 | 0;
   65282     $coord = $0 + 32 | 0;
   65283     $p16 = $head_port | 0;
   65284     _add_pointf804($tmp17, $coord, $p16);
   65285     $8 = $end;
   65286     $9 = $tmp17;
   65287     HEAP32[$8 >> 2] = HEAP32[$9 >> 2] | 0;
   65288     HEAP32[$8 + 4 >> 2] = HEAP32[$9 + 4 >> 2] | 0;
   65289     HEAP32[$8 + 8 >> 2] = HEAP32[$9 + 8 >> 2] | 0;
   65290     HEAP32[$8 + 12 >> 2] = HEAP32[$9 + 12 >> 2] | 0;
   65291     $tobool18 = $merge << 24 >> 24 == 0;
   65292     if ($tobool18) {
   65293       label = 10;
   65294       break;
   65295     } else {
   65296       label = 7;
   65297       break;
   65298     }
   65299    case 7:
   65300     $10 = HEAP32[$head >> 2] | 0;
   65301     $call = +_conc_slope($10);
   65302     $add = $call + 3.141592653589793;
   65303     $theta = $P + 56 | 0;
   65304     HEAPF64[$theta >> 3] = $add;
   65305     $cmp = $add < 6.283185307179586;
   65306     if ($cmp) {
   65307       label = 9;
   65308       break;
   65309     } else {
   65310       label = 8;
   65311       break;
   65312     }
   65313    case 8:
   65314     ___assert_func(96712, 602, 164328, 120048);
   65315    case 9:
   65316     $constrained = $P + 69 | 0;
   65317     HEAP8[$constrained] = 1;
   65318     label = 13;
   65319     break;
   65320    case 10:
   65321     $constrained28 = $e + 101 | 0;
   65322     $11 = HEAP8[$constrained28] | 0;
   65323     $tobool29 = $11 << 24 >> 24 == 0;
   65324     if ($tobool29) {
   65325       label = 12;
   65326       break;
   65327     } else {
   65328       label = 11;
   65329       break;
   65330     }
   65331    case 11:
   65332     $theta33 = $e + 88 | 0;
   65333     $12 = +HEAPF64[$theta33 >> 3];
   65334     $theta35 = $P + 56 | 0;
   65335     HEAPF64[$theta35 >> 3] = $12;
   65336     $constrained37 = $P + 69 | 0;
   65337     HEAP8[$constrained37] = 1;
   65338     label = 13;
   65339     break;
   65340    case 12:
   65341     $constrained40 = $P + 69 | 0;
   65342     HEAP8[$constrained40] = 0;
   65343     label = 13;
   65344     break;
   65345    case 13:
   65346     $np = $endp + 32 | 0;
   65347     $13 = $np;
   65348     HEAP32[$13 >> 2] = HEAP32[$8 >> 2] | 0;
   65349     HEAP32[$13 + 4 >> 2] = HEAP32[$8 + 4 >> 2] | 0;
   65350     HEAP32[$13 + 8 >> 2] = HEAP32[$8 + 8 >> 2] | 0;
   65351     HEAP32[$13 + 12 >> 2] = HEAP32[$8 + 12 >> 2] | 0;
   65352     $cmp45 = ($et | 0) == 1;
   65353     if ($cmp45) {
   65354       label = 14;
   65355       break;
   65356     } else {
   65357       label = 50;
   65358       break;
   65359     }
   65360    case 14:
   65361     $node_type = $0 + 162 | 0;
   65362     $14 = HEAP8[$node_type] | 0;
   65363     $cmp47 = $14 << 24 >> 24 == 0;
   65364     if ($cmp47) {
   65365       label = 15;
   65366       break;
   65367     } else {
   65368       $side_0 = 4;
   65369       label = 93;
   65370       break;
   65371     }
   65372    case 15:
   65373     $side52 = $e + 105 | 0;
   65374     $15 = HEAP8[$side52] | 0;
   65375     $conv53 = $15 & 255;
   65376     $tobool54 = $15 << 24 >> 24 == 0;
   65377     if ($tobool54) {
   65378       label = 50;
   65379       break;
   65380     } else {
   65381       label = 16;
   65382       break;
   65383     }
   65384    case 16:
   65385     $b_sroa_0_0__idx62 = $endp | 0;
   65386     $b_sroa_0_0_copyload63 = +HEAPF64[$b_sroa_0_0__idx62 >> 3];
   65387     $b_sroa_1_8__idx74 = $endp + 8 | 0;
   65388     $b_sroa_1_8_copyload75 = +HEAPF64[$b_sroa_1_8__idx74 >> 3];
   65389     $b_sroa_2_16__idx86 = $endp + 16 | 0;
   65390     $b_sroa_2_16_copyload87 = +HEAPF64[$b_sroa_2_16__idx86 >> 3];
   65391     $and = $conv53 & 4;
   65392     $tobool59 = ($and | 0) == 0;
   65393     if ($tobool59) {
   65394       label = 18;
   65395       break;
   65396     } else {
   65397       label = 17;
   65398       break;
   65399     }
   65400    case 17:
   65401     $b_sroa_3_24__idx99 = $endp + 24 | 0;
   65402     $b_sroa_3_24_copyload100 = +HEAPF64[$b_sroa_3_24__idx99 >> 3];
   65403     $sidemask = $endp + 48 | 0;
   65404     HEAP32[$sidemask >> 2] = 4;
   65405     $y63 = $P + 48 | 0;
   65406     $16 = +HEAPF64[$y63 >> 3];
   65407     $cmp64 = $b_sroa_1_8_copyload75 < $16;
   65408     $b_sroa_1_8_copyload75_ = $cmp64 ? $b_sroa_1_8_copyload75 : $16;
   65409     $b_sroa_0_0__idx60 = $endp + 56 | 0;
   65410     HEAPF64[$b_sroa_0_0__idx60 >> 3] = $b_sroa_0_0_copyload63;
   65411     $b_sroa_1_8__idx72 = $endp + 64 | 0;
   65412     HEAPF64[$b_sroa_1_8__idx72 >> 3] = $b_sroa_1_8_copyload75_;
   65413     $b_sroa_2_16__idx84 = $endp + 72 | 0;
   65414     HEAPF64[$b_sroa_2_16__idx84 >> 3] = $b_sroa_2_16_copyload87;
   65415     $b_sroa_3_24__idx97 = $endp + 80 | 0;
   65416     HEAPF64[$b_sroa_3_24__idx97 >> 3] = $b_sroa_3_24_copyload100;
   65417     $boxn = $endp + 52 | 0;
   65418     HEAP32[$boxn >> 2] = 1;
   65419     $17 = +HEAPF64[$y63 >> 3];
   65420     $add79 = $17 + 1.0;
   65421     HEAPF64[$y63 >> 3] = $add79;
   65422     label = 44;
   65423     break;
   65424    case 18:
   65425     $and81 = $conv53 & 1;
   65426     $tobool82 = ($and81 | 0) == 0;
   65427     if ($tobool82) {
   65428       label = 35;
   65429       break;
   65430     } else {
   65431       label = 19;
   65432       break;
   65433     }
   65434    case 19:
   65435     $sidemask84 = $endp + 48 | 0;
   65436     HEAP32[$sidemask84 >> 2] = 1;
   65437     $x = $end | 0;
   65438     $18 = +HEAPF64[$x >> 3];
   65439     $x89 = $coord | 0;
   65440     $19 = +HEAPF64[$x89 >> 3];
   65441     $cmp90 = $18 < $19;
   65442     if ($cmp90) {
   65443       label = 20;
   65444       break;
   65445     } else {
   65446       label = 27;
   65447       break;
   65448     }
   65449    case 20:
   65450     $sub = $b_sroa_0_0_copyload63 + -1.0;
   65451     $y99 = $P + 48 | 0;
   65452     $20 = +HEAPF64[$y99 >> 3];
   65453     $y107 = $0 + 40 | 0;
   65454     $21 = +HEAPF64[$y107 >> 3];
   65455     $ht = $0 + 96 | 0;
   65456     $22 = +HEAPF64[$ht >> 3];
   65457     $cmp109 = $22 < 0.0;
   65458     if ($cmp109) {
   65459       label = 22;
   65460       break;
   65461     } else {
   65462       label = 21;
   65463       break;
   65464     }
   65465    case 21:
   65466     $add114 = $22 + .5;
   65467     $add114_sink = $add114;
   65468     label = 23;
   65469     break;
   65470    case 22:
   65471     $sub119 = $22 + -.5;
   65472     $add114_sink = $sub119;
   65473     label = 23;
   65474     break;
   65475    case 23:
   65476     $conv115 = ~~$add114_sink;
   65477     $add123 = $conv115 + 1 | 0;
   65478     $div = ($add123 | 0) / 2 & -1;
   65479     $conv124 = +($div | 0);
   65480     $sub125 = $21 - $conv124;
   65481     $graph = $0 + 20 | 0;
   65482     $23 = HEAP32[$graph >> 2] | 0;
   65483     $ranksep = $23 + 264 | 0;
   65484     $24 = HEAP32[$ranksep >> 2] | 0;
   65485     $div127 = ($24 | 0) / 2 & -1;
   65486     $conv128 = +($div127 | 0);
   65487     $sub129 = $sub125 - $conv128;
   65488     $25 = +HEAPF64[$x89 >> 3];
   65489     $lw = $0 + 104 | 0;
   65490     $26 = +HEAPF64[$lw >> 3];
   65491     $sub136 = $25 - $26;
   65492     $27 = +HEAPF64[$y107 >> 3];
   65493     $28 = +HEAPF64[$ht >> 3];
   65494     $cmp149 = $28 < 0.0;
   65495     if ($cmp149) {
   65496       label = 25;
   65497       break;
   65498     } else {
   65499       label = 24;
   65500       break;
   65501     }
   65502    case 24:
   65503     $add154 = $28 + .5;
   65504     $add154_sink = $add154;
   65505     label = 26;
   65506     break;
   65507    case 25:
   65508     $sub159 = $28 + -.5;
   65509     $add154_sink = $sub159;
   65510     label = 26;
   65511     break;
   65512    case 26:
   65513     $conv155 = ~~$add154_sink;
   65514     $add163 = $conv155 + 1 | 0;
   65515     $div164 = ($add163 | 0) / 2 & -1;
   65516     $conv165 = +($div164 | 0);
   65517     $add166 = $27 + $conv165;
   65518     $b0_sroa_0_0__idx101 = $endp + 56 | 0;
   65519     HEAPF64[$b0_sroa_0_0__idx101 >> 3] = $sub;
   65520     $b0_sroa_1_8__idx104 = $endp + 64 | 0;
   65521     HEAPF64[$b0_sroa_1_8__idx104 >> 3] = $sub129;
   65522     $b0_sroa_2_16__idx107 = $endp + 72 | 0;
   65523     HEAPF64[$b0_sroa_2_16__idx107 >> 3] = $b_sroa_2_16_copyload87;
   65524     $b0_sroa_3_24__idx110 = $endp + 80 | 0;
   65525     HEAPF64[$b0_sroa_3_24__idx110 >> 3] = $20;
   65526     $b_sroa_0_0__idx58 = $endp + 88 | 0;
   65527     HEAPF64[$b_sroa_0_0__idx58 >> 3] = $sub;
   65528     $b_sroa_1_8__idx70 = $endp + 96 | 0;
   65529     HEAPF64[$b_sroa_1_8__idx70 >> 3] = $20;
   65530     $b_sroa_2_16__idx82 = $endp + 104 | 0;
   65531     HEAPF64[$b_sroa_2_16__idx82 >> 3] = $sub136;
   65532     $b_sroa_3_24__idx95 = $endp + 112 | 0;
   65533     HEAPF64[$b_sroa_3_24__idx95 >> 3] = $add166;
   65534     label = 34;
   65535     break;
   65536    case 27:
   65537     $y183 = $P + 48 | 0;
   65538     $29 = +HEAPF64[$y183 >> 3];
   65539     $add188 = $b_sroa_2_16_copyload87 + 1.0;
   65540     $y193 = $0 + 40 | 0;
   65541     $30 = +HEAPF64[$y193 >> 3];
   65542     $ht195 = $0 + 96 | 0;
   65543     $31 = +HEAPF64[$ht195 >> 3];
   65544     $cmp196 = $31 < 0.0;
   65545     if ($cmp196) {
   65546       label = 29;
   65547       break;
   65548     } else {
   65549       label = 28;
   65550       break;
   65551     }
   65552    case 28:
   65553     $add201 = $31 + .5;
   65554     $add201_sink = $add201;
   65555     label = 30;
   65556     break;
   65557    case 29:
   65558     $sub206 = $31 + -.5;
   65559     $add201_sink = $sub206;
   65560     label = 30;
   65561     break;
   65562    case 30:
   65563     $conv202 = ~~$add201_sink;
   65564     $add210 = $conv202 + 1 | 0;
   65565     $div211 = ($add210 | 0) / 2 & -1;
   65566     $conv212 = +($div211 | 0);
   65567     $sub213 = $30 - $conv212;
   65568     $graph214 = $0 + 20 | 0;
   65569     $32 = HEAP32[$graph214 >> 2] | 0;
   65570     $ranksep216 = $32 + 264 | 0;
   65571     $33 = HEAP32[$ranksep216 >> 2] | 0;
   65572     $div217 = ($33 | 0) / 2 & -1;
   65573     $conv218 = +($div217 | 0);
   65574     $sub219 = $sub213 - $conv218;
   65575     $34 = +HEAPF64[$x89 >> 3];
   65576     $rw = $0 + 112 | 0;
   65577     $35 = +HEAPF64[$rw >> 3];
   65578     $add226 = $34 + $35;
   65579     $add227 = $add226 + 0.0;
   65580     $36 = +HEAPF64[$y193 >> 3];
   65581     $37 = +HEAPF64[$ht195 >> 3];
   65582     $cmp239 = $37 < 0.0;
   65583     if ($cmp239) {
   65584       label = 32;
   65585       break;
   65586     } else {
   65587       label = 31;
   65588       break;
   65589     }
   65590    case 31:
   65591     $add244 = $37 + .5;
   65592     $add244_sink = $add244;
   65593     label = 33;
   65594     break;
   65595    case 32:
   65596     $sub249 = $37 + -.5;
   65597     $add244_sink = $sub249;
   65598     label = 33;
   65599     break;
   65600    case 33:
   65601     $conv245 = ~~$add244_sink;
   65602     $add253 = $conv245 + 1 | 0;
   65603     $div254 = ($add253 | 0) / 2 & -1;
   65604     $conv255 = +($div254 | 0);
   65605     $add256 = $36 + $conv255;
   65606     $b0_sroa_0_0__idx = $endp + 56 | 0;
   65607     HEAPF64[$b0_sroa_0_0__idx >> 3] = $b_sroa_0_0_copyload63;
   65608     $b0_sroa_1_8__idx103 = $endp + 64 | 0;
   65609     HEAPF64[$b0_sroa_1_8__idx103 >> 3] = $sub219;
   65610     $b0_sroa_2_16__idx106 = $endp + 72 | 0;
   65611     HEAPF64[$b0_sroa_2_16__idx106 >> 3] = $add188;
   65612     $b0_sroa_3_24__idx109 = $endp + 80 | 0;
   65613     HEAPF64[$b0_sroa_3_24__idx109 >> 3] = $29;
   65614     $b_sroa_0_0__idx56 = $endp + 88 | 0;
   65615     HEAPF64[$b_sroa_0_0__idx56 >> 3] = $add227;
   65616     $b_sroa_1_8__idx68 = $endp + 96 | 0;
   65617     HEAPF64[$b_sroa_1_8__idx68 >> 3] = $29;
   65618     $b_sroa_2_16__idx80 = $endp + 104 | 0;
   65619     HEAPF64[$b_sroa_2_16__idx80 >> 3] = $add188;
   65620     $b_sroa_3_24__idx93 = $endp + 112 | 0;
   65621     HEAPF64[$b_sroa_3_24__idx93 >> 3] = $add256;
   65622     label = 34;
   65623     break;
   65624    case 34:
   65625     $boxn267 = $endp + 52 | 0;
   65626     HEAP32[$boxn267 >> 2] = 2;
   65627     $y270 = $P + 48 | 0;
   65628     $38 = +HEAPF64[$y270 >> 3];
   65629     $sub271 = $38 + -1.0;
   65630     HEAPF64[$y270 >> 3] = $sub271;
   65631     label = 44;
   65632     break;
   65633    case 35:
   65634     $and273 = $conv53 & 8;
   65635     $tobool274 = ($and273 | 0) == 0;
   65636     $sidemask276 = $endp + 48 | 0;
   65637     if ($tobool274) {
   65638       label = 40;
   65639       break;
   65640     } else {
   65641       label = 36;
   65642       break;
   65643     }
   65644    case 36:
   65645     HEAP32[$sidemask276 >> 2] = 8;
   65646     $x279 = $end | 0;
   65647     $39 = +HEAPF64[$x279 >> 3];
   65648     $y284 = $0 + 40 | 0;
   65649     $40 = +HEAPF64[$y284 >> 3];
   65650     $ht286 = $0 + 96 | 0;
   65651     $41 = +HEAPF64[$ht286 >> 3];
   65652     $cmp287 = $41 < 0.0;
   65653     if ($cmp287) {
   65654       label = 38;
   65655       break;
   65656     } else {
   65657       label = 37;
   65658       break;
   65659     }
   65660    case 37:
   65661     $add292 = $41 + .5;
   65662     $add292_sink = $add292;
   65663     label = 39;
   65664     break;
   65665    case 38:
   65666     $sub297 = $41 + -.5;
   65667     $add292_sink = $sub297;
   65668     label = 39;
   65669     break;
   65670    case 39:
   65671     $conv293 = ~~$add292_sink;
   65672     $add301 = $conv293 + 1 | 0;
   65673     $div302 = ($add301 | 0) / 2 & -1;
   65674     $conv303 = +($div302 | 0);
   65675     $add304 = $40 + $conv303;
   65676     $y309 = $P + 48 | 0;
   65677     $42 = +HEAPF64[$y309 >> 3];
   65678     $b_sroa_0_0__idx54 = $endp + 56 | 0;
   65679     HEAPF64[$b_sroa_0_0__idx54 >> 3] = $b_sroa_0_0_copyload63;
   65680     $b_sroa_1_8__idx66 = $endp + 64 | 0;
   65681     HEAPF64[$b_sroa_1_8__idx66 >> 3] = $42;
   65682     $b_sroa_2_16__idx78 = $endp + 72 | 0;
   65683     HEAPF64[$b_sroa_2_16__idx78 >> 3] = $39;
   65684     $b_sroa_3_24__idx91 = $endp + 80 | 0;
   65685     HEAPF64[$b_sroa_3_24__idx91 >> 3] = $add304;
   65686     $boxn314 = $endp + 52 | 0;
   65687     HEAP32[$boxn314 >> 2] = 1;
   65688     $43 = +HEAPF64[$x279 >> 3];
   65689     $sub318 = $43 + -1.0;
   65690     HEAPF64[$x279 >> 3] = $sub318;
   65691     label = 44;
   65692     break;
   65693    case 40:
   65694     HEAP32[$sidemask276 >> 2] = 2;
   65695     $x323 = $end | 0;
   65696     $44 = +HEAPF64[$x323 >> 3];
   65697     $y328 = $0 + 40 | 0;
   65698     $45 = +HEAPF64[$y328 >> 3];
   65699     $ht330 = $0 + 96 | 0;
   65700     $46 = +HEAPF64[$ht330 >> 3];
   65701     $cmp331 = $46 < 0.0;
   65702     if ($cmp331) {
   65703       label = 42;
   65704       break;
   65705     } else {
   65706       label = 41;
   65707       break;
   65708     }
   65709    case 41:
   65710     $add336 = $46 + .5;
   65711     $add336_sink = $add336;
   65712     label = 43;
   65713     break;
   65714    case 42:
   65715     $sub341 = $46 + -.5;
   65716     $add336_sink = $sub341;
   65717     label = 43;
   65718     break;
   65719    case 43:
   65720     $conv337 = ~~$add336_sink;
   65721     $add345 = $conv337 + 1 | 0;
   65722     $div346 = ($add345 | 0) / 2 & -1;
   65723     $conv347 = +($div346 | 0);
   65724     $add348 = $45 + $conv347;
   65725     $y353 = $P + 48 | 0;
   65726     $47 = +HEAPF64[$y353 >> 3];
   65727     $b_sroa_0_0__idx = $endp + 56 | 0;
   65728     HEAPF64[$b_sroa_0_0__idx >> 3] = $44;
   65729     $b_sroa_1_8__idx65 = $endp + 64 | 0;
   65730     HEAPF64[$b_sroa_1_8__idx65 >> 3] = $47;
   65731     $b_sroa_2_16__idx77 = $endp + 72 | 0;
   65732     HEAPF64[$b_sroa_2_16__idx77 >> 3] = $b_sroa_2_16_copyload87;
   65733     $b_sroa_3_24__idx90 = $endp + 80 | 0;
   65734     HEAPF64[$b_sroa_3_24__idx90 >> 3] = $add348;
   65735     $boxn358 = $endp + 52 | 0;
   65736     HEAP32[$boxn358 >> 2] = 1;
   65737     $48 = +HEAPF64[$x323 >> 3];
   65738     $add362 = $48 + 1.0;
   65739     HEAPF64[$x323 >> 3] = $add362;
   65740     label = 44;
   65741     break;
   65742    case 44:
   65743     $edge_type267 = $e + 128 | 0;
   65744     $49 = HEAP8[$edge_type267] | 0;
   65745     $cmp368268 = $49 << 24 >> 24 == 0;
   65746     if ($cmp368268) {
   65747       $orig_0_lcssa = $e;
   65748       label = 46;
   65749       break;
   65750     } else {
   65751       $orig_0269 = $e;
   65752       label = 45;
   65753       break;
   65754     }
   65755    case 45:
   65756     $to_orig = $orig_0269 + 132 | 0;
   65757     $50 = HEAP32[$to_orig >> 2] | 0;
   65758     $edge_type = $50 + 128 | 0;
   65759     $51 = HEAP8[$edge_type] | 0;
   65760     $cmp368 = $51 << 24 >> 24 == 0;
   65761     if ($cmp368) {
   65762       $orig_0_lcssa = $50;
   65763       label = 46;
   65764       break;
   65765     } else {
   65766       $orig_0269 = $50;
   65767       label = 45;
   65768       break;
   65769     }
   65770    case 46:
   65771     $head371 = $orig_0_lcssa + 12 | 0;
   65772     $52 = HEAP32[$head371 >> 2] | 0;
   65773     $cmp372 = ($0 | 0) == ($52 | 0);
   65774     if ($cmp372) {
   65775       label = 47;
   65776       break;
   65777     } else {
   65778       label = 48;
   65779       break;
   65780     }
   65781    case 47:
   65782     $clip = $orig_0_lcssa + 102 | 0;
   65783     HEAP8[$clip] = 0;
   65784     label = 49;
   65785     break;
   65786    case 48:
   65787     $clip379 = $orig_0_lcssa + 62 | 0;
   65788     HEAP8[$clip379] = 0;
   65789     label = 49;
   65790     break;
   65791    case 49:
   65792     $sidemask381 = $endp + 48 | 0;
   65793     HEAP32[$sidemask381 >> 2] = $conv53;
   65794     label = 102;
   65795     break;
   65796    case 50:
   65797     $cmp383 = ($et | 0) == 2;
   65798     if ($cmp383) {
   65799       label = 51;
   65800       break;
   65801     } else {
   65802       label = 91;
   65803       break;
   65804     }
   65805    case 51:
   65806     $side388 = $e + 105 | 0;
   65807     $53 = HEAP8[$side388] | 0;
   65808     $conv389 = $53 & 255;
   65809     $tobool390 = $53 << 24 >> 24 == 0;
   65810     if ($tobool390) {
   65811       label = 91;
   65812       break;
   65813     } else {
   65814       label = 52;
   65815       break;
   65816     }
   65817    case 52:
   65818     $b395_sroa_0_0__idx12 = $endp | 0;
   65819     $b395_sroa_0_0_copyload13 = +HEAPF64[$b395_sroa_0_0__idx12 >> 3];
   65820     $b395_sroa_1_8__idx24 = $endp + 8 | 0;
   65821     $b395_sroa_1_8_copyload25 = +HEAPF64[$b395_sroa_1_8__idx24 >> 3];
   65822     $b395_sroa_2_16__idx36 = $endp + 16 | 0;
   65823     $b395_sroa_2_16_copyload37 = +HEAPF64[$b395_sroa_2_16__idx36 >> 3];
   65824     $b395_sroa_3_24__idx47 = $endp + 24 | 0;
   65825     $b395_sroa_3_24_copyload48 = +HEAPF64[$b395_sroa_3_24__idx47 >> 3];
   65826     $and399 = $conv389 & 4;
   65827     $tobool400 = ($and399 | 0) == 0;
   65828     if ($tobool400) {
   65829       label = 54;
   65830       break;
   65831     } else {
   65832       label = 53;
   65833       break;
   65834     }
   65835    case 53:
   65836     $y406 = $P + 48 | 0;
   65837     $54 = +HEAPF64[$y406 >> 3];
   65838     $cmp407 = $b395_sroa_1_8_copyload25 < $54;
   65839     $b395_sroa_1_8_copyload25_ = $cmp407 ? $b395_sroa_1_8_copyload25 : $54;
   65840     $b395_sroa_0_0__idx10 = $endp + 56 | 0;
   65841     HEAPF64[$b395_sroa_0_0__idx10 >> 3] = $b395_sroa_0_0_copyload13;
   65842     $b395_sroa_1_8__idx22 = $endp + 64 | 0;
   65843     HEAPF64[$b395_sroa_1_8__idx22 >> 3] = $b395_sroa_1_8_copyload25_;
   65844     $b395_sroa_2_16__idx34 = $endp + 72 | 0;
   65845     HEAPF64[$b395_sroa_2_16__idx34 >> 3] = $b395_sroa_2_16_copyload37;
   65846     $b395_sroa_3_24__idx45 = $endp + 80 | 0;
   65847     HEAPF64[$b395_sroa_3_24__idx45 >> 3] = $b395_sroa_3_24_copyload48;
   65848     $boxn422 = $endp + 52 | 0;
   65849     HEAP32[$boxn422 >> 2] = 1;
   65850     label = 85;
   65851     break;
   65852    case 54:
   65853     $and424 = $conv389 & 1;
   65854     $tobool425 = ($and424 | 0) == 0;
   65855     if ($tobool425) {
   65856       label = 64;
   65857       break;
   65858     } else {
   65859       label = 55;
   65860       break;
   65861     }
   65862    case 55:
   65863     $sidemask427 = $endp + 48 | 0;
   65864     $55 = HEAP32[$sidemask427 >> 2] | 0;
   65865     $cmp428 = ($55 | 0) == 4;
   65866     if ($cmp428) {
   65867       label = 56;
   65868       break;
   65869     } else {
   65870       label = 63;
   65871       break;
   65872     }
   65873    case 56:
   65874     $sub433 = $b395_sroa_0_0_copyload13 + -1.0;
   65875     $y438 = $0 + 40 | 0;
   65876     $56 = +HEAPF64[$y438 >> 3];
   65877     $ht440 = $0 + 96 | 0;
   65878     $57 = +HEAPF64[$ht440 >> 3];
   65879     $cmp441 = $57 < 0.0;
   65880     if ($cmp441) {
   65881       label = 58;
   65882       break;
   65883     } else {
   65884       label = 57;
   65885       break;
   65886     }
   65887    case 57:
   65888     $add446 = $57 + .5;
   65889     $add446_sink = $add446;
   65890     label = 59;
   65891     break;
   65892    case 58:
   65893     $sub451 = $57 + -.5;
   65894     $add446_sink = $sub451;
   65895     label = 59;
   65896     break;
   65897    case 59:
   65898     $conv447 = ~~$add446_sink;
   65899     $add455 = $conv447 + 1 | 0;
   65900     $div456 = ($add455 | 0) / 2 & -1;
   65901     $conv457 = +($div456 | 0);
   65902     $sub458 = $56 - $conv457;
   65903     $x463 = $end | 0;
   65904     $58 = +HEAPF64[$x463 >> 3];
   65905     $graph468 = $0 + 20 | 0;
   65906     $59 = HEAP32[$graph468 >> 2] | 0;
   65907     $ranksep470 = $59 + 264 | 0;
   65908     $60 = HEAP32[$ranksep470 >> 2] | 0;
   65909     $div471 = ($60 | 0) / 2 & -1;
   65910     $conv472 = +($div471 | 0);
   65911     $sub473 = $sub458 - $conv472;
   65912     $x478 = $coord | 0;
   65913     $61 = +HEAPF64[$x478 >> 3];
   65914     $lw480 = $0 + 104 | 0;
   65915     $62 = +HEAPF64[$lw480 >> 3];
   65916     $sub481 = $61 - $62;
   65917     $sub482 = $sub481 + -2.0;
   65918     $63 = +HEAPF64[$y438 >> 3];
   65919     $64 = +HEAPF64[$ht440 >> 3];
   65920     $cmp494 = $64 < 0.0;
   65921     if ($cmp494) {
   65922       label = 61;
   65923       break;
   65924     } else {
   65925       label = 60;
   65926       break;
   65927     }
   65928    case 60:
   65929     $add499 = $64 + .5;
   65930     $add499_sink = $add499;
   65931     label = 62;
   65932     break;
   65933    case 61:
   65934     $sub504 = $64 + -.5;
   65935     $add499_sink = $sub504;
   65936     label = 62;
   65937     break;
   65938    case 62:
   65939     $conv500 = ~~$add499_sink;
   65940     $add508 = $conv500 + 1 | 0;
   65941     $div509 = ($add508 | 0) / 2 & -1;
   65942     $conv510 = +($div509 | 0);
   65943     $add511 = $63 + $conv510;
   65944     $b0393_sroa_0_0__idx = $endp + 56 | 0;
   65945     HEAPF64[$b0393_sroa_0_0__idx >> 3] = $sub433;
   65946     $b0393_sroa_1_8__idx50 = $endp + 64 | 0;
   65947     HEAPF64[$b0393_sroa_1_8__idx50 >> 3] = $sub473;
   65948     $b0393_sroa_2_16__idx51 = $endp + 72 | 0;
   65949     HEAPF64[$b0393_sroa_2_16__idx51 >> 3] = $58;
   65950     $b0393_sroa_3_24__idx52 = $endp + 80 | 0;
   65951     HEAPF64[$b0393_sroa_3_24__idx52 >> 3] = $sub458;
   65952     $b395_sroa_0_0__idx8 = $endp + 88 | 0;
   65953     HEAPF64[$b395_sroa_0_0__idx8 >> 3] = $sub433;
   65954     $b395_sroa_1_8__idx20 = $endp + 96 | 0;
   65955     HEAPF64[$b395_sroa_1_8__idx20 >> 3] = $sub458;
   65956     $b395_sroa_2_16__idx32 = $endp + 104 | 0;
   65957     HEAPF64[$b395_sroa_2_16__idx32 >> 3] = $sub482;
   65958     $b395_sroa_3_24__idx43 = $endp + 112 | 0;
   65959     HEAPF64[$b395_sroa_3_24__idx43 >> 3] = $add511;
   65960     $boxn521 = $endp + 52 | 0;
   65961     HEAP32[$boxn521 >> 2] = 2;
   65962     label = 85;
   65963     break;
   65964    case 63:
   65965     $y526 = $P + 8 | 0;
   65966     $65 = +HEAPF64[$y526 >> 3];
   65967     $cmp527 = $b395_sroa_3_24_copyload48 > $65;
   65968     $b395_sroa_3_24_copyload48_ = $cmp527 ? $b395_sroa_3_24_copyload48 : $65;
   65969     $b395_sroa_0_0__idx6 = $endp + 56 | 0;
   65970     HEAPF64[$b395_sroa_0_0__idx6 >> 3] = $b395_sroa_0_0_copyload13;
   65971     $b395_sroa_1_8__idx18 = $endp + 64 | 0;
   65972     HEAPF64[$b395_sroa_1_8__idx18 >> 3] = $b395_sroa_1_8_copyload25;
   65973     $b395_sroa_2_16__idx30 = $endp + 72 | 0;
   65974     HEAPF64[$b395_sroa_2_16__idx30 >> 3] = $b395_sroa_2_16_copyload37;
   65975     $b395_sroa_3_24__idx41 = $endp + 80 | 0;
   65976     HEAPF64[$b395_sroa_3_24__idx41 >> 3] = $b395_sroa_3_24_copyload48_;
   65977     $boxn542 = $endp + 52 | 0;
   65978     HEAP32[$boxn542 >> 2] = 1;
   65979     label = 85;
   65980     break;
   65981    case 64:
   65982     $and545 = $conv389 & 8;
   65983     $tobool546 = ($and545 | 0) == 0;
   65984     $x550 = $end | 0;
   65985     $66 = +HEAPF64[$x550 >> 3];
   65986     if ($tobool546) {
   65987       label = 75;
   65988       break;
   65989     } else {
   65990       label = 65;
   65991       break;
   65992     }
   65993    case 65:
   65994     $add551 = $66 + 1.0;
   65995     $sidemask554 = $endp + 48 | 0;
   65996     $67 = HEAP32[$sidemask554 >> 2] | 0;
   65997     $cmp555 = ($67 | 0) == 4;
   65998     $y560 = $0 + 40 | 0;
   65999     $68 = +HEAPF64[$y560 >> 3];
   66000     $ht562 = $0 + 96 | 0;
   66001     $69 = +HEAPF64[$ht562 >> 3];
   66002     $cmp563 = $69 >= 0.0;
   66003     if ($cmp555) {
   66004       label = 66;
   66005       break;
   66006     } else {
   66007       label = 70;
   66008       break;
   66009     }
   66010    case 66:
   66011     if ($cmp563) {
   66012       label = 67;
   66013       break;
   66014     } else {
   66015       label = 68;
   66016       break;
   66017     }
   66018    case 67:
   66019     $add568 = $69 + .5;
   66020     $add568_sink = $add568;
   66021     label = 69;
   66022     break;
   66023    case 68:
   66024     $sub573 = $69 + -.5;
   66025     $add568_sink = $sub573;
   66026     label = 69;
   66027     break;
   66028    case 69:
   66029     $conv569 = ~~$add568_sink;
   66030     $add577 = $conv569 + 1 | 0;
   66031     $div578 = ($add577 | 0) / 2 & -1;
   66032     $conv579 = +($div578 | 0);
   66033     $add580 = $68 + $conv579;
   66034     $y585 = $P + 48 | 0;
   66035     $70 = +HEAPF64[$y585 >> 3];
   66036     $sub586 = $70 + -1.0;
   66037     $b395_sroa_1_0 = $sub586;
   66038     $b395_sroa_3_0 = $add580;
   66039     label = 74;
   66040     break;
   66041    case 70:
   66042     if ($cmp563) {
   66043       label = 71;
   66044       break;
   66045     } else {
   66046       label = 72;
   66047       break;
   66048     }
   66049    case 71:
   66050     $add600 = $69 + .5;
   66051     $add600_sink = $add600;
   66052     label = 73;
   66053     break;
   66054    case 72:
   66055     $sub605 = $69 + -.5;
   66056     $add600_sink = $sub605;
   66057     label = 73;
   66058     break;
   66059    case 73:
   66060     $conv601 = ~~$add600_sink;
   66061     $add609 = $conv601 + 1 | 0;
   66062     $div610 = ($add609 | 0) / 2 & -1;
   66063     $conv611 = +($div610 | 0);
   66064     $sub612 = $68 - $conv611;
   66065     $y617 = $P + 48 | 0;
   66066     $71 = +HEAPF64[$y617 >> 3];
   66067     $add618 = $71 + 1.0;
   66068     $b395_sroa_1_0 = $sub612;
   66069     $b395_sroa_3_0 = $add618;
   66070     label = 74;
   66071     break;
   66072    case 74:
   66073     $b395_sroa_0_0__idx4 = $endp + 56 | 0;
   66074     HEAPF64[$b395_sroa_0_0__idx4 >> 3] = $b395_sroa_0_0_copyload13;
   66075     $b395_sroa_1_8__idx16 = $endp + 64 | 0;
   66076     HEAPF64[$b395_sroa_1_8__idx16 >> 3] = $b395_sroa_1_0;
   66077     $b395_sroa_2_16__idx28 = $endp + 72 | 0;
   66078     HEAPF64[$b395_sroa_2_16__idx28 >> 3] = $add551;
   66079     $b395_sroa_3_24__idx39 = $endp + 80 | 0;
   66080     HEAPF64[$b395_sroa_3_24__idx39 >> 3] = $b395_sroa_3_0;
   66081     $boxn624 = $endp + 52 | 0;
   66082     HEAP32[$boxn624 >> 2] = 1;
   66083     label = 85;
   66084     break;
   66085    case 75:
   66086     $sub629 = $66 + -1.0;
   66087     $sidemask632 = $endp + 48 | 0;
   66088     $72 = HEAP32[$sidemask632 >> 2] | 0;
   66089     $cmp633 = ($72 | 0) == 4;
   66090     $y638 = $0 + 40 | 0;
   66091     $73 = +HEAPF64[$y638 >> 3];
   66092     $ht640 = $0 + 96 | 0;
   66093     $74 = +HEAPF64[$ht640 >> 3];
   66094     $cmp641 = $74 >= 0.0;
   66095     if ($cmp633) {
   66096       label = 76;
   66097       break;
   66098     } else {
   66099       label = 80;
   66100       break;
   66101     }
   66102    case 76:
   66103     if ($cmp641) {
   66104       label = 77;
   66105       break;
   66106     } else {
   66107       label = 78;
   66108       break;
   66109     }
   66110    case 77:
   66111     $add646 = $74 + .5;
   66112     $add646_sink = $add646;
   66113     label = 79;
   66114     break;
   66115    case 78:
   66116     $sub651 = $74 + -.5;
   66117     $add646_sink = $sub651;
   66118     label = 79;
   66119     break;
   66120    case 79:
   66121     $conv647 = ~~$add646_sink;
   66122     $add655 = $conv647 + 1 | 0;
   66123     $div656 = ($add655 | 0) / 2 & -1;
   66124     $conv657 = +($div656 | 0);
   66125     $add658 = $73 + $conv657;
   66126     $y663 = $P + 48 | 0;
   66127     $75 = +HEAPF64[$y663 >> 3];
   66128     $sub664 = $75 + -1.0;
   66129     $b395_sroa_1_1 = $sub664;
   66130     $b395_sroa_3_1 = $add658;
   66131     label = 84;
   66132     break;
   66133    case 80:
   66134     if ($cmp641) {
   66135       label = 81;
   66136       break;
   66137     } else {
   66138       label = 82;
   66139       break;
   66140     }
   66141    case 81:
   66142     $add678 = $74 + .5;
   66143     $add678_sink = $add678;
   66144     label = 83;
   66145     break;
   66146    case 82:
   66147     $sub683 = $74 + -.5;
   66148     $add678_sink = $sub683;
   66149     label = 83;
   66150     break;
   66151    case 83:
   66152     $conv679 = ~~$add678_sink;
   66153     $add687 = $conv679 + 1 | 0;
   66154     $div688 = ($add687 | 0) / 2 & -1;
   66155     $conv689 = +($div688 | 0);
   66156     $sub690 = $73 - $conv689;
   66157     $y695 = $P + 48 | 0;
   66158     $76 = +HEAPF64[$y695 >> 3];
   66159     $b395_sroa_1_1 = $sub690;
   66160     $b395_sroa_3_1 = $76;
   66161     label = 84;
   66162     break;
   66163    case 84:
   66164     $b395_sroa_0_0__idx = $endp + 56 | 0;
   66165     HEAPF64[$b395_sroa_0_0__idx >> 3] = $sub629;
   66166     $b395_sroa_1_8__idx15 = $endp + 64 | 0;
   66167     HEAPF64[$b395_sroa_1_8__idx15 >> 3] = $b395_sroa_1_1;
   66168     $b395_sroa_2_16__idx27 = $endp + 72 | 0;
   66169     HEAPF64[$b395_sroa_2_16__idx27 >> 3] = $b395_sroa_2_16_copyload37;
   66170     $b395_sroa_3_24__idx38 = $endp + 80 | 0;
   66171     HEAPF64[$b395_sroa_3_24__idx38 >> 3] = $b395_sroa_3_1;
   66172     $boxn701 = $endp + 52 | 0;
   66173     HEAP32[$boxn701 >> 2] = 1;
   66174     label = 85;
   66175     break;
   66176    case 85:
   66177     $edge_type707264 = $e + 128 | 0;
   66178     $77 = HEAP8[$edge_type707264] | 0;
   66179     $cmp709265 = $77 << 24 >> 24 == 0;
   66180     if ($cmp709265) {
   66181       $orig398_0_lcssa = $e;
   66182       label = 87;
   66183       break;
   66184     } else {
   66185       $orig398_0266 = $e;
   66186       label = 86;
   66187       break;
   66188     }
   66189    case 86:
   66190     $to_orig714 = $orig398_0266 + 132 | 0;
   66191     $78 = HEAP32[$to_orig714 >> 2] | 0;
   66192     $edge_type707 = $78 + 128 | 0;
   66193     $79 = HEAP8[$edge_type707] | 0;
   66194     $cmp709 = $79 << 24 >> 24 == 0;
   66195     if ($cmp709) {
   66196       $orig398_0_lcssa = $78;
   66197       label = 87;
   66198       break;
   66199     } else {
   66200       $orig398_0266 = $78;
   66201       label = 86;
   66202       break;
   66203     }
   66204    case 87:
   66205     $head716 = $orig398_0_lcssa + 12 | 0;
   66206     $80 = HEAP32[$head716 >> 2] | 0;
   66207     $cmp717 = ($0 | 0) == ($80 | 0);
   66208     if ($cmp717) {
   66209       label = 88;
   66210       break;
   66211     } else {
   66212       label = 89;
   66213       break;
   66214     }
   66215    case 88:
   66216     $clip722 = $orig398_0_lcssa + 102 | 0;
   66217     HEAP8[$clip722] = 0;
   66218     label = 90;
   66219     break;
   66220    case 89:
   66221     $clip726 = $orig398_0_lcssa + 62 | 0;
   66222     HEAP8[$clip726] = 0;
   66223     label = 90;
   66224     break;
   66225    case 90:
   66226     $sidemask728 = $endp + 48 | 0;
   66227     HEAP32[$sidemask728 >> 2] = $conv389;
   66228     label = 102;
   66229     break;
   66230    case 91:
   66231     if ($cmp45) {
   66232       $side_0 = 4;
   66233       label = 93;
   66234       break;
   66235     } else {
   66236       label = 92;
   66237       break;
   66238     }
   66239    case 92:
   66240     $sidemask734 = $endp + 48 | 0;
   66241     $81 = HEAP32[$sidemask734 >> 2] | 0;
   66242     $side_0 = $81;
   66243     label = 93;
   66244     break;
   66245    case 93:
   66246     $tobool736 = ($pboxfn_0 | 0) == 0;
   66247     if ($tobool736) {
   66248       label = 96;
   66249       break;
   66250     } else {
   66251       label = 94;
   66252       break;
   66253     }
   66254    case 94:
   66255     $arrayidx741 = $endp + 56 | 0;
   66256     $boxn742 = $endp + 52 | 0;
   66257     $call743 = FUNCTION_TABLE_iiiiii[$pboxfn_0 & 1023]($0, $head_port, $side_0, $arrayidx741, $boxn742) | 0;
   66258     $tobool744 = ($call743 | 0) == 0;
   66259     if ($tobool744) {
   66260       label = 96;
   66261       break;
   66262     } else {
   66263       label = 95;
   66264       break;
   66265     }
   66266    case 95:
   66267     $sidemask746 = $endp + 48 | 0;
   66268     HEAP32[$sidemask746 >> 2] = $call743;
   66269     label = 102;
   66270     break;
   66271    case 96:
   66272     $arrayidx749 = $endp + 56 | 0;
   66273     $82 = $arrayidx749;
   66274     $83 = $endp;
   66275     HEAP32[$82 >> 2] = HEAP32[$83 >> 2] | 0;
   66276     HEAP32[$82 + 4 >> 2] = HEAP32[$83 + 4 >> 2] | 0;
   66277     HEAP32[$82 + 8 >> 2] = HEAP32[$83 + 8 >> 2] | 0;
   66278     HEAP32[$82 + 12 >> 2] = HEAP32[$83 + 12 >> 2] | 0;
   66279     HEAP32[$82 + 16 >> 2] = HEAP32[$83 + 16 >> 2] | 0;
   66280     HEAP32[$82 + 20 >> 2] = HEAP32[$83 + 20 >> 2] | 0;
   66281     HEAP32[$82 + 24 >> 2] = HEAP32[$83 + 24 >> 2] | 0;
   66282     HEAP32[$82 + 28 >> 2] = HEAP32[$83 + 28 >> 2] | 0;
   66283     $boxn751 = $endp + 52 | 0;
   66284     HEAP32[$boxn751 >> 2] = 1;
   66285     if (($et | 0) == 8) {
   66286       label = 97;
   66287       break;
   66288     } else if (($et | 0) == 2) {
   66289       label = 98;
   66290       break;
   66291     } else if (($et | 0) == 1) {
   66292       label = 101;
   66293       break;
   66294     } else {
   66295       label = 102;
   66296       break;
   66297     }
   66298    case 97:
   66299     ___assert_func(96712, 757, 164328, 137288);
   66300    case 98:
   66301     $sidemask753 = $endp + 48 | 0;
   66302     $84 = HEAP32[$sidemask753 >> 2] | 0;
   66303     $cmp754 = ($84 | 0) == 4;
   66304     $y759 = $P + 48 | 0;
   66305     $85 = +HEAPF64[$y759 >> 3];
   66306     if ($cmp754) {
   66307       label = 99;
   66308       break;
   66309     } else {
   66310       label = 100;
   66311       break;
   66312     }
   66313    case 99:
   66314     $y763 = $endp + 64 | 0;
   66315     HEAPF64[$y763 >> 3] = $85;
   66316     label = 102;
   66317     break;
   66318    case 100:
   66319     $y771 = $endp + 80 | 0;
   66320     HEAPF64[$y771 >> 3] = $85;
   66321     label = 102;
   66322     break;
   66323    case 101:
   66324     $y776 = $P + 48 | 0;
   66325     $86 = +HEAPF64[$y776 >> 3];
   66326     $y780 = $endp + 64 | 0;
   66327     HEAPF64[$y780 >> 3] = $86;
   66328     $sidemask781 = $endp + 48 | 0;
   66329     HEAP32[$sidemask781 >> 2] = 4;
   66330     $87 = +HEAPF64[$y776 >> 3];
   66331     $add785 = $87 + 1.0;
   66332     HEAPF64[$y776 >> 3] = $add785;
   66333     label = 102;
   66334     break;
   66335    case 102:
   66336     STACKTOP = __stackBase__;
   66337     return;
   66338   }
   66339 }
   66340 function _selfRight($edges, $ind, $cnt, $stepx, $sizey, $sinfo) {
   66341   $edges = $edges | 0;
   66342   $ind = $ind | 0;
   66343   $cnt = $cnt | 0;
   66344   $stepx = +$stepx;
   66345   $sizey = +$sizey;
   66346   $sinfo = $sinfo | 0;
   66347   var $points = 0, $tmp = 0, $tmp74 = 0, $tmp83 = 0, $tmp90 = 0, $tmp98 = 0, $arrayidx = 0, $0 = 0, $tail = 0, $1 = 0, $div = 0.0, $conv = 0.0, $div1 = 0.0, $cmp = 0, $cond = 0.0, $np_sroa_0_0__idx = 0, $np_sroa_0_0_copyload = 0.0, $np_sroa_1_8__idx32 = 0, $np_sroa_1_8_copyload = 0.0, $tp_sroa_0_0__idx48 = 0, $tp_sroa_0_0_copyload49 = 0.0, $tp_sroa_1_8__idx55 = 0, $tp_sroa_1_8_copyload56 = 0.0, $add = 0.0, $add6 = 0.0, $hp_sroa_0_0__idx36 = 0, $hp_sroa_0_0_copyload37 = 0.0, $hp_sroa_1_8__idx43 = 0, $hp_sroa_1_8_copyload44 = 0.0, $add11 = 0.0, $add14 = 0.0, $rw = 0, $2 = 0.0, $add21 = 0.0, $sub = 0.0, $mul = 0.0, $sub37 = 0.0, $mul38 = 0.0, $cmp5093 = 0, $cmp39 = 0, $cmp23 = 0, $cmp17 = 0, $_mul38 = 0.0, $cond33 = 0.0, $_ = 0.0, $mul57 = 0.0, $arrayidx60 = 0, $tp_sroa_0_0__idx = 0, $tp_sroa_1_8__idx52 = 0, $arrayidx62 = 0, $3 = 0, $4 = 0, $arrayidx69 = 0, $5 = 0, $6 = 0, $arrayidx76 = 0, $add81 = 0.0, $div82 = 0.0, $7 = 0, $8 = 0, $arrayidx85 = 0, $9 = 0, $10 = 0, $arrayidx92 = 0, $11 = 0, $12 = 0, $hp_sroa_0_0__idx = 0, $hp_sroa_1_8__idx38 = 0, $ind_addr_099 = 0, $i_098 = 0, $dy_097 = 0.0, $dx_096 = 0.0, $tx_095 = 0.0, $hx_094 = 0.0, $inc = 0, $arrayidx52 = 0, $13 = 0, $add53 = 0.0, $add54 = 0.0, $add55 = 0.0, $add58 = 0.0, $div64 = 0.0, $add65 = 0.0, $add67 = 0.0, $add71 = 0.0, $sub89 = 0.0, $div94 = 0.0, $add95 = 0.0, $label = 0, $14 = 0, $tobool = 0, $tail103 = 0, $15 = 0, $graph = 0, $16 = 0, $rankdir = 0, $17 = 0, $and105 = 0, $tobool106 = 0, $dimen = 0, $y110 = 0, $x114 = 0, $x119 = 0, $y123 = 0, $width_0_in = 0, $height_0_in = 0, $height_0 = 0.0, $width_0 = 0.0, $18 = 0.0, $add128 = 0.0, $div129 = 0.0, $add130 = 0.0, $19 = 0, $x133 = 0, $20 = 0.0, $21 = 0, $y140 = 0, $22 = 0, $set = 0, $cmp143 = 0, $sub146 = 0.0, $add147 = 0.0, $dx_1 = 0.0, $add149 = 0.0, $cmp150 = 0, $sub153 = 0.0, $add154 = 0.0, $dx_2 = 0.0, $dy_1 = 0.0, $head = 0, $23 = 0, $inc157 = 0, $cmp50 = 0, label = 0, __stackBase__ = 0;
   66348   __stackBase__ = STACKTOP;
   66349   STACKTOP = STACKTOP + 16080 | 0;
   66350   label = 2;
   66351   while (1) switch (label | 0) {
   66352    case 2:
   66353     $points = __stackBase__ | 0;
   66354     $tmp = __stackBase__ + 16e3 | 0;
   66355     $tmp74 = __stackBase__ + 16016 | 0;
   66356     $tmp83 = __stackBase__ + 16032 | 0;
   66357     $tmp90 = __stackBase__ + 16048 | 0;
   66358     $tmp98 = __stackBase__ + 16064 | 0;
   66359     $arrayidx = $edges + ($ind << 2) | 0;
   66360     $0 = HEAP32[$arrayidx >> 2] | 0;
   66361     $tail = $0 + 16 | 0;
   66362     $1 = HEAP32[$tail >> 2] | 0;
   66363     $div = $sizey * .5;
   66364     $conv = +($cnt | 0);
   66365     $div1 = $div / $conv;
   66366     $cmp = $div1 > 2.0;
   66367     $cond = $cmp ? $div1 : 2.0;
   66368     $np_sroa_0_0__idx = $1 + 32 | 0;
   66369     $np_sroa_0_0_copyload = +HEAPF64[$np_sroa_0_0__idx >> 3];
   66370     $np_sroa_1_8__idx32 = $1 + 40 | 0;
   66371     $np_sroa_1_8_copyload = +HEAPF64[$np_sroa_1_8__idx32 >> 3];
   66372     $tp_sroa_0_0__idx48 = $0 + 32 | 0;
   66373     $tp_sroa_0_0_copyload49 = +HEAPF64[$tp_sroa_0_0__idx48 >> 3];
   66374     $tp_sroa_1_8__idx55 = $0 + 40 | 0;
   66375     $tp_sroa_1_8_copyload56 = +HEAPF64[$tp_sroa_1_8__idx55 >> 3];
   66376     $add = $np_sroa_0_0_copyload + $tp_sroa_0_0_copyload49;
   66377     $add6 = $np_sroa_1_8_copyload + $tp_sroa_1_8_copyload56;
   66378     $hp_sroa_0_0__idx36 = $0 + 72 | 0;
   66379     $hp_sroa_0_0_copyload37 = +HEAPF64[$hp_sroa_0_0__idx36 >> 3];
   66380     $hp_sroa_1_8__idx43 = $0 + 80 | 0;
   66381     $hp_sroa_1_8_copyload44 = +HEAPF64[$hp_sroa_1_8__idx43 >> 3];
   66382     $add11 = $np_sroa_0_0_copyload + $hp_sroa_0_0_copyload37;
   66383     $add14 = $np_sroa_1_8_copyload + $hp_sroa_1_8_copyload44;
   66384     $rw = $1 + 112 | 0;
   66385     $2 = +HEAPF64[$rw >> 3];
   66386     $add21 = $np_sroa_0_0_copyload + $2;
   66387     $sub = $add21 - $add;
   66388     $mul = $sub * 3.0;
   66389     $sub37 = $add21 - $add11;
   66390     $mul38 = $sub37 * 3.0;
   66391     $cmp5093 = ($cnt | 0) > 0;
   66392     if ($cmp5093) {
   66393       label = 3;
   66394       break;
   66395     } else {
   66396       label = 13;
   66397       break;
   66398     }
   66399    case 3:
   66400     $cmp39 = $2 < $mul38;
   66401     $cmp23 = $2 < $mul;
   66402     $cmp17 = $add6 < $add14;
   66403     $_mul38 = $cmp39 ? $2 : $mul38;
   66404     $cond33 = $cmp23 ? $2 : $mul;
   66405     $_ = $cmp17 ? -1.0 : 1.0;
   66406     $mul57 = $cond * $_;
   66407     $arrayidx60 = $points | 0;
   66408     $tp_sroa_0_0__idx = $points | 0;
   66409     $tp_sroa_1_8__idx52 = $points + 8 | 0;
   66410     $arrayidx62 = $points + 16 | 0;
   66411     $3 = $arrayidx62;
   66412     $4 = $tmp;
   66413     $arrayidx69 = $points + 32 | 0;
   66414     $5 = $arrayidx69;
   66415     $6 = $tmp74;
   66416     $arrayidx76 = $points + 48 | 0;
   66417     $add81 = $add6 + $add14;
   66418     $div82 = $add81 * .5;
   66419     $7 = $arrayidx76;
   66420     $8 = $tmp83;
   66421     $arrayidx85 = $points + 64 | 0;
   66422     $9 = $arrayidx85;
   66423     $10 = $tmp90;
   66424     $arrayidx92 = $points + 80 | 0;
   66425     $11 = $arrayidx92;
   66426     $12 = $tmp98;
   66427     $hp_sroa_0_0__idx = $points + 96 | 0;
   66428     $hp_sroa_1_8__idx38 = $points + 104 | 0;
   66429     $hx_094 = $_mul38;
   66430     $tx_095 = $cond33;
   66431     $dx_096 = $2;
   66432     $dy_097 = 0.0;
   66433     $i_098 = 0;
   66434     $ind_addr_099 = $ind;
   66435     label = 4;
   66436     break;
   66437    case 4:
   66438     $inc = $ind_addr_099 + 1 | 0;
   66439     $arrayidx52 = $edges + ($ind_addr_099 << 2) | 0;
   66440     $13 = HEAP32[$arrayidx52 >> 2] | 0;
   66441     $add53 = $dx_096 + $stepx;
   66442     $add54 = $tx_095 + $stepx;
   66443     $add55 = $hx_094 + $stepx;
   66444     $add58 = $mul57 + $dy_097;
   66445     HEAPF64[$tp_sroa_0_0__idx >> 3] = $add;
   66446     HEAPF64[$tp_sroa_1_8__idx52 >> 3] = $add6;
   66447     $div64 = $add54 / 3.0;
   66448     $add65 = $add + $div64;
   66449     $add67 = $add6 + $add58;
   66450     _pointfof805($tmp, $add65, $add67);
   66451     HEAP32[$3 >> 2] = HEAP32[$4 >> 2] | 0;
   66452     HEAP32[$3 + 4 >> 2] = HEAP32[$4 + 4 >> 2] | 0;
   66453     HEAP32[$3 + 8 >> 2] = HEAP32[$4 + 8 >> 2] | 0;
   66454     HEAP32[$3 + 12 >> 2] = HEAP32[$4 + 12 >> 2] | 0;
   66455     $add71 = $np_sroa_0_0_copyload + $add53;
   66456     _pointfof805($tmp74, $add71, $add67);
   66457     HEAP32[$5 >> 2] = HEAP32[$6 >> 2] | 0;
   66458     HEAP32[$5 + 4 >> 2] = HEAP32[$6 + 4 >> 2] | 0;
   66459     HEAP32[$5 + 8 >> 2] = HEAP32[$6 + 8 >> 2] | 0;
   66460     HEAP32[$5 + 12 >> 2] = HEAP32[$6 + 12 >> 2] | 0;
   66461     _pointfof805($tmp83, $add71, $div82);
   66462     HEAP32[$7 >> 2] = HEAP32[$8 >> 2] | 0;
   66463     HEAP32[$7 + 4 >> 2] = HEAP32[$8 + 4 >> 2] | 0;
   66464     HEAP32[$7 + 8 >> 2] = HEAP32[$8 + 8 >> 2] | 0;
   66465     HEAP32[$7 + 12 >> 2] = HEAP32[$8 + 12 >> 2] | 0;
   66466     $sub89 = $add14 - $add58;
   66467     _pointfof805($tmp90, $add71, $sub89);
   66468     HEAP32[$9 >> 2] = HEAP32[$10 >> 2] | 0;
   66469     HEAP32[$9 + 4 >> 2] = HEAP32[$10 + 4 >> 2] | 0;
   66470     HEAP32[$9 + 8 >> 2] = HEAP32[$10 + 8 >> 2] | 0;
   66471     HEAP32[$9 + 12 >> 2] = HEAP32[$10 + 12 >> 2] | 0;
   66472     $div94 = $add55 / 3.0;
   66473     $add95 = $add11 + $div94;
   66474     _pointfof805($tmp98, $add95, $sub89);
   66475     HEAP32[$11 >> 2] = HEAP32[$12 >> 2] | 0;
   66476     HEAP32[$11 + 4 >> 2] = HEAP32[$12 + 4 >> 2] | 0;
   66477     HEAP32[$11 + 8 >> 2] = HEAP32[$12 + 8 >> 2] | 0;
   66478     HEAP32[$11 + 12 >> 2] = HEAP32[$12 + 12 >> 2] | 0;
   66479     HEAPF64[$hp_sroa_0_0__idx >> 3] = $add11;
   66480     HEAPF64[$hp_sroa_1_8__idx38 >> 3] = $add14;
   66481     $label = $13 + 112 | 0;
   66482     $14 = HEAP32[$label >> 2] | 0;
   66483     $tobool = ($14 | 0) == 0;
   66484     if ($tobool) {
   66485       $dy_1 = $add58;
   66486       $dx_2 = $add53;
   66487       label = 12;
   66488       break;
   66489     } else {
   66490       label = 5;
   66491       break;
   66492     }
   66493    case 5:
   66494     $tail103 = $13 + 16 | 0;
   66495     $15 = HEAP32[$tail103 >> 2] | 0;
   66496     $graph = $15 + 20 | 0;
   66497     $16 = HEAP32[$graph >> 2] | 0;
   66498     $rankdir = $16 + 156 | 0;
   66499     $17 = HEAP32[$rankdir >> 2] | 0;
   66500     $and105 = $17 & 1;
   66501     $tobool106 = ($and105 | 0) == 0;
   66502     $dimen = $14 + 24 | 0;
   66503     if ($tobool106) {
   66504       label = 7;
   66505       break;
   66506     } else {
   66507       label = 6;
   66508       break;
   66509     }
   66510    case 6:
   66511     $y110 = $14 + 32 | 0;
   66512     $x114 = $dimen | 0;
   66513     $height_0_in = $x114;
   66514     $width_0_in = $y110;
   66515     label = 8;
   66516     break;
   66517    case 7:
   66518     $x119 = $dimen | 0;
   66519     $y123 = $14 + 32 | 0;
   66520     $height_0_in = $y123;
   66521     $width_0_in = $x119;
   66522     label = 8;
   66523     break;
   66524    case 8:
   66525     $height_0 = +HEAPF64[$height_0_in >> 3];
   66526     $width_0 = +HEAPF64[$width_0_in >> 3];
   66527     $18 = +HEAPF64[$np_sroa_0_0__idx >> 3];
   66528     $add128 = $add53 + $18;
   66529     $div129 = $width_0 * .5;
   66530     $add130 = $div129 + $add128;
   66531     $19 = HEAP32[$label >> 2] | 0;
   66532     $x133 = $19 + 56 | 0;
   66533     HEAPF64[$x133 >> 3] = $add130;
   66534     $20 = +HEAPF64[$np_sroa_1_8__idx32 >> 3];
   66535     $21 = HEAP32[$label >> 2] | 0;
   66536     $y140 = $21 + 64 | 0;
   66537     HEAPF64[$y140 >> 3] = $20;
   66538     $22 = HEAP32[$label >> 2] | 0;
   66539     $set = $22 + 81 | 0;
   66540     HEAP8[$set] = 1;
   66541     $cmp143 = $width_0 > $stepx;
   66542     if ($cmp143) {
   66543       label = 9;
   66544       break;
   66545     } else {
   66546       $dx_1 = $add53;
   66547       label = 10;
   66548       break;
   66549     }
   66550    case 9:
   66551     $sub146 = $width_0 - $stepx;
   66552     $add147 = $add53 + $sub146;
   66553     $dx_1 = $add147;
   66554     label = 10;
   66555     break;
   66556    case 10:
   66557     $add149 = $cond + $add58;
   66558     $cmp150 = $add149 < $height_0;
   66559     if ($cmp150) {
   66560       label = 11;
   66561       break;
   66562     } else {
   66563       $dy_1 = $add58;
   66564       $dx_2 = $dx_1;
   66565       label = 12;
   66566       break;
   66567     }
   66568    case 11:
   66569     $sub153 = $height_0 - $cond;
   66570     $add154 = $add58 + $sub153;
   66571     $dy_1 = $add154;
   66572     $dx_2 = $dx_1;
   66573     label = 12;
   66574     break;
   66575    case 12:
   66576     $head = $13 + 12 | 0;
   66577     $23 = HEAP32[$head >> 2] | 0;
   66578     _clip_and_install($13, $23, $arrayidx60, 7, $sinfo);
   66579     $inc157 = $i_098 + 1 | 0;
   66580     $cmp50 = ($inc157 | 0) < ($cnt | 0);
   66581     if ($cmp50) {
   66582       $hx_094 = $add55;
   66583       $tx_095 = $add54;
   66584       $dx_096 = $dx_2;
   66585       $dy_097 = $dy_1;
   66586       $i_098 = $inc157;
   66587       $ind_addr_099 = $inc;
   66588       label = 4;
   66589       break;
   66590     } else {
   66591       label = 13;
   66592       break;
   66593     }
   66594    case 13:
   66595     STACKTOP = __stackBase__;
   66596     return;
   66597   }
   66598 }
   66599 function _selfTop($edges, $ind, $cnt, $sizex, $stepy, $sinfo) {
   66600   $edges = $edges | 0;
   66601   $ind = $ind | 0;
   66602   $cnt = $cnt | 0;
   66603   $sizex = +$sizex;
   66604   $stepy = +$stepy;
   66605   $sinfo = $sinfo | 0;
   66606   var $points = 0, $tmp = 0, $tmp75 = 0, $tmp84 = 0, $tmp91 = 0, $tmp99 = 0, $arrayidx = 0, $0 = 0, $tail = 0, $1 = 0, $div = 0.0, $conv = 0.0, $div1 = 0.0, $cmp = 0, $cond = 0.0, $np_sroa_0_0__idx = 0, $np_sroa_0_0_copyload = 0.0, $np_sroa_1_8__idx32 = 0, $np_sroa_1_8_copyload = 0.0, $tp_sroa_0_0__idx49 = 0, $tp_sroa_0_0_copyload50 = 0.0, $tp_sroa_1_8__idx55 = 0, $tp_sroa_1_8_copyload56 = 0.0, $add = 0.0, $add6 = 0.0, $hp_sroa_0_0__idx37 = 0, $hp_sroa_0_0_copyload38 = 0.0, $hp_sroa_1_8__idx43 = 0, $hp_sroa_1_8_copyload44 = 0.0, $add11 = 0.0, $add14 = 0.0, $ht = 0, $2 = 0.0, $div20 = 0.0, $add22 = 0.0, $sub = 0.0, $mul = 0.0, $sub38 = 0.0, $mul39 = 0.0, $cmp5193 = 0, $cmp40 = 0, $cmp24 = 0, $cmp17 = 0, $div20_mul39 = 0.0, $cond34 = 0.0, $_ = 0.0, $mul58 = 0.0, $arrayidx61 = 0, $tp_sroa_0_0__idx = 0, $tp_sroa_1_8__idx51 = 0, $arrayidx63 = 0, $3 = 0, $4 = 0, $arrayidx70 = 0, $5 = 0, $6 = 0, $arrayidx77 = 0, $add80 = 0.0, $div81 = 0.0, $7 = 0, $8 = 0, $arrayidx86 = 0, $9 = 0, $10 = 0, $arrayidx93 = 0, $11 = 0, $12 = 0, $hp_sroa_0_0__idx = 0, $hp_sroa_1_8__idx39 = 0, $ind_addr_099 = 0, $i_098 = 0, $dy_097 = 0.0, $dx_096 = 0.0, $ty_095 = 0.0, $hy_094 = 0.0, $inc = 0, $arrayidx53 = 0, $13 = 0, $add54 = 0.0, $add55 = 0.0, $add56 = 0.0, $add59 = 0.0, $add65 = 0.0, $div67 = 0.0, $add68 = 0.0, $add74 = 0.0, $sub88 = 0.0, $div97 = 0.0, $add98 = 0.0, $label = 0, $14 = 0, $tobool = 0, $tail104 = 0, $15 = 0, $graph = 0, $16 = 0, $rankdir = 0, $17 = 0, $and106 = 0, $tobool107 = 0, $dimen = 0, $y111 = 0, $x115 = 0, $x120 = 0, $y124 = 0, $width_0_in = 0, $height_0_in = 0, $height_0 = 0.0, $width_0 = 0.0, $18 = 0.0, $add129 = 0.0, $div130 = 0.0, $add131 = 0.0, $19 = 0, $y134 = 0, $20 = 0.0, $21 = 0, $x141 = 0, $22 = 0, $set = 0, $cmp144 = 0, $sub147 = 0.0, $add148 = 0.0, $dy_1 = 0.0, $add150 = 0.0, $cmp151 = 0, $sub154 = 0.0, $add155 = 0.0, $dx_1 = 0.0, $dy_2 = 0.0, $head = 0, $23 = 0, $inc158 = 0, $cmp51 = 0, label = 0, __stackBase__ = 0;
   66607   __stackBase__ = STACKTOP;
   66608   STACKTOP = STACKTOP + 16080 | 0;
   66609   label = 2;
   66610   while (1) switch (label | 0) {
   66611    case 2:
   66612     $points = __stackBase__ | 0;
   66613     $tmp = __stackBase__ + 16e3 | 0;
   66614     $tmp75 = __stackBase__ + 16016 | 0;
   66615     $tmp84 = __stackBase__ + 16032 | 0;
   66616     $tmp91 = __stackBase__ + 16048 | 0;
   66617     $tmp99 = __stackBase__ + 16064 | 0;
   66618     $arrayidx = $edges + ($ind << 2) | 0;
   66619     $0 = HEAP32[$arrayidx >> 2] | 0;
   66620     $tail = $0 + 16 | 0;
   66621     $1 = HEAP32[$tail >> 2] | 0;
   66622     $div = $sizex * .5;
   66623     $conv = +($cnt | 0);
   66624     $div1 = $div / $conv;
   66625     $cmp = $div1 > 2.0;
   66626     $cond = $cmp ? $div1 : 2.0;
   66627     $np_sroa_0_0__idx = $1 + 32 | 0;
   66628     $np_sroa_0_0_copyload = +HEAPF64[$np_sroa_0_0__idx >> 3];
   66629     $np_sroa_1_8__idx32 = $1 + 40 | 0;
   66630     $np_sroa_1_8_copyload = +HEAPF64[$np_sroa_1_8__idx32 >> 3];
   66631     $tp_sroa_0_0__idx49 = $0 + 32 | 0;
   66632     $tp_sroa_0_0_copyload50 = +HEAPF64[$tp_sroa_0_0__idx49 >> 3];
   66633     $tp_sroa_1_8__idx55 = $0 + 40 | 0;
   66634     $tp_sroa_1_8_copyload56 = +HEAPF64[$tp_sroa_1_8__idx55 >> 3];
   66635     $add = $np_sroa_0_0_copyload + $tp_sroa_0_0_copyload50;
   66636     $add6 = $np_sroa_1_8_copyload + $tp_sroa_1_8_copyload56;
   66637     $hp_sroa_0_0__idx37 = $0 + 72 | 0;
   66638     $hp_sroa_0_0_copyload38 = +HEAPF64[$hp_sroa_0_0__idx37 >> 3];
   66639     $hp_sroa_1_8__idx43 = $0 + 80 | 0;
   66640     $hp_sroa_1_8_copyload44 = +HEAPF64[$hp_sroa_1_8__idx43 >> 3];
   66641     $add11 = $np_sroa_0_0_copyload + $hp_sroa_0_0_copyload38;
   66642     $add14 = $np_sroa_1_8_copyload + $hp_sroa_1_8_copyload44;
   66643     $ht = $1 + 96 | 0;
   66644     $2 = +HEAPF64[$ht >> 3];
   66645     $div20 = $2 * .5;
   66646     $add22 = $np_sroa_1_8_copyload + $div20;
   66647     $sub = $add22 - $add6;
   66648     $mul = $sub * 3.0;
   66649     $sub38 = $add22 - $add14;
   66650     $mul39 = $sub38 * 3.0;
   66651     $cmp5193 = ($cnt | 0) > 0;
   66652     if ($cmp5193) {
   66653       label = 3;
   66654       break;
   66655     } else {
   66656       label = 13;
   66657       break;
   66658     }
   66659    case 3:
   66660     $cmp40 = $div20 < $mul39;
   66661     $cmp24 = $div20 < $mul;
   66662     $cmp17 = $add < $add11;
   66663     $div20_mul39 = $cmp40 ? $div20 : $mul39;
   66664     $cond34 = $cmp24 ? $div20 : $mul;
   66665     $_ = $cmp17 ? -1.0 : 1.0;
   66666     $mul58 = $cond * $_;
   66667     $arrayidx61 = $points | 0;
   66668     $tp_sroa_0_0__idx = $points | 0;
   66669     $tp_sroa_1_8__idx51 = $points + 8 | 0;
   66670     $arrayidx63 = $points + 16 | 0;
   66671     $3 = $arrayidx63;
   66672     $4 = $tmp;
   66673     $arrayidx70 = $points + 32 | 0;
   66674     $5 = $arrayidx70;
   66675     $6 = $tmp75;
   66676     $arrayidx77 = $points + 48 | 0;
   66677     $add80 = $add + $add11;
   66678     $div81 = $add80 * .5;
   66679     $7 = $arrayidx77;
   66680     $8 = $tmp84;
   66681     $arrayidx86 = $points + 64 | 0;
   66682     $9 = $arrayidx86;
   66683     $10 = $tmp91;
   66684     $arrayidx93 = $points + 80 | 0;
   66685     $11 = $arrayidx93;
   66686     $12 = $tmp99;
   66687     $hp_sroa_0_0__idx = $points + 96 | 0;
   66688     $hp_sroa_1_8__idx39 = $points + 104 | 0;
   66689     $hy_094 = $div20_mul39;
   66690     $ty_095 = $cond34;
   66691     $dx_096 = 0.0;
   66692     $dy_097 = $div20;
   66693     $i_098 = 0;
   66694     $ind_addr_099 = $ind;
   66695     label = 4;
   66696     break;
   66697    case 4:
   66698     $inc = $ind_addr_099 + 1 | 0;
   66699     $arrayidx53 = $edges + ($ind_addr_099 << 2) | 0;
   66700     $13 = HEAP32[$arrayidx53 >> 2] | 0;
   66701     $add54 = $dy_097 + $stepy;
   66702     $add55 = $ty_095 + $stepy;
   66703     $add56 = $hy_094 + $stepy;
   66704     $add59 = $mul58 + $dx_096;
   66705     HEAPF64[$tp_sroa_0_0__idx >> 3] = $add;
   66706     HEAPF64[$tp_sroa_1_8__idx51 >> 3] = $add6;
   66707     $add65 = $add + $add59;
   66708     $div67 = $add55 / 3.0;
   66709     $add68 = $add6 + $div67;
   66710     _pointfof805($tmp, $add65, $add68);
   66711     HEAP32[$3 >> 2] = HEAP32[$4 >> 2] | 0;
   66712     HEAP32[$3 + 4 >> 2] = HEAP32[$4 + 4 >> 2] | 0;
   66713     HEAP32[$3 + 8 >> 2] = HEAP32[$4 + 8 >> 2] | 0;
   66714     HEAP32[$3 + 12 >> 2] = HEAP32[$4 + 12 >> 2] | 0;
   66715     $add74 = $np_sroa_1_8_copyload + $add54;
   66716     _pointfof805($tmp75, $add65, $add74);
   66717     HEAP32[$5 >> 2] = HEAP32[$6 >> 2] | 0;
   66718     HEAP32[$5 + 4 >> 2] = HEAP32[$6 + 4 >> 2] | 0;
   66719     HEAP32[$5 + 8 >> 2] = HEAP32[$6 + 8 >> 2] | 0;
   66720     HEAP32[$5 + 12 >> 2] = HEAP32[$6 + 12 >> 2] | 0;
   66721     _pointfof805($tmp84, $div81, $add74);
   66722     HEAP32[$7 >> 2] = HEAP32[$8 >> 2] | 0;
   66723     HEAP32[$7 + 4 >> 2] = HEAP32[$8 + 4 >> 2] | 0;
   66724     HEAP32[$7 + 8 >> 2] = HEAP32[$8 + 8 >> 2] | 0;
   66725     HEAP32[$7 + 12 >> 2] = HEAP32[$8 + 12 >> 2] | 0;
   66726     $sub88 = $add11 - $add59;
   66727     _pointfof805($tmp91, $sub88, $add74);
   66728     HEAP32[$9 >> 2] = HEAP32[$10 >> 2] | 0;
   66729     HEAP32[$9 + 4 >> 2] = HEAP32[$10 + 4 >> 2] | 0;
   66730     HEAP32[$9 + 8 >> 2] = HEAP32[$10 + 8 >> 2] | 0;
   66731     HEAP32[$9 + 12 >> 2] = HEAP32[$10 + 12 >> 2] | 0;
   66732     $div97 = $add56 / 3.0;
   66733     $add98 = $add14 + $div97;
   66734     _pointfof805($tmp99, $sub88, $add98);
   66735     HEAP32[$11 >> 2] = HEAP32[$12 >> 2] | 0;
   66736     HEAP32[$11 + 4 >> 2] = HEAP32[$12 + 4 >> 2] | 0;
   66737     HEAP32[$11 + 8 >> 2] = HEAP32[$12 + 8 >> 2] | 0;
   66738     HEAP32[$11 + 12 >> 2] = HEAP32[$12 + 12 >> 2] | 0;
   66739     HEAPF64[$hp_sroa_0_0__idx >> 3] = $add11;
   66740     HEAPF64[$hp_sroa_1_8__idx39 >> 3] = $add14;
   66741     $label = $13 + 112 | 0;
   66742     $14 = HEAP32[$label >> 2] | 0;
   66743     $tobool = ($14 | 0) == 0;
   66744     if ($tobool) {
   66745       $dy_2 = $add54;
   66746       $dx_1 = $add59;
   66747       label = 12;
   66748       break;
   66749     } else {
   66750       label = 5;
   66751       break;
   66752     }
   66753    case 5:
   66754     $tail104 = $13 + 16 | 0;
   66755     $15 = HEAP32[$tail104 >> 2] | 0;
   66756     $graph = $15 + 20 | 0;
   66757     $16 = HEAP32[$graph >> 2] | 0;
   66758     $rankdir = $16 + 156 | 0;
   66759     $17 = HEAP32[$rankdir >> 2] | 0;
   66760     $and106 = $17 & 1;
   66761     $tobool107 = ($and106 | 0) == 0;
   66762     $dimen = $14 + 24 | 0;
   66763     if ($tobool107) {
   66764       label = 7;
   66765       break;
   66766     } else {
   66767       label = 6;
   66768       break;
   66769     }
   66770    case 6:
   66771     $y111 = $14 + 32 | 0;
   66772     $x115 = $dimen | 0;
   66773     $height_0_in = $x115;
   66774     $width_0_in = $y111;
   66775     label = 8;
   66776     break;
   66777    case 7:
   66778     $x120 = $dimen | 0;
   66779     $y124 = $14 + 32 | 0;
   66780     $height_0_in = $y124;
   66781     $width_0_in = $x120;
   66782     label = 8;
   66783     break;
   66784    case 8:
   66785     $height_0 = +HEAPF64[$height_0_in >> 3];
   66786     $width_0 = +HEAPF64[$width_0_in >> 3];
   66787     $18 = +HEAPF64[$np_sroa_1_8__idx32 >> 3];
   66788     $add129 = $add54 + $18;
   66789     $div130 = $height_0 * .5;
   66790     $add131 = $div130 + $add129;
   66791     $19 = HEAP32[$label >> 2] | 0;
   66792     $y134 = $19 + 64 | 0;
   66793     HEAPF64[$y134 >> 3] = $add131;
   66794     $20 = +HEAPF64[$np_sroa_0_0__idx >> 3];
   66795     $21 = HEAP32[$label >> 2] | 0;
   66796     $x141 = $21 + 56 | 0;
   66797     HEAPF64[$x141 >> 3] = $20;
   66798     $22 = HEAP32[$label >> 2] | 0;
   66799     $set = $22 + 81 | 0;
   66800     HEAP8[$set] = 1;
   66801     $cmp144 = $height_0 > $stepy;
   66802     if ($cmp144) {
   66803       label = 9;
   66804       break;
   66805     } else {
   66806       $dy_1 = $add54;
   66807       label = 10;
   66808       break;
   66809     }
   66810    case 9:
   66811     $sub147 = $height_0 - $stepy;
   66812     $add148 = $add54 + $sub147;
   66813     $dy_1 = $add148;
   66814     label = 10;
   66815     break;
   66816    case 10:
   66817     $add150 = $cond + $add59;
   66818     $cmp151 = $add150 < $width_0;
   66819     if ($cmp151) {
   66820       label = 11;
   66821       break;
   66822     } else {
   66823       $dy_2 = $dy_1;
   66824       $dx_1 = $add59;
   66825       label = 12;
   66826       break;
   66827     }
   66828    case 11:
   66829     $sub154 = $width_0 - $cond;
   66830     $add155 = $add59 + $sub154;
   66831     $dy_2 = $dy_1;
   66832     $dx_1 = $add155;
   66833     label = 12;
   66834     break;
   66835    case 12:
   66836     $head = $13 + 12 | 0;
   66837     $23 = HEAP32[$head >> 2] | 0;
   66838     _clip_and_install($13, $23, $arrayidx61, 7, $sinfo);
   66839     $inc158 = $i_098 + 1 | 0;
   66840     $cmp51 = ($inc158 | 0) < ($cnt | 0);
   66841     if ($cmp51) {
   66842       $hy_094 = $add56;
   66843       $ty_095 = $add55;
   66844       $dx_096 = $dx_1;
   66845       $dy_097 = $dy_2;
   66846       $i_098 = $inc158;
   66847       $ind_addr_099 = $inc;
   66848       label = 4;
   66849       break;
   66850     } else {
   66851       label = 13;
   66852       break;
   66853     }
   66854    case 13:
   66855     STACKTOP = __stackBase__;
   66856     return;
   66857   }
   66858 }
   66859 function _selfLeft($edges, $ind, $cnt, $stepx, $sizey, $sinfo) {
   66860   $edges = $edges | 0;
   66861   $ind = $ind | 0;
   66862   $cnt = $cnt | 0;
   66863   $stepx = +$stepx;
   66864   $sizey = +$sizey;
   66865   $sinfo = $sinfo | 0;
   66866   var $points = 0, $tmp = 0, $tmp74 = 0, $tmp83 = 0, $tmp90 = 0, $tmp98 = 0, $arrayidx = 0, $0 = 0, $tail = 0, $1 = 0, $div = 0.0, $conv = 0.0, $div1 = 0.0, $cmp = 0, $cond = 0.0, $np_sroa_0_0__idx = 0, $np_sroa_0_0_copyload = 0.0, $np_sroa_1_8__idx32 = 0, $np_sroa_1_8_copyload = 0.0, $tp_sroa_0_0__idx48 = 0, $tp_sroa_0_0_copyload49 = 0.0, $tp_sroa_1_8__idx55 = 0, $tp_sroa_1_8_copyload56 = 0.0, $add = 0.0, $add6 = 0.0, $hp_sroa_0_0__idx36 = 0, $hp_sroa_0_0_copyload37 = 0.0, $hp_sroa_1_8__idx43 = 0, $hp_sroa_1_8_copyload44 = 0.0, $add11 = 0.0, $add14 = 0.0, $lw = 0, $2 = 0.0, $add21 = 0.0, $sub = 0.0, $mul = 0.0, $add35 = 0.0, $sub37 = 0.0, $mul38 = 0.0, $cmp5093 = 0, $cmp39 = 0, $cmp23 = 0, $cmp17 = 0, $_mul38 = 0.0, $cond33 = 0.0, $_ = 0.0, $mul57 = 0.0, $arrayidx60 = 0, $tp_sroa_0_0__idx = 0, $tp_sroa_1_8__idx52 = 0, $arrayidx62 = 0, $3 = 0, $4 = 0, $arrayidx69 = 0, $5 = 0, $6 = 0, $arrayidx76 = 0, $add81 = 0.0, $div82 = 0.0, $7 = 0, $8 = 0, $arrayidx85 = 0, $9 = 0, $10 = 0, $arrayidx92 = 0, $11 = 0, $12 = 0, $hp_sroa_0_0__idx = 0, $hp_sroa_1_8__idx38 = 0, $ind_addr_099 = 0, $i_098 = 0, $dy_097 = 0.0, $dx_096 = 0.0, $tx_095 = 0.0, $hx_094 = 0.0, $inc = 0, $arrayidx52 = 0, $13 = 0, $add53 = 0.0, $add54 = 0.0, $add55 = 0.0, $add58 = 0.0, $div64 = 0.0, $sub65 = 0.0, $add67 = 0.0, $sub71 = 0.0, $sub89 = 0.0, $div94 = 0.0, $sub95 = 0.0, $label = 0, $14 = 0, $tobool = 0, $tail103 = 0, $15 = 0, $graph = 0, $16 = 0, $rankdir = 0, $17 = 0, $and105 = 0, $tobool106 = 0, $dimen = 0, $y110 = 0, $x114 = 0, $x119 = 0, $y123 = 0, $width_0_in = 0, $height_0_in = 0, $height_0 = 0.0, $width_0 = 0.0, $18 = 0.0, $sub128 = 0.0, $div129 = 0.0, $sub130 = 0.0, $19 = 0, $x133 = 0, $20 = 0.0, $21 = 0, $y140 = 0, $22 = 0, $set = 0, $cmp143 = 0, $sub146 = 0.0, $add147 = 0.0, $dx_1 = 0.0, $add149 = 0.0, $cmp150 = 0, $sub153 = 0.0, $add154 = 0.0, $dx_2 = 0.0, $dy_1 = 0.0, $head = 0, $23 = 0, $inc157 = 0, $cmp50 = 0, label = 0, __stackBase__ = 0;
   66867   __stackBase__ = STACKTOP;
   66868   STACKTOP = STACKTOP + 16080 | 0;
   66869   label = 2;
   66870   while (1) switch (label | 0) {
   66871    case 2:
   66872     $points = __stackBase__ | 0;
   66873     $tmp = __stackBase__ + 16e3 | 0;
   66874     $tmp74 = __stackBase__ + 16016 | 0;
   66875     $tmp83 = __stackBase__ + 16032 | 0;
   66876     $tmp90 = __stackBase__ + 16048 | 0;
   66877     $tmp98 = __stackBase__ + 16064 | 0;
   66878     $arrayidx = $edges + ($ind << 2) | 0;
   66879     $0 = HEAP32[$arrayidx >> 2] | 0;
   66880     $tail = $0 + 16 | 0;
   66881     $1 = HEAP32[$tail >> 2] | 0;
   66882     $div = $sizey * .5;
   66883     $conv = +($cnt | 0);
   66884     $div1 = $div / $conv;
   66885     $cmp = $div1 > 2.0;
   66886     $cond = $cmp ? $div1 : 2.0;
   66887     $np_sroa_0_0__idx = $1 + 32 | 0;
   66888     $np_sroa_0_0_copyload = +HEAPF64[$np_sroa_0_0__idx >> 3];
   66889     $np_sroa_1_8__idx32 = $1 + 40 | 0;
   66890     $np_sroa_1_8_copyload = +HEAPF64[$np_sroa_1_8__idx32 >> 3];
   66891     $tp_sroa_0_0__idx48 = $0 + 32 | 0;
   66892     $tp_sroa_0_0_copyload49 = +HEAPF64[$tp_sroa_0_0__idx48 >> 3];
   66893     $tp_sroa_1_8__idx55 = $0 + 40 | 0;
   66894     $tp_sroa_1_8_copyload56 = +HEAPF64[$tp_sroa_1_8__idx55 >> 3];
   66895     $add = $np_sroa_0_0_copyload + $tp_sroa_0_0_copyload49;
   66896     $add6 = $np_sroa_1_8_copyload + $tp_sroa_1_8_copyload56;
   66897     $hp_sroa_0_0__idx36 = $0 + 72 | 0;
   66898     $hp_sroa_0_0_copyload37 = +HEAPF64[$hp_sroa_0_0__idx36 >> 3];
   66899     $hp_sroa_1_8__idx43 = $0 + 80 | 0;
   66900     $hp_sroa_1_8_copyload44 = +HEAPF64[$hp_sroa_1_8__idx43 >> 3];
   66901     $add11 = $np_sroa_0_0_copyload + $hp_sroa_0_0_copyload37;
   66902     $add14 = $np_sroa_1_8_copyload + $hp_sroa_1_8_copyload44;
   66903     $lw = $1 + 104 | 0;
   66904     $2 = +HEAPF64[$lw >> 3];
   66905     $add21 = $add + $2;
   66906     $sub = $add21 - $np_sroa_0_0_copyload;
   66907     $mul = $sub * 3.0;
   66908     $add35 = $add11 + $2;
   66909     $sub37 = $add35 - $np_sroa_0_0_copyload;
   66910     $mul38 = $sub37 * 3.0;
   66911     $cmp5093 = ($cnt | 0) > 0;
   66912     if ($cmp5093) {
   66913       label = 3;
   66914       break;
   66915     } else {
   66916       label = 13;
   66917       break;
   66918     }
   66919    case 3:
   66920     $cmp39 = $2 < $mul38;
   66921     $cmp23 = $2 < $mul;
   66922     $cmp17 = $add6 < $add14;
   66923     $_mul38 = $cmp39 ? $2 : $mul38;
   66924     $cond33 = $cmp23 ? $2 : $mul;
   66925     $_ = $cmp17 ? -1.0 : 1.0;
   66926     $mul57 = $cond * $_;
   66927     $arrayidx60 = $points | 0;
   66928     $tp_sroa_0_0__idx = $points | 0;
   66929     $tp_sroa_1_8__idx52 = $points + 8 | 0;
   66930     $arrayidx62 = $points + 16 | 0;
   66931     $3 = $arrayidx62;
   66932     $4 = $tmp;
   66933     $arrayidx69 = $points + 32 | 0;
   66934     $5 = $arrayidx69;
   66935     $6 = $tmp74;
   66936     $arrayidx76 = $points + 48 | 0;
   66937     $add81 = $add6 + $add14;
   66938     $div82 = $add81 * .5;
   66939     $7 = $arrayidx76;
   66940     $8 = $tmp83;
   66941     $arrayidx85 = $points + 64 | 0;
   66942     $9 = $arrayidx85;
   66943     $10 = $tmp90;
   66944     $arrayidx92 = $points + 80 | 0;
   66945     $11 = $arrayidx92;
   66946     $12 = $tmp98;
   66947     $hp_sroa_0_0__idx = $points + 96 | 0;
   66948     $hp_sroa_1_8__idx38 = $points + 104 | 0;
   66949     $hx_094 = $_mul38;
   66950     $tx_095 = $cond33;
   66951     $dx_096 = $2;
   66952     $dy_097 = 0.0;
   66953     $i_098 = 0;
   66954     $ind_addr_099 = $ind;
   66955     label = 4;
   66956     break;
   66957    case 4:
   66958     $inc = $ind_addr_099 + 1 | 0;
   66959     $arrayidx52 = $edges + ($ind_addr_099 << 2) | 0;
   66960     $13 = HEAP32[$arrayidx52 >> 2] | 0;
   66961     $add53 = $dx_096 + $stepx;
   66962     $add54 = $tx_095 + $stepx;
   66963     $add55 = $hx_094 + $stepx;
   66964     $add58 = $mul57 + $dy_097;
   66965     HEAPF64[$tp_sroa_0_0__idx >> 3] = $add;
   66966     HEAPF64[$tp_sroa_1_8__idx52 >> 3] = $add6;
   66967     $div64 = $add54 / 3.0;
   66968     $sub65 = $add - $div64;
   66969     $add67 = $add6 + $add58;
   66970     _pointfof805($tmp, $sub65, $add67);
   66971     HEAP32[$3 >> 2] = HEAP32[$4 >> 2] | 0;
   66972     HEAP32[$3 + 4 >> 2] = HEAP32[$4 + 4 >> 2] | 0;
   66973     HEAP32[$3 + 8 >> 2] = HEAP32[$4 + 8 >> 2] | 0;
   66974     HEAP32[$3 + 12 >> 2] = HEAP32[$4 + 12 >> 2] | 0;
   66975     $sub71 = $np_sroa_0_0_copyload - $add53;
   66976     _pointfof805($tmp74, $sub71, $add67);
   66977     HEAP32[$5 >> 2] = HEAP32[$6 >> 2] | 0;
   66978     HEAP32[$5 + 4 >> 2] = HEAP32[$6 + 4 >> 2] | 0;
   66979     HEAP32[$5 + 8 >> 2] = HEAP32[$6 + 8 >> 2] | 0;
   66980     HEAP32[$5 + 12 >> 2] = HEAP32[$6 + 12 >> 2] | 0;
   66981     _pointfof805($tmp83, $sub71, $div82);
   66982     HEAP32[$7 >> 2] = HEAP32[$8 >> 2] | 0;
   66983     HEAP32[$7 + 4 >> 2] = HEAP32[$8 + 4 >> 2] | 0;
   66984     HEAP32[$7 + 8 >> 2] = HEAP32[$8 + 8 >> 2] | 0;
   66985     HEAP32[$7 + 12 >> 2] = HEAP32[$8 + 12 >> 2] | 0;
   66986     $sub89 = $add14 - $add58;
   66987     _pointfof805($tmp90, $sub71, $sub89);
   66988     HEAP32[$9 >> 2] = HEAP32[$10 >> 2] | 0;
   66989     HEAP32[$9 + 4 >> 2] = HEAP32[$10 + 4 >> 2] | 0;
   66990     HEAP32[$9 + 8 >> 2] = HEAP32[$10 + 8 >> 2] | 0;
   66991     HEAP32[$9 + 12 >> 2] = HEAP32[$10 + 12 >> 2] | 0;
   66992     $div94 = $add55 / 3.0;
   66993     $sub95 = $add11 - $div94;
   66994     _pointfof805($tmp98, $sub95, $sub89);
   66995     HEAP32[$11 >> 2] = HEAP32[$12 >> 2] | 0;
   66996     HEAP32[$11 + 4 >> 2] = HEAP32[$12 + 4 >> 2] | 0;
   66997     HEAP32[$11 + 8 >> 2] = HEAP32[$12 + 8 >> 2] | 0;
   66998     HEAP32[$11 + 12 >> 2] = HEAP32[$12 + 12 >> 2] | 0;
   66999     HEAPF64[$hp_sroa_0_0__idx >> 3] = $add11;
   67000     HEAPF64[$hp_sroa_1_8__idx38 >> 3] = $add14;
   67001     $label = $13 + 112 | 0;
   67002     $14 = HEAP32[$label >> 2] | 0;
   67003     $tobool = ($14 | 0) == 0;
   67004     if ($tobool) {
   67005       $dy_1 = $add58;
   67006       $dx_2 = $add53;
   67007       label = 12;
   67008       break;
   67009     } else {
   67010       label = 5;
   67011       break;
   67012     }
   67013    case 5:
   67014     $tail103 = $13 + 16 | 0;
   67015     $15 = HEAP32[$tail103 >> 2] | 0;
   67016     $graph = $15 + 20 | 0;
   67017     $16 = HEAP32[$graph >> 2] | 0;
   67018     $rankdir = $16 + 156 | 0;
   67019     $17 = HEAP32[$rankdir >> 2] | 0;
   67020     $and105 = $17 & 1;
   67021     $tobool106 = ($and105 | 0) == 0;
   67022     $dimen = $14 + 24 | 0;
   67023     if ($tobool106) {
   67024       label = 7;
   67025       break;
   67026     } else {
   67027       label = 6;
   67028       break;
   67029     }
   67030    case 6:
   67031     $y110 = $14 + 32 | 0;
   67032     $x114 = $dimen | 0;
   67033     $height_0_in = $x114;
   67034     $width_0_in = $y110;
   67035     label = 8;
   67036     break;
   67037    case 7:
   67038     $x119 = $dimen | 0;
   67039     $y123 = $14 + 32 | 0;
   67040     $height_0_in = $y123;
   67041     $width_0_in = $x119;
   67042     label = 8;
   67043     break;
   67044    case 8:
   67045     $height_0 = +HEAPF64[$height_0_in >> 3];
   67046     $width_0 = +HEAPF64[$width_0_in >> 3];
   67047     $18 = +HEAPF64[$np_sroa_0_0__idx >> 3];
   67048     $sub128 = $18 - $add53;
   67049     $div129 = $width_0 * .5;
   67050     $sub130 = $sub128 - $div129;
   67051     $19 = HEAP32[$label >> 2] | 0;
   67052     $x133 = $19 + 56 | 0;
   67053     HEAPF64[$x133 >> 3] = $sub130;
   67054     $20 = +HEAPF64[$np_sroa_1_8__idx32 >> 3];
   67055     $21 = HEAP32[$label >> 2] | 0;
   67056     $y140 = $21 + 64 | 0;
   67057     HEAPF64[$y140 >> 3] = $20;
   67058     $22 = HEAP32[$label >> 2] | 0;
   67059     $set = $22 + 81 | 0;
   67060     HEAP8[$set] = 1;
   67061     $cmp143 = $width_0 > $stepx;
   67062     if ($cmp143) {
   67063       label = 9;
   67064       break;
   67065     } else {
   67066       $dx_1 = $add53;
   67067       label = 10;
   67068       break;
   67069     }
   67070    case 9:
   67071     $sub146 = $width_0 - $stepx;
   67072     $add147 = $add53 + $sub146;
   67073     $dx_1 = $add147;
   67074     label = 10;
   67075     break;
   67076    case 10:
   67077     $add149 = $cond + $add58;
   67078     $cmp150 = $add149 < $height_0;
   67079     if ($cmp150) {
   67080       label = 11;
   67081       break;
   67082     } else {
   67083       $dy_1 = $add58;
   67084       $dx_2 = $dx_1;
   67085       label = 12;
   67086       break;
   67087     }
   67088    case 11:
   67089     $sub153 = $height_0 - $cond;
   67090     $add154 = $add58 + $sub153;
   67091     $dy_1 = $add154;
   67092     $dx_2 = $dx_1;
   67093     label = 12;
   67094     break;
   67095    case 12:
   67096     $head = $13 + 12 | 0;
   67097     $23 = HEAP32[$head >> 2] | 0;
   67098     _clip_and_install($13, $23, $arrayidx60, 7, $sinfo);
   67099     $inc157 = $i_098 + 1 | 0;
   67100     $cmp50 = ($inc157 | 0) < ($cnt | 0);
   67101     if ($cmp50) {
   67102       $hx_094 = $add55;
   67103       $tx_095 = $add54;
   67104       $dx_096 = $dx_2;
   67105       $dy_097 = $dy_1;
   67106       $i_098 = $inc157;
   67107       $ind_addr_099 = $inc;
   67108       label = 4;
   67109       break;
   67110     } else {
   67111       label = 13;
   67112       break;
   67113     }
   67114    case 13:
   67115     STACKTOP = __stackBase__;
   67116     return;
   67117   }
   67118 }
   67119 function _selfBottom($edges, $ind, $cnt, $sizex, $stepy, $sinfo) {
   67120   $edges = $edges | 0;
   67121   $ind = $ind | 0;
   67122   $cnt = $cnt | 0;
   67123   $sizex = +$sizex;
   67124   $stepy = +$stepy;
   67125   $sinfo = $sinfo | 0;
   67126   var $points = 0, $tmp = 0, $tmp75 = 0, $tmp84 = 0, $tmp91 = 0, $tmp99 = 0, $arrayidx = 0, $0 = 0, $tail = 0, $1 = 0, $div = 0.0, $conv = 0.0, $div1 = 0.0, $cmp = 0, $cond = 0.0, $np_sroa_0_0__idx = 0, $np_sroa_0_0_copyload = 0.0, $np_sroa_1_8__idx61 = 0, $np_sroa_1_8_copyload = 0.0, $tp_sroa_0_0__idx78 = 0, $tp_sroa_0_0_copyload79 = 0.0, $tp_sroa_1_8__idx84 = 0, $tp_sroa_1_8_copyload85 = 0.0, $add = 0.0, $add6 = 0.0, $hp_sroa_0_0__idx66 = 0, $hp_sroa_0_0_copyload67 = 0.0, $hp_sroa_1_8__idx72 = 0, $hp_sroa_1_8_copyload73 = 0.0, $add11 = 0.0, $add14 = 0.0, $ht = 0, $2 = 0.0, $div20 = 0.0, $add22 = 0.0, $sub = 0.0, $mul = 0.0, $add36 = 0.0, $sub38 = 0.0, $mul39 = 0.0, $cmp5193 = 0, $cmp40 = 0, $cmp24 = 0, $cmp17 = 0, $div20_mul39 = 0.0, $cond34 = 0.0, $_ = 0.0, $mul58 = 0.0, $arrayidx61 = 0, $tp_sroa_0_0__idx = 0, $tp_sroa_1_8__idx80 = 0, $arrayidx63 = 0, $3 = 0, $4 = 0, $arrayidx70 = 0, $5 = 0, $6 = 0, $arrayidx77 = 0, $add80 = 0.0, $div81 = 0.0, $7 = 0, $8 = 0, $arrayidx86 = 0, $9 = 0, $10 = 0, $arrayidx93 = 0, $11 = 0, $12 = 0, $hp_sroa_0_0__idx = 0, $hp_sroa_1_8__idx68 = 0, $dy_099 = 0.0, $dx_098 = 0.0, $ty_097 = 0.0, $hy_096 = 0.0, $i_095 = 0, $ind_addr_094 = 0, $inc = 0, $arrayidx53 = 0, $13 = 0, $add54 = 0.0, $add55 = 0.0, $add56 = 0.0, $add59 = 0.0, $add65 = 0.0, $div67 = 0.0, $sub68 = 0.0, $sub74 = 0.0, $sub88 = 0.0, $div97 = 0.0, $sub98 = 0.0, $label = 0, $14 = 0, $tobool = 0, $tail104 = 0, $15 = 0, $graph = 0, $16 = 0, $rankdir = 0, $17 = 0, $and106 = 0, $tobool107 = 0, $dimen = 0, $y111 = 0, $x115 = 0, $x120 = 0, $y124 = 0, $width_0_in = 0, $height_0_in = 0, $height_0 = 0.0, $width_0 = 0.0, $18 = 0.0, $sub129 = 0.0, $div130 = 0.0, $sub131 = 0.0, $19 = 0, $y134 = 0, $20 = 0.0, $21 = 0, $x141 = 0, $22 = 0, $set = 0, $cmp144 = 0, $sub147 = 0.0, $add148 = 0.0, $dy_1 = 0.0, $add150 = 0.0, $cmp151 = 0, $sub154 = 0.0, $add155 = 0.0, $dx_1 = 0.0, $dy_2 = 0.0, $head = 0, $23 = 0, $inc158 = 0, $cmp51 = 0, label = 0, __stackBase__ = 0;
   67127   __stackBase__ = STACKTOP;
   67128   STACKTOP = STACKTOP + 16080 | 0;
   67129   label = 2;
   67130   while (1) switch (label | 0) {
   67131    case 2:
   67132     $points = __stackBase__ | 0;
   67133     $tmp = __stackBase__ + 16e3 | 0;
   67134     $tmp75 = __stackBase__ + 16016 | 0;
   67135     $tmp84 = __stackBase__ + 16032 | 0;
   67136     $tmp91 = __stackBase__ + 16048 | 0;
   67137     $tmp99 = __stackBase__ + 16064 | 0;
   67138     $arrayidx = $edges + ($ind << 2) | 0;
   67139     $0 = HEAP32[$arrayidx >> 2] | 0;
   67140     $tail = $0 + 16 | 0;
   67141     $1 = HEAP32[$tail >> 2] | 0;
   67142     $div = $sizex * .5;
   67143     $conv = +($cnt | 0);
   67144     $div1 = $div / $conv;
   67145     $cmp = $div1 > 2.0;
   67146     $cond = $cmp ? $div1 : 2.0;
   67147     $np_sroa_0_0__idx = $1 + 32 | 0;
   67148     $np_sroa_0_0_copyload = +HEAPF64[$np_sroa_0_0__idx >> 3];
   67149     $np_sroa_1_8__idx61 = $1 + 40 | 0;
   67150     $np_sroa_1_8_copyload = +HEAPF64[$np_sroa_1_8__idx61 >> 3];
   67151     $tp_sroa_0_0__idx78 = $0 + 32 | 0;
   67152     $tp_sroa_0_0_copyload79 = +HEAPF64[$tp_sroa_0_0__idx78 >> 3];
   67153     $tp_sroa_1_8__idx84 = $0 + 40 | 0;
   67154     $tp_sroa_1_8_copyload85 = +HEAPF64[$tp_sroa_1_8__idx84 >> 3];
   67155     $add = $np_sroa_0_0_copyload + $tp_sroa_0_0_copyload79;
   67156     $add6 = $np_sroa_1_8_copyload + $tp_sroa_1_8_copyload85;
   67157     $hp_sroa_0_0__idx66 = $0 + 72 | 0;
   67158     $hp_sroa_0_0_copyload67 = +HEAPF64[$hp_sroa_0_0__idx66 >> 3];
   67159     $hp_sroa_1_8__idx72 = $0 + 80 | 0;
   67160     $hp_sroa_1_8_copyload73 = +HEAPF64[$hp_sroa_1_8__idx72 >> 3];
   67161     $add11 = $np_sroa_0_0_copyload + $hp_sroa_0_0_copyload67;
   67162     $add14 = $np_sroa_1_8_copyload + $hp_sroa_1_8_copyload73;
   67163     $ht = $1 + 96 | 0;
   67164     $2 = +HEAPF64[$ht >> 3];
   67165     $div20 = $2 * .5;
   67166     $add22 = $add6 + $div20;
   67167     $sub = $add22 - $np_sroa_1_8_copyload;
   67168     $mul = $sub * 3.0;
   67169     $add36 = $add14 + $div20;
   67170     $sub38 = $add36 - $np_sroa_1_8_copyload;
   67171     $mul39 = $sub38 * 3.0;
   67172     $cmp5193 = ($cnt | 0) > 0;
   67173     if ($cmp5193) {
   67174       label = 3;
   67175       break;
   67176     } else {
   67177       label = 13;
   67178       break;
   67179     }
   67180    case 3:
   67181     $cmp40 = $div20 < $mul39;
   67182     $cmp24 = $div20 < $mul;
   67183     $cmp17 = $add < $add11;
   67184     $div20_mul39 = $cmp40 ? $div20 : $mul39;
   67185     $cond34 = $cmp24 ? $div20 : $mul;
   67186     $_ = $cmp17 ? -1.0 : 1.0;
   67187     $mul58 = $cond * $_;
   67188     $arrayidx61 = $points | 0;
   67189     $tp_sroa_0_0__idx = $points | 0;
   67190     $tp_sroa_1_8__idx80 = $points + 8 | 0;
   67191     $arrayidx63 = $points + 16 | 0;
   67192     $3 = $arrayidx63;
   67193     $4 = $tmp;
   67194     $arrayidx70 = $points + 32 | 0;
   67195     $5 = $arrayidx70;
   67196     $6 = $tmp75;
   67197     $arrayidx77 = $points + 48 | 0;
   67198     $add80 = $add + $add11;
   67199     $div81 = $add80 * .5;
   67200     $7 = $arrayidx77;
   67201     $8 = $tmp84;
   67202     $arrayidx86 = $points + 64 | 0;
   67203     $9 = $arrayidx86;
   67204     $10 = $tmp91;
   67205     $arrayidx93 = $points + 80 | 0;
   67206     $11 = $arrayidx93;
   67207     $12 = $tmp99;
   67208     $hp_sroa_0_0__idx = $points + 96 | 0;
   67209     $hp_sroa_1_8__idx68 = $points + 104 | 0;
   67210     $ind_addr_094 = $ind;
   67211     $i_095 = 0;
   67212     $hy_096 = $div20_mul39;
   67213     $ty_097 = $cond34;
   67214     $dx_098 = 0.0;
   67215     $dy_099 = $div20;
   67216     label = 4;
   67217     break;
   67218    case 4:
   67219     $inc = $ind_addr_094 + 1 | 0;
   67220     $arrayidx53 = $edges + ($ind_addr_094 << 2) | 0;
   67221     $13 = HEAP32[$arrayidx53 >> 2] | 0;
   67222     $add54 = $dy_099 + $stepy;
   67223     $add55 = $ty_097 + $stepy;
   67224     $add56 = $hy_096 + $stepy;
   67225     $add59 = $mul58 + $dx_098;
   67226     HEAPF64[$tp_sroa_0_0__idx >> 3] = $add;
   67227     HEAPF64[$tp_sroa_1_8__idx80 >> 3] = $add6;
   67228     $add65 = $add + $add59;
   67229     $div67 = $add55 / 3.0;
   67230     $sub68 = $add6 - $div67;
   67231     _pointfof805($tmp, $add65, $sub68);
   67232     HEAP32[$3 >> 2] = HEAP32[$4 >> 2] | 0;
   67233     HEAP32[$3 + 4 >> 2] = HEAP32[$4 + 4 >> 2] | 0;
   67234     HEAP32[$3 + 8 >> 2] = HEAP32[$4 + 8 >> 2] | 0;
   67235     HEAP32[$3 + 12 >> 2] = HEAP32[$4 + 12 >> 2] | 0;
   67236     $sub74 = $np_sroa_1_8_copyload - $add54;
   67237     _pointfof805($tmp75, $add65, $sub74);
   67238     HEAP32[$5 >> 2] = HEAP32[$6 >> 2] | 0;
   67239     HEAP32[$5 + 4 >> 2] = HEAP32[$6 + 4 >> 2] | 0;
   67240     HEAP32[$5 + 8 >> 2] = HEAP32[$6 + 8 >> 2] | 0;
   67241     HEAP32[$5 + 12 >> 2] = HEAP32[$6 + 12 >> 2] | 0;
   67242     _pointfof805($tmp84, $div81, $sub74);
   67243     HEAP32[$7 >> 2] = HEAP32[$8 >> 2] | 0;
   67244     HEAP32[$7 + 4 >> 2] = HEAP32[$8 + 4 >> 2] | 0;
   67245     HEAP32[$7 + 8 >> 2] = HEAP32[$8 + 8 >> 2] | 0;
   67246     HEAP32[$7 + 12 >> 2] = HEAP32[$8 + 12 >> 2] | 0;
   67247     $sub88 = $add11 - $add59;
   67248     _pointfof805($tmp91, $sub88, $sub74);
   67249     HEAP32[$9 >> 2] = HEAP32[$10 >> 2] | 0;
   67250     HEAP32[$9 + 4 >> 2] = HEAP32[$10 + 4 >> 2] | 0;
   67251     HEAP32[$9 + 8 >> 2] = HEAP32[$10 + 8 >> 2] | 0;
   67252     HEAP32[$9 + 12 >> 2] = HEAP32[$10 + 12 >> 2] | 0;
   67253     $div97 = $add56 / 3.0;
   67254     $sub98 = $add14 - $div97;
   67255     _pointfof805($tmp99, $sub88, $sub98);
   67256     HEAP32[$11 >> 2] = HEAP32[$12 >> 2] | 0;
   67257     HEAP32[$11 + 4 >> 2] = HEAP32[$12 + 4 >> 2] | 0;
   67258     HEAP32[$11 + 8 >> 2] = HEAP32[$12 + 8 >> 2] | 0;
   67259     HEAP32[$11 + 12 >> 2] = HEAP32[$12 + 12 >> 2] | 0;
   67260     HEAPF64[$hp_sroa_0_0__idx >> 3] = $add11;
   67261     HEAPF64[$hp_sroa_1_8__idx68 >> 3] = $add14;
   67262     $label = $13 + 112 | 0;
   67263     $14 = HEAP32[$label >> 2] | 0;
   67264     $tobool = ($14 | 0) == 0;
   67265     if ($tobool) {
   67266       $dy_2 = $add54;
   67267       $dx_1 = $add59;
   67268       label = 12;
   67269       break;
   67270     } else {
   67271       label = 5;
   67272       break;
   67273     }
   67274    case 5:
   67275     $tail104 = $13 + 16 | 0;
   67276     $15 = HEAP32[$tail104 >> 2] | 0;
   67277     $graph = $15 + 20 | 0;
   67278     $16 = HEAP32[$graph >> 2] | 0;
   67279     $rankdir = $16 + 156 | 0;
   67280     $17 = HEAP32[$rankdir >> 2] | 0;
   67281     $and106 = $17 & 1;
   67282     $tobool107 = ($and106 | 0) == 0;
   67283     $dimen = $14 + 24 | 0;
   67284     if ($tobool107) {
   67285       label = 7;
   67286       break;
   67287     } else {
   67288       label = 6;
   67289       break;
   67290     }
   67291    case 6:
   67292     $y111 = $14 + 32 | 0;
   67293     $x115 = $dimen | 0;
   67294     $height_0_in = $x115;
   67295     $width_0_in = $y111;
   67296     label = 8;
   67297     break;
   67298    case 7:
   67299     $x120 = $dimen | 0;
   67300     $y124 = $14 + 32 | 0;
   67301     $height_0_in = $y124;
   67302     $width_0_in = $x120;
   67303     label = 8;
   67304     break;
   67305    case 8:
   67306     $height_0 = +HEAPF64[$height_0_in >> 3];
   67307     $width_0 = +HEAPF64[$width_0_in >> 3];
   67308     $18 = +HEAPF64[$np_sroa_1_8__idx61 >> 3];
   67309     $sub129 = $18 - $add54;
   67310     $div130 = $height_0 * .5;
   67311     $sub131 = $sub129 - $div130;
   67312     $19 = HEAP32[$label >> 2] | 0;
   67313     $y134 = $19 + 64 | 0;
   67314     HEAPF64[$y134 >> 3] = $sub131;
   67315     $20 = +HEAPF64[$np_sroa_0_0__idx >> 3];
   67316     $21 = HEAP32[$label >> 2] | 0;
   67317     $x141 = $21 + 56 | 0;
   67318     HEAPF64[$x141 >> 3] = $20;
   67319     $22 = HEAP32[$label >> 2] | 0;
   67320     $set = $22 + 81 | 0;
   67321     HEAP8[$set] = 1;
   67322     $cmp144 = $height_0 > $stepy;
   67323     if ($cmp144) {
   67324       label = 9;
   67325       break;
   67326     } else {
   67327       $dy_1 = $add54;
   67328       label = 10;
   67329       break;
   67330     }
   67331    case 9:
   67332     $sub147 = $height_0 - $stepy;
   67333     $add148 = $add54 + $sub147;
   67334     $dy_1 = $add148;
   67335     label = 10;
   67336     break;
   67337    case 10:
   67338     $add150 = $cond + $add59;
   67339     $cmp151 = $add150 < $width_0;
   67340     if ($cmp151) {
   67341       label = 11;
   67342       break;
   67343     } else {
   67344       $dy_2 = $dy_1;
   67345       $dx_1 = $add59;
   67346       label = 12;
   67347       break;
   67348     }
   67349    case 11:
   67350     $sub154 = $width_0 - $cond;
   67351     $add155 = $add59 + $sub154;
   67352     $dy_2 = $dy_1;
   67353     $dx_1 = $add155;
   67354     label = 12;
   67355     break;
   67356    case 12:
   67357     $head = $13 + 12 | 0;
   67358     $23 = HEAP32[$head >> 2] | 0;
   67359     _clip_and_install($13, $23, $arrayidx61, 7, $sinfo);
   67360     $inc158 = $i_095 + 1 | 0;
   67361     $cmp51 = ($inc158 | 0) < ($cnt | 0);
   67362     if ($cmp51) {
   67363       $ind_addr_094 = $inc;
   67364       $i_095 = $inc158;
   67365       $hy_096 = $add56;
   67366       $ty_097 = $add55;
   67367       $dx_098 = $dx_1;
   67368       $dy_099 = $dy_2;
   67369       label = 4;
   67370       break;
   67371     } else {
   67372       label = 13;
   67373       break;
   67374     }
   67375    case 13:
   67376     STACKTOP = __stackBase__;
   67377     return;
   67378   }
   67379 }
   67380 function _makeSelfEdge($P, $edges, $ind, $cnt, $sizex, $sizey, $sinfo) {
   67381   $P = $P | 0;
   67382   $edges = $edges | 0;
   67383   $ind = $ind | 0;
   67384   $cnt = $cnt | 0;
   67385   $sizex = +$sizex;
   67386   $sizey = +$sizey;
   67387   $sinfo = $sinfo | 0;
   67388   var $arrayidx = 0, $0 = 0, $defined = 0, $1 = 0, $tobool = 0, $defined2 = 0, $2 = 0, $tobool3 = 0, $side = 0, $3 = 0, $conv = 0, $and = 0, $tobool6 = 0, $side10 = 0, $4 = 0, $and12 = 0, $tobool13 = 0, $cmp = 0, $and29 = 0, $tobool30 = 0, $5 = 0, $conv34 = 0, $and35 = 0, $tobool36 = 0, $side40 = 0, $6 = 0, $and42 = 0, $tobool43 = 0, $7 = 0, $and49 = 0, $tobool50 = 0, $side54 = 0, $8 = 0, $and56 = 0, $tobool57 = 0, $and65 = 0, $tobool66 = 0, $and73 = 0, $tobool74 = 0, label = 0;
   67389   label = 2;
   67390   while (1) switch (label | 0) {
   67391    case 2:
   67392     $arrayidx = $edges + ($ind << 2) | 0;
   67393     $0 = HEAP32[$arrayidx >> 2] | 0;
   67394     $defined = $0 + 60 | 0;
   67395     $1 = HEAP8[$defined] | 0;
   67396     $tobool = $1 << 24 >> 24 == 0;
   67397     if ($tobool) {
   67398       label = 3;
   67399       break;
   67400     } else {
   67401       label = 4;
   67402       break;
   67403     }
   67404    case 3:
   67405     $defined2 = $0 + 100 | 0;
   67406     $2 = HEAP8[$defined2] | 0;
   67407     $tobool3 = $2 << 24 >> 24 == 0;
   67408     if ($tobool3) {
   67409       label = 8;
   67410       break;
   67411     } else {
   67412       label = 4;
   67413       break;
   67414     }
   67415    case 4:
   67416     $side = $0 + 65 | 0;
   67417     $3 = HEAP8[$side] | 0;
   67418     $conv = $3 & 255;
   67419     $and = $conv & 8;
   67420     $tobool6 = ($and | 0) == 0;
   67421     if ($tobool6) {
   67422       label = 5;
   67423       break;
   67424     } else {
   67425       label = 9;
   67426       break;
   67427     }
   67428    case 5:
   67429     $side10 = $0 + 105 | 0;
   67430     $4 = HEAP8[$side10] | 0;
   67431     $and12 = $4 & 8;
   67432     $tobool13 = $and12 << 24 >> 24 == 0;
   67433     if ($tobool13) {
   67434       label = 6;
   67435       break;
   67436     } else {
   67437       label = 9;
   67438       break;
   67439     }
   67440    case 6:
   67441     $cmp = $3 << 24 >> 24 == $4 << 24 >> 24;
   67442     if ($cmp) {
   67443       label = 7;
   67444       break;
   67445     } else {
   67446       label = 8;
   67447       break;
   67448     }
   67449    case 7:
   67450     $and29 = $conv & 5;
   67451     $tobool30 = ($and29 | 0) == 0;
   67452     if ($tobool30) {
   67453       label = 8;
   67454       break;
   67455     } else {
   67456       label = 9;
   67457       break;
   67458     }
   67459    case 8:
   67460     _selfRight($edges, $ind, $cnt, $sizex, $sizey, $sinfo);
   67461     label = 20;
   67462     break;
   67463    case 9:
   67464     $5 = HEAP8[$side] | 0;
   67465     $conv34 = $5 & 255;
   67466     $and35 = $conv34 & 8;
   67467     $tobool36 = ($and35 | 0) == 0;
   67468     if ($tobool36) {
   67469       label = 10;
   67470       break;
   67471     } else {
   67472       label = 11;
   67473       break;
   67474     }
   67475    case 10:
   67476     $side40 = $0 + 105 | 0;
   67477     $6 = HEAP8[$side40] | 0;
   67478     $and42 = $6 & 8;
   67479     $tobool43 = $and42 << 24 >> 24 == 0;
   67480     if ($tobool43) {
   67481       label = 15;
   67482       break;
   67483     } else {
   67484       label = 11;
   67485       break;
   67486     }
   67487    case 11:
   67488     $7 = HEAP8[$side] | 0;
   67489     $and49 = $7 & 2;
   67490     $tobool50 = $and49 << 24 >> 24 == 0;
   67491     if ($tobool50) {
   67492       label = 12;
   67493       break;
   67494     } else {
   67495       label = 13;
   67496       break;
   67497     }
   67498    case 12:
   67499     $side54 = $0 + 105 | 0;
   67500     $8 = HEAP8[$side54] | 0;
   67501     $and56 = $8 & 2;
   67502     $tobool57 = $and56 << 24 >> 24 == 0;
   67503     if ($tobool57) {
   67504       label = 14;
   67505       break;
   67506     } else {
   67507       label = 13;
   67508       break;
   67509     }
   67510    case 13:
   67511     _selfTop($edges, $ind, $cnt, $sizex, $sizey, $sinfo);
   67512     label = 20;
   67513     break;
   67514    case 14:
   67515     _selfLeft($edges, $ind, $cnt, $sizex, $sizey, $sinfo);
   67516     label = 20;
   67517     break;
   67518    case 15:
   67519     $and65 = $conv34 & 4;
   67520     $tobool66 = ($and65 | 0) == 0;
   67521     if ($tobool66) {
   67522       label = 17;
   67523       break;
   67524     } else {
   67525       label = 16;
   67526       break;
   67527     }
   67528    case 16:
   67529     _selfTop($edges, $ind, $cnt, $sizex, $sizey, $sinfo);
   67530     label = 20;
   67531     break;
   67532    case 17:
   67533     $and73 = $conv34 & 1;
   67534     $tobool74 = ($and73 | 0) == 0;
   67535     if ($tobool74) {
   67536       label = 19;
   67537       break;
   67538     } else {
   67539       label = 18;
   67540       break;
   67541     }
   67542    case 18:
   67543     _selfBottom($edges, $ind, $cnt, $sizex, $sizey, $sinfo);
   67544     label = 20;
   67545     break;
   67546    case 19:
   67547     ___assert_func(96712, 1114, 164128, 137288);
   67548    case 20:
   67549     return;
   67550   }
   67551 }
   67552 function _pointfof805($agg_result, $x, $y) {
   67553   $agg_result = $agg_result | 0;
   67554   $x = +$x;
   67555   $y = +$y;
   67556   HEAPF64[$agg_result >> 3] = $x;
   67557   HEAPF64[$agg_result + 8 >> 3] = $y;
   67558   return;
   67559 }
   67560 function _place_portlabel($e, $head_p) {
   67561   $e = $e | 0;
   67562   $head_p = $head_p | 0;
   67563   var $c = 0, $tmp = 0, $tmp46 = 0, $edge_type = 0, $0 = 0, $cmp = 0, $tobool = 0, $head_label = 0, $tail_label = 0, $cond_in = 0, $cond = 0, $call = 0, $list = 0, $1 = 0, $sflag = 0, $2 = 0, $tobool7 = 0, $pe_sroa_0_0__idx26 = 0, $pe_sroa_0_0_copyload27 = 0.0, $pe_sroa_1_8__idx34 = 0, $pe_sroa_1_8_copyload35 = 0.0, $list9 = 0, $3 = 0, $pf_sroa_0_0__idx12 = 0, $pf_sroa_0_0_copyload13 = 0.0, $pf_sroa_1_8__idx19 = 0, $pf_sroa_1_8_copyload20 = 0.0, $list11 = 0, $4 = 0, $pe_sroa_1_8__idx32 = 0, $pe_sroa_0_0__idx24 = 0, $pe_sroa_1_8_copyload33 = 0.0, $pe_sroa_0_0_copyload25 = 0.0, $5 = 0, $6 = 0, $arrayidx15_1 = 0, $7 = 0, $arrayidx17_1 = 0, $8 = 0, $9 = 0, $arrayidx15_2 = 0, $10 = 0, $arrayidx17_2 = 0, $11 = 0, $12 = 0, $arrayidx15_3 = 0, $13 = 0, $arrayidx17_3 = 0, $14 = 0, $15 = 0, $arraydecay = 0, $pf_sroa_0_0__idx10 = 0, $pf_sroa_0_0_copyload11 = 0.0, $pf_sroa_1_8__idx17 = 0, $pf_sroa_1_8_copyload18 = 0.0, $size = 0, $16 = 0, $sub = 0, $list20 = 0, $17 = 0, $arrayidx21 = 0, $eflag = 0, $18 = 0, $tobool22 = 0, $pe_sroa_0_0__idx22 = 0, $pe_sroa_0_0_copyload23 = 0.0, $pe_sroa_1_8__idx30 = 0, $pe_sroa_1_8_copyload31 = 0.0, $size24 = 0, $19 = 0, $sub25 = 0, $list26 = 0, $20 = 0, $pf_sroa_0_0__idx8 = 0, $pf_sroa_0_0_copyload9 = 0.0, $pf_sroa_1_8__idx15 = 0, $pf_sroa_1_8_copyload16 = 0.0, $size29 = 0, $21 = 0, $sub30 = 0, $list31 = 0, $22 = 0, $pe_sroa_1_8__idx29 = 0, $pe_sroa_0_0__idx = 0, $pe_sroa_1_8_copyload = 0.0, $pe_sroa_0_0_copyload = 0.0, $23 = 0, $add = 0, $24 = 0, $arrayidx41 = 0, $25 = 0, $26 = 0, $arrayidx37_1 = 0, $27 = 0, $add_1 = 0, $28 = 0, $arrayidx41_1 = 0, $29 = 0, $30 = 0, $arrayidx37_2 = 0, $31 = 0, $add_2 = 0, $32 = 0, $arrayidx41_2 = 0, $33 = 0, $34 = 0, $arrayidx37_3 = 0, $35 = 0, $add_3 = 0, $36 = 0, $arrayidx41_3 = 0, $37 = 0, $38 = 0, $arraydecay45 = 0, $pf_sroa_0_0__idx = 0, $pf_sroa_0_0_copyload = 0.0, $pf_sroa_1_8__idx14 = 0, $pf_sroa_1_8_copyload = 0.0, $pe_sroa_1_0 = 0.0, $pe_sroa_0_0 = 0.0, $pf_sroa_1_0 = 0.0, $pf_sroa_0_0 = 0.0, $sub50 = 0.0, $sub52 = 0.0, $call53 = 0.0, $39 = 0, $40 = 0, $call54 = 0.0, $div = 0.0, $mul = 0.0, $add55 = 0.0, $41 = 0, $call56 = 0.0, $mul57 = 0.0, $call59 = 0.0, $mul60 = 0.0, $add61 = 0.0, $x62 = 0, $call64 = 0.0, $mul65 = 0.0, $add66 = 0.0, $y68 = 0, $set = 0, label = 0, __stackBase__ = 0;
   67564   __stackBase__ = STACKTOP;
   67565   STACKTOP = STACKTOP + 96 | 0;
   67566   label = 2;
   67567   while (1) switch (label | 0) {
   67568    case 2:
   67569     $c = __stackBase__ | 0;
   67570     $tmp = __stackBase__ + 64 | 0;
   67571     $tmp46 = __stackBase__ + 80 | 0;
   67572     $edge_type = $e + 128 | 0;
   67573     $0 = HEAP8[$edge_type] | 0;
   67574     $cmp = $0 << 24 >> 24 == 6;
   67575     if ($cmp) {
   67576       label = 11;
   67577       break;
   67578     } else {
   67579       label = 3;
   67580       break;
   67581     }
   67582    case 3:
   67583     $tobool = $head_p << 24 >> 24 == 0;
   67584     $head_label = $e + 116 | 0;
   67585     $tail_label = $e + 120 | 0;
   67586     $cond_in = $tobool ? $tail_label : $head_label;
   67587     $cond = HEAP32[$cond_in >> 2] | 0;
   67588     $call = _getsplinepoints($e) | 0;
   67589     if ($tobool) {
   67590       label = 4;
   67591       break;
   67592     } else {
   67593       label = 7;
   67594       break;
   67595     }
   67596    case 4:
   67597     $list = $call | 0;
   67598     $1 = HEAP32[$list >> 2] | 0;
   67599     $sflag = $1 + 8 | 0;
   67600     $2 = HEAP32[$sflag >> 2] | 0;
   67601     $tobool7 = ($2 | 0) == 0;
   67602     if ($tobool7) {
   67603       label = 6;
   67604       break;
   67605     } else {
   67606       label = 5;
   67607       break;
   67608     }
   67609    case 5:
   67610     $pe_sroa_0_0__idx26 = $1 + 16 | 0;
   67611     $pe_sroa_0_0_copyload27 = +HEAPF64[$pe_sroa_0_0__idx26 >> 3];
   67612     $pe_sroa_1_8__idx34 = $1 + 24 | 0;
   67613     $pe_sroa_1_8_copyload35 = +HEAPF64[$pe_sroa_1_8__idx34 >> 3];
   67614     $list9 = $1 | 0;
   67615     $3 = HEAP32[$list9 >> 2] | 0;
   67616     $pf_sroa_0_0__idx12 = $3 | 0;
   67617     $pf_sroa_0_0_copyload13 = +HEAPF64[$pf_sroa_0_0__idx12 >> 3];
   67618     $pf_sroa_1_8__idx19 = $3 + 8 | 0;
   67619     $pf_sroa_1_8_copyload20 = +HEAPF64[$pf_sroa_1_8__idx19 >> 3];
   67620     $pf_sroa_0_0 = $pf_sroa_0_0_copyload13;
   67621     $pf_sroa_1_0 = $pf_sroa_1_8_copyload20;
   67622     $pe_sroa_0_0 = $pe_sroa_0_0_copyload27;
   67623     $pe_sroa_1_0 = $pe_sroa_1_8_copyload35;
   67624     label = 10;
   67625     break;
   67626    case 6:
   67627     $list11 = $1 | 0;
   67628     $4 = HEAP32[$list11 >> 2] | 0;
   67629     $pe_sroa_1_8__idx32 = $4 + 8 | 0;
   67630     $pe_sroa_0_0__idx24 = $4 | 0;
   67631     $pe_sroa_1_8_copyload33 = +HEAPF64[$pe_sroa_1_8__idx32 >> 3];
   67632     $pe_sroa_0_0_copyload25 = +HEAPF64[$pe_sroa_0_0__idx24 >> 3];
   67633     $5 = $c;
   67634     $6 = $4;
   67635     HEAP32[$5 >> 2] = HEAP32[$6 >> 2] | 0;
   67636     HEAP32[$5 + 4 >> 2] = HEAP32[$6 + 4 >> 2] | 0;
   67637     HEAP32[$5 + 8 >> 2] = HEAP32[$6 + 8 >> 2] | 0;
   67638     HEAP32[$5 + 12 >> 2] = HEAP32[$6 + 12 >> 2] | 0;
   67639     $arrayidx15_1 = $c + 16 | 0;
   67640     $7 = HEAP32[$list11 >> 2] | 0;
   67641     $arrayidx17_1 = $7 + 16 | 0;
   67642     $8 = $arrayidx15_1;
   67643     $9 = $arrayidx17_1;
   67644     HEAP32[$8 >> 2] = HEAP32[$9 >> 2] | 0;
   67645     HEAP32[$8 + 4 >> 2] = HEAP32[$9 + 4 >> 2] | 0;
   67646     HEAP32[$8 + 8 >> 2] = HEAP32[$9 + 8 >> 2] | 0;
   67647     HEAP32[$8 + 12 >> 2] = HEAP32[$9 + 12 >> 2] | 0;
   67648     $arrayidx15_2 = $c + 32 | 0;
   67649     $10 = HEAP32[$list11 >> 2] | 0;
   67650     $arrayidx17_2 = $10 + 32 | 0;
   67651     $11 = $arrayidx15_2;
   67652     $12 = $arrayidx17_2;
   67653     HEAP32[$11 >> 2] = HEAP32[$12 >> 2] | 0;
   67654     HEAP32[$11 + 4 >> 2] = HEAP32[$12 + 4 >> 2] | 0;
   67655     HEAP32[$11 + 8 >> 2] = HEAP32[$12 + 8 >> 2] | 0;
   67656     HEAP32[$11 + 12 >> 2] = HEAP32[$12 + 12 >> 2] | 0;
   67657     $arrayidx15_3 = $c + 48 | 0;
   67658     $13 = HEAP32[$list11 >> 2] | 0;
   67659     $arrayidx17_3 = $13 + 48 | 0;
   67660     $14 = $arrayidx15_3;
   67661     $15 = $arrayidx17_3;
   67662     HEAP32[$14 >> 2] = HEAP32[$15 >> 2] | 0;
   67663     HEAP32[$14 + 4 >> 2] = HEAP32[$15 + 4 >> 2] | 0;
   67664     HEAP32[$14 + 8 >> 2] = HEAP32[$15 + 8 >> 2] | 0;
   67665     HEAP32[$14 + 12 >> 2] = HEAP32[$15 + 12 >> 2] | 0;
   67666     $arraydecay = $c | 0;
   67667     _Bezier($tmp, $arraydecay, 3, .1, 0, 0);
   67668     $pf_sroa_0_0__idx10 = $tmp | 0;
   67669     $pf_sroa_0_0_copyload11 = +HEAPF64[$pf_sroa_0_0__idx10 >> 3];
   67670     $pf_sroa_1_8__idx17 = $tmp + 8 | 0;
   67671     $pf_sroa_1_8_copyload18 = +HEAPF64[$pf_sroa_1_8__idx17 >> 3];
   67672     $pf_sroa_0_0 = $pf_sroa_0_0_copyload11;
   67673     $pf_sroa_1_0 = $pf_sroa_1_8_copyload18;
   67674     $pe_sroa_0_0 = $pe_sroa_0_0_copyload25;
   67675     $pe_sroa_1_0 = $pe_sroa_1_8_copyload33;
   67676     label = 10;
   67677     break;
   67678    case 7:
   67679     $size = $call + 4 | 0;
   67680     $16 = HEAP32[$size >> 2] | 0;
   67681     $sub = $16 - 1 | 0;
   67682     $list20 = $call | 0;
   67683     $17 = HEAP32[$list20 >> 2] | 0;
   67684     $arrayidx21 = $17 + ($sub * 48 & -1) | 0;
   67685     $eflag = $17 + ($sub * 48 & -1) + 12 | 0;
   67686     $18 = HEAP32[$eflag >> 2] | 0;
   67687     $tobool22 = ($18 | 0) == 0;
   67688     if ($tobool22) {
   67689       label = 9;
   67690       break;
   67691     } else {
   67692       label = 8;
   67693       break;
   67694     }
   67695    case 8:
   67696     $pe_sroa_0_0__idx22 = $17 + ($sub * 48 & -1) + 32 | 0;
   67697     $pe_sroa_0_0_copyload23 = +HEAPF64[$pe_sroa_0_0__idx22 >> 3];
   67698     $pe_sroa_1_8__idx30 = $17 + ($sub * 48 & -1) + 40 | 0;
   67699     $pe_sroa_1_8_copyload31 = +HEAPF64[$pe_sroa_1_8__idx30 >> 3];
   67700     $size24 = $17 + ($sub * 48 & -1) + 4 | 0;
   67701     $19 = HEAP32[$size24 >> 2] | 0;
   67702     $sub25 = $19 - 1 | 0;
   67703     $list26 = $arrayidx21 | 0;
   67704     $20 = HEAP32[$list26 >> 2] | 0;
   67705     $pf_sroa_0_0__idx8 = $20 + ($sub25 << 4) | 0;
   67706     $pf_sroa_0_0_copyload9 = +HEAPF64[$pf_sroa_0_0__idx8 >> 3];
   67707     $pf_sroa_1_8__idx15 = $20 + ($sub25 << 4) + 8 | 0;
   67708     $pf_sroa_1_8_copyload16 = +HEAPF64[$pf_sroa_1_8__idx15 >> 3];
   67709     $pf_sroa_0_0 = $pf_sroa_0_0_copyload9;
   67710     $pf_sroa_1_0 = $pf_sroa_1_8_copyload16;
   67711     $pe_sroa_0_0 = $pe_sroa_0_0_copyload23;
   67712     $pe_sroa_1_0 = $pe_sroa_1_8_copyload31;
   67713     label = 10;
   67714     break;
   67715    case 9:
   67716     $size29 = $17 + ($sub * 48 & -1) + 4 | 0;
   67717     $21 = HEAP32[$size29 >> 2] | 0;
   67718     $sub30 = $21 - 1 | 0;
   67719     $list31 = $arrayidx21 | 0;
   67720     $22 = HEAP32[$list31 >> 2] | 0;
   67721     $pe_sroa_1_8__idx29 = $22 + ($sub30 << 4) + 8 | 0;
   67722     $pe_sroa_0_0__idx = $22 + ($sub30 << 4) | 0;
   67723     $pe_sroa_1_8_copyload = +HEAPF64[$pe_sroa_1_8__idx29 >> 3];
   67724     $pe_sroa_0_0_copyload = +HEAPF64[$pe_sroa_0_0__idx >> 3];
   67725     $23 = HEAP32[$size29 >> 2] | 0;
   67726     $add = $23 - 4 | 0;
   67727     $24 = HEAP32[$list31 >> 2] | 0;
   67728     $arrayidx41 = $24 + ($add << 4) | 0;
   67729     $25 = $c;
   67730     $26 = $arrayidx41;
   67731     HEAP32[$25 >> 2] = HEAP32[$26 >> 2] | 0;
   67732     HEAP32[$25 + 4 >> 2] = HEAP32[$26 + 4 >> 2] | 0;
   67733     HEAP32[$25 + 8 >> 2] = HEAP32[$26 + 8 >> 2] | 0;
   67734     HEAP32[$25 + 12 >> 2] = HEAP32[$26 + 12 >> 2] | 0;
   67735     $arrayidx37_1 = $c + 16 | 0;
   67736     $27 = HEAP32[$size29 >> 2] | 0;
   67737     $add_1 = $27 - 3 | 0;
   67738     $28 = HEAP32[$list31 >> 2] | 0;
   67739     $arrayidx41_1 = $28 + ($add_1 << 4) | 0;
   67740     $29 = $arrayidx37_1;
   67741     $30 = $arrayidx41_1;
   67742     HEAP32[$29 >> 2] = HEAP32[$30 >> 2] | 0;
   67743     HEAP32[$29 + 4 >> 2] = HEAP32[$30 + 4 >> 2] | 0;
   67744     HEAP32[$29 + 8 >> 2] = HEAP32[$30 + 8 >> 2] | 0;
   67745     HEAP32[$29 + 12 >> 2] = HEAP32[$30 + 12 >> 2] | 0;
   67746     $arrayidx37_2 = $c + 32 | 0;
   67747     $31 = HEAP32[$size29 >> 2] | 0;
   67748     $add_2 = $31 - 2 | 0;
   67749     $32 = HEAP32[$list31 >> 2] | 0;
   67750     $arrayidx41_2 = $32 + ($add_2 << 4) | 0;
   67751     $33 = $arrayidx37_2;
   67752     $34 = $arrayidx41_2;
   67753     HEAP32[$33 >> 2] = HEAP32[$34 >> 2] | 0;
   67754     HEAP32[$33 + 4 >> 2] = HEAP32[$34 + 4 >> 2] | 0;
   67755     HEAP32[$33 + 8 >> 2] = HEAP32[$34 + 8 >> 2] | 0;
   67756     HEAP32[$33 + 12 >> 2] = HEAP32[$34 + 12 >> 2] | 0;
   67757     $arrayidx37_3 = $c + 48 | 0;
   67758     $35 = HEAP32[$size29 >> 2] | 0;
   67759     $add_3 = $35 - 1 | 0;
   67760     $36 = HEAP32[$list31 >> 2] | 0;
   67761     $arrayidx41_3 = $36 + ($add_3 << 4) | 0;
   67762     $37 = $arrayidx37_3;
   67763     $38 = $arrayidx41_3;
   67764     HEAP32[$37 >> 2] = HEAP32[$38 >> 2] | 0;
   67765     HEAP32[$37 + 4 >> 2] = HEAP32[$38 + 4 >> 2] | 0;
   67766     HEAP32[$37 + 8 >> 2] = HEAP32[$38 + 8 >> 2] | 0;
   67767     HEAP32[$37 + 12 >> 2] = HEAP32[$38 + 12 >> 2] | 0;
   67768     $arraydecay45 = $c | 0;
   67769     _Bezier($tmp46, $arraydecay45, 3, .9, 0, 0);
   67770     $pf_sroa_0_0__idx = $tmp46 | 0;
   67771     $pf_sroa_0_0_copyload = +HEAPF64[$pf_sroa_0_0__idx >> 3];
   67772     $pf_sroa_1_8__idx14 = $tmp46 + 8 | 0;
   67773     $pf_sroa_1_8_copyload = +HEAPF64[$pf_sroa_1_8__idx14 >> 3];
   67774     $pf_sroa_0_0 = $pf_sroa_0_0_copyload;
   67775     $pf_sroa_1_0 = $pf_sroa_1_8_copyload;
   67776     $pe_sroa_0_0 = $pe_sroa_0_0_copyload;
   67777     $pe_sroa_1_0 = $pe_sroa_1_8_copyload;
   67778     label = 10;
   67779     break;
   67780    case 10:
   67781     $sub50 = $pf_sroa_1_0 - $pe_sroa_1_0;
   67782     $sub52 = $pf_sroa_0_0 - $pe_sroa_0_0;
   67783     $call53 = +Math_atan2(+$sub50, +$sub52);
   67784     $39 = $e | 0;
   67785     $40 = HEAP32[41930] | 0;
   67786     $call54 = +_late_double($39, $40, -25.0, -180.0);
   67787     $div = $call54 / 180.0;
   67788     $mul = $div * 3.141592653589793;
   67789     $add55 = $call53 + $mul;
   67790     $41 = HEAP32[41928] | 0;
   67791     $call56 = +_late_double($39, $41, 1.0, 0.0);
   67792     $mul57 = $call56 * 10.0;
   67793     $call59 = +Math_cos(+$add55);
   67794     $mul60 = $mul57 * $call59;
   67795     $add61 = $pe_sroa_0_0 + $mul60;
   67796     $x62 = $cond + 56 | 0;
   67797     HEAPF64[$x62 >> 3] = $add61;
   67798     $call64 = +Math_sin(+$add55);
   67799     $mul65 = $mul57 * $call64;
   67800     $add66 = $pe_sroa_1_0 + $mul65;
   67801     $y68 = $cond + 64 | 0;
   67802     HEAPF64[$y68 >> 3] = $add66;
   67803     $set = $cond + 81 | 0;
   67804     HEAP8[$set] = 1;
   67805     label = 11;
   67806     break;
   67807    case 11:
   67808     STACKTOP = __stackBase__;
   67809     return;
   67810   }
   67811 }
   67812 function _canontoken($str) {
   67813   $str = $str | 0;
   67814   var $call = 0, $0 = 0, $cmp = 0, $add1 = 0, $1 = 0, $call2 = 0, $tobool = 0, $2 = 0, $3 = 0, $tobool57 = 0, $4 = 0, $str_pn = 0, $q_08 = 0, $incdec_ptr9 = 0, $conv = 0, $call6 = 0, $tobool7 = 0, $call10 = 0, $conv11 = 0, $c_0 = 0, $incdec_ptr13 = 0, $5 = 0, $tobool5 = 0, $q_0_lcssa = 0, $6 = 0, $retval_0 = 0, label = 0;
   67815   label = 2;
   67816   while (1) switch (label | 0) {
   67817    case 2:
   67818     $call = _strlen($str | 0) | 0;
   67819     $0 = HEAP32[13352] | 0;
   67820     $cmp = ($call | 0) < ($0 | 0);
   67821     if ($cmp) {
   67822       label = 4;
   67823       break;
   67824     } else {
   67825       label = 3;
   67826       break;
   67827     }
   67828    case 3:
   67829     $add1 = $call + 11 | 0;
   67830     HEAP32[13352] = $add1;
   67831     $1 = HEAP32[13350] | 0;
   67832     $call2 = _grealloc($1, $add1) | 0;
   67833     HEAP32[13350] = $call2;
   67834     $tobool = ($call2 | 0) == 0;
   67835     if ($tobool) {
   67836       $retval_0 = 0;
   67837       label = 9;
   67838       break;
   67839     } else {
   67840       label = 4;
   67841       break;
   67842     }
   67843    case 4:
   67844     $2 = HEAP32[13350] | 0;
   67845     $3 = HEAP8[$str] | 0;
   67846     $tobool57 = $3 << 24 >> 24 == 0;
   67847     if ($tobool57) {
   67848       $q_0_lcssa = $2;
   67849       label = 8;
   67850       break;
   67851     } else {
   67852       $q_08 = $2;
   67853       $str_pn = $str;
   67854       $4 = $3;
   67855       label = 5;
   67856       break;
   67857     }
   67858    case 5:
   67859     $incdec_ptr9 = $str_pn + 1 | 0;
   67860     $conv = $4 & 255;
   67861     $call6 = _isupper($conv | 0) | 0;
   67862     $tobool7 = ($call6 | 0) == 0;
   67863     if ($tobool7) {
   67864       $c_0 = $4;
   67865       label = 7;
   67866       break;
   67867     } else {
   67868       label = 6;
   67869       break;
   67870     }
   67871    case 6:
   67872     $call10 = _tolower($conv | 0) | 0;
   67873     $conv11 = $call10 & 255;
   67874     $c_0 = $conv11;
   67875     label = 7;
   67876     break;
   67877    case 7:
   67878     $incdec_ptr13 = $q_08 + 1 | 0;
   67879     HEAP8[$q_08] = $c_0;
   67880     $5 = HEAP8[$incdec_ptr9] | 0;
   67881     $tobool5 = $5 << 24 >> 24 == 0;
   67882     if ($tobool5) {
   67883       $q_0_lcssa = $incdec_ptr13;
   67884       label = 8;
   67885       break;
   67886     } else {
   67887       $q_08 = $incdec_ptr13;
   67888       $str_pn = $incdec_ptr9;
   67889       $4 = $5;
   67890       label = 5;
   67891       break;
   67892     }
   67893    case 8:
   67894     HEAP8[$q_0_lcssa] = 0;
   67895     $6 = HEAP32[13350] | 0;
   67896     $retval_0 = $6;
   67897     label = 9;
   67898     break;
   67899    case 9:
   67900     return $retval_0 | 0;
   67901   }
   67902   return 0;
   67903 }
   67904 function _colorxlate($str, $color, $target_type) {
   67905   $str = $str | 0;
   67906   $color = $color | 0;
   67907   $target_type = $target_type | 0;
   67908   var $fake = 0, $H = 0, $S = 0, $V = 0, $R = 0, $G = 0, $B = 0, $C = 0, $M = 0, $Y = 0, $K = 0, $r = 0, $g = 0, $b = 0, $a = 0, $type = 0, $str_addr_0 = 0, $0 = 0, $cmp = 0, $incdec_ptr = 0, $1 = 0, $cmp3 = 0, $call = 0, $cmp5 = 0, $2 = 0, $conv7 = 0.0, $div = 0.0, $3 = 0, $conv8 = 0.0, $div9 = 0.0, $4 = 0, $conv10 = 0.0, $div11 = 0.0, $5 = 0, $conv12 = 0.0, $div13 = 0.0, $6 = 0.0, $7 = 0.0, $8 = 0.0, $arrayidx = 0, $9 = 0.0, $arrayidx16 = 0, $10 = 0.0, $arrayidx19 = 0, $arrayidx22 = 0, $11 = 0, $conv24 = 0, $rgba = 0, $arrayidx26 = 0, $12 = 0, $conv27 = 0, $arrayidx30 = 0, $13 = 0, $conv31 = 0, $arrayidx34 = 0, $14 = 0, $conv35 = 0, $arrayidx38 = 0, $15 = 0, $conv40 = 0.0, $div41 = 0.0, $16 = 0, $conv42 = 0.0, $div43 = 0.0, $17 = 0, $conv44 = 0.0, $div45 = 0.0, $18 = 0.0, $19 = 0.0, $conv46 = 0, $mul = 0, $conv47 = 0, $cmyk = 0, $arrayidx49 = 0, $20 = 0.0, $conv50 = 0, $mul51 = 0, $conv52 = 0, $arrayidx55 = 0, $21 = 0.0, $conv56 = 0, $mul57 = 0, $conv58 = 0, $arrayidx61 = 0, $22 = 0.0, $conv62 = 0, $mul63 = 0, $conv64 = 0, $arrayidx67 = 0, $23 = 0, $mul69 = 0, $div70 = 0, $rrggbbaa = 0, $arrayidx72 = 0, $24 = 0, $mul73 = 0, $div74 = 0, $arrayidx77 = 0, $25 = 0, $mul78 = 0, $div79 = 0, $arrayidx82 = 0, $26 = 0, $27 = 0, $mul83 = 0, $div84 = 0, $arrayidx87 = 0, $28 = 0, $conv89 = 0.0, $div90 = 0.0, $arrayidx92 = 0, $29 = 0, $conv93 = 0.0, $div94 = 0.0, $arrayidx97 = 0, $30 = 0, $conv98 = 0.0, $div99 = 0.0, $arrayidx102 = 0, $31 = 0, $conv103 = 0.0, $div104 = 0.0, $arrayidx107 = 0, $_pr = 0, $32 = 0, $cmp111 = 0, $conv110 = 0, $isdigittmp = 0, $isdigit = 0, $call116 = 0, $33 = 0, $cmp117 = 0, $add120 = 0, $34 = 0, $call121 = 0, $tobool122 = 0, $35 = 0, $p_0 = 0, $q_0 = 0, $incdec_ptr126 = 0, $36 = 0, $c_0 = 0, $incdec_ptr133 = 0, $37 = 0, $call134 = 0, $cmp135 = 0, $38 = 0.0, $cmp138 = 0, $cond = 0.0, $cmp140 = 0, $cond151 = 0.0, $39 = 0.0, $cmp152 = 0, $cond157 = 0.0, $cmp158 = 0, $cond169 = 0.0, $40 = 0.0, $cmp170 = 0, $cond175 = 0.0, $cmp176 = 0, $cond187 = 0.0, $41 = 0.0, $arrayidx191 = 0, $42 = 0.0, $arrayidx194 = 0, $43 = 0.0, $arrayidx197 = 0, $arrayidx200 = 0, $44 = 0.0, $45 = 0.0, $46 = 0.0, $mul202 = 0.0, $conv203 = 0, $conv204 = 0, $rgba206 = 0, $arrayidx207 = 0, $47 = 0.0, $mul208 = 0.0, $conv209 = 0, $conv210 = 0, $arrayidx213 = 0, $48 = 0.0, $mul214 = 0.0, $conv215 = 0, $conv216 = 0, $arrayidx219 = 0, $arrayidx222 = 0, $49 = 0.0, $50 = 0.0, $51 = 0.0, $52 = 0.0, $53 = 0.0, $54 = 0.0, $conv224 = 0, $mul225 = 0, $conv226 = 0, $cmyk228 = 0, $arrayidx229 = 0, $55 = 0.0, $conv230 = 0, $mul231 = 0, $conv232 = 0, $arrayidx235 = 0, $56 = 0.0, $conv236 = 0, $mul237 = 0, $conv238 = 0, $arrayidx241 = 0, $57 = 0.0, $conv242 = 0, $mul243 = 0, $conv244 = 0, $arrayidx247 = 0, $58 = 0.0, $59 = 0.0, $60 = 0.0, $mul249 = 0.0, $conv250 = 0, $rrggbbaa252 = 0, $arrayidx253 = 0, $61 = 0.0, $mul254 = 0.0, $conv255 = 0, $arrayidx258 = 0, $62 = 0.0, $mul259 = 0.0, $conv260 = 0, $arrayidx263 = 0, $63 = 0, $arrayidx266 = 0, $64 = 0.0, $65 = 0.0, $66 = 0.0, $arrayidx270 = 0, $67 = 0.0, $arrayidx273 = 0, $68 = 0.0, $arrayidx276 = 0, $arrayidx279 = 0, $call285 = 0, $name = 0, $tobool287 = 0, $69 = 0, $cmp290 = 0, $name293 = 0, $70 = 0, $71 = 0, $72 = 0, $cmp299 = 0, $call304 = 0, $tobool305 = 0, $73 = 0, $call307 = 0, $74 = 0, $_pr99 = 0, $75 = 0, $cmp309 = 0, $h = 0, $76 = 0, $conv313 = 0.0, $div314 = 0.0, $arrayidx317 = 0, $77 = 0, $s = 0, $78 = 0, $conv318 = 0.0, $div319 = 0.0, $arrayidx322 = 0, $79 = 0, $v = 0, $80 = 0, $conv323 = 0.0, $div324 = 0.0, $arrayidx327 = 0, $81 = 0, $a328 = 0, $82 = 0, $conv329 = 0.0, $div330 = 0.0, $arrayidx333 = 0, $r335 = 0, $83 = 0, $rgba337 = 0, $arrayidx338 = 0, $84 = 0, $g339 = 0, $85 = 0, $arrayidx342 = 0, $86 = 0, $b343 = 0, $87 = 0, $arrayidx346 = 0, $88 = 0, $a347 = 0, $89 = 0, $arrayidx350 = 0, $r352 = 0, $90 = 0, $conv353 = 0, $conv354 = 0.0, $div355 = 0.0, $91 = 0, $g356 = 0, $92 = 0, $conv357 = 0, $conv358 = 0.0, $div359 = 0.0, $93 = 0, $b360 = 0, $94 = 0, $conv361 = 0, $conv362 = 0.0, $div363 = 0.0, $95 = 0.0, $96 = 0.0, $97 = 0.0, $conv364 = 0, $mul365 = 0, $conv366 = 0, $cmyk368 = 0, $arrayidx369 = 0, $98 = 0.0, $conv370 = 0, $mul371 = 0, $conv372 = 0, $arrayidx375 = 0, $99 = 0.0, $conv376 = 0, $mul377 = 0, $conv378 = 0, $arrayidx381 = 0, $100 = 0.0, $conv382 = 0, $mul383 = 0, $conv384 = 0, $arrayidx387 = 0, $r389 = 0, $101 = 0, $conv390 = 0, $mul391 = 0, $div392 = 0, $rrggbbaa394 = 0, $arrayidx395 = 0, $102 = 0, $g396 = 0, $103 = 0, $conv397 = 0, $mul398 = 0, $div399 = 0, $arrayidx402 = 0, $104 = 0, $b403 = 0, $105 = 0, $conv404 = 0, $mul405 = 0, $div406 = 0, $arrayidx409 = 0, $106 = 0, $107 = 0, $a410 = 0, $108 = 0, $conv411 = 0, $mul412 = 0, $div413 = 0, $arrayidx416 = 0, $r418 = 0, $109 = 0, $conv419 = 0, $conv420 = 0.0, $div421 = 0.0, $arrayidx424 = 0, $110 = 0, $g425 = 0, $111 = 0, $conv426 = 0, $conv427 = 0.0, $div428 = 0.0, $arrayidx431 = 0, $112 = 0, $b432 = 0, $113 = 0, $conv433 = 0, $conv434 = 0.0, $div435 = 0.0, $arrayidx438 = 0, $114 = 0, $a439 = 0, $115 = 0, $conv440 = 0, $conv441 = 0.0, $div442 = 0.0, $arrayidx445 = 0, $arrayidx462 = 0, $116 = 0, $rgba465 = 0, $arrayidx466 = 0, $arrayidx469 = 0, $arrayidx472 = 0, $arrayidx475 = 0, $117 = 0, $rrggbbaa491 = 0, $arrayidx492 = 0, $118 = 0, $arrayidx495 = 0, $arrayidx498 = 0, $arrayidx501 = 0, $arrayidx514 = 0, $119 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   67909   __stackBase__ = STACKTOP;
   67910   STACKTOP = STACKTOP + 128 | 0;
   67911   label = 2;
   67912   while (1) switch (label | 0) {
   67913    case 2:
   67914     $fake = __stackBase__ | 0;
   67915     $H = __stackBase__ + 16 | 0;
   67916     $S = __stackBase__ + 24 | 0;
   67917     $V = __stackBase__ + 32 | 0;
   67918     $R = __stackBase__ + 40 | 0;
   67919     $G = __stackBase__ + 48 | 0;
   67920     $B = __stackBase__ + 56 | 0;
   67921     $C = __stackBase__ + 64 | 0;
   67922     $M = __stackBase__ + 72 | 0;
   67923     $Y = __stackBase__ + 80 | 0;
   67924     $K = __stackBase__ + 88 | 0;
   67925     $r = __stackBase__ + 96 | 0;
   67926     $g = __stackBase__ + 104 | 0;
   67927     $b = __stackBase__ + 112 | 0;
   67928     $a = __stackBase__ + 120 | 0;
   67929     $type = $color + 32 | 0;
   67930     HEAP32[$type >> 2] = $target_type;
   67931     $str_addr_0 = $str;
   67932     label = 3;
   67933     break;
   67934    case 3:
   67935     $0 = HEAP8[$str_addr_0] | 0;
   67936     $cmp = $0 << 24 >> 24 == 32;
   67937     $incdec_ptr = $str_addr_0 + 1 | 0;
   67938     if ($cmp) {
   67939       $str_addr_0 = $incdec_ptr;
   67940       label = 3;
   67941       break;
   67942     } else {
   67943       label = 4;
   67944       break;
   67945     }
   67946    case 4:
   67947     HEAP32[$a >> 2] = 255;
   67948     $1 = HEAP8[$str_addr_0] | 0;
   67949     $cmp3 = $1 << 24 >> 24 == 35;
   67950     if ($cmp3) {
   67951       label = 5;
   67952       break;
   67953     } else {
   67954       $32 = $1;
   67955       label = 13;
   67956       break;
   67957     }
   67958    case 5:
   67959     $call = _sscanf($str_addr_0 | 0, 96400, (tempInt = STACKTOP, STACKTOP = STACKTOP + 32 | 0, HEAP32[tempInt >> 2] = $r, HEAP32[tempInt + 8 >> 2] = $g, HEAP32[tempInt + 16 >> 2] = $b, HEAP32[tempInt + 24 >> 2] = $a, tempInt) | 0) | 0;
   67960     $cmp5 = ($call | 0) > 2;
   67961     if ($cmp5) {
   67962       label = 6;
   67963       break;
   67964     } else {
   67965       label = 12;
   67966       break;
   67967     }
   67968    case 6:
   67969     if (($target_type | 0) == 0) {
   67970       label = 7;
   67971       break;
   67972     } else if (($target_type | 0) == 1) {
   67973       label = 8;
   67974       break;
   67975     } else if (($target_type | 0) == 3) {
   67976       label = 9;
   67977       break;
   67978     } else if (($target_type | 0) == 2) {
   67979       label = 10;
   67980       break;
   67981     } else if (($target_type | 0) == 4) {
   67982       label = 11;
   67983       break;
   67984     } else {
   67985       $retval_0 = 0;
   67986       label = 47;
   67987       break;
   67988     }
   67989    case 7:
   67990     $2 = HEAP32[$r >> 2] | 0;
   67991     $conv7 = +($2 >>> 0 >>> 0);
   67992     $div = $conv7 / 255.0;
   67993     HEAPF64[$R >> 3] = $div;
   67994     $3 = HEAP32[$g >> 2] | 0;
   67995     $conv8 = +($3 >>> 0 >>> 0);
   67996     $div9 = $conv8 / 255.0;
   67997     HEAPF64[$G >> 3] = $div9;
   67998     $4 = HEAP32[$b >> 2] | 0;
   67999     $conv10 = +($4 >>> 0 >>> 0);
   68000     $div11 = $conv10 / 255.0;
   68001     HEAPF64[$B >> 3] = $div11;
   68002     $5 = HEAP32[$a >> 2] | 0;
   68003     $conv12 = +($5 >>> 0 >>> 0);
   68004     $div13 = $conv12 / 255.0;
   68005     $6 = +HEAPF64[$R >> 3];
   68006     $7 = +HEAPF64[$G >> 3];
   68007     _rgb2hsv($6, $7, $div11, $H, $S, $V);
   68008     $8 = +HEAPF64[$H >> 3];
   68009     $arrayidx = $color | 0;
   68010     HEAPF64[$arrayidx >> 3] = $8;
   68011     $9 = +HEAPF64[$S >> 3];
   68012     $arrayidx16 = $color + 8 | 0;
   68013     HEAPF64[$arrayidx16 >> 3] = $9;
   68014     $10 = +HEAPF64[$V >> 3];
   68015     $arrayidx19 = $color + 16 | 0;
   68016     HEAPF64[$arrayidx19 >> 3] = $10;
   68017     $arrayidx22 = $color + 24 | 0;
   68018     HEAPF64[$arrayidx22 >> 3] = $div13;
   68019     $retval_0 = 0;
   68020     label = 47;
   68021     break;
   68022    case 8:
   68023     $11 = HEAP32[$r >> 2] | 0;
   68024     $conv24 = $11 & 255;
   68025     $rgba = $color;
   68026     $arrayidx26 = $color;
   68027     HEAP8[$arrayidx26] = $conv24;
   68028     $12 = HEAP32[$g >> 2] | 0;
   68029     $conv27 = $12 & 255;
   68030     $arrayidx30 = $rgba + 1 | 0;
   68031     HEAP8[$arrayidx30] = $conv27;
   68032     $13 = HEAP32[$b >> 2] | 0;
   68033     $conv31 = $13 & 255;
   68034     $arrayidx34 = $rgba + 2 | 0;
   68035     HEAP8[$arrayidx34] = $conv31;
   68036     $14 = HEAP32[$a >> 2] | 0;
   68037     $conv35 = $14 & 255;
   68038     $arrayidx38 = $rgba + 3 | 0;
   68039     HEAP8[$arrayidx38] = $conv35;
   68040     $retval_0 = 0;
   68041     label = 47;
   68042     break;
   68043    case 9:
   68044     $15 = HEAP32[$r >> 2] | 0;
   68045     $conv40 = +($15 >>> 0 >>> 0);
   68046     $div41 = $conv40 / 255.0;
   68047     HEAPF64[$R >> 3] = $div41;
   68048     $16 = HEAP32[$g >> 2] | 0;
   68049     $conv42 = +($16 >>> 0 >>> 0);
   68050     $div43 = $conv42 / 255.0;
   68051     HEAPF64[$G >> 3] = $div43;
   68052     $17 = HEAP32[$b >> 2] | 0;
   68053     $conv44 = +($17 >>> 0 >>> 0);
   68054     $div45 = $conv44 / 255.0;
   68055     HEAPF64[$B >> 3] = $div45;
   68056     $18 = +HEAPF64[$R >> 3];
   68057     _rgb2cmyk($18, $div43, $div45, $C, $M, $Y, $K);
   68058     $19 = +HEAPF64[$C >> 3];
   68059     $conv46 = ~~$19;
   68060     $mul = $conv46 * 255 & -1;
   68061     $conv47 = $mul & 255;
   68062     $cmyk = $color;
   68063     $arrayidx49 = $color;
   68064     HEAP8[$arrayidx49] = $conv47;
   68065     $20 = +HEAPF64[$M >> 3];
   68066     $conv50 = ~~$20;
   68067     $mul51 = $conv50 * 255 & -1;
   68068     $conv52 = $mul51 & 255;
   68069     $arrayidx55 = $cmyk + 1 | 0;
   68070     HEAP8[$arrayidx55] = $conv52;
   68071     $21 = +HEAPF64[$Y >> 3];
   68072     $conv56 = ~~$21;
   68073     $mul57 = $conv56 * 255 & -1;
   68074     $conv58 = $mul57 & 255;
   68075     $arrayidx61 = $cmyk + 2 | 0;
   68076     HEAP8[$arrayidx61] = $conv58;
   68077     $22 = +HEAPF64[$K >> 3];
   68078     $conv62 = ~~$22;
   68079     $mul63 = $conv62 * 255 & -1;
   68080     $conv64 = $mul63 & 255;
   68081     $arrayidx67 = $cmyk + 3 | 0;
   68082     HEAP8[$arrayidx67] = $conv64;
   68083     $retval_0 = 0;
   68084     label = 47;
   68085     break;
   68086    case 10:
   68087     $23 = HEAP32[$r >> 2] | 0;
   68088     $mul69 = $23 * 65535 & -1;
   68089     $div70 = ($mul69 >>> 0) / 255 >>> 0;
   68090     $rrggbbaa = $color;
   68091     $arrayidx72 = $color;
   68092     HEAP32[$arrayidx72 >> 2] = $div70;
   68093     $24 = HEAP32[$g >> 2] | 0;
   68094     $mul73 = $24 * 65535 & -1;
   68095     $div74 = ($mul73 >>> 0) / 255 >>> 0;
   68096     $arrayidx77 = $rrggbbaa + 4 | 0;
   68097     HEAP32[$arrayidx77 >> 2] = $div74;
   68098     $25 = HEAP32[$b >> 2] | 0;
   68099     $mul78 = $25 * 65535 & -1;
   68100     $div79 = ($mul78 >>> 0) / 255 >>> 0;
   68101     $arrayidx82 = $color + 8 | 0;
   68102     $26 = $arrayidx82;
   68103     HEAP32[$26 >> 2] = $div79;
   68104     $27 = HEAP32[$a >> 2] | 0;
   68105     $mul83 = $27 * 65535 & -1;
   68106     $div84 = ($mul83 >>> 0) / 255 >>> 0;
   68107     $arrayidx87 = $rrggbbaa + 12 | 0;
   68108     HEAP32[$arrayidx87 >> 2] = $div84;
   68109     $retval_0 = 0;
   68110     label = 47;
   68111     break;
   68112    case 11:
   68113     $28 = HEAP32[$r >> 2] | 0;
   68114     $conv89 = +($28 >>> 0 >>> 0);
   68115     $div90 = $conv89 / 255.0;
   68116     $arrayidx92 = $color | 0;
   68117     HEAPF64[$arrayidx92 >> 3] = $div90;
   68118     $29 = HEAP32[$g >> 2] | 0;
   68119     $conv93 = +($29 >>> 0 >>> 0);
   68120     $div94 = $conv93 / 255.0;
   68121     $arrayidx97 = $color + 8 | 0;
   68122     HEAPF64[$arrayidx97 >> 3] = $div94;
   68123     $30 = HEAP32[$b >> 2] | 0;
   68124     $conv98 = +($30 >>> 0 >>> 0);
   68125     $div99 = $conv98 / 255.0;
   68126     $arrayidx102 = $color + 16 | 0;
   68127     HEAPF64[$arrayidx102 >> 3] = $div99;
   68128     $31 = HEAP32[$a >> 2] | 0;
   68129     $conv103 = +($31 >>> 0 >>> 0);
   68130     $div104 = $conv103 / 255.0;
   68131     $arrayidx107 = $color + 24 | 0;
   68132     HEAPF64[$arrayidx107 >> 3] = $div104;
   68133     $retval_0 = 0;
   68134     label = 47;
   68135     break;
   68136    case 12:
   68137     $_pr = HEAP8[$str_addr_0] | 0;
   68138     $32 = $_pr;
   68139     label = 13;
   68140     break;
   68141    case 13:
   68142     $cmp111 = $32 << 24 >> 24 == 46;
   68143     if ($cmp111) {
   68144       label = 15;
   68145       break;
   68146     } else {
   68147       label = 14;
   68148       break;
   68149     }
   68150    case 14:
   68151     $conv110 = $32 & 255;
   68152     $isdigittmp = $conv110 - 48 | 0;
   68153     $isdigit = $isdigittmp >>> 0 < 10;
   68154     if ($isdigit) {
   68155       label = 15;
   68156       break;
   68157     } else {
   68158       label = 28;
   68159       break;
   68160     }
   68161    case 15:
   68162     $call116 = _strlen($str_addr_0 | 0) | 0;
   68163     $33 = HEAP32[5820] | 0;
   68164     $cmp117 = ($call116 | 0) < ($33 | 0);
   68165     if ($cmp117) {
   68166       label = 17;
   68167       break;
   68168     } else {
   68169       label = 16;
   68170       break;
   68171     }
   68172    case 16:
   68173     $add120 = $call116 + 11 | 0;
   68174     HEAP32[5820] = $add120;
   68175     $34 = HEAP32[5818] | 0;
   68176     $call121 = _grealloc($34, $add120) | 0;
   68177     HEAP32[5818] = $call121;
   68178     $tobool122 = ($call121 | 0) == 0;
   68179     if ($tobool122) {
   68180       $retval_0 = -1;
   68181       label = 47;
   68182       break;
   68183     } else {
   68184       label = 17;
   68185       break;
   68186     }
   68187    case 17:
   68188     $35 = HEAP32[5818] | 0;
   68189     $q_0 = $35;
   68190     $p_0 = $str_addr_0;
   68191     label = 18;
   68192     break;
   68193    case 18:
   68194     $incdec_ptr126 = $p_0 + 1 | 0;
   68195     $36 = HEAP8[$p_0] | 0;
   68196     if (($36 << 24 >> 24 | 0) == 44) {
   68197       label = 19;
   68198       break;
   68199     } else if (($36 << 24 >> 24 | 0) == 0) {
   68200       label = 21;
   68201       break;
   68202     } else {
   68203       $c_0 = $36;
   68204       label = 20;
   68205       break;
   68206     }
   68207    case 19:
   68208     $c_0 = 32;
   68209     label = 20;
   68210     break;
   68211    case 20:
   68212     $incdec_ptr133 = $q_0 + 1 | 0;
   68213     HEAP8[$q_0] = $c_0;
   68214     $q_0 = $incdec_ptr133;
   68215     $p_0 = $incdec_ptr126;
   68216     label = 18;
   68217     break;
   68218    case 21:
   68219     HEAP8[$q_0] = 0;
   68220     $37 = HEAP32[5818] | 0;
   68221     $call134 = _sscanf($37 | 0, 137064, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = $H, HEAP32[tempInt + 8 >> 2] = $S, HEAP32[tempInt + 16 >> 2] = $V, tempInt) | 0) | 0;
   68222     $cmp135 = ($call134 | 0) == 3;
   68223     if ($cmp135) {
   68224       label = 22;
   68225       break;
   68226     } else {
   68227       label = 28;
   68228       break;
   68229     }
   68230    case 22:
   68231     $38 = +HEAPF64[$H >> 3];
   68232     $cmp138 = $38 < 1.0;
   68233     $cond = $cmp138 ? $38 : 1.0;
   68234     $cmp140 = $cond > 0.0;
   68235     $cond151 = $cmp140 ? $cond : 0.0;
   68236     HEAPF64[$H >> 3] = $cond151;
   68237     $39 = +HEAPF64[$S >> 3];
   68238     $cmp152 = $39 < 1.0;
   68239     $cond157 = $cmp152 ? $39 : 1.0;
   68240     $cmp158 = $cond157 > 0.0;
   68241     $cond169 = $cmp158 ? $cond157 : 0.0;
   68242     HEAPF64[$S >> 3] = $cond169;
   68243     $40 = +HEAPF64[$V >> 3];
   68244     $cmp170 = $40 < 1.0;
   68245     $cond175 = $cmp170 ? $40 : 1.0;
   68246     $cmp176 = $cond175 > 0.0;
   68247     $cond187 = $cmp176 ? $cond175 : 0.0;
   68248     HEAPF64[$V >> 3] = $cond187;
   68249     if (($target_type | 0) == 0) {
   68250       label = 23;
   68251       break;
   68252     } else if (($target_type | 0) == 1) {
   68253       label = 24;
   68254       break;
   68255     } else if (($target_type | 0) == 3) {
   68256       label = 25;
   68257       break;
   68258     } else if (($target_type | 0) == 2) {
   68259       label = 26;
   68260       break;
   68261     } else if (($target_type | 0) == 4) {
   68262       label = 27;
   68263       break;
   68264     } else {
   68265       $retval_0 = 0;
   68266       label = 47;
   68267       break;
   68268     }
   68269    case 23:
   68270     $41 = +HEAPF64[$H >> 3];
   68271     $arrayidx191 = $color | 0;
   68272     HEAPF64[$arrayidx191 >> 3] = $41;
   68273     $42 = +HEAPF64[$S >> 3];
   68274     $arrayidx194 = $color + 8 | 0;
   68275     HEAPF64[$arrayidx194 >> 3] = $42;
   68276     $43 = +HEAPF64[$V >> 3];
   68277     $arrayidx197 = $color + 16 | 0;
   68278     HEAPF64[$arrayidx197 >> 3] = $43;
   68279     $arrayidx200 = $color + 24 | 0;
   68280     HEAPF64[$arrayidx200 >> 3] = 1.0;
   68281     $retval_0 = 0;
   68282     label = 47;
   68283     break;
   68284    case 24:
   68285     $44 = +HEAPF64[$H >> 3];
   68286     $45 = +HEAPF64[$S >> 3];
   68287     _hsv2rgb($44, $45, $cond187, $R, $G, $B);
   68288     $46 = +HEAPF64[$R >> 3];
   68289     $mul202 = $46 * 255.0;
   68290     $conv203 = ~~$mul202;
   68291     $conv204 = $conv203 & 255;
   68292     $rgba206 = $color;
   68293     $arrayidx207 = $color;
   68294     HEAP8[$arrayidx207] = $conv204;
   68295     $47 = +HEAPF64[$G >> 3];
   68296     $mul208 = $47 * 255.0;
   68297     $conv209 = ~~$mul208;
   68298     $conv210 = $conv209 & 255;
   68299     $arrayidx213 = $rgba206 + 1 | 0;
   68300     HEAP8[$arrayidx213] = $conv210;
   68301     $48 = +HEAPF64[$B >> 3];
   68302     $mul214 = $48 * 255.0;
   68303     $conv215 = ~~$mul214;
   68304     $conv216 = $conv215 & 255;
   68305     $arrayidx219 = $rgba206 + 2 | 0;
   68306     HEAP8[$arrayidx219] = $conv216;
   68307     $arrayidx222 = $rgba206 + 3 | 0;
   68308     HEAP8[$arrayidx222] = -1;
   68309     $retval_0 = 0;
   68310     label = 47;
   68311     break;
   68312    case 25:
   68313     $49 = +HEAPF64[$H >> 3];
   68314     $50 = +HEAPF64[$S >> 3];
   68315     _hsv2rgb($49, $50, $cond187, $R, $G, $B);
   68316     $51 = +HEAPF64[$R >> 3];
   68317     $52 = +HEAPF64[$G >> 3];
   68318     $53 = +HEAPF64[$B >> 3];
   68319     _rgb2cmyk($51, $52, $53, $C, $M, $Y, $K);
   68320     $54 = +HEAPF64[$C >> 3];
   68321     $conv224 = ~~$54;
   68322     $mul225 = $conv224 * 255 & -1;
   68323     $conv226 = $mul225 & 255;
   68324     $cmyk228 = $color;
   68325     $arrayidx229 = $color;
   68326     HEAP8[$arrayidx229] = $conv226;
   68327     $55 = +HEAPF64[$M >> 3];
   68328     $conv230 = ~~$55;
   68329     $mul231 = $conv230 * 255 & -1;
   68330     $conv232 = $mul231 & 255;
   68331     $arrayidx235 = $cmyk228 + 1 | 0;
   68332     HEAP8[$arrayidx235] = $conv232;
   68333     $56 = +HEAPF64[$Y >> 3];
   68334     $conv236 = ~~$56;
   68335     $mul237 = $conv236 * 255 & -1;
   68336     $conv238 = $mul237 & 255;
   68337     $arrayidx241 = $cmyk228 + 2 | 0;
   68338     HEAP8[$arrayidx241] = $conv238;
   68339     $57 = +HEAPF64[$K >> 3];
   68340     $conv242 = ~~$57;
   68341     $mul243 = $conv242 * 255 & -1;
   68342     $conv244 = $mul243 & 255;
   68343     $arrayidx247 = $cmyk228 + 3 | 0;
   68344     HEAP8[$arrayidx247] = $conv244;
   68345     $retval_0 = 0;
   68346     label = 47;
   68347     break;
   68348    case 26:
   68349     $58 = +HEAPF64[$H >> 3];
   68350     $59 = +HEAPF64[$S >> 3];
   68351     _hsv2rgb($58, $59, $cond187, $R, $G, $B);
   68352     $60 = +HEAPF64[$R >> 3];
   68353     $mul249 = $60 * 65535.0;
   68354     $conv250 = ~~$mul249;
   68355     $rrggbbaa252 = $color;
   68356     $arrayidx253 = $color;
   68357     HEAP32[$arrayidx253 >> 2] = $conv250;
   68358     $61 = +HEAPF64[$G >> 3];
   68359     $mul254 = $61 * 65535.0;
   68360     $conv255 = ~~$mul254;
   68361     $arrayidx258 = $rrggbbaa252 + 4 | 0;
   68362     HEAP32[$arrayidx258 >> 2] = $conv255;
   68363     $62 = +HEAPF64[$B >> 3];
   68364     $mul259 = $62 * 65535.0;
   68365     $conv260 = ~~$mul259;
   68366     $arrayidx263 = $color + 8 | 0;
   68367     $63 = $arrayidx263;
   68368     HEAP32[$63 >> 2] = $conv260;
   68369     $arrayidx266 = $rrggbbaa252 + 12 | 0;
   68370     HEAP32[$arrayidx266 >> 2] = 65535;
   68371     $retval_0 = 0;
   68372     label = 47;
   68373     break;
   68374    case 27:
   68375     $64 = +HEAPF64[$H >> 3];
   68376     $65 = +HEAPF64[$S >> 3];
   68377     _hsv2rgb($64, $65, $cond187, $R, $G, $B);
   68378     $66 = +HEAPF64[$R >> 3];
   68379     $arrayidx270 = $color | 0;
   68380     HEAPF64[$arrayidx270 >> 3] = $66;
   68381     $67 = +HEAPF64[$G >> 3];
   68382     $arrayidx273 = $color + 8 | 0;
   68383     HEAPF64[$arrayidx273 >> 3] = $67;
   68384     $68 = +HEAPF64[$B >> 3];
   68385     $arrayidx276 = $color + 16 | 0;
   68386     HEAPF64[$arrayidx276 >> 3] = $68;
   68387     $arrayidx279 = $color + 24 | 0;
   68388     HEAPF64[$arrayidx279 >> 3] = 1.0;
   68389     $retval_0 = 0;
   68390     label = 47;
   68391     break;
   68392    case 28:
   68393     $call285 = _resolveColor($str_addr_0) | 0;
   68394     $name = $fake | 0;
   68395     HEAP32[$name >> 2] = $call285;
   68396     $tobool287 = ($call285 | 0) == 0;
   68397     if ($tobool287) {
   68398       $retval_0 = -1;
   68399       label = 47;
   68400       break;
   68401     } else {
   68402       label = 29;
   68403       break;
   68404     }
   68405    case 29:
   68406     $69 = HEAP32[5816] | 0;
   68407     $cmp290 = ($69 | 0) == 0;
   68408     if ($cmp290) {
   68409       label = 32;
   68410       break;
   68411     } else {
   68412       label = 30;
   68413       break;
   68414     }
   68415    case 30:
   68416     $name293 = $69 | 0;
   68417     $70 = HEAP32[$name293 >> 2] | 0;
   68418     $71 = HEAP8[$70] | 0;
   68419     $72 = HEAP8[$call285] | 0;
   68420     $cmp299 = $71 << 24 >> 24 == $72 << 24 >> 24;
   68421     if ($cmp299) {
   68422       label = 31;
   68423       break;
   68424     } else {
   68425       label = 32;
   68426       break;
   68427     }
   68428    case 31:
   68429     $call304 = _strcmp($70 | 0, $call285 | 0) | 0;
   68430     $tobool305 = ($call304 | 0) == 0;
   68431     if ($tobool305) {
   68432       label = 33;
   68433       break;
   68434     } else {
   68435       label = 32;
   68436       break;
   68437     }
   68438    case 32:
   68439     $73 = $fake;
   68440     $call307 = _bsearch($73 | 0, 23296, 2491, 12, 564) | 0;
   68441     $74 = $call307;
   68442     HEAP32[5816] = $74;
   68443     $75 = $74;
   68444     label = 34;
   68445     break;
   68446    case 33:
   68447     $_pr99 = HEAP32[5816] | 0;
   68448     $75 = $_pr99;
   68449     label = 34;
   68450     break;
   68451    case 34:
   68452     $cmp309 = ($75 | 0) == 0;
   68453     if ($cmp309) {
   68454       label = 41;
   68455       break;
   68456     } else {
   68457       label = 35;
   68458       break;
   68459     }
   68460    case 35:
   68461     if (($target_type | 0) == 0) {
   68462       label = 36;
   68463       break;
   68464     } else if (($target_type | 0) == 1) {
   68465       label = 37;
   68466       break;
   68467     } else if (($target_type | 0) == 3) {
   68468       label = 38;
   68469       break;
   68470     } else if (($target_type | 0) == 2) {
   68471       label = 39;
   68472       break;
   68473     } else if (($target_type | 0) == 4) {
   68474       label = 40;
   68475       break;
   68476     } else {
   68477       $retval_0 = 0;
   68478       label = 47;
   68479       break;
   68480     }
   68481    case 36:
   68482     $h = $75 + 4 | 0;
   68483     $76 = HEAP8[$h] | 0;
   68484     $conv313 = +(($76 & 255) >>> 0);
   68485     $div314 = $conv313 / 255.0;
   68486     $arrayidx317 = $color | 0;
   68487     HEAPF64[$arrayidx317 >> 3] = $div314;
   68488     $77 = HEAP32[5816] | 0;
   68489     $s = $77 + 5 | 0;
   68490     $78 = HEAP8[$s] | 0;
   68491     $conv318 = +(($78 & 255) >>> 0);
   68492     $div319 = $conv318 / 255.0;
   68493     $arrayidx322 = $color + 8 | 0;
   68494     HEAPF64[$arrayidx322 >> 3] = $div319;
   68495     $79 = HEAP32[5816] | 0;
   68496     $v = $79 + 6 | 0;
   68497     $80 = HEAP8[$v] | 0;
   68498     $conv323 = +(($80 & 255) >>> 0);
   68499     $div324 = $conv323 / 255.0;
   68500     $arrayidx327 = $color + 16 | 0;
   68501     HEAPF64[$arrayidx327 >> 3] = $div324;
   68502     $81 = HEAP32[5816] | 0;
   68503     $a328 = $81 + 10 | 0;
   68504     $82 = HEAP8[$a328] | 0;
   68505     $conv329 = +(($82 & 255) >>> 0);
   68506     $div330 = $conv329 / 255.0;
   68507     $arrayidx333 = $color + 24 | 0;
   68508     HEAPF64[$arrayidx333 >> 3] = $div330;
   68509     $retval_0 = 0;
   68510     label = 47;
   68511     break;
   68512    case 37:
   68513     $r335 = $75 + 7 | 0;
   68514     $83 = HEAP8[$r335] | 0;
   68515     $rgba337 = $color;
   68516     $arrayidx338 = $color;
   68517     HEAP8[$arrayidx338] = $83;
   68518     $84 = HEAP32[5816] | 0;
   68519     $g339 = $84 + 8 | 0;
   68520     $85 = HEAP8[$g339] | 0;
   68521     $arrayidx342 = $rgba337 + 1 | 0;
   68522     HEAP8[$arrayidx342] = $85;
   68523     $86 = HEAP32[5816] | 0;
   68524     $b343 = $86 + 9 | 0;
   68525     $87 = HEAP8[$b343] | 0;
   68526     $arrayidx346 = $rgba337 + 2 | 0;
   68527     HEAP8[$arrayidx346] = $87;
   68528     $88 = HEAP32[5816] | 0;
   68529     $a347 = $88 + 10 | 0;
   68530     $89 = HEAP8[$a347] | 0;
   68531     $arrayidx350 = $rgba337 + 3 | 0;
   68532     HEAP8[$arrayidx350] = $89;
   68533     $retval_0 = 0;
   68534     label = 47;
   68535     break;
   68536    case 38:
   68537     $r352 = $75 + 7 | 0;
   68538     $90 = HEAP8[$r352] | 0;
   68539     $conv353 = $90 & 255;
   68540     $conv354 = +($conv353 | 0);
   68541     $div355 = $conv354 / 255.0;
   68542     HEAPF64[$R >> 3] = $div355;
   68543     $91 = HEAP32[5816] | 0;
   68544     $g356 = $91 + 8 | 0;
   68545     $92 = HEAP8[$g356] | 0;
   68546     $conv357 = $92 & 255;
   68547     $conv358 = +($conv357 | 0);
   68548     $div359 = $conv358 / 255.0;
   68549     HEAPF64[$G >> 3] = $div359;
   68550     $93 = HEAP32[5816] | 0;
   68551     $b360 = $93 + 9 | 0;
   68552     $94 = HEAP8[$b360] | 0;
   68553     $conv361 = $94 & 255;
   68554     $conv362 = +($conv361 | 0);
   68555     $div363 = $conv362 / 255.0;
   68556     HEAPF64[$B >> 3] = $div363;
   68557     $95 = +HEAPF64[$R >> 3];
   68558     $96 = +HEAPF64[$G >> 3];
   68559     _rgb2cmyk($95, $96, $div363, $C, $M, $Y, $K);
   68560     $97 = +HEAPF64[$C >> 3];
   68561     $conv364 = ~~$97;
   68562     $mul365 = $conv364 * 255 & -1;
   68563     $conv366 = $mul365 & 255;
   68564     $cmyk368 = $color;
   68565     $arrayidx369 = $color;
   68566     HEAP8[$arrayidx369] = $conv366;
   68567     $98 = +HEAPF64[$M >> 3];
   68568     $conv370 = ~~$98;
   68569     $mul371 = $conv370 * 255 & -1;
   68570     $conv372 = $mul371 & 255;
   68571     $arrayidx375 = $cmyk368 + 1 | 0;
   68572     HEAP8[$arrayidx375] = $conv372;
   68573     $99 = +HEAPF64[$Y >> 3];
   68574     $conv376 = ~~$99;
   68575     $mul377 = $conv376 * 255 & -1;
   68576     $conv378 = $mul377 & 255;
   68577     $arrayidx381 = $cmyk368 + 2 | 0;
   68578     HEAP8[$arrayidx381] = $conv378;
   68579     $100 = +HEAPF64[$K >> 3];
   68580     $conv382 = ~~$100;
   68581     $mul383 = $conv382 * 255 & -1;
   68582     $conv384 = $mul383 & 255;
   68583     $arrayidx387 = $cmyk368 + 3 | 0;
   68584     HEAP8[$arrayidx387] = $conv384;
   68585     $retval_0 = 0;
   68586     label = 47;
   68587     break;
   68588    case 39:
   68589     $r389 = $75 + 7 | 0;
   68590     $101 = HEAP8[$r389] | 0;
   68591     $conv390 = $101 & 255;
   68592     $mul391 = $conv390 * 65535 & -1;
   68593     $div392 = ($mul391 >>> 0) / 255 >>> 0;
   68594     $rrggbbaa394 = $color;
   68595     $arrayidx395 = $color;
   68596     HEAP32[$arrayidx395 >> 2] = $div392;
   68597     $102 = HEAP32[5816] | 0;
   68598     $g396 = $102 + 8 | 0;
   68599     $103 = HEAP8[$g396] | 0;
   68600     $conv397 = $103 & 255;
   68601     $mul398 = $conv397 * 65535 & -1;
   68602     $div399 = ($mul398 >>> 0) / 255 >>> 0;
   68603     $arrayidx402 = $rrggbbaa394 + 4 | 0;
   68604     HEAP32[$arrayidx402 >> 2] = $div399;
   68605     $104 = HEAP32[5816] | 0;
   68606     $b403 = $104 + 9 | 0;
   68607     $105 = HEAP8[$b403] | 0;
   68608     $conv404 = $105 & 255;
   68609     $mul405 = $conv404 * 65535 & -1;
   68610     $div406 = ($mul405 >>> 0) / 255 >>> 0;
   68611     $arrayidx409 = $color + 8 | 0;
   68612     $106 = $arrayidx409;
   68613     HEAP32[$106 >> 2] = $div406;
   68614     $107 = HEAP32[5816] | 0;
   68615     $a410 = $107 + 10 | 0;
   68616     $108 = HEAP8[$a410] | 0;
   68617     $conv411 = $108 & 255;
   68618     $mul412 = $conv411 * 65535 & -1;
   68619     $div413 = ($mul412 >>> 0) / 255 >>> 0;
   68620     $arrayidx416 = $rrggbbaa394 + 12 | 0;
   68621     HEAP32[$arrayidx416 >> 2] = $div413;
   68622     $retval_0 = 0;
   68623     label = 47;
   68624     break;
   68625    case 40:
   68626     $r418 = $75 + 7 | 0;
   68627     $109 = HEAP8[$r418] | 0;
   68628     $conv419 = $109 & 255;
   68629     $conv420 = +($conv419 | 0);
   68630     $div421 = $conv420 / 255.0;
   68631     $arrayidx424 = $color | 0;
   68632     HEAPF64[$arrayidx424 >> 3] = $div421;
   68633     $110 = HEAP32[5816] | 0;
   68634     $g425 = $110 + 8 | 0;
   68635     $111 = HEAP8[$g425] | 0;
   68636     $conv426 = $111 & 255;
   68637     $conv427 = +($conv426 | 0);
   68638     $div428 = $conv427 / 255.0;
   68639     $arrayidx431 = $color + 8 | 0;
   68640     HEAPF64[$arrayidx431 >> 3] = $div428;
   68641     $112 = HEAP32[5816] | 0;
   68642     $b432 = $112 + 9 | 0;
   68643     $113 = HEAP8[$b432] | 0;
   68644     $conv433 = $113 & 255;
   68645     $conv434 = +($conv433 | 0);
   68646     $div435 = $conv434 / 255.0;
   68647     $arrayidx438 = $color + 16 | 0;
   68648     HEAPF64[$arrayidx438 >> 3] = $div435;
   68649     $114 = HEAP32[5816] | 0;
   68650     $a439 = $114 + 10 | 0;
   68651     $115 = HEAP8[$a439] | 0;
   68652     $conv440 = $115 & 255;
   68653     $conv441 = +($conv440 | 0);
   68654     $div442 = $conv441 / 255.0;
   68655     $arrayidx445 = $color + 24 | 0;
   68656     HEAPF64[$arrayidx445 >> 3] = $div442;
   68657     $retval_0 = 0;
   68658     label = 47;
   68659     break;
   68660    case 41:
   68661     if (($target_type | 0) == 0) {
   68662       label = 42;
   68663       break;
   68664     } else if (($target_type | 0) == 1) {
   68665       label = 43;
   68666       break;
   68667     } else if (($target_type | 0) == 3) {
   68668       label = 44;
   68669       break;
   68670     } else if (($target_type | 0) == 2) {
   68671       label = 45;
   68672       break;
   68673     } else if (($target_type | 0) == 4) {
   68674       label = 46;
   68675       break;
   68676     } else {
   68677       $retval_0 = 1;
   68678       label = 47;
   68679       break;
   68680     }
   68681    case 42:
   68682     $arrayidx462 = $color + 24 | 0;
   68683     $116 = $color;
   68684     _memset($116 | 0, 0, 24);
   68685     HEAPF64[$arrayidx462 >> 3] = 1.0;
   68686     $retval_0 = 1;
   68687     label = 47;
   68688     break;
   68689    case 43:
   68690     $rgba465 = $color;
   68691     $arrayidx466 = $rgba465 + 2 | 0;
   68692     HEAP8[$arrayidx466] = 0;
   68693     $arrayidx469 = $rgba465 + 1 | 0;
   68694     HEAP8[$arrayidx469] = 0;
   68695     $arrayidx472 = $color;
   68696     HEAP8[$arrayidx472] = 0;
   68697     $arrayidx475 = $rgba465 + 3 | 0;
   68698     HEAP8[$arrayidx475] = -1;
   68699     $retval_0 = 1;
   68700     label = 47;
   68701     break;
   68702    case 44:
   68703     $117 = $color;
   68704     tempBigInt = 0;
   68705     HEAP8[$117] = tempBigInt & 255;
   68706     tempBigInt = tempBigInt >> 8;
   68707     HEAP8[$117 + 1 | 0] = tempBigInt & 255;
   68708     tempBigInt = tempBigInt >> 8;
   68709     HEAP8[$117 + 2 | 0] = tempBigInt & 255;
   68710     tempBigInt = tempBigInt >> 8;
   68711     HEAP8[$117 + 3 | 0] = tempBigInt & 255;
   68712     $retval_0 = 1;
   68713     label = 47;
   68714     break;
   68715    case 45:
   68716     $rrggbbaa491 = $color;
   68717     $arrayidx492 = $color + 8 | 0;
   68718     $118 = $arrayidx492;
   68719     HEAP32[$118 >> 2] = 0;
   68720     $arrayidx495 = $rrggbbaa491 + 4 | 0;
   68721     HEAP32[$arrayidx495 >> 2] = 0;
   68722     $arrayidx498 = $color;
   68723     HEAP32[$arrayidx498 >> 2] = 0;
   68724     $arrayidx501 = $rrggbbaa491 + 12 | 0;
   68725     HEAP32[$arrayidx501 >> 2] = 65535;
   68726     $retval_0 = 1;
   68727     label = 47;
   68728     break;
   68729    case 46:
   68730     $arrayidx514 = $color + 24 | 0;
   68731     $119 = $color;
   68732     _memset($119 | 0, 0, 24);
   68733     HEAPF64[$arrayidx514 >> 3] = 1.0;
   68734     $retval_0 = 1;
   68735     label = 47;
   68736     break;
   68737    case 47:
   68738     STACKTOP = __stackBase__;
   68739     return $retval_0 | 0;
   68740   }
   68741   return 0;
   68742 }
   68743 function _getsplinepoints($e) {
   68744   $e = $e | 0;
   68745   var $spl5 = 0, $0 = 0, $cond6 = 0, $le_07 = 0, $edge_type = 0, $1 = 0, $cmp = 0, $to_orig = 0, $2 = 0, $spl = 0, $3 = 0, $cond = 0, $4 = 0, label = 0;
   68746   label = 2;
   68747   while (1) switch (label | 0) {
   68748    case 2:
   68749     $spl5 = $e + 24 | 0;
   68750     $0 = HEAP32[$spl5 >> 2] | 0;
   68751     $cond6 = ($0 | 0) == 0;
   68752     if ($cond6) {
   68753       $le_07 = $e;
   68754       label = 3;
   68755       break;
   68756     } else {
   68757       $4 = $0;
   68758       label = 6;
   68759       break;
   68760     }
   68761    case 3:
   68762     $edge_type = $le_07 + 128 | 0;
   68763     $1 = HEAP8[$edge_type] | 0;
   68764     $cmp = $1 << 24 >> 24 == 0;
   68765     if ($cmp) {
   68766       label = 5;
   68767       break;
   68768     } else {
   68769       label = 4;
   68770       break;
   68771     }
   68772    case 4:
   68773     $to_orig = $le_07 + 132 | 0;
   68774     $2 = HEAP32[$to_orig >> 2] | 0;
   68775     $spl = $2 + 24 | 0;
   68776     $3 = HEAP32[$spl >> 2] | 0;
   68777     $cond = ($3 | 0) == 0;
   68778     if ($cond) {
   68779       $le_07 = $2;
   68780       label = 3;
   68781       break;
   68782     } else {
   68783       $4 = $3;
   68784       label = 6;
   68785       break;
   68786     }
   68787    case 5:
   68788     _abort();
   68789     return 0;
   68790     return 0;
   68791    case 6:
   68792     return $4 | 0;
   68793   }
   68794   return 0;
   68795 }
   68796 function _setColorScheme($s) {
   68797   $s = $s | 0;
   68798   HEAP32[5822] = $s;
   68799   return;
   68800 }
   68801 function _rgb2hsv($r, $g, $b, $h, $s, $v) {
   68802   $r = +$r;
   68803   $g = +$g;
   68804   $b = +$b;
   68805   $h = $h | 0;
   68806   $s = $s | 0;
   68807   $v = $v | 0;
   68808   var $cmp = 0, $cond = 0.0, $cmp1 = 0, $r_cond = 0.0, $cmp11 = 0, $cond15 = 0.0, $cmp16 = 0, $cond25 = 0.0, $cmp26 = 0, $sub = 0.0, $div = 0.0, $cmp27 = 0, $sub29 = 0.0, $sub30 = 0.0, $div31 = 0.0, $sub32 = 0.0, $div34 = 0.0, $sub35 = 0.0, $div37 = 0.0, $cmp38 = 0, $sub40 = 0.0, $cmp41 = 0, $add = 0.0, $sub43 = 0.0, $cmp45 = 0, $add47 = 0.0, $sub48 = 0.0, $ht_0 = 0.0, $mul = 0.0, $cmp52 = 0, $add54 = 0.0, $st_047 = 0.0, $ht_1 = 0.0, $div57 = 0.0, label = 0;
   68809   label = 2;
   68810   while (1) switch (label | 0) {
   68811    case 2:
   68812     $cmp = $g < $b;
   68813     $cond = $cmp ? $g : $b;
   68814     $cmp1 = $cond > $r;
   68815     $r_cond = $cmp1 ? $r : $cond;
   68816     $cmp11 = $g > $b;
   68817     $cond15 = $cmp11 ? $g : $b;
   68818     $cmp16 = $cond15 < $r;
   68819     $cond25 = $cmp16 ? $r : $cond15;
   68820     $cmp26 = $cond25 > 0.0;
   68821     if ($cmp26) {
   68822       label = 3;
   68823       break;
   68824     } else {
   68825       $ht_1 = 0.0;
   68826       $st_047 = 0.0;
   68827       label = 12;
   68828       break;
   68829     }
   68830    case 3:
   68831     $sub = $cond25 - $r_cond;
   68832     $div = $sub / $cond25;
   68833     $cmp27 = $div > 0.0;
   68834     if ($cmp27) {
   68835       label = 4;
   68836       break;
   68837     } else {
   68838       $ht_1 = 0.0;
   68839       $st_047 = $div;
   68840       label = 12;
   68841       break;
   68842     }
   68843    case 4:
   68844     $sub29 = $cond25 - $r;
   68845     $sub30 = $cond25 - $r_cond;
   68846     $div31 = $sub29 / $sub30;
   68847     $sub32 = $cond25 - $g;
   68848     $div34 = $sub32 / $sub30;
   68849     $sub35 = $cond25 - $b;
   68850     $div37 = $sub35 / $sub30;
   68851     $cmp38 = $cond25 == $r;
   68852     if ($cmp38) {
   68853       label = 5;
   68854       break;
   68855     } else {
   68856       label = 6;
   68857       break;
   68858     }
   68859    case 5:
   68860     $sub40 = $div37 - $div34;
   68861     $ht_0 = $sub40;
   68862     label = 10;
   68863     break;
   68864    case 6:
   68865     $cmp41 = $cond25 == $g;
   68866     if ($cmp41) {
   68867       label = 7;
   68868       break;
   68869     } else {
   68870       label = 8;
   68871       break;
   68872     }
   68873    case 7:
   68874     $add = $div31 + 2.0;
   68875     $sub43 = $add - $div37;
   68876     $ht_0 = $sub43;
   68877     label = 10;
   68878     break;
   68879    case 8:
   68880     $cmp45 = $cond25 == $b;
   68881     if ($cmp45) {
   68882       label = 9;
   68883       break;
   68884     } else {
   68885       $ht_0 = 0.0;
   68886       label = 10;
   68887       break;
   68888     }
   68889    case 9:
   68890     $add47 = $div34 + 4.0;
   68891     $sub48 = $add47 - $div31;
   68892     $ht_0 = $sub48;
   68893     label = 10;
   68894     break;
   68895    case 10:
   68896     $mul = $ht_0 * 60.0;
   68897     $cmp52 = $mul < 0.0;
   68898     if ($cmp52) {
   68899       label = 11;
   68900       break;
   68901     } else {
   68902       $ht_1 = $mul;
   68903       $st_047 = $div;
   68904       label = 12;
   68905       break;
   68906     }
   68907    case 11:
   68908     $add54 = $mul + 360.0;
   68909     $ht_1 = $add54;
   68910     $st_047 = $div;
   68911     label = 12;
   68912     break;
   68913    case 12:
   68914     $div57 = $ht_1 / 360.0;
   68915     HEAPF64[$h >> 3] = $div57;
   68916     HEAPF64[$v >> 3] = $cond25;
   68917     HEAPF64[$s >> 3] = $st_047;
   68918     return;
   68919   }
   68920 }
   68921 function _rgb2cmyk($r, $g, $b, $c, $m, $y, $k) {
   68922   $r = +$r;
   68923   $g = +$g;
   68924   $b = +$b;
   68925   $c = $c | 0;
   68926   $m = $m | 0;
   68927   $y = $y | 0;
   68928   $k = $k | 0;
   68929   var $0 = 0.0, $1 = 0.0, $_ = 0.0, $2 = 0.0, $cond7 = 0.0;
   68930   HEAPF64[$c >> 3] = 1.0 - $r;
   68931   HEAPF64[$m >> 3] = 1.0 - $g;
   68932   HEAPF64[$y >> 3] = 1.0 - $b;
   68933   $0 = +HEAPF64[$c >> 3];
   68934   $1 = +HEAPF64[$m >> 3];
   68935   $_ = $0 < $1 ? $0 : $1;
   68936   HEAPF64[$k >> 3] = $_;
   68937   $2 = +HEAPF64[$y >> 3];
   68938   $cond7 = $2 < $_ ? $2 : $_;
   68939   HEAPF64[$k >> 3] = $cond7;
   68940   HEAPF64[$c >> 3] = +HEAPF64[$c >> 3] - $cond7;
   68941   HEAPF64[$m >> 3] = +HEAPF64[$m >> 3] - +HEAPF64[$k >> 3];
   68942   HEAPF64[$y >> 3] = +HEAPF64[$y >> 3] - +HEAPF64[$k >> 3];
   68943   return;
   68944 }
   68945 function _hsv2rgb($h, $s, $v, $r, $g, $b) {
   68946   $h = +$h;
   68947   $s = +$s;
   68948   $v = +$v;
   68949   $r = $r | 0;
   68950   $g = $g | 0;
   68951   $b = $b | 0;
   68952   var $cmp = 0, $cmp1 = 0, $h_op = 0.0, $mul = 0.0, $conv = 0, $conv3 = 0.0, $sub = 0.0, $sub4 = 0.0, $mul5 = 0.0, $mul6 = 0.0, $sub7 = 0.0, $mul8 = 0.0, $sub9 = 0.0, $mul10 = 0.0, $sub11 = 0.0, $mul12 = 0.0, label = 0;
   68953   label = 2;
   68954   while (1) switch (label | 0) {
   68955    case 2:
   68956     $cmp = $s > 0.0;
   68957     if ($cmp) {
   68958       label = 4;
   68959       break;
   68960     } else {
   68961       label = 3;
   68962       break;
   68963     }
   68964    case 3:
   68965     HEAPF64[$r >> 3] = $v;
   68966     HEAPF64[$g >> 3] = $v;
   68967     HEAPF64[$b >> 3] = $v;
   68968     label = 11;
   68969     break;
   68970    case 4:
   68971     $cmp1 = $h < 1.0;
   68972     $h_op = $h * 6.0;
   68973     $mul = $cmp1 ? $h_op : 0.0;
   68974     $conv = ~~$mul;
   68975     $conv3 = +($conv | 0);
   68976     $sub = $mul - $conv3;
   68977     $sub4 = 1.0 - $s;
   68978     $mul5 = $sub4 * $v;
   68979     $mul6 = $sub * $s;
   68980     $sub7 = 1.0 - $mul6;
   68981     $mul8 = $sub7 * $v;
   68982     $sub9 = 1.0 - $sub;
   68983     $mul10 = $sub9 * $s;
   68984     $sub11 = 1.0 - $mul10;
   68985     $mul12 = $sub11 * $v;
   68986     if (($conv | 0) == 0) {
   68987       label = 5;
   68988       break;
   68989     } else if (($conv | 0) == 1) {
   68990       label = 6;
   68991       break;
   68992     } else if (($conv | 0) == 2) {
   68993       label = 7;
   68994       break;
   68995     } else if (($conv | 0) == 3) {
   68996       label = 8;
   68997       break;
   68998     } else if (($conv | 0) == 4) {
   68999       label = 9;
   69000       break;
   69001     } else if (($conv | 0) == 5) {
   69002       label = 10;
   69003       break;
   69004     } else {
   69005       label = 11;
   69006       break;
   69007     }
   69008    case 5:
   69009     HEAPF64[$r >> 3] = $v;
   69010     HEAPF64[$g >> 3] = $mul12;
   69011     HEAPF64[$b >> 3] = $mul5;
   69012     label = 11;
   69013     break;
   69014    case 6:
   69015     HEAPF64[$r >> 3] = $mul8;
   69016     HEAPF64[$g >> 3] = $v;
   69017     HEAPF64[$b >> 3] = $mul5;
   69018     label = 11;
   69019     break;
   69020    case 7:
   69021     HEAPF64[$r >> 3] = $mul5;
   69022     HEAPF64[$g >> 3] = $v;
   69023     HEAPF64[$b >> 3] = $mul12;
   69024     label = 11;
   69025     break;
   69026    case 8:
   69027     HEAPF64[$r >> 3] = $mul5;
   69028     HEAPF64[$g >> 3] = $mul8;
   69029     HEAPF64[$b >> 3] = $v;
   69030     label = 11;
   69031     break;
   69032    case 9:
   69033     HEAPF64[$r >> 3] = $mul12;
   69034     HEAPF64[$g >> 3] = $mul5;
   69035     HEAPF64[$b >> 3] = $v;
   69036     label = 11;
   69037     break;
   69038    case 10:
   69039     HEAPF64[$r >> 3] = $v;
   69040     HEAPF64[$g >> 3] = $mul5;
   69041     HEAPF64[$b >> 3] = $mul8;
   69042     label = 11;
   69043     break;
   69044    case 11:
   69045     return;
   69046   }
   69047 }
   69048 function _charsetOf($s) {
   69049   $s = $s | 0;
   69050   var $s_addr_0_ph = 0, $r_0_ph = 0, $s_addr_0 = 0, $0 = 0, $tobool = 0, $incdec_ptr = 0, $cmp = 0, $and = 0, $cmp3 = 0, $incdec_ptr6 = 0, $retval_0 = 0, label = 0;
   69051   label = 2;
   69052   while (1) switch (label | 0) {
   69053    case 2:
   69054     $r_0_ph = 0;
   69055     $s_addr_0_ph = $s;
   69056     label = 3;
   69057     break;
   69058    case 3:
   69059     $s_addr_0 = $s_addr_0_ph;
   69060     label = 4;
   69061     break;
   69062    case 4:
   69063     $0 = HEAP8[$s_addr_0] | 0;
   69064     $tobool = $0 << 24 >> 24 == 0;
   69065     if ($tobool) {
   69066       $retval_0 = $r_0_ph;
   69067       label = 7;
   69068       break;
   69069     } else {
   69070       label = 5;
   69071       break;
   69072     }
   69073    case 5:
   69074     $incdec_ptr = $s_addr_0 + 1 | 0;
   69075     $cmp = ($0 & 255) < 127;
   69076     if ($cmp) {
   69077       $s_addr_0 = $incdec_ptr;
   69078       label = 4;
   69079       break;
   69080     } else {
   69081       label = 6;
   69082       break;
   69083     }
   69084    case 6:
   69085     $and = $0 & -4;
   69086     $cmp3 = $and << 24 >> 24 == -64;
   69087     $incdec_ptr6 = $s_addr_0 + 2 | 0;
   69088     if ($cmp3) {
   69089       $r_0_ph = 1;
   69090       $s_addr_0_ph = $incdec_ptr6;
   69091       label = 3;
   69092       break;
   69093     } else {
   69094       $retval_0 = 2;
   69095       label = 7;
   69096       break;
   69097     }
   69098    case 7:
   69099     return $retval_0 | 0;
   69100   }
   69101   return 0;
   69102 }
   69103 function _resolveColor($str) {
   69104   $str = $str | 0;
   69105   var $0 = 0, $cmp = 0, $add_ptr = 0, $call = 0, $tobool = 0, $cmp3 = 0, $or_cond = 0, $call7 = 0, $tobool8 = 0, $cmp12 = 0, $or_cond18 = 0, $call16 = 0, $tobool17 = 0, $cmp21 = 0, $call25 = 0, $tobool26 = 0, $1 = 0, $cmp29 = 0, $2 = 0, $tobool32 = 0, $3 = 0, $tobool34 = 0, $call36 = 0, $tobool37 = 0, $4 = 0, $add_ptr39 = 0, $call40 = 0, $add_ptr41 = 0, $call44 = 0, $tobool45 = 0, $add_ptr48 = 0, $add_ptr48_str = 0, $5 = 0, $tobool54 = 0, $6 = 0, $tobool57 = 0, $call59 = 0, $tobool60 = 0, $7 = 0, $call62 = 0, $s_0 = 0, $call66 = 0, $retval_0 = 0, label = 0;
   69106   label = 2;
   69107   while (1) switch (label | 0) {
   69108    case 2:
   69109     $0 = HEAP8[$str] | 0;
   69110     $cmp = $0 << 24 >> 24 == 98;
   69111     if ($cmp) {
   69112       $retval_0 = $str;
   69113       label = 20;
   69114       break;
   69115     } else {
   69116       label = 3;
   69117       break;
   69118     }
   69119    case 3:
   69120     $add_ptr = $str + 1 | 0;
   69121     $call = _strncmp($add_ptr | 0, 122072, 4) | 0;
   69122     $tobool = ($call | 0) == 0;
   69123     $cmp3 = $0 << 24 >> 24 == 119;
   69124     $or_cond = $tobool | $cmp3;
   69125     if ($or_cond) {
   69126       $retval_0 = $str;
   69127       label = 20;
   69128       break;
   69129     } else {
   69130       label = 4;
   69131       break;
   69132     }
   69133    case 4:
   69134     $call7 = _strncmp($add_ptr | 0, 122064, 4) | 0;
   69135     $tobool8 = ($call7 | 0) == 0;
   69136     $cmp12 = $0 << 24 >> 24 == 108;
   69137     $or_cond18 = $tobool8 | $cmp12;
   69138     if ($or_cond18) {
   69139       $retval_0 = $str;
   69140       label = 20;
   69141       break;
   69142     } else {
   69143       label = 5;
   69144       break;
   69145     }
   69146    case 5:
   69147     $call16 = _strncmp($add_ptr | 0, 122048, 8) | 0;
   69148     $tobool17 = ($call16 | 0) == 0;
   69149     if ($tobool17) {
   69150       $retval_0 = $str;
   69151       label = 20;
   69152       break;
   69153     } else {
   69154       label = 6;
   69155       break;
   69156     }
   69157    case 6:
   69158     $cmp21 = $0 << 24 >> 24 == 47;
   69159     if ($cmp21) {
   69160       label = 7;
   69161       break;
   69162     } else {
   69163       label = 15;
   69164       break;
   69165     }
   69166    case 7:
   69167     $call25 = _strchr($add_ptr | 0, 47) | 0;
   69168     $tobool26 = ($call25 | 0) == 0;
   69169     if ($tobool26) {
   69170       $s_0 = $add_ptr;
   69171       label = 19;
   69172       break;
   69173     } else {
   69174       label = 8;
   69175       break;
   69176     }
   69177    case 8:
   69178     $1 = HEAP8[$add_ptr] | 0;
   69179     $cmp29 = $1 << 24 >> 24 == 47;
   69180     if ($cmp29) {
   69181       label = 9;
   69182       break;
   69183     } else {
   69184       label = 14;
   69185       break;
   69186     }
   69187    case 9:
   69188     $2 = HEAP32[5822] | 0;
   69189     $tobool32 = ($2 | 0) == 0;
   69190     if ($tobool32) {
   69191       label = 13;
   69192       break;
   69193     } else {
   69194       label = 10;
   69195       break;
   69196     }
   69197    case 10:
   69198     $3 = HEAP8[$2] | 0;
   69199     $tobool34 = $3 << 24 >> 24 == 0;
   69200     if ($tobool34) {
   69201       label = 13;
   69202       break;
   69203     } else {
   69204       label = 11;
   69205       break;
   69206     }
   69207    case 11:
   69208     $call36 = _strncasecmp(122040, $2, 3) | 0;
   69209     $tobool37 = ($call36 | 0) == 0;
   69210     if ($tobool37) {
   69211       label = 13;
   69212       break;
   69213     } else {
   69214       label = 12;
   69215       break;
   69216     }
   69217    case 12:
   69218     $4 = HEAP32[5822] | 0;
   69219     $add_ptr39 = $str + 2 | 0;
   69220     $call40 = _fullColor($4, $add_ptr39) | 0;
   69221     $s_0 = $call40;
   69222     label = 19;
   69223     break;
   69224    case 13:
   69225     $add_ptr41 = $str + 2 | 0;
   69226     $s_0 = $add_ptr41;
   69227     label = 19;
   69228     break;
   69229    case 14:
   69230     $call44 = _strncasecmp(122040, $add_ptr, 4) | 0;
   69231     $tobool45 = ($call44 | 0) == 0;
   69232     $add_ptr48 = $call25 + 1 | 0;
   69233     $add_ptr48_str = $tobool45 ? $add_ptr48 : $str;
   69234     $s_0 = $add_ptr48_str;
   69235     label = 19;
   69236     break;
   69237    case 15:
   69238     $5 = HEAP32[5822] | 0;
   69239     $tobool54 = ($5 | 0) == 0;
   69240     if ($tobool54) {
   69241       $s_0 = $str;
   69242       label = 19;
   69243       break;
   69244     } else {
   69245       label = 16;
   69246       break;
   69247     }
   69248    case 16:
   69249     $6 = HEAP8[$5] | 0;
   69250     $tobool57 = $6 << 24 >> 24 == 0;
   69251     if ($tobool57) {
   69252       $s_0 = $str;
   69253       label = 19;
   69254       break;
   69255     } else {
   69256       label = 17;
   69257       break;
   69258     }
   69259    case 17:
   69260     $call59 = _strncasecmp(122040, $5, 3) | 0;
   69261     $tobool60 = ($call59 | 0) == 0;
   69262     if ($tobool60) {
   69263       $s_0 = $str;
   69264       label = 19;
   69265       break;
   69266     } else {
   69267       label = 18;
   69268       break;
   69269     }
   69270    case 18:
   69271     $7 = HEAP32[5822] | 0;
   69272     $call62 = _fullColor($7, $str) | 0;
   69273     $s_0 = $call62;
   69274     label = 19;
   69275     break;
   69276    case 19:
   69277     $call66 = _canontoken($s_0) | 0;
   69278     $retval_0 = $call66;
   69279     label = 20;
   69280     break;
   69281    case 20:
   69282     return $retval_0 | 0;
   69283   }
   69284   return 0;
   69285 }
   69286 function _colorcmpf($p0, $p1) {
   69287   $p0 = $p0 | 0;
   69288   $p1 = $p1 | 0;
   69289   return _strcasecmp(HEAP32[$p0 >> 2] | 0, HEAP32[$p1 >> 2] | 0) | 0;
   69290 }
   69291 function _fullColor($prefix, $str) {
   69292   $prefix = $prefix | 0;
   69293   $str = $str | 0;
   69294   var $call = 0, $call1 = 0, $add = 0, $add2 = 0, $0 = 0, $cmp = 0, $add3 = 0, $1 = 0, $call4 = 0, $2 = 0, $call5 = 0, $3 = 0, label = 0, __stackBase__ = 0;
   69295   __stackBase__ = STACKTOP;
   69296   label = 2;
   69297   while (1) switch (label | 0) {
   69298    case 2:
   69299     $call = _strlen($prefix | 0) | 0;
   69300     $call1 = _strlen($str | 0) | 0;
   69301     $add = $call1 + $call | 0;
   69302     $add2 = $add + 3 | 0;
   69303     $0 = HEAP32[4082] | 0;
   69304     $cmp = ($add2 | 0) < ($0 | 0);
   69305     if ($cmp) {
   69306       label = 4;
   69307       break;
   69308     } else {
   69309       label = 3;
   69310       break;
   69311     }
   69312    case 3:
   69313     $add3 = $add + 13 | 0;
   69314     HEAP32[4082] = $add3;
   69315     $1 = HEAP32[4080] | 0;
   69316     $call4 = _grealloc($1, $add3) | 0;
   69317     HEAP32[4080] = $call4;
   69318     label = 4;
   69319     break;
   69320    case 4:
   69321     $2 = HEAP32[4080] | 0;
   69322     $call5 = _sprintf($2 | 0, 122032, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $prefix, HEAP32[tempInt + 8 >> 2] = $str, tempInt) | 0) | 0;
   69323     $3 = HEAP32[4080] | 0;
   69324     STACKTOP = __stackBase__;
   69325     return $3 | 0;
   69326   }
   69327   return 0;
   69328 }
   69329 function _epsf_init($n) {
   69330   $n = $n | 0;
   69331   var $0 = 0, $call = 0, $call1 = 0, $tobool = 0, $call2 = 0, $tobool3 = 0, $w = 0, $1 = 0, $h = 0, $2 = 0, $conv = 0.0, $div = 0.0, $width = 0, $conv5 = 0.0, $div6 = 0.0, $height = 0, $call8 = 0, $shape_info = 0, $macro_id = 0, $3 = 0, $macro_id10 = 0, $x = 0, $4 = 0, $div11_neg = 0, $sub12 = 0, $offset = 0, $x13 = 0, $y = 0, $5 = 0, $div15_neg = 0, $sub16 = 0, $y18 = 0, $6 = 0, $name = 0, $7 = 0, $call19 = 0, label = 0, __stackBase__ = 0;
   69332   __stackBase__ = STACKTOP;
   69333   label = 2;
   69334   while (1) switch (label | 0) {
   69335    case 2:
   69336     $0 = $n | 0;
   69337     $call = _agget($0, 122016) | 0;
   69338     $call1 = _safefile($call) | 0;
   69339     $tobool = ($call1 | 0) == 0;
   69340     if ($tobool) {
   69341       label = 5;
   69342       break;
   69343     } else {
   69344       label = 3;
   69345       break;
   69346     }
   69347    case 3:
   69348     $call2 = _user_init($call1) | 0;
   69349     $tobool3 = ($call2 | 0) == 0;
   69350     if ($tobool3) {
   69351       label = 6;
   69352       break;
   69353     } else {
   69354       label = 4;
   69355       break;
   69356     }
   69357    case 4:
   69358     $w = $call2 + 40 | 0;
   69359     $1 = HEAP32[$w >> 2] | 0;
   69360     $h = $call2 + 44 | 0;
   69361     $2 = HEAP32[$h >> 2] | 0;
   69362     $conv = +($1 | 0);
   69363     $div = $conv / 72.0;
   69364     $width = $n + 48 | 0;
   69365     HEAPF64[$width >> 3] = $div;
   69366     $conv5 = +($2 | 0);
   69367     $div6 = $conv5 / 72.0;
   69368     $height = $n + 56 | 0;
   69369     HEAPF64[$height >> 3] = $div6;
   69370     $call8 = _zmalloc(12) | 0;
   69371     $shape_info = $n + 28 | 0;
   69372     HEAP32[$shape_info >> 2] = $call8;
   69373     $macro_id = $call2 + 12 | 0;
   69374     $3 = HEAP32[$macro_id >> 2] | 0;
   69375     $macro_id10 = $call8;
   69376     HEAP32[$macro_id10 >> 2] = $3;
   69377     $x = $call2 + 32 | 0;
   69378     $4 = HEAP32[$x >> 2] | 0;
   69379     $div11_neg = ($1 | 0) / -2 & -1;
   69380     $sub12 = $div11_neg - $4 | 0;
   69381     $offset = $call8 + 4 | 0;
   69382     $x13 = $offset;
   69383     HEAP32[$x13 >> 2] = $sub12;
   69384     $y = $call2 + 36 | 0;
   69385     $5 = HEAP32[$y >> 2] | 0;
   69386     $div15_neg = ($2 | 0) / -2 & -1;
   69387     $sub16 = $div15_neg - $5 | 0;
   69388     $y18 = $call8 + 8 | 0;
   69389     $6 = $y18;
   69390     HEAP32[$6 >> 2] = $sub16;
   69391     label = 6;
   69392     break;
   69393    case 5:
   69394     $name = $n + 12 | 0;
   69395     $7 = HEAP32[$name >> 2] | 0;
   69396     $call19 = _agerr(0, 154488, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $7, tempInt) | 0) | 0;
   69397     label = 6;
   69398     break;
   69399    case 6:
   69400     STACKTOP = __stackBase__;
   69401     return;
   69402   }
   69403 }
   69404 function _user_init($str) {
   69405   $str = $str | 0;
   69406   var $line = 0, $statbuf = 0, $lx = 0, $ly = 0, $ux = 0, $uy = 0, $0 = 0, $tobool = 0, $1 = 0, $2 = 0, $call = 0, $3 = 0, $searchf = 0, $4 = 0, $call1 = 0, $tobool2 = 0, $5 = 0, $call5 = 0, $tobool6 = 0, $arraydecay = 0, $call1024 = 0, $tobool1125 = 0, $call8 = 0, $must_inline_027 = 0, $saw_bb_026 = 0, $call13 = 0, $cmp = 0, $_saw_bb_0 = 0, $6 = 0, $cmp16 = 0, $call19 = 0, $tobool20 = 0, $must_inline_0_ = 0, $must_inline_1 = 0, $tobool23 = 0, $saw_bb_0_be = 0, $must_inline_0_be = 0, $call10 = 0, $tobool11 = 0, $extract_t32 = 0, $tobool25 = 0, $extract_t33 = 0, $must_inline_1_sink_off0 = 0, $saw_bb_2 = 0, $tobool28 = 0, $call30 = 0, $7 = 0, $8 = 0, $x = 0, $9 = 0, $10 = 0, $y = 0, $11 = 0, $12 = 0, $13 = 0, $sub = 0, $w = 0, $14 = 0, $15 = 0, $16 = 0, $sub31 = 0, $name = 0, $17 = 0, $18 = 0, $inc = 0, $macro_id = 0, $19 = 0, $call33 = 0, $call34 = 0, $st_size = 0, $20 = 0, $add = 0, $call35 = 0, $data = 0, $21 = 0, $call36 = 0, $22 = 0, $call38 = 0, $23 = 0, $arrayidx40 = 0, $24 = 0, $searchf41 = 0, $25 = 0, $call42 = 0, $26 = 0, $call45 = 0, $us_0 = 0, $call47 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   69407   __stackBase__ = STACKTOP;
   69408   STACKTOP = STACKTOP + 1128 | 0;
   69409   label = 2;
   69410   while (1) switch (label | 0) {
   69411    case 2:
   69412     $line = __stackBase__ | 0;
   69413     $statbuf = __stackBase__ + 1024 | 0;
   69414     $lx = __stackBase__ + 1096 | 0;
   69415     $ly = __stackBase__ + 1104 | 0;
   69416     $ux = __stackBase__ + 1112 | 0;
   69417     $uy = __stackBase__ + 1120 | 0;
   69418     $0 = HEAP32[41970] | 0;
   69419     $tobool = ($0 | 0) == 0;
   69420     if ($tobool) {
   69421       label = 3;
   69422       break;
   69423     } else {
   69424       label = 4;
   69425       break;
   69426     }
   69427    case 3:
   69428     $1 = HEAP32[41978] | 0;
   69429     $2 = $1;
   69430     $call = _dtopen(167040, $2) | 0;
   69431     HEAP32[41970] = $call;
   69432     label = 4;
   69433     break;
   69434    case 4:
   69435     $3 = HEAP32[41970] | 0;
   69436     $searchf = $3 | 0;
   69437     $4 = HEAP32[$searchf >> 2] | 0;
   69438     $call1 = FUNCTION_TABLE_iiii[$4 & 1023]($3, $str, 512) | 0;
   69439     $tobool2 = ($call1 | 0) == 0;
   69440     if ($tobool2) {
   69441       label = 6;
   69442       break;
   69443     } else {
   69444       label = 5;
   69445       break;
   69446     }
   69447    case 5:
   69448     $5 = $call1;
   69449     $retval_0 = $5;
   69450     label = 18;
   69451     break;
   69452    case 6:
   69453     $call5 = _fopen($str | 0, 112224) | 0;
   69454     $tobool6 = ($call5 | 0) == 0;
   69455     if ($tobool6) {
   69456       label = 8;
   69457       break;
   69458     } else {
   69459       label = 7;
   69460       break;
   69461     }
   69462    case 7:
   69463     $arraydecay = $line | 0;
   69464     $call1024 = _fgets($arraydecay | 0, 1024, $call5 | 0) | 0;
   69465     $tobool1125 = ($call1024 | 0) == 0;
   69466     if ($tobool1125) {
   69467       label = 16;
   69468       break;
   69469     } else {
   69470       $saw_bb_026 = 0;
   69471       $must_inline_027 = 0;
   69472       label = 9;
   69473       break;
   69474     }
   69475    case 8:
   69476     $call8 = _agerr(0, 145840, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $str, tempInt) | 0) | 0;
   69477     $retval_0 = 0;
   69478     label = 18;
   69479     break;
   69480    case 9:
   69481     $call13 = _sscanf($arraydecay | 0, 142792, (tempInt = STACKTOP, STACKTOP = STACKTOP + 32 | 0, HEAP32[tempInt >> 2] = $lx, HEAP32[tempInt + 8 >> 2] = $ly, HEAP32[tempInt + 16 >> 2] = $ux, HEAP32[tempInt + 24 >> 2] = $uy, tempInt) | 0) | 0;
   69482     $cmp = ($call13 | 0) == 4;
   69483     $_saw_bb_0 = $cmp ? 1 : $saw_bb_026;
   69484     $6 = HEAP8[$arraydecay] | 0;
   69485     $cmp16 = $6 << 24 >> 24 == 37;
   69486     if ($cmp16) {
   69487       $must_inline_1 = $must_inline_027;
   69488       label = 11;
   69489       break;
   69490     } else {
   69491       label = 10;
   69492       break;
   69493     }
   69494    case 10:
   69495     $call19 = _strstr($arraydecay | 0, 139264) | 0;
   69496     $tobool20 = ($call19 | 0) == 0;
   69497     $must_inline_0_ = $tobool20 ? $must_inline_027 : 1;
   69498     $must_inline_1 = $must_inline_0_;
   69499     label = 11;
   69500     break;
   69501    case 11:
   69502     $tobool23 = ($_saw_bb_0 | 0) == 0;
   69503     if ($tobool23) {
   69504       $must_inline_0_be = $must_inline_1;
   69505       $saw_bb_0_be = 0;
   69506       label = 12;
   69507       break;
   69508     } else {
   69509       label = 13;
   69510       break;
   69511     }
   69512    case 12:
   69513     $call10 = _fgets($arraydecay | 0, 1024, $call5 | 0) | 0;
   69514     $tobool11 = ($call10 | 0) == 0;
   69515     $extract_t32 = $must_inline_0_be & 255;
   69516     if ($tobool11) {
   69517       $saw_bb_2 = $saw_bb_0_be;
   69518       $must_inline_1_sink_off0 = $extract_t32;
   69519       label = 14;
   69520       break;
   69521     } else {
   69522       $saw_bb_026 = $saw_bb_0_be;
   69523       $must_inline_027 = $must_inline_0_be;
   69524       label = 9;
   69525       break;
   69526     }
   69527    case 13:
   69528     $tobool25 = ($must_inline_1 | 0) == 0;
   69529     $extract_t33 = $must_inline_1 & 255;
   69530     if ($tobool25) {
   69531       $must_inline_0_be = 0;
   69532       $saw_bb_0_be = $_saw_bb_0;
   69533       label = 12;
   69534       break;
   69535     } else {
   69536       $saw_bb_2 = $_saw_bb_0;
   69537       $must_inline_1_sink_off0 = $extract_t33;
   69538       label = 14;
   69539       break;
   69540     }
   69541    case 14:
   69542     $tobool28 = ($saw_bb_2 | 0) == 0;
   69543     if ($tobool28) {
   69544       label = 16;
   69545       break;
   69546     } else {
   69547       label = 15;
   69548       break;
   69549     }
   69550    case 15:
   69551     $call30 = _gmalloc(64) | 0;
   69552     $7 = $call30;
   69553     $8 = HEAP32[$lx >> 2] | 0;
   69554     $x = $call30 + 32 | 0;
   69555     $9 = $x;
   69556     HEAP32[$9 >> 2] = $8;
   69557     $10 = HEAP32[$ly >> 2] | 0;
   69558     $y = $call30 + 36 | 0;
   69559     $11 = $y;
   69560     HEAP32[$11 >> 2] = $10;
   69561     $12 = HEAP32[$ux >> 2] | 0;
   69562     $13 = HEAP32[$lx >> 2] | 0;
   69563     $sub = $12 - $13 | 0;
   69564     $w = $call30 + 40 | 0;
   69565     $14 = $w;
   69566     HEAP32[$14 >> 2] = $sub;
   69567     $15 = HEAP32[$uy >> 2] | 0;
   69568     $16 = HEAP32[$ly >> 2] | 0;
   69569     $sub31 = $15 - $16 | 0;
   69570     HEAP32[$11 >> 2] = $sub31;
   69571     $name = $call30 + 8 | 0;
   69572     $17 = $name;
   69573     HEAP32[$17 >> 2] = $str;
   69574     $18 = HEAP32[41624] | 0;
   69575     $inc = $18 + 1 | 0;
   69576     HEAP32[41624] = $inc;
   69577     $macro_id = $call30 + 12 | 0;
   69578     $19 = $macro_id;
   69579     HEAP32[$19 >> 2] = $18;
   69580     $call33 = _fileno($call5 | 0) | 0;
   69581     $call34 = _fstat($call33 | 0, $statbuf | 0) | 0;
   69582     $st_size = $statbuf + 28 | 0;
   69583     $20 = HEAP32[$st_size >> 2] | 0;
   69584     $add = $20 + 1 | 0;
   69585     $call35 = _gmalloc($add) | 0;
   69586     $data = $call30 + 52 | 0;
   69587     $21 = $data;
   69588     HEAP32[$21 >> 2] = $call35;
   69589     $call36 = _fseek($call5 | 0, 0, 0) | 0;
   69590     $22 = HEAP32[$st_size >> 2] | 0;
   69591     $call38 = _fread($call35 | 0, $22 | 0, 1, $call5 | 0) | 0;
   69592     $23 = HEAP32[$st_size >> 2] | 0;
   69593     $arrayidx40 = $call35 + $23 | 0;
   69594     HEAP8[$arrayidx40] = 0;
   69595     $24 = HEAP32[41970] | 0;
   69596     $searchf41 = $24 | 0;
   69597     $25 = HEAP32[$searchf41 >> 2] | 0;
   69598     $call42 = FUNCTION_TABLE_iiii[$25 & 1023]($24, $call30, 1) | 0;
   69599     $26 = $call30 + 16 | 0;
   69600     HEAP8[$26] = $must_inline_1_sink_off0;
   69601     $us_0 = $7;
   69602     label = 17;
   69603     break;
   69604    case 16:
   69605     $call45 = _agerr(0, 136488, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $str, tempInt) | 0) | 0;
   69606     $us_0 = 0;
   69607     label = 17;
   69608     break;
   69609    case 17:
   69610     $call47 = _fclose($call5 | 0) | 0;
   69611     $retval_0 = $us_0;
   69612     label = 18;
   69613     break;
   69614    case 18:
   69615     STACKTOP = __stackBase__;
   69616     return $retval_0 | 0;
   69617   }
   69618   return 0;
   69619 }
   69620 function _epsf_free($n) {
   69621   $n = $n | 0;
   69622   var $shape_info = 0, $0 = 0, $tobool = 0, label = 0;
   69623   label = 2;
   69624   while (1) switch (label | 0) {
   69625    case 2:
   69626     $shape_info = $n + 28 | 0;
   69627     $0 = HEAP32[$shape_info >> 2] | 0;
   69628     $tobool = ($0 | 0) == 0;
   69629     if ($tobool) {
   69630       label = 4;
   69631       break;
   69632     } else {
   69633       label = 3;
   69634       break;
   69635     }
   69636    case 3:
   69637     _free($0);
   69638     label = 4;
   69639     break;
   69640    case 4:
   69641     return;
   69642   }
   69643 }
   69644 function _cat_libfile($job, $arglib, $stdlib) {
   69645   $job = $job | 0;
   69646   $arglib = $arglib | 0;
   69647   $stdlib = $stdlib | 0;
   69648   var $tobool = 0, $use_stdlib_031 = 0, $i_030 = 0, $arrayidx = 0, $0 = 0, $tobool2 = 0, $1 = 0, $cmp = 0, $_use_stdlib_0 = 0, $inc = 0, $tobool1 = 0, $2 = 0, $tobool1026 = 0, $3 = 0, $4 = 0, $s_027 = 0, $call = 0, $call12 = 0, $incdec_ptr = 0, $5 = 0, $tobool10 = 0, $6 = 0, $cmp2024 = 0, $7 = 0, $8 = 0, $i_125 = 0, $9 = 0, $cmp24 = 0, $call28 = 0, $tobool29 = 0, $call31 = 0, $call32 = 0, $tobool33 = 0, $call3521 = 0, $tobool3622 = 0, $call3523 = 0, $call37 = 0, $call35 = 0, $tobool36 = 0, $call38 = 0, $call39 = 0, $call41 = 0, $inc45 = 0, $arrayidx19 = 0, $10 = 0, $cmp20 = 0, label = 0, __stackBase__ = 0;
   69649   __stackBase__ = STACKTOP;
   69650   label = 2;
   69651   while (1) switch (label | 0) {
   69652    case 2:
   69653     $tobool = ($arglib | 0) != 0;
   69654     if ($tobool) {
   69655       $i_030 = 0;
   69656       $use_stdlib_031 = 1;
   69657       label = 3;
   69658       break;
   69659     } else {
   69660       label = 5;
   69661       break;
   69662     }
   69663    case 3:
   69664     $arrayidx = $arglib + ($i_030 << 2) | 0;
   69665     $0 = HEAP32[$arrayidx >> 2] | 0;
   69666     $tobool2 = ($0 | 0) == 0;
   69667     if ($tobool2) {
   69668       label = 5;
   69669       break;
   69670     } else {
   69671       label = 4;
   69672       break;
   69673     }
   69674    case 4:
   69675     $1 = HEAP8[$0] | 0;
   69676     $cmp = $1 << 24 >> 24 == 0;
   69677     $_use_stdlib_0 = $cmp ? 0 : $use_stdlib_031;
   69678     $inc = $i_030 + 1 | 0;
   69679     $tobool1 = $_use_stdlib_0 << 24 >> 24 == 0;
   69680     if ($tobool1) {
   69681       label = 8;
   69682       break;
   69683     } else {
   69684       $i_030 = $inc;
   69685       $use_stdlib_031 = $_use_stdlib_0;
   69686       label = 3;
   69687       break;
   69688     }
   69689    case 5:
   69690     $2 = HEAP32[$stdlib >> 2] | 0;
   69691     $tobool1026 = ($2 | 0) == 0;
   69692     if ($tobool1026) {
   69693       label = 8;
   69694       break;
   69695     } else {
   69696       label = 6;
   69697       break;
   69698     }
   69699    case 6:
   69700     $3 = $job;
   69701     $s_027 = $stdlib;
   69702     $4 = $2;
   69703     label = 7;
   69704     break;
   69705    case 7:
   69706     $call = _gvputs($3, $4) | 0;
   69707     $call12 = _gvputs($3, 127256) | 0;
   69708     $incdec_ptr = $s_027 + 4 | 0;
   69709     $5 = HEAP32[$incdec_ptr >> 2] | 0;
   69710     $tobool10 = ($5 | 0) == 0;
   69711     if ($tobool10) {
   69712       label = 8;
   69713       break;
   69714     } else {
   69715       $s_027 = $incdec_ptr;
   69716       $4 = $5;
   69717       label = 7;
   69718       break;
   69719     }
   69720    case 8:
   69721     if ($tobool) {
   69722       label = 9;
   69723       break;
   69724     } else {
   69725       label = 20;
   69726       break;
   69727     }
   69728    case 9:
   69729     $6 = HEAP32[$arglib >> 2] | 0;
   69730     $cmp2024 = ($6 | 0) == 0;
   69731     if ($cmp2024) {
   69732       label = 20;
   69733       break;
   69734     } else {
   69735       label = 10;
   69736       break;
   69737     }
   69738    case 10:
   69739     $7 = $job;
   69740     $i_125 = 0;
   69741     $8 = $6;
   69742     label = 11;
   69743     break;
   69744    case 11:
   69745     $9 = HEAP8[$8] | 0;
   69746     $cmp24 = $9 << 24 >> 24 == 0;
   69747     if ($cmp24) {
   69748       label = 19;
   69749       break;
   69750     } else {
   69751       label = 12;
   69752       break;
   69753     }
   69754    case 12:
   69755     $call28 = _safefile($8) | 0;
   69756     $tobool29 = ($call28 | 0) == 0;
   69757     if ($tobool29) {
   69758       label = 13;
   69759       break;
   69760     } else {
   69761       label = 14;
   69762       break;
   69763     }
   69764    case 13:
   69765     $call31 = _agerr(0, 117456, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $8, tempInt) | 0) | 0;
   69766     label = 19;
   69767     break;
   69768    case 14:
   69769     $call32 = _fopen($call28 | 0, 112224) | 0;
   69770     $tobool33 = ($call32 | 0) == 0;
   69771     if ($tobool33) {
   69772       label = 18;
   69773       break;
   69774     } else {
   69775       label = 15;
   69776       break;
   69777     }
   69778    case 15:
   69779     $call3521 = _Fgets($call32) | 0;
   69780     $tobool3622 = ($call3521 | 0) == 0;
   69781     if ($tobool3622) {
   69782       label = 17;
   69783       break;
   69784     } else {
   69785       $call3523 = $call3521;
   69786       label = 16;
   69787       break;
   69788     }
   69789    case 16:
   69790     $call37 = _gvputs($7, $call3523) | 0;
   69791     $call35 = _Fgets($call32) | 0;
   69792     $tobool36 = ($call35 | 0) == 0;
   69793     if ($tobool36) {
   69794       label = 17;
   69795       break;
   69796     } else {
   69797       $call3523 = $call35;
   69798       label = 16;
   69799       break;
   69800     }
   69801    case 17:
   69802     $call38 = _gvputs($7, 127256) | 0;
   69803     $call39 = _fclose($call32 | 0) | 0;
   69804     label = 19;
   69805     break;
   69806    case 18:
   69807     $call41 = _agerr(0, 107872, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $call28, tempInt) | 0) | 0;
   69808     label = 19;
   69809     break;
   69810    case 19:
   69811     $inc45 = $i_125 + 1 | 0;
   69812     $arrayidx19 = $arglib + ($inc45 << 2) | 0;
   69813     $10 = HEAP32[$arrayidx19 >> 2] | 0;
   69814     $cmp20 = ($10 | 0) == 0;
   69815     if ($cmp20) {
   69816       label = 20;
   69817       break;
   69818     } else {
   69819       $i_125 = $inc45;
   69820       $8 = $10;
   69821       label = 11;
   69822       break;
   69823     }
   69824    case 20:
   69825     STACKTOP = __stackBase__;
   69826     return;
   69827   }
   69828 }
   69829 function _epsf_emit_body($job, $us) {
   69830   $job = $job | 0;
   69831   $us = $us | 0;
   69832   var $data = 0, $0 = 0, $1 = 0, $2 = 0, $p_0 = 0, $3 = 0, $arrayidx2 = 0, $4 = 0, $cmp4 = 0, $arrayidx7 = 0, $call = 0, $tobool8 = 0, $call10 = 0, $tobool11 = 0, $call14 = 0, $tobool15 = 0, $call18 = 0, $tobool19 = 0, $p_1 = 0, $5 = 0, $incdec_ptr = 0, $add_ptr = 0, $6 = 0, $cmp36 = 0, $add_ptr39 = 0, $7 = 0, $tobool40 = 0, $incdec_ptr42 = 0, $p_1_incdec_ptr42 = 0, $p_2 = 0, $8 = 0, $conv46 = 0, $call59 = 0, $incdec_ptr60 = 0, $add_ptr66 = 0, $9 = 0, $cmp68 = 0, $add_ptr71 = 0, $10 = 0, $tobool73 = 0, $incdec_ptr75 = 0, $p_2_incdec_ptr75 = 0, $p_3 = 0, $call78 = 0, label = 0;
   69833   label = 2;
   69834   while (1) switch (label | 0) {
   69835    case 2:
   69836     $data = $us + 52 | 0;
   69837     $0 = HEAP32[$data >> 2] | 0;
   69838     $1 = $job;
   69839     $2 = $job;
   69840     $p_0 = $0;
   69841     label = 3;
   69842     break;
   69843    case 3:
   69844     $3 = HEAP8[$p_0] | 0;
   69845     if (($3 << 24 >> 24 | 0) == 37) {
   69846       label = 4;
   69847       break;
   69848     } else if (($3 << 24 >> 24 | 0) == 0) {
   69849       label = 20;
   69850       break;
   69851     } else {
   69852       $p_2 = $p_0;
   69853       label = 14;
   69854       break;
   69855     }
   69856    case 4:
   69857     $arrayidx2 = $p_0 + 1 | 0;
   69858     $4 = HEAP8[$arrayidx2] | 0;
   69859     $cmp4 = $4 << 24 >> 24 == 37;
   69860     if ($cmp4) {
   69861       label = 5;
   69862       break;
   69863     } else {
   69864       $p_2 = $p_0;
   69865       label = 14;
   69866       break;
   69867     }
   69868    case 5:
   69869     $arrayidx7 = $p_0 + 2 | 0;
   69870     $call = _strncasecmp($arrayidx7, 103984, 3) | 0;
   69871     $tobool8 = ($call | 0) == 0;
   69872     if ($tobool8) {
   69873       $p_1 = $p_0;
   69874       label = 9;
   69875       break;
   69876     } else {
   69877       label = 6;
   69878       break;
   69879     }
   69880    case 6:
   69881     $call10 = _strncasecmp($arrayidx7, 99792, 5) | 0;
   69882     $tobool11 = ($call10 | 0) == 0;
   69883     if ($tobool11) {
   69884       $p_1 = $p_0;
   69885       label = 9;
   69886       break;
   69887     } else {
   69888       label = 7;
   69889       break;
   69890     }
   69891    case 7:
   69892     $call14 = _strncasecmp($arrayidx7, 95696, 3) | 0;
   69893     $tobool15 = ($call14 | 0) == 0;
   69894     if ($tobool15) {
   69895       $p_1 = $p_0;
   69896       label = 9;
   69897       break;
   69898     } else {
   69899       label = 8;
   69900       break;
   69901     }
   69902    case 8:
   69903     $call18 = _strncasecmp($arrayidx7, 92288, 7) | 0;
   69904     $tobool19 = ($call18 | 0) == 0;
   69905     if ($tobool19) {
   69906       $p_1 = $p_0;
   69907       label = 9;
   69908       break;
   69909     } else {
   69910       $p_2 = $p_0;
   69911       label = 14;
   69912       break;
   69913     }
   69914    case 9:
   69915     $5 = HEAP8[$p_1] | 0;
   69916     if (($5 << 24 >> 24 | 0) == 13) {
   69917       label = 11;
   69918       break;
   69919     } else if (($5 << 24 >> 24 | 0) == 0 | ($5 << 24 >> 24 | 0) == 10) {
   69920       label = 13;
   69921       break;
   69922     } else {
   69923       label = 10;
   69924       break;
   69925     }
   69926    case 10:
   69927     $incdec_ptr = $p_1 + 1 | 0;
   69928     $p_1 = $incdec_ptr;
   69929     label = 9;
   69930     break;
   69931    case 11:
   69932     $add_ptr = $p_1 + 1 | 0;
   69933     $6 = HEAP8[$add_ptr] | 0;
   69934     $cmp36 = $6 << 24 >> 24 == 10;
   69935     if ($cmp36) {
   69936       label = 12;
   69937       break;
   69938     } else {
   69939       label = 13;
   69940       break;
   69941     }
   69942    case 12:
   69943     $add_ptr39 = $p_1 + 2 | 0;
   69944     $p_0 = $add_ptr39;
   69945     label = 3;
   69946     break;
   69947    case 13:
   69948     $7 = HEAP8[$p_1] | 0;
   69949     $tobool40 = $7 << 24 >> 24 == 0;
   69950     $incdec_ptr42 = $p_1 + 1 | 0;
   69951     $p_1_incdec_ptr42 = $tobool40 ? $p_1 : $incdec_ptr42;
   69952     $p_0 = $p_1_incdec_ptr42;
   69953     label = 3;
   69954     break;
   69955    case 14:
   69956     $8 = HEAP8[$p_2] | 0;
   69957     if (($8 << 24 >> 24 | 0) == 13) {
   69958       label = 16;
   69959       break;
   69960     } else if (($8 << 24 >> 24 | 0) == 0 | ($8 << 24 >> 24 | 0) == 10) {
   69961       label = 18;
   69962       break;
   69963     } else {
   69964       label = 15;
   69965       break;
   69966     }
   69967    case 15:
   69968     $conv46 = $8 << 24 >> 24;
   69969     $call59 = _gvputc($1, $conv46) | 0;
   69970     $incdec_ptr60 = $p_2 + 1 | 0;
   69971     $p_2 = $incdec_ptr60;
   69972     label = 14;
   69973     break;
   69974    case 16:
   69975     $add_ptr66 = $p_2 + 1 | 0;
   69976     $9 = HEAP8[$add_ptr66] | 0;
   69977     $cmp68 = $9 << 24 >> 24 == 10;
   69978     if ($cmp68) {
   69979       label = 17;
   69980       break;
   69981     } else {
   69982       label = 18;
   69983       break;
   69984     }
   69985    case 17:
   69986     $add_ptr71 = $p_2 + 2 | 0;
   69987     $p_3 = $add_ptr71;
   69988     label = 19;
   69989     break;
   69990    case 18:
   69991     $10 = HEAP8[$p_2] | 0;
   69992     $tobool73 = $10 << 24 >> 24 == 0;
   69993     $incdec_ptr75 = $p_2 + 1 | 0;
   69994     $p_2_incdec_ptr75 = $tobool73 ? $p_2 : $incdec_ptr75;
   69995     $p_3 = $p_2_incdec_ptr75;
   69996     label = 19;
   69997     break;
   69998    case 19:
   69999     $call78 = _gvputc($2, 10) | 0;
   70000     $p_0 = $p_3;
   70001     label = 3;
   70002     break;
   70003    case 20:
   70004     return;
   70005   }
   70006 }
   70007 function _epsf_define($job) {
   70008   $job = $job | 0;
   70009   var $0 = 0, $tobool = 0, $searchf = 0, $1 = 0, $call = 0, $tobool110 = 0, $2 = 0, $us_012_in = 0, $3 = 0, $4 = 0, $tobool2 = 0, $us_012 = 0, $macro_id = 0, $5 = 0, $6 = 0, $call5 = 0, $call6 = 0, $call7 = 0, $7 = 0, $searchf8 = 0, $8 = 0, $call9 = 0, $tobool1 = 0, label = 0, __stackBase__ = 0;
   70010   __stackBase__ = STACKTOP;
   70011   label = 2;
   70012   while (1) switch (label | 0) {
   70013    case 2:
   70014     $0 = HEAP32[41970] | 0;
   70015     $tobool = ($0 | 0) == 0;
   70016     if ($tobool) {
   70017       label = 8;
   70018       break;
   70019     } else {
   70020       label = 3;
   70021       break;
   70022     }
   70023    case 3:
   70024     $searchf = $0 | 0;
   70025     $1 = HEAP32[$searchf >> 2] | 0;
   70026     $call = FUNCTION_TABLE_iiii[$1 & 1023]($0, 0, 128) | 0;
   70027     $tobool110 = ($call | 0) == 0;
   70028     if ($tobool110) {
   70029       label = 8;
   70030       break;
   70031     } else {
   70032       label = 4;
   70033       break;
   70034     }
   70035    case 4:
   70036     $2 = $job;
   70037     $us_012_in = $call;
   70038     label = 5;
   70039     break;
   70040    case 5:
   70041     $3 = $us_012_in + 16 | 0;
   70042     $4 = HEAP8[$3] | 0;
   70043     $tobool2 = $4 << 24 >> 24 == 0;
   70044     if ($tobool2) {
   70045       label = 6;
   70046       break;
   70047     } else {
   70048       label = 7;
   70049       break;
   70050     }
   70051    case 6:
   70052     $us_012 = $us_012_in;
   70053     $macro_id = $us_012_in + 12 | 0;
   70054     $5 = $macro_id;
   70055     $6 = HEAP32[$5 >> 2] | 0;
   70056     _gvprintf($2, 162408, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $6, tempInt) | 0);
   70057     $call5 = _gvputs($2, 158856) | 0;
   70058     _epsf_emit_body($job, $us_012);
   70059     $call6 = _gvputs($2, 155336) | 0;
   70060     $call7 = _gvputs($2, 151944) | 0;
   70061     label = 7;
   70062     break;
   70063    case 7:
   70064     $7 = HEAP32[41970] | 0;
   70065     $searchf8 = $7 | 0;
   70066     $8 = HEAP32[$searchf8 >> 2] | 0;
   70067     $call9 = FUNCTION_TABLE_iiii[$8 & 1023]($7, $us_012_in, 8) | 0;
   70068     $tobool1 = ($call9 | 0) == 0;
   70069     if ($tobool1) {
   70070       label = 8;
   70071       break;
   70072     } else {
   70073       $us_012_in = $call9;
   70074       label = 5;
   70075       break;
   70076     }
   70077    case 8:
   70078     STACKTOP = __stackBase__;
   70079     return;
   70080   }
   70081 }
   70082 function _ps_string($ins, $latin) {
   70083   $ins = $ins | 0;
   70084   $latin = $latin | 0;
   70085   var $tobool = 0, $call = 0, $call1 = 0, $call3 = 0, $_b = 0, $call7 = 0, $base_0 = 0, $0 = 0, $cmp = 0, $1 = 0, $2 = 0, $cmp11 = 0, $call12 = 0, $3 = 0, $incdec_ptr = 0, $s_0 = 0, $4 = 0, $5 = 0, $6 = 0, $cmp24 = 0, $call27 = 0, $7 = 0, $incdec_ptr31 = 0, $8 = 0, $9 = 0, $cmp33 = 0, $call36 = 0, $incdec_ptr40 = 0, $10 = 0, $11 = 0, $incdec_ptr41 = 0, $12 = 0, $13 = 0, $cmp43 = 0, $call46 = 0, $14 = 0, $incdec_ptr50 = 0, $cmp51 = 0, $15 = 0, $16 = 0, $cmp55 = 0, $call58 = 0, $17 = 0, $18 = 0, label = 0, __stackBase__ = 0;
   70086   __stackBase__ = STACKTOP;
   70087   label = 2;
   70088   while (1) switch (label | 0) {
   70089    case 2:
   70090     $tobool = ($latin | 0) == 0;
   70091     if ($tobool) {
   70092       label = 4;
   70093       break;
   70094     } else {
   70095       label = 3;
   70096       break;
   70097     }
   70098    case 3:
   70099     $call = _utf8ToLatin1($ins) | 0;
   70100     $base_0 = $call;
   70101     label = 8;
   70102     break;
   70103    case 4:
   70104     $call1 = _charsetOf($ins) | 0;
   70105     if (($call1 | 0) == 1) {
   70106       label = 5;
   70107       break;
   70108     } else if (($call1 | 0) == 2) {
   70109       label = 6;
   70110       break;
   70111     } else {
   70112       $base_0 = $ins;
   70113       label = 8;
   70114       break;
   70115     }
   70116    case 5:
   70117     $call3 = _utf8ToLatin1($ins) | 0;
   70118     $base_0 = $call3;
   70119     label = 8;
   70120     break;
   70121    case 6:
   70122     $_b = HEAP8[5560] | 0;
   70123     if ($_b) {
   70124       $base_0 = $ins;
   70125       label = 8;
   70126       break;
   70127     } else {
   70128       label = 7;
   70129       break;
   70130     }
   70131    case 7:
   70132     $call7 = _agerr(0, 148592, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0) | 0;
   70133     HEAP8[5560] = 1;
   70134     $base_0 = $ins;
   70135     label = 8;
   70136     break;
   70137    case 8:
   70138     $0 = HEAP32[1386] | 0;
   70139     $cmp = ($0 | 0) == 0;
   70140     if ($cmp) {
   70141       label = 9;
   70142       break;
   70143     } else {
   70144       label = 10;
   70145       break;
   70146     }
   70147    case 9:
   70148     _agxbinit(5544, 0, 0);
   70149     label = 10;
   70150     break;
   70151    case 10:
   70152     $1 = HEAP32[1387] | 0;
   70153     $2 = HEAP32[1388] | 0;
   70154     $cmp11 = $1 >>> 0 < $2 >>> 0;
   70155     if ($cmp11) {
   70156       label = 12;
   70157       break;
   70158     } else {
   70159       label = 11;
   70160       break;
   70161     }
   70162    case 11:
   70163     $call12 = _agxbmore(5544, 1) | 0;
   70164     label = 12;
   70165     break;
   70166    case 12:
   70167     $3 = HEAP32[1387] | 0;
   70168     $incdec_ptr = $3 + 1 | 0;
   70169     HEAP32[1387] = $incdec_ptr;
   70170     HEAP8[$3] = 40;
   70171     $s_0 = $base_0;
   70172     label = 13;
   70173     break;
   70174    case 13:
   70175     $4 = HEAP8[$s_0] | 0;
   70176     if (($4 << 24 >> 24 | 0) == 40 | ($4 << 24 >> 24 | 0) == 41 | ($4 << 24 >> 24 | 0) == 92) {
   70177       label = 14;
   70178       break;
   70179     } else if (($4 << 24 >> 24 | 0) == 0) {
   70180       label = 20;
   70181       break;
   70182     } else {
   70183       label = 17;
   70184       break;
   70185     }
   70186    case 14:
   70187     $5 = HEAP32[1387] | 0;
   70188     $6 = HEAP32[1388] | 0;
   70189     $cmp24 = $5 >>> 0 < $6 >>> 0;
   70190     if ($cmp24) {
   70191       label = 16;
   70192       break;
   70193     } else {
   70194       label = 15;
   70195       break;
   70196     }
   70197    case 15:
   70198     $call27 = _agxbmore(5544, 1) | 0;
   70199     label = 16;
   70200     break;
   70201    case 16:
   70202     $7 = HEAP32[1387] | 0;
   70203     $incdec_ptr31 = $7 + 1 | 0;
   70204     HEAP32[1387] = $incdec_ptr31;
   70205     HEAP8[$7] = 92;
   70206     label = 17;
   70207     break;
   70208    case 17:
   70209     $8 = HEAP32[1387] | 0;
   70210     $9 = HEAP32[1388] | 0;
   70211     $cmp33 = $8 >>> 0 < $9 >>> 0;
   70212     if ($cmp33) {
   70213       label = 19;
   70214       break;
   70215     } else {
   70216       label = 18;
   70217       break;
   70218     }
   70219    case 18:
   70220     $call36 = _agxbmore(5544, 1) | 0;
   70221     label = 19;
   70222     break;
   70223    case 19:
   70224     $incdec_ptr40 = $s_0 + 1 | 0;
   70225     $10 = HEAP8[$s_0] | 0;
   70226     $11 = HEAP32[1387] | 0;
   70227     $incdec_ptr41 = $11 + 1 | 0;
   70228     HEAP32[1387] = $incdec_ptr41;
   70229     HEAP8[$11] = $10;
   70230     $s_0 = $incdec_ptr40;
   70231     label = 13;
   70232     break;
   70233    case 20:
   70234     $12 = HEAP32[1387] | 0;
   70235     $13 = HEAP32[1388] | 0;
   70236     $cmp43 = $12 >>> 0 < $13 >>> 0;
   70237     if ($cmp43) {
   70238       label = 22;
   70239       break;
   70240     } else {
   70241       label = 21;
   70242       break;
   70243     }
   70244    case 21:
   70245     $call46 = _agxbmore(5544, 1) | 0;
   70246     label = 22;
   70247     break;
   70248    case 22:
   70249     $14 = HEAP32[1387] | 0;
   70250     $incdec_ptr50 = $14 + 1 | 0;
   70251     HEAP32[1387] = $incdec_ptr50;
   70252     HEAP8[$14] = 41;
   70253     $cmp51 = ($base_0 | 0) == ($ins | 0);
   70254     if ($cmp51) {
   70255       label = 24;
   70256       break;
   70257     } else {
   70258       label = 23;
   70259       break;
   70260     }
   70261    case 23:
   70262     _free($base_0);
   70263     label = 24;
   70264     break;
   70265    case 24:
   70266     $15 = HEAP32[1387] | 0;
   70267     $16 = HEAP32[1388] | 0;
   70268     $cmp55 = $15 >>> 0 < $16 >>> 0;
   70269     if ($cmp55) {
   70270       label = 26;
   70271       break;
   70272     } else {
   70273       label = 25;
   70274       break;
   70275     }
   70276    case 25:
   70277     $call58 = _agxbmore(5544, 1) | 0;
   70278     label = 26;
   70279     break;
   70280    case 26:
   70281     $17 = HEAP32[1387] | 0;
   70282     HEAP8[$17] = 0;
   70283     $18 = HEAP32[1386] | 0;
   70284     HEAP32[1387] = $18;
   70285     STACKTOP = __stackBase__;
   70286     return $18 | 0;
   70287   }
   70288   return 0;
   70289 }
   70290 function _ps_image_free($dict, $p, $disc) {
   70291   $dict = $dict | 0;
   70292   $p = $p | 0;
   70293   $disc = $disc | 0;
   70294   _free(HEAP32[$p + 52 >> 2] | 0);
   70295   return;
   70296 }
   70297 function _graph_init($g, $use_rankdir) {
   70298   $g = $g | 0;
   70299   $use_rankdir = $use_rankdir | 0;
   70300   var $xf = 0, $call = 0, $0 = 0, $drawing = 0, $1 = 0, $call1 = 0, $tobool = 0, $call2 = 0, $tobool3 = 0, $p_0 = 0, $2 = 0, $call4 = 0, $add5 = 0, $call6 = 0, $3 = 0, $call8 = 0, $4 = 0, $call9 = 0, $call10 = 0, $conv = 0, $charset = 0, $root = 0, $5 = 0, $6 = 0, $call12 = 0, $call13 = 0.0, $7 = 0, $quantum = 0, $call16 = 0, $tobool17 = 0, $8 = 0, $cmp = 0, $call22 = 0, $tobool23 = 0, $_pr = 0, $9 = 0, $cmp27 = 0, $call30 = 0, $tobool31 = 0, $10 = 0, $cmp36 = 0, $call39 = 0, $tobool40 = 0, $_ = 0, $rankdir_0 = 0, $tobool46 = 0, $shl = 0, $or = 0, $rankdir49 = 0, $rankdir53 = 0, $11 = 0, $12 = 0, $call56 = 0, $call57 = 0.0, $mul = 0.0, $cmp58 = 0, $add61 = 0.0, $sub = 0.0, $add61_sink = 0.0, $conv62 = 0, $nodesep = 0, $13 = 0, $14 = 0, $call67 = 0, $call68 = 0, $tobool69 = 0, $call71 = 0, $cmp72 = 0, $15 = 0.0, $cmp76 = 0, $call81 = 0, $tobool82 = 0, $exact_ranksep = 0, $16 = 0.0, $mul88 = 0.0, $cmp89 = 0, $add93 = 0.0, $sub97 = 0.0, $add93_sink = 0.0, $conv94 = 0, $ranksep = 0, $17 = 0, $18 = 0, $call103 = 0, $call104 = 0, $conv105 = 0, $showboxes = 0, $19 = 0, $20 = 0, $call108 = 0, $call109 = 0, $call110 = 0, $fontnames = 0, $21 = 0, $size = 0, $call114 = 0, $22 = 0, $filled = 0, $23 = 0, $page = 0, $call119 = 0, $call120 = 0, $call121 = 0, $24 = 0, $centered = 0, $call124 = 0, $tobool125 = 0, $call127 = 0, $cmp128 = 0, $conv130 = 0, $25 = 0, $landscape = 0, $call134 = 0, $tobool135 = 0, $26 = 0, $cmp138 = 0, $cmp142 = 0, $phitmp = 0, $27 = 0, $28 = 0, $landscape147 = 0, $call149 = 0, $tobool150 = 0, $call152 = 0, $29 = 0, $landscape155 = 0, $call159 = 0, $call160 = 0, $call161 = 0, $call162 = 0, $30 = 0, $dpi = 0, $call165 = 0, $tobool166 = 0, $31 = 0, $tobool170 = 0, $call172 = 0, $tobool173 = 0, $32 = 0, $tobool177 = 0, $p_1 = 0, $call179 = 0.0, $33 = 0, $dpi182 = 0, $34 = 0, $35 = 0, $call185 = 0, $proto = 0, $36 = 0, $n = 0, $37 = 0, $38 = 0, $call186 = 0, $39 = 0, $n188 = 0, $40 = 0, $41 = 0, $call189 = 0, $42 = 0, $n191 = 0, $43 = 0, $44 = 0, $call192 = 0, $45 = 0, $n194 = 0, $46 = 0, $47 = 0, $call195 = 0, $48 = 0, $n197 = 0, $49 = 0, $50 = 0, $call198 = 0, $51 = 0, $n200 = 0, $52 = 0, $53 = 0, $call201 = 0, $54 = 0, $n203 = 0, $55 = 0, $56 = 0, $call204 = 0, $57 = 0, $n206 = 0, $58 = 0, $59 = 0, $call207 = 0, $60 = 0, $n209 = 0, $61 = 0, $62 = 0, $call210 = 0, $63 = 0, $n212 = 0, $64 = 0, $65 = 0, $call213 = 0, $66 = 0, $n215 = 0, $67 = 0, $68 = 0, $call216 = 0, $69 = 0, $n218 = 0, $70 = 0, $71 = 0, $call219 = 0, $72 = 0, $n221 = 0, $73 = 0, $74 = 0, $call222 = 0, $75 = 0, $n224 = 0, $76 = 0, $77 = 0, $call225 = 0, $78 = 0, $n227 = 0, $79 = 0, $80 = 0, $call228 = 0, $81 = 0, $n230 = 0, $82 = 0, $83 = 0, $call231 = 0, $84 = 0, $n233 = 0, $85 = 0, $86 = 0, $call234 = 0, $87 = 0, $n236 = 0, $88 = 0, $89 = 0, $call237 = 0, $90 = 0, $n239 = 0, $91 = 0, $92 = 0, $call240 = 0, $93 = 0, $n242 = 0, $94 = 0, $95 = 0, $call243 = 0, $96 = 0, $n245 = 0, $97 = 0, $98 = 0, $call246 = 0, $99 = 0, $n248 = 0, $100 = 0, $101 = 0, $call249 = 0, $102 = 0, $n251 = 0, $103 = 0, $104 = 0, $call252 = 0, $105 = 0, $n254 = 0, $106 = 0, $107 = 0, $call255 = 0, $108 = 0, $n257 = 0, $109 = 0, $110 = 0, $call258 = 0, $111 = 0, $n260 = 0, $112 = 0, $113 = 0, $call261 = 0, $114 = 0, $n263 = 0, $115 = 0, $116 = 0, $call264 = 0, $117 = 0, $e = 0, $118 = 0, $119 = 0, $call266 = 0, $120 = 0, $e268 = 0, $121 = 0, $122 = 0, $call269 = 0, $123 = 0, $e271 = 0, $124 = 0, $125 = 0, $call272 = 0, $126 = 0, $e274 = 0, $127 = 0, $128 = 0, $call275 = 0, $129 = 0, $e277 = 0, $130 = 0, $131 = 0, $call278 = 0, $132 = 0, $e280 = 0, $133 = 0, $134 = 0, $call281 = 0, $135 = 0, $e283 = 0, $136 = 0, $137 = 0, $call284 = 0, $138 = 0, $e286 = 0, $139 = 0, $140 = 0, $call287 = 0, $141 = 0, $e289 = 0, $142 = 0, $143 = 0, $call290 = 0, $144 = 0, $e292 = 0, $145 = 0, $146 = 0, $call293 = 0, $147 = 0, $e295 = 0, $148 = 0, $149 = 0, $call296 = 0, $150 = 0, $e298 = 0, $151 = 0, $152 = 0, $call299 = 0, $153 = 0, $e301 = 0, $154 = 0, $155 = 0, $call302 = 0, $156 = 0, $e304 = 0, $157 = 0, $158 = 0, $call305 = 0, $159 = 0, $e307 = 0, $160 = 0, $161 = 0, $call308 = 0, $162 = 0, $e310 = 0, $163 = 0, $164 = 0, $call311 = 0, $165 = 0, $e313 = 0, $166 = 0, $167 = 0, $call314 = 0, $168 = 0, $e316 = 0, $169 = 0, $170 = 0, $call317 = 0, $171 = 0, $e319 = 0, $172 = 0, $173 = 0, $call320 = 0, $174 = 0, $e322 = 0, $175 = 0, $176 = 0, $call323 = 0, $177 = 0, $e325 = 0, $178 = 0, $179 = 0, $call326 = 0, $180 = 0, $e328 = 0, $181 = 0, $182 = 0, $call329 = 0, $183 = 0, $e331 = 0, $184 = 0, $185 = 0, $call332 = 0, $186 = 0, $e334 = 0, $187 = 0, $188 = 0, $call335 = 0, $189 = 0, $e337 = 0, $190 = 0, $191 = 0, $call338 = 0, $192 = 0, $e340 = 0, $193 = 0, $194 = 0, $call341 = 0, $195 = 0, $e343 = 0, $196 = 0, $197 = 0, $call344 = 0, $198 = 0, $e346 = 0, $199 = 0, $200 = 0, $call347 = 0, $201 = 0, $e349 = 0, $202 = 0, $203 = 0, $call350 = 0, $call351 = 0, $204 = 0, $xdots = 0, $call354 = 0, $tobool355 = 0, $205 = 0, $tobool358 = 0, $call360 = 0, $206 = 0, $id = 0, label = 0, __stackBase__ = 0;
   70301   __stackBase__ = STACKTOP;
   70302   STACKTOP = STACKTOP + 8 | 0;
   70303   label = 2;
   70304   while (1) switch (label | 0) {
   70305    case 2:
   70306     $xf = __stackBase__ | 0;
   70307     $call = _zmalloc(96) | 0;
   70308     $0 = $call;
   70309     $drawing = $g + 48 | 0;
   70310     HEAP32[$drawing >> 2] = $0;
   70311     $1 = $g | 0;
   70312     $call1 = _agget($1, 115496) | 0;
   70313     $tobool = ($call1 | 0) == 0;
   70314     if ($tobool) {
   70315       label = 3;
   70316       break;
   70317     } else {
   70318       $p_0 = $call1;
   70319       label = 4;
   70320       break;
   70321     }
   70322    case 3:
   70323     $call2 = _getenv(114976) | 0;
   70324     $tobool3 = ($call2 | 0) == 0;
   70325     if ($tobool3) {
   70326       label = 5;
   70327       break;
   70328     } else {
   70329       $p_0 = $call2;
   70330       label = 4;
   70331       break;
   70332     }
   70333    case 4:
   70334     $2 = HEAP32[4064] | 0;
   70335     $call4 = _strlen($p_0 | 0) | 0;
   70336     $add5 = $call4 + 12 | 0;
   70337     $call6 = _grealloc($2, $add5) | 0;
   70338     HEAP32[4064] = $call6;
   70339     _memcpy($call6 | 0, 114464, 12);
   70340     $3 = HEAP32[4064] | 0;
   70341     $call8 = _strcat($3 | 0, $p_0 | 0) | 0;
   70342     $4 = HEAP32[4064] | 0;
   70343     $call9 = _putenv($4 | 0) | 0;
   70344     label = 5;
   70345     break;
   70346    case 5:
   70347     $call10 = _findCharset($g) | 0;
   70348     $conv = $call10 & 255;
   70349     $charset = $g + 155 | 0;
   70350     HEAP8[$charset] = $conv;
   70351     $root = $g + 32 | 0;
   70352     $5 = HEAP32[$root >> 2] | 0;
   70353     $6 = $5 | 0;
   70354     $call12 = _agfindattr($6, 113904) | 0;
   70355     $call13 = +_late_double($1, $call12, 0.0, 0.0);
   70356     $7 = HEAP32[$drawing >> 2] | 0;
   70357     $quantum = $7 | 0;
   70358     HEAPF64[$quantum >> 3] = $call13;
   70359     $call16 = _agget($1, 113448) | 0;
   70360     $tobool17 = ($call16 | 0) == 0;
   70361     if ($tobool17) {
   70362       $rankdir_0 = 0;
   70363       label = 13;
   70364       break;
   70365     } else {
   70366       label = 6;
   70367       break;
   70368     }
   70369    case 6:
   70370     $8 = HEAP8[$call16] | 0;
   70371     $cmp = $8 << 24 >> 24 == 76;
   70372     if ($cmp) {
   70373       label = 7;
   70374       break;
   70375     } else {
   70376       $9 = $8;
   70377       label = 9;
   70378       break;
   70379     }
   70380    case 7:
   70381     $call22 = _strcmp($call16 | 0, 112920) | 0;
   70382     $tobool23 = ($call22 | 0) == 0;
   70383     if ($tobool23) {
   70384       $rankdir_0 = 1;
   70385       label = 13;
   70386       break;
   70387     } else {
   70388       label = 8;
   70389       break;
   70390     }
   70391    case 8:
   70392     $_pr = HEAP8[$call16] | 0;
   70393     $9 = $_pr;
   70394     label = 9;
   70395     break;
   70396    case 9:
   70397     $cmp27 = $9 << 24 >> 24 == 66;
   70398     if ($cmp27) {
   70399       label = 10;
   70400       break;
   70401     } else {
   70402       label = 11;
   70403       break;
   70404     }
   70405    case 10:
   70406     $call30 = _strcmp($call16 | 0, 112416) | 0;
   70407     $tobool31 = ($call30 | 0) == 0;
   70408     if ($tobool31) {
   70409       $rankdir_0 = 2;
   70410       label = 13;
   70411       break;
   70412     } else {
   70413       label = 11;
   70414       break;
   70415     }
   70416    case 11:
   70417     $10 = HEAP8[$call16] | 0;
   70418     $cmp36 = $10 << 24 >> 24 == 82;
   70419     if ($cmp36) {
   70420       label = 12;
   70421       break;
   70422     } else {
   70423       $rankdir_0 = 0;
   70424       label = 13;
   70425       break;
   70426     }
   70427    case 12:
   70428     $call39 = _strcmp($call16 | 0, 111880) | 0;
   70429     $tobool40 = ($call39 | 0) == 0;
   70430     $_ = $tobool40 ? 3 : 0;
   70431     $rankdir_0 = $_;
   70432     label = 13;
   70433     break;
   70434    case 13:
   70435     $tobool46 = $use_rankdir << 24 >> 24 == 0;
   70436     $shl = $rankdir_0 << 2;
   70437     if ($tobool46) {
   70438       label = 15;
   70439       break;
   70440     } else {
   70441       label = 14;
   70442       break;
   70443     }
   70444    case 14:
   70445     $or = $shl | $rankdir_0;
   70446     $rankdir49 = $g + 156 | 0;
   70447     HEAP32[$rankdir49 >> 2] = $or;
   70448     label = 16;
   70449     break;
   70450    case 15:
   70451     $rankdir53 = $g + 156 | 0;
   70452     HEAP32[$rankdir53 >> 2] = $shl;
   70453     label = 16;
   70454     break;
   70455    case 16:
   70456     $11 = HEAP32[$root >> 2] | 0;
   70457     $12 = $11 | 0;
   70458     $call56 = _agfindattr($12, 111408) | 0;
   70459     $call57 = +_late_double($1, $call56, .25, .02);
   70460     HEAPF64[$xf >> 3] = $call57;
   70461     $mul = $call57 * 72.0;
   70462     $cmp58 = $mul < 0.0;
   70463     if ($cmp58) {
   70464       label = 18;
   70465       break;
   70466     } else {
   70467       label = 17;
   70468       break;
   70469     }
   70470    case 17:
   70471     $add61 = $mul + .5;
   70472     $add61_sink = $add61;
   70473     label = 19;
   70474     break;
   70475    case 18:
   70476     $sub = $mul + -.5;
   70477     $add61_sink = $sub;
   70478     label = 19;
   70479     break;
   70480    case 19:
   70481     $conv62 = ~~$add61_sink;
   70482     $nodesep = $g + 260 | 0;
   70483     HEAP32[$nodesep >> 2] = $conv62;
   70484     $13 = HEAP32[$root >> 2] | 0;
   70485     $14 = $13 | 0;
   70486     $call67 = _agfindattr($14, 110976) | 0;
   70487     $call68 = _late_string($1, $call67, 0) | 0;
   70488     $tobool69 = ($call68 | 0) == 0;
   70489     if ($tobool69) {
   70490       label = 26;
   70491       break;
   70492     } else {
   70493       label = 20;
   70494       break;
   70495     }
   70496    case 20:
   70497     $call71 = _sscanf($call68 | 0, 121264, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $xf, tempInt) | 0) | 0;
   70498     $cmp72 = ($call71 | 0) == 0;
   70499     if ($cmp72) {
   70500       label = 21;
   70501       break;
   70502     } else {
   70503       label = 22;
   70504       break;
   70505     }
   70506    case 21:
   70507     HEAPF64[$xf >> 3] = .5;
   70508     label = 24;
   70509     break;
   70510    case 22:
   70511     $15 = +HEAPF64[$xf >> 3];
   70512     $cmp76 = $15 < .02;
   70513     if ($cmp76) {
   70514       label = 23;
   70515       break;
   70516     } else {
   70517       label = 24;
   70518       break;
   70519     }
   70520    case 23:
   70521     HEAPF64[$xf >> 3] = .02;
   70522     label = 24;
   70523     break;
   70524    case 24:
   70525     $call81 = _strstr($call68 | 0, 110600) | 0;
   70526     $tobool82 = ($call81 | 0) == 0;
   70527     if ($tobool82) {
   70528       label = 27;
   70529       break;
   70530     } else {
   70531       label = 25;
   70532       break;
   70533     }
   70534    case 25:
   70535     $exact_ranksep = $g + 288 | 0;
   70536     HEAP8[$exact_ranksep] = 1;
   70537     label = 27;
   70538     break;
   70539    case 26:
   70540     HEAPF64[$xf >> 3] = .5;
   70541     label = 27;
   70542     break;
   70543    case 27:
   70544     $16 = +HEAPF64[$xf >> 3];
   70545     $mul88 = $16 * 72.0;
   70546     $cmp89 = $mul88 < 0.0;
   70547     if ($cmp89) {
   70548       label = 29;
   70549       break;
   70550     } else {
   70551       label = 28;
   70552       break;
   70553     }
   70554    case 28:
   70555     $add93 = $mul88 + .5;
   70556     $add93_sink = $add93;
   70557     label = 30;
   70558     break;
   70559    case 29:
   70560     $sub97 = $mul88 + -.5;
   70561     $add93_sink = $sub97;
   70562     label = 30;
   70563     break;
   70564    case 30:
   70565     $conv94 = ~~$add93_sink;
   70566     $ranksep = $g + 264 | 0;
   70567     HEAP32[$ranksep >> 2] = $conv94;
   70568     $17 = HEAP32[$root >> 2] | 0;
   70569     $18 = $17 | 0;
   70570     $call103 = _agfindattr($18, 110168) | 0;
   70571     $call104 = _late_int($1, $call103, 0, 0) | 0;
   70572     $conv105 = $call104 & 255;
   70573     $showboxes = $g + 253 | 0;
   70574     HEAP8[$showboxes] = $conv105;
   70575     $19 = HEAP32[$root >> 2] | 0;
   70576     $20 = $19 | 0;
   70577     $call108 = _agfindattr($20, 109760) | 0;
   70578     $call109 = _late_string($1, $call108, 0) | 0;
   70579     $call110 = _maptoken($call109, 16224, 16240) | 0;
   70580     $fontnames = $g + 256 | 0;
   70581     HEAP32[$fontnames >> 2] = $call110;
   70582     _setRatio($g);
   70583     $21 = HEAP32[$drawing >> 2] | 0;
   70584     $size = $21 + 64 | 0;
   70585     $call114 = _getdoubles2ptf($g, 109432, $size) | 0;
   70586     $22 = HEAP32[$drawing >> 2] | 0;
   70587     $filled = $22 + 80 | 0;
   70588     HEAP8[$filled] = $call114;
   70589     $23 = HEAP32[$drawing >> 2] | 0;
   70590     $page = $23 + 48 | 0;
   70591     $call119 = _getdoubles2ptf($g, 109072, $page) | 0;
   70592     $call120 = _agget($1, 108448) | 0;
   70593     $call121 = _mapbool($call120) | 0;
   70594     $24 = HEAP32[$drawing >> 2] | 0;
   70595     $centered = $24 + 82 | 0;
   70596     HEAP8[$centered] = $call121;
   70597     $call124 = _agget($1, 108024) | 0;
   70598     $tobool125 = ($call124 | 0) == 0;
   70599     if ($tobool125) {
   70600       label = 32;
   70601       break;
   70602     } else {
   70603       label = 31;
   70604       break;
   70605     }
   70606    case 31:
   70607     $call127 = _atoi($call124 | 0) | 0;
   70608     $cmp128 = ($call127 | 0) == 90;
   70609     $conv130 = $cmp128 & 1;
   70610     $25 = HEAP32[$drawing >> 2] | 0;
   70611     $landscape = $25 + 81 | 0;
   70612     HEAP8[$landscape] = $conv130;
   70613     label = 38;
   70614     break;
   70615    case 32:
   70616     $call134 = _agget($1, 107520) | 0;
   70617     $tobool135 = ($call134 | 0) == 0;
   70618     if ($tobool135) {
   70619       label = 36;
   70620       break;
   70621     } else {
   70622       label = 33;
   70623       break;
   70624     }
   70625    case 33:
   70626     $26 = HEAP8[$call134] | 0;
   70627     $cmp138 = $26 << 24 >> 24 == 108;
   70628     if ($cmp138) {
   70629       $27 = 1;
   70630       label = 35;
   70631       break;
   70632     } else {
   70633       label = 34;
   70634       break;
   70635     }
   70636    case 34:
   70637     $cmp142 = $26 << 24 >> 24 == 76;
   70638     $phitmp = $cmp142 & 1;
   70639     $27 = $phitmp;
   70640     label = 35;
   70641     break;
   70642    case 35:
   70643     $28 = HEAP32[$drawing >> 2] | 0;
   70644     $landscape147 = $28 + 81 | 0;
   70645     HEAP8[$landscape147] = $27;
   70646     label = 38;
   70647     break;
   70648    case 36:
   70649     $call149 = _agget($1, 107128) | 0;
   70650     $tobool150 = ($call149 | 0) == 0;
   70651     if ($tobool150) {
   70652       label = 38;
   70653       break;
   70654     } else {
   70655       label = 37;
   70656       break;
   70657     }
   70658    case 37:
   70659     $call152 = _mapbool($call149) | 0;
   70660     $29 = HEAP32[$drawing >> 2] | 0;
   70661     $landscape155 = $29 + 81 | 0;
   70662     HEAP8[$landscape155] = $call152;
   70663     label = 38;
   70664     break;
   70665    case 38:
   70666     $call159 = _agget($1, 106784) | 0;
   70667     $call160 = _maptoken($call159, 16192, 16208) | 0;
   70668     HEAP32[42032] = $call160;
   70669     $call161 = _agget($1, 106384) | 0;
   70670     $call162 = _mapbool($call161) | 0;
   70671     HEAP8[167952] = $call162;
   70672     HEAP32[41356] = 0;
   70673     $30 = HEAP32[$drawing >> 2] | 0;
   70674     $dpi = $30 + 24 | 0;
   70675     HEAPF64[$dpi >> 3] = 0.0;
   70676     $call165 = _agget($1, 106016) | 0;
   70677     $tobool166 = ($call165 | 0) == 0;
   70678     if ($tobool166) {
   70679       label = 40;
   70680       break;
   70681     } else {
   70682       label = 39;
   70683       break;
   70684     }
   70685    case 39:
   70686     $31 = HEAP8[$call165] | 0;
   70687     $tobool170 = $31 << 24 >> 24 == 0;
   70688     if ($tobool170) {
   70689       label = 40;
   70690       break;
   70691     } else {
   70692       $p_1 = $call165;
   70693       label = 42;
   70694       break;
   70695     }
   70696    case 40:
   70697     $call172 = _agget($1, 105656) | 0;
   70698     $tobool173 = ($call172 | 0) == 0;
   70699     if ($tobool173) {
   70700       label = 43;
   70701       break;
   70702     } else {
   70703       label = 41;
   70704       break;
   70705     }
   70706    case 41:
   70707     $32 = HEAP8[$call172] | 0;
   70708     $tobool177 = $32 << 24 >> 24 == 0;
   70709     if ($tobool177) {
   70710       label = 43;
   70711       break;
   70712     } else {
   70713       $p_1 = $call172;
   70714       label = 42;
   70715       break;
   70716     }
   70717    case 42:
   70718     $call179 = +_atof($p_1);
   70719     $33 = HEAP32[$drawing >> 2] | 0;
   70720     $dpi182 = $33 + 24 | 0;
   70721     HEAPF64[$dpi182 >> 3] = $call179;
   70722     label = 43;
   70723     break;
   70724    case 43:
   70725     _do_graph_label($g);
   70726     HEAPF64[20871] = 1.0e+37;
   70727     $34 = HEAP32[$root >> 2] | 0;
   70728     $35 = $34 | 0;
   70729     $call185 = _agfindattr($35, 105304) | 0;
   70730     HEAP32[41866] = $call185;
   70731     $proto = $g + 40 | 0;
   70732     $36 = HEAP32[$proto >> 2] | 0;
   70733     $n = $36 | 0;
   70734     $37 = HEAP32[$n >> 2] | 0;
   70735     $38 = $37 | 0;
   70736     $call186 = _agfindattr($38, 104960) | 0;
   70737     HEAP32[41592] = $call186;
   70738     $39 = HEAP32[$proto >> 2] | 0;
   70739     $n188 = $39 | 0;
   70740     $40 = HEAP32[$n188 >> 2] | 0;
   70741     $41 = $40 | 0;
   70742     $call189 = _agfindattr($41, 104480) | 0;
   70743     HEAP32[41552] = $call189;
   70744     $42 = HEAP32[$proto >> 2] | 0;
   70745     $n191 = $42 | 0;
   70746     $43 = HEAP32[$n191 >> 2] | 0;
   70747     $44 = $43 | 0;
   70748     $call192 = _agfindattr($44, 104088) | 0;
   70749     HEAP32[41568] = $call192;
   70750     $45 = HEAP32[$proto >> 2] | 0;
   70751     $n194 = $45 | 0;
   70752     $46 = HEAP32[$n194 >> 2] | 0;
   70753     $47 = $46 | 0;
   70754     $call195 = _agfindattr($47, 103592) | 0;
   70755     HEAP32[41616] = $call195;
   70756     $48 = HEAP32[$proto >> 2] | 0;
   70757     $n197 = $48 | 0;
   70758     $49 = HEAP32[$n197 >> 2] | 0;
   70759     $50 = $49 | 0;
   70760     $call198 = _agfindattr($50, 103240) | 0;
   70761     HEAP32[41604] = $call198;
   70762     $51 = HEAP32[$proto >> 2] | 0;
   70763     $n200 = $51 | 0;
   70764     $52 = HEAP32[$n200 >> 2] | 0;
   70765     $53 = $52 | 0;
   70766     $call201 = _agfindattr($53, 102872) | 0;
   70767     HEAP32[41560] = $call201;
   70768     $54 = HEAP32[$proto >> 2] | 0;
   70769     $n203 = $54 | 0;
   70770     $55 = HEAP32[$n203 >> 2] | 0;
   70771     $56 = $55 | 0;
   70772     $call204 = _agfindattr($56, 102528) | 0;
   70773     HEAP32[41596] = $call204;
   70774     $57 = HEAP32[$proto >> 2] | 0;
   70775     $n206 = $57 | 0;
   70776     $58 = HEAP32[$n206 >> 2] | 0;
   70777     $59 = $58 | 0;
   70778     $call207 = _agfindattr($59, 102080) | 0;
   70779     HEAP32[41598] = $call207;
   70780     $60 = HEAP32[$proto >> 2] | 0;
   70781     $n209 = $60 | 0;
   70782     $61 = HEAP32[$n209 >> 2] | 0;
   70783     $62 = $61 | 0;
   70784     $call210 = _agfindattr($62, 101664) | 0;
   70785     HEAP32[41600] = $call210;
   70786     $63 = HEAP32[$proto >> 2] | 0;
   70787     $n212 = $63 | 0;
   70788     $64 = HEAP32[$n212 >> 2] | 0;
   70789     $65 = $64 | 0;
   70790     $call213 = _agfindattr($65, 123360) | 0;
   70791     HEAP32[41588] = $call213;
   70792     $66 = HEAP32[$proto >> 2] | 0;
   70793     $n215 = $66 | 0;
   70794     $67 = HEAP32[$n215 >> 2] | 0;
   70795     $68 = $67 | 0;
   70796     $call216 = _agfindattr($68, 101104) | 0;
   70797     HEAP32[41550] = $call216;
   70798     $69 = HEAP32[$proto >> 2] | 0;
   70799     $n218 = $69 | 0;
   70800     $70 = HEAP32[$n218 >> 2] | 0;
   70801     $71 = $70 | 0;
   70802     $call219 = _agfindattr($71, 110168) | 0;
   70803     HEAP32[41566] = $call219;
   70804     $72 = HEAP32[$proto >> 2] | 0;
   70805     $n221 = $72 | 0;
   70806     $73 = HEAP32[$n221 >> 2] | 0;
   70807     $74 = $73 | 0;
   70808     $call222 = _agfindattr($74, 100744) | 0;
   70809     HEAP32[41576] = $call222;
   70810     $75 = HEAP32[$proto >> 2] | 0;
   70811     $n224 = $75 | 0;
   70812     $76 = HEAP32[$n224 >> 2] | 0;
   70813     $77 = $76 | 0;
   70814     $call225 = _agfindattr($77, 105304) | 0;
   70815     HEAP32[41580] = $call225;
   70816     $78 = HEAP32[$proto >> 2] | 0;
   70817     $n227 = $78 | 0;
   70818     $79 = HEAP32[$n227 >> 2] | 0;
   70819     $80 = $79 | 0;
   70820     $call228 = _agfindattr($80, 100232) | 0;
   70821     HEAP32[41564] = $call228;
   70822     $81 = HEAP32[$proto >> 2] | 0;
   70823     $n230 = $81 | 0;
   70824     $82 = HEAP32[$n230 >> 2] | 0;
   70825     $83 = $82 | 0;
   70826     $call231 = _agfindattr($83, 99888) | 0;
   70827     HEAP32[41574] = $call231;
   70828     $84 = HEAP32[$proto >> 2] | 0;
   70829     $n233 = $84 | 0;
   70830     $85 = HEAP32[$n233 >> 2] | 0;
   70831     $86 = $85 | 0;
   70832     $call234 = _agfindattr($86, 99424) | 0;
   70833     HEAP32[41562] = $call234;
   70834     $87 = HEAP32[$proto >> 2] | 0;
   70835     $n236 = $87 | 0;
   70836     $88 = HEAP32[$n236 >> 2] | 0;
   70837     $89 = $88 | 0;
   70838     $call237 = _agfindattr($89, 107520) | 0;
   70839     HEAP32[41578] = $call237;
   70840     $90 = HEAP32[$proto >> 2] | 0;
   70841     $n239 = $90 | 0;
   70842     $91 = HEAP32[$n239 >> 2] | 0;
   70843     $92 = $91 | 0;
   70844     $call240 = _agfindattr($92, 99072) | 0;
   70845     HEAP32[41608] = $call240;
   70846     $93 = HEAP32[$proto >> 2] | 0;
   70847     $n242 = $93 | 0;
   70848     $94 = HEAP32[$n242 >> 2] | 0;
   70849     $95 = $94 | 0;
   70850     $call243 = _agfindattr($95, 98616) | 0;
   70851     HEAP32[41602] = $call243;
   70852     $96 = HEAP32[$proto >> 2] | 0;
   70853     $n245 = $96 | 0;
   70854     $97 = HEAP32[$n245 >> 2] | 0;
   70855     $98 = $97 | 0;
   70856     $call246 = _agfindattr($98, 98208) | 0;
   70857     HEAP32[41590] = $call246;
   70858     $99 = HEAP32[$proto >> 2] | 0;
   70859     $n248 = $99 | 0;
   70860     $100 = HEAP32[$n248 >> 2] | 0;
   70861     $101 = $100 | 0;
   70862     $call249 = _agfindattr($101, 97824) | 0;
   70863     HEAP32[41582] = $call249;
   70864     $102 = HEAP32[$proto >> 2] | 0;
   70865     $n251 = $102 | 0;
   70866     $103 = HEAP32[$n251 >> 2] | 0;
   70867     $104 = $103 | 0;
   70868     $call252 = _agfindattr($104, 97344) | 0;
   70869     HEAP32[41586] = $call252;
   70870     $105 = HEAP32[$proto >> 2] | 0;
   70871     $n254 = $105 | 0;
   70872     $106 = HEAP32[$n254 >> 2] | 0;
   70873     $107 = $106 | 0;
   70874     $call255 = _agfindattr($107, 97016) | 0;
   70875     HEAP32[41594] = $call255;
   70876     $108 = HEAP32[$proto >> 2] | 0;
   70877     $n257 = $108 | 0;
   70878     $109 = HEAP32[$n257 >> 2] | 0;
   70879     $110 = $109 | 0;
   70880     $call258 = _agfindattr($110, 96544) | 0;
   70881     HEAP32[41614] = $call258;
   70882     $111 = HEAP32[$proto >> 2] | 0;
   70883     $n260 = $111 | 0;
   70884     $112 = HEAP32[$n260 >> 2] | 0;
   70885     $113 = $112 | 0;
   70886     $call261 = _agfindattr($113, 96096) | 0;
   70887     HEAP32[41558] = $call261;
   70888     $114 = HEAP32[$proto >> 2] | 0;
   70889     $n263 = $114 | 0;
   70890     $115 = HEAP32[$n263 >> 2] | 0;
   70891     $116 = $115 | 0;
   70892     $call264 = _agfindattr($116, 95784) | 0;
   70893     HEAP32[41548] = $call264;
   70894     $117 = HEAP32[$proto >> 2] | 0;
   70895     $e = $117 + 4 | 0;
   70896     $118 = HEAP32[$e >> 2] | 0;
   70897     $119 = $118 | 0;
   70898     $call266 = _agfindattr($119, 95384) | 0;
   70899     HEAP32[41894] = $call266;
   70900     $120 = HEAP32[$proto >> 2] | 0;
   70901     $e268 = $120 + 4 | 0;
   70902     $121 = HEAP32[$e268 >> 2] | 0;
   70903     $122 = $121 | 0;
   70904     $call269 = _agfindattr($122, 103592) | 0;
   70905     HEAP32[41958] = $call269;
   70906     $123 = HEAP32[$proto >> 2] | 0;
   70907     $e271 = $123 + 4 | 0;
   70908     $124 = HEAP32[$e271 >> 2] | 0;
   70909     $125 = $124 | 0;
   70910     $call272 = _agfindattr($125, 102528) | 0;
   70911     HEAP32[41940] = $call272;
   70912     $126 = HEAP32[$proto >> 2] | 0;
   70913     $e274 = $126 + 4 | 0;
   70914     $127 = HEAP32[$e274 >> 2] | 0;
   70915     $128 = $127 | 0;
   70916     $call275 = _agfindattr($128, 102080) | 0;
   70917     HEAP32[41942] = $call275;
   70918     $129 = HEAP32[$proto >> 2] | 0;
   70919     $e277 = $129 + 4 | 0;
   70920     $130 = HEAP32[$e277 >> 2] | 0;
   70921     $131 = $130 | 0;
   70922     $call278 = _agfindattr($131, 101664) | 0;
   70923     HEAP32[41944] = $call278;
   70924     $132 = HEAP32[$proto >> 2] | 0;
   70925     $e280 = $132 + 4 | 0;
   70926     $133 = HEAP32[$e280 >> 2] | 0;
   70927     $134 = $133 | 0;
   70928     $call281 = _agfindattr($134, 123360) | 0;
   70929     HEAP32[41934] = $call281;
   70930     $135 = HEAP32[$proto >> 2] | 0;
   70931     $e283 = $135 + 4 | 0;
   70932     $136 = HEAP32[$e283 >> 2] | 0;
   70933     $137 = $136 | 0;
   70934     $call284 = _agfindattr($137, 101104) | 0;
   70935     HEAP32[41892] = $call284;
   70936     $138 = HEAP32[$proto >> 2] | 0;
   70937     $e286 = $138 + 4 | 0;
   70938     $139 = HEAP32[$e286 >> 2] | 0;
   70939     $140 = $139 | 0;
   70940     $call287 = _agfindattr($140, 95048) | 0;
   70941     HEAP32[41932] = $call287;
   70942     $141 = HEAP32[$proto >> 2] | 0;
   70943     $e289 = $141 + 4 | 0;
   70944     $142 = HEAP32[$e289 >> 2] | 0;
   70945     $143 = $142 | 0;
   70946     $call290 = _agfindattr($143, 94768) | 0;
   70947     HEAP32[41946] = $call290;
   70948     $144 = HEAP32[$proto >> 2] | 0;
   70949     $e292 = $144 + 4 | 0;
   70950     $145 = HEAP32[$e292 >> 2] | 0;
   70951     $146 = $145 | 0;
   70952     $call293 = _agfindattr($146, 94464) | 0;
   70953     HEAP32[41964] = $call293;
   70954     $147 = HEAP32[$proto >> 2] | 0;
   70955     $e295 = $147 + 4 | 0;
   70956     $148 = HEAP32[$e295 >> 2] | 0;
   70957     $149 = $148 | 0;
   70958     $call296 = _agfindattr($149, 94088) | 0;
   70959     HEAP32[41960] = $call296;
   70960     $150 = HEAP32[$proto >> 2] | 0;
   70961     $e298 = $150 + 4 | 0;
   70962     $151 = HEAP32[$e298 >> 2] | 0;
   70963     $152 = $151 | 0;
   70964     $call299 = _agfindattr($152, 93784) | 0;
   70965     HEAP32[41936] = $call299;
   70966     $153 = HEAP32[$proto >> 2] | 0;
   70967     $e301 = $153 + 4 | 0;
   70968     $154 = HEAP32[$e301 >> 2] | 0;
   70969     $155 = $154 | 0;
   70970     $call302 = _agfindattr($155, 93416) | 0;
   70971     HEAP32[41900] = $call302;
   70972     $156 = HEAP32[$proto >> 2] | 0;
   70973     $e304 = $156 + 4 | 0;
   70974     $157 = HEAP32[$e304 >> 2] | 0;
   70975     $158 = $157 | 0;
   70976     $call305 = _agfindattr($158, 93096) | 0;
   70977     HEAP32[41922] = $call305;
   70978     $159 = HEAP32[$proto >> 2] | 0;
   70979     $e307 = $159 + 4 | 0;
   70980     $160 = HEAP32[$e307 >> 2] | 0;
   70981     $161 = $160 | 0;
   70982     $call308 = _agfindattr($161, 92696) | 0;
   70983     HEAP32[41924] = $call308;
   70984     $162 = HEAP32[$proto >> 2] | 0;
   70985     $e310 = $162 + 4 | 0;
   70986     $163 = HEAP32[$e310 >> 2] | 0;
   70987     $164 = $163 | 0;
   70988     $call311 = _agfindattr($164, 92376) | 0;
   70989     HEAP32[41926] = $call311;
   70990     $165 = HEAP32[$proto >> 2] | 0;
   70991     $e313 = $165 + 4 | 0;
   70992     $166 = HEAP32[$e313 >> 2] | 0;
   70993     $167 = $166 | 0;
   70994     $call314 = _agfindattr($167, 91872) | 0;
   70995     HEAP32[41928] = $call314;
   70996     $168 = HEAP32[$proto >> 2] | 0;
   70997     $e316 = $168 + 4 | 0;
   70998     $169 = HEAP32[$e316 >> 2] | 0;
   70999     $170 = $169 | 0;
   71000     $call317 = _agfindattr($170, 91536) | 0;
   71001     HEAP32[41930] = $call317;
   71002     $171 = HEAP32[$proto >> 2] | 0;
   71003     $e319 = $171 + 4 | 0;
   71004     $172 = HEAP32[$e319 >> 2] | 0;
   71005     $173 = $172 | 0;
   71006     $call320 = _agfindattr($173, 91264) | 0;
   71007     HEAP32[41918] = $call320;
   71008     $174 = HEAP32[$proto >> 2] | 0;
   71009     $e322 = $174 + 4 | 0;
   71010     $175 = HEAP32[$e322 >> 2] | 0;
   71011     $176 = $175 | 0;
   71012     $call323 = _agfindattr($176, 110168) | 0;
   71013     HEAP32[41906] = $call323;
   71014     $177 = HEAP32[$proto >> 2] | 0;
   71015     $e325 = $177 + 4 | 0;
   71016     $178 = HEAP32[$e325 >> 2] | 0;
   71017     $179 = $178 | 0;
   71018     $call326 = _agfindattr($179, 102872) | 0;
   71019     HEAP32[41904] = $call326;
   71020     $180 = HEAP32[$proto >> 2] | 0;
   71021     $e328 = $180 + 4 | 0;
   71022     $181 = HEAP32[$e328 >> 2] | 0;
   71023     $182 = $181 | 0;
   71024     $call329 = _agfindattr($182, 90896) | 0;
   71025     HEAP32[41952] = $call329;
   71026     $183 = HEAP32[$proto >> 2] | 0;
   71027     $e331 = $183 + 4 | 0;
   71028     $184 = HEAP32[$e331 >> 2] | 0;
   71029     $185 = $184 | 0;
   71030     $call332 = _agfindattr($185, 90584) | 0;
   71031     HEAP32[41962] = $call332;
   71032     $186 = HEAP32[$proto >> 2] | 0;
   71033     $e334 = $186 + 4 | 0;
   71034     $187 = HEAP32[$e334 >> 2] | 0;
   71035     $188 = $187 | 0;
   71036     $call335 = _agfindattr($188, 90288) | 0;
   71037     HEAP32[41954] = $call335;
   71038     $189 = HEAP32[$proto >> 2] | 0;
   71039     $e337 = $189 + 4 | 0;
   71040     $190 = HEAP32[$e337 >> 2] | 0;
   71041     $191 = $190 | 0;
   71042     $call338 = _agfindattr($191, 97344) | 0;
   71043     HEAP32[41920] = $call338;
   71044     $192 = HEAP32[$proto >> 2] | 0;
   71045     $e340 = $192 + 4 | 0;
   71046     $193 = HEAP32[$e340 >> 2] | 0;
   71047     $194 = $193 | 0;
   71048     $call341 = _agfindattr($194, 96544) | 0;
   71049     HEAP32[41956] = $call341;
   71050     $195 = HEAP32[$proto >> 2] | 0;
   71051     $e343 = $195 + 4 | 0;
   71052     $196 = HEAP32[$e343 >> 2] | 0;
   71053     $197 = $196 | 0;
   71054     $call344 = _agfindattr($197, 89960) | 0;
   71055     HEAP32[41902] = $call344;
   71056     $198 = HEAP32[$proto >> 2] | 0;
   71057     $e346 = $198 + 4 | 0;
   71058     $199 = HEAP32[$e346 >> 2] | 0;
   71059     $200 = $199 | 0;
   71060     $call347 = _agfindattr($200, 163160) | 0;
   71061     HEAP32[41938] = $call347;
   71062     $201 = HEAP32[$proto >> 2] | 0;
   71063     $e349 = $201 + 4 | 0;
   71064     $202 = HEAP32[$e349 >> 2] | 0;
   71065     $203 = $202 | 0;
   71066     $call350 = _agfindattr($203, 100744) | 0;
   71067     HEAP32[41916] = $call350;
   71068     $call351 = _init_xdot($g) | 0;
   71069     $204 = HEAP32[$drawing >> 2] | 0;
   71070     $xdots = $204 + 88 | 0;
   71071     HEAP32[$xdots >> 2] = $call351;
   71072     $call354 = _agget($1, 162816) | 0;
   71073     $tobool355 = ($call354 | 0) == 0;
   71074     if ($tobool355) {
   71075       label = 46;
   71076       break;
   71077     } else {
   71078       label = 44;
   71079       break;
   71080     }
   71081    case 44:
   71082     $205 = HEAP8[$call354] | 0;
   71083     $tobool358 = $205 << 24 >> 24 == 0;
   71084     if ($tobool358) {
   71085       label = 46;
   71086       break;
   71087     } else {
   71088       label = 45;
   71089       break;
   71090     }
   71091    case 45:
   71092     $call360 = _strdup_and_subst_obj($call354, $1) | 0;
   71093     $206 = HEAP32[$drawing >> 2] | 0;
   71094     $id = $206 + 92 | 0;
   71095     HEAP32[$id >> 2] = $call360;
   71096     label = 46;
   71097     break;
   71098    case 46:
   71099     STACKTOP = __stackBase__;
   71100     return;
   71101   }
   71102 }
   71103 function _findCharset($g) {
   71104   $g = $g | 0;
   71105   var $0 = 0, $root = 0, $1 = 0, $2 = 0, $call = 0, $call1 = 0, $call2 = 0, $tobool = 0, $call3 = 0, $tobool4 = 0, $call6 = 0, $tobool7 = 0, $call9 = 0, $tobool10 = 0, $call12 = 0, $tobool13 = 0, $call15 = 0, $tobool16 = 0, $call18 = 0, $tobool19 = 0, $call20 = 0, $tobool21 = 0, $call23 = 0, $tobool24 = 0, $call27 = 0, $tobool28 = 0, $call30 = 0, $tobool31 = 0, $call34 = 0, $enc_0 = 0, label = 0, __stackBase__ = 0;
   71106   __stackBase__ = STACKTOP;
   71107   label = 2;
   71108   while (1) switch (label | 0) {
   71109    case 2:
   71110     $0 = $g | 0;
   71111     $root = $g + 32 | 0;
   71112     $1 = HEAP32[$root >> 2] | 0;
   71113     $2 = $1 | 0;
   71114     $call = _agfindattr($2, 157048) | 0;
   71115     $call1 = _late_nnstring($0, $call, 156776) | 0;
   71116     $call2 = _strcasecmp($call1, 156480) | 0;
   71117     $tobool = ($call2 | 0) == 0;
   71118     if ($tobool) {
   71119       $enc_0 = 1;
   71120       label = 14;
   71121       break;
   71122     } else {
   71123       label = 3;
   71124       break;
   71125     }
   71126    case 3:
   71127     $call3 = _strcasecmp($call1, 156144) | 0;
   71128     $tobool4 = ($call3 | 0) == 0;
   71129     if ($tobool4) {
   71130       $enc_0 = 1;
   71131       label = 14;
   71132       break;
   71133     } else {
   71134       label = 4;
   71135       break;
   71136     }
   71137    case 4:
   71138     $call6 = _strcasecmp($call1, 155752) | 0;
   71139     $tobool7 = ($call6 | 0) == 0;
   71140     if ($tobool7) {
   71141       $enc_0 = 1;
   71142       label = 14;
   71143       break;
   71144     } else {
   71145       label = 5;
   71146       break;
   71147     }
   71148    case 5:
   71149     $call9 = _strcasecmp($call1, 162056) | 0;
   71150     $tobool10 = ($call9 | 0) == 0;
   71151     if ($tobool10) {
   71152       $enc_0 = 1;
   71153       label = 14;
   71154       break;
   71155     } else {
   71156       label = 6;
   71157       break;
   71158     }
   71159    case 6:
   71160     $call12 = _strcasecmp($call1, 155456) | 0;
   71161     $tobool13 = ($call12 | 0) == 0;
   71162     if ($tobool13) {
   71163       $enc_0 = 1;
   71164       label = 14;
   71165       break;
   71166     } else {
   71167       label = 7;
   71168       break;
   71169     }
   71170    case 7:
   71171     $call15 = _strcasecmp($call1, 155088) | 0;
   71172     $tobool16 = ($call15 | 0) == 0;
   71173     if ($tobool16) {
   71174       $enc_0 = 1;
   71175       label = 14;
   71176       break;
   71177     } else {
   71178       label = 8;
   71179       break;
   71180     }
   71181    case 8:
   71182     $call18 = _strcasecmp($call1, 154792) | 0;
   71183     $tobool19 = ($call18 | 0) == 0;
   71184     if ($tobool19) {
   71185       $enc_0 = 1;
   71186       label = 14;
   71187       break;
   71188     } else {
   71189       label = 9;
   71190       break;
   71191     }
   71192    case 9:
   71193     $call20 = _strcasecmp($call1, 154416) | 0;
   71194     $tobool21 = ($call20 | 0) == 0;
   71195     if ($tobool21) {
   71196       $enc_0 = 2;
   71197       label = 14;
   71198       break;
   71199     } else {
   71200       label = 10;
   71201       break;
   71202     }
   71203    case 10:
   71204     $call23 = _strcasecmp($call1, 154184) | 0;
   71205     $tobool24 = ($call23 | 0) == 0;
   71206     if ($tobool24) {
   71207       $enc_0 = 2;
   71208       label = 14;
   71209       break;
   71210     } else {
   71211       label = 11;
   71212       break;
   71213     }
   71214    case 11:
   71215     $call27 = _strcasecmp($call1, 156776) | 0;
   71216     $tobool28 = ($call27 | 0) == 0;
   71217     if ($tobool28) {
   71218       $enc_0 = 0;
   71219       label = 14;
   71220       break;
   71221     } else {
   71222       label = 12;
   71223       break;
   71224     }
   71225    case 12:
   71226     $call30 = _strcasecmp($call1, 153816) | 0;
   71227     $tobool31 = ($call30 | 0) == 0;
   71228     if ($tobool31) {
   71229       $enc_0 = 0;
   71230       label = 14;
   71231       break;
   71232     } else {
   71233       label = 13;
   71234       break;
   71235     }
   71236    case 13:
   71237     $call34 = _agerr(0, 153456, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $call1, tempInt) | 0) | 0;
   71238     $enc_0 = 0;
   71239     label = 14;
   71240     break;
   71241    case 14:
   71242     STACKTOP = __stackBase__;
   71243     return $enc_0 | 0;
   71244   }
   71245   return 0;
   71246 }
   71247 function _setRatio($g) {
   71248   $g = $g | 0;
   71249   var $0 = 0, $call = 0, $tobool = 0, $1 = 0, $tobool1 = 0, $conv = 0, $cmp = 0, $call7 = 0, $tobool8 = 0, $drawing = 0, $2 = 0, $ratio_kind = 0, $cmp13 = 0, $call16 = 0, $tobool17 = 0, $drawing20 = 0, $3 = 0, $ratio_kind21 = 0, $cmp26 = 0, $call29 = 0, $tobool30 = 0, $drawing33 = 0, $4 = 0, $ratio_kind34 = 0, $cmp39 = 0, $call42 = 0, $tobool43 = 0, $drawing46 = 0, $5 = 0, $ratio_kind47 = 0, $call49 = 0.0, $cmp50 = 0, $drawing54 = 0, $6 = 0, $ratio_kind55 = 0, $7 = 0, $ratio58 = 0, label = 0;
   71250   label = 2;
   71251   while (1) switch (label | 0) {
   71252    case 2:
   71253     $0 = $g | 0;
   71254     $call = _agget($0, 158960) | 0;
   71255     $tobool = ($call | 0) == 0;
   71256     if ($tobool) {
   71257       label = 19;
   71258       break;
   71259     } else {
   71260       label = 3;
   71261       break;
   71262     }
   71263    case 3:
   71264     $1 = HEAP8[$call] | 0;
   71265     $tobool1 = $1 << 24 >> 24 == 0;
   71266     if ($tobool1) {
   71267       label = 19;
   71268       break;
   71269     } else {
   71270       label = 4;
   71271       break;
   71272     }
   71273    case 4:
   71274     $conv = $1 << 24 >> 24;
   71275     if (($conv | 0) == 97) {
   71276       label = 5;
   71277       break;
   71278     } else if (($conv | 0) == 99) {
   71279       label = 8;
   71280       break;
   71281     } else if (($conv | 0) == 101) {
   71282       label = 11;
   71283       break;
   71284     } else if (($conv | 0) == 102) {
   71285       label = 14;
   71286       break;
   71287     } else {
   71288       label = 17;
   71289       break;
   71290     }
   71291    case 5:
   71292     $cmp = $1 << 24 >> 24 == 97;
   71293     if ($cmp) {
   71294       label = 6;
   71295       break;
   71296     } else {
   71297       label = 19;
   71298       break;
   71299     }
   71300    case 6:
   71301     $call7 = _strcmp($call | 0, 158568) | 0;
   71302     $tobool8 = ($call7 | 0) == 0;
   71303     if ($tobool8) {
   71304       label = 7;
   71305       break;
   71306     } else {
   71307       label = 19;
   71308       break;
   71309     }
   71310    case 7:
   71311     $drawing = $g + 48 | 0;
   71312     $2 = HEAP32[$drawing >> 2] | 0;
   71313     $ratio_kind = $2 + 84 | 0;
   71314     HEAP32[$ratio_kind >> 2] = 4;
   71315     label = 19;
   71316     break;
   71317    case 8:
   71318     $cmp13 = $1 << 24 >> 24 == 99;
   71319     if ($cmp13) {
   71320       label = 9;
   71321       break;
   71322     } else {
   71323       label = 19;
   71324       break;
   71325     }
   71326    case 9:
   71327     $call16 = _strcmp($call | 0, 158192) | 0;
   71328     $tobool17 = ($call16 | 0) == 0;
   71329     if ($tobool17) {
   71330       label = 10;
   71331       break;
   71332     } else {
   71333       label = 19;
   71334       break;
   71335     }
   71336    case 10:
   71337     $drawing20 = $g + 48 | 0;
   71338     $3 = HEAP32[$drawing20 >> 2] | 0;
   71339     $ratio_kind21 = $3 + 84 | 0;
   71340     HEAP32[$ratio_kind21 >> 2] = 3;
   71341     label = 19;
   71342     break;
   71343    case 11:
   71344     $cmp26 = $1 << 24 >> 24 == 101;
   71345     if ($cmp26) {
   71346       label = 12;
   71347       break;
   71348     } else {
   71349       label = 19;
   71350       break;
   71351     }
   71352    case 12:
   71353     $call29 = _strcmp($call | 0, 157792) | 0;
   71354     $tobool30 = ($call29 | 0) == 0;
   71355     if ($tobool30) {
   71356       label = 13;
   71357       break;
   71358     } else {
   71359       label = 19;
   71360       break;
   71361     }
   71362    case 13:
   71363     $drawing33 = $g + 48 | 0;
   71364     $4 = HEAP32[$drawing33 >> 2] | 0;
   71365     $ratio_kind34 = $4 + 84 | 0;
   71366     HEAP32[$ratio_kind34 >> 2] = 5;
   71367     label = 19;
   71368     break;
   71369    case 14:
   71370     $cmp39 = $1 << 24 >> 24 == 102;
   71371     if ($cmp39) {
   71372       label = 15;
   71373       break;
   71374     } else {
   71375       label = 19;
   71376       break;
   71377     }
   71378    case 15:
   71379     $call42 = _strcmp($call | 0, 157464) | 0;
   71380     $tobool43 = ($call42 | 0) == 0;
   71381     if ($tobool43) {
   71382       label = 16;
   71383       break;
   71384     } else {
   71385       label = 19;
   71386       break;
   71387     }
   71388    case 16:
   71389     $drawing46 = $g + 48 | 0;
   71390     $5 = HEAP32[$drawing46 >> 2] | 0;
   71391     $ratio_kind47 = $5 + 84 | 0;
   71392     HEAP32[$ratio_kind47 >> 2] = 2;
   71393     label = 19;
   71394     break;
   71395    case 17:
   71396     $call49 = +_atof($call);
   71397     $cmp50 = $call49 > 0.0;
   71398     if ($cmp50) {
   71399       label = 18;
   71400       break;
   71401     } else {
   71402       label = 19;
   71403       break;
   71404     }
   71405    case 18:
   71406     $drawing54 = $g + 48 | 0;
   71407     $6 = HEAP32[$drawing54 >> 2] | 0;
   71408     $ratio_kind55 = $6 + 84 | 0;
   71409     HEAP32[$ratio_kind55 >> 2] = 1;
   71410     $7 = HEAP32[$drawing54 >> 2] | 0;
   71411     $ratio58 = $7 + 16 | 0;
   71412     HEAPF64[$ratio58 >> 3] = $call49;
   71413     label = 19;
   71414     break;
   71415    case 19:
   71416     return;
   71417   }
   71418 }
   71419 function _getdoubles2ptf($g, $name, $result) {
   71420   $g = $g | 0;
   71421   $name = $name | 0;
   71422   $result = $result | 0;
   71423   var $xf = 0, $yf = 0, $c = 0, $0 = 0, $call = 0, $tobool = 0, $call1 = 0, $cmp = 0, $1 = 0.0, $cmp2 = 0, $2 = 0.0, $cmp4 = 0, $mul = 0.0, $cmp6 = 0, $add = 0.0, $sub = 0.0, $add_sink = 0.0, $conv = 0, $conv10 = 0.0, $x = 0, $3 = 0.0, $mul11 = 0.0, $cmp12 = 0, $add16 = 0.0, $sub20 = 0.0, $add16_sink = 0.0, $conv17 = 0, $conv24 = 0.0, $y = 0, $4 = 0, $cmp26 = 0, $_ = 0, $call29 = 0, $cmp30 = 0, $5 = 0.0, $cmp33 = 0, $mul36 = 0.0, $cmp37 = 0, $add41 = 0.0, $sub45 = 0.0, $add41_sink = 0.0, $conv42 = 0, $conv49 = 0.0, $x50 = 0, $y51 = 0, $6 = 0, $cmp53 = 0, $_6 = 0, $rv_0 = 0, label = 0, __stackBase__ = 0;
   71424   __stackBase__ = STACKTOP;
   71425   STACKTOP = STACKTOP + 24 | 0;
   71426   label = 2;
   71427   while (1) switch (label | 0) {
   71428    case 2:
   71429     $xf = __stackBase__ | 0;
   71430     $yf = __stackBase__ + 8 | 0;
   71431     $c = __stackBase__ + 16 | 0;
   71432     HEAP8[$c] = 0;
   71433     $0 = $g | 0;
   71434     $call = _agget($0, $name) | 0;
   71435     $tobool = ($call | 0) == 0;
   71436     if ($tobool) {
   71437       $rv_0 = 0;
   71438       label = 19;
   71439       break;
   71440     } else {
   71441       label = 3;
   71442       break;
   71443     }
   71444    case 3:
   71445     $call1 = _sscanf($call | 0, 159784, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = $xf, HEAP32[tempInt + 8 >> 2] = $yf, HEAP32[tempInt + 16 >> 2] = $c, tempInt) | 0) | 0;
   71446     $cmp = ($call1 | 0) > 1;
   71447     if ($cmp) {
   71448       label = 4;
   71449       break;
   71450     } else {
   71451       label = 13;
   71452       break;
   71453     }
   71454    case 4:
   71455     $1 = +HEAPF64[$xf >> 3];
   71456     $cmp2 = $1 > 0.0;
   71457     if ($cmp2) {
   71458       label = 5;
   71459       break;
   71460     } else {
   71461       label = 13;
   71462       break;
   71463     }
   71464    case 5:
   71465     $2 = +HEAPF64[$yf >> 3];
   71466     $cmp4 = $2 > 0.0;
   71467     if ($cmp4) {
   71468       label = 6;
   71469       break;
   71470     } else {
   71471       label = 13;
   71472       break;
   71473     }
   71474    case 6:
   71475     $mul = $1 * 72.0;
   71476     $cmp6 = $mul < 0.0;
   71477     if ($cmp6) {
   71478       label = 8;
   71479       break;
   71480     } else {
   71481       label = 7;
   71482       break;
   71483     }
   71484    case 7:
   71485     $add = $mul + .5;
   71486     $add_sink = $add;
   71487     label = 9;
   71488     break;
   71489    case 8:
   71490     $sub = $mul + -.5;
   71491     $add_sink = $sub;
   71492     label = 9;
   71493     break;
   71494    case 9:
   71495     $conv = ~~$add_sink;
   71496     $conv10 = +($conv | 0);
   71497     $x = $result | 0;
   71498     HEAPF64[$x >> 3] = $conv10;
   71499     $3 = +HEAPF64[$yf >> 3];
   71500     $mul11 = $3 * 72.0;
   71501     $cmp12 = $mul11 < 0.0;
   71502     if ($cmp12) {
   71503       label = 11;
   71504       break;
   71505     } else {
   71506       label = 10;
   71507       break;
   71508     }
   71509    case 10:
   71510     $add16 = $mul11 + .5;
   71511     $add16_sink = $add16;
   71512     label = 12;
   71513     break;
   71514    case 11:
   71515     $sub20 = $mul11 + -.5;
   71516     $add16_sink = $sub20;
   71517     label = 12;
   71518     break;
   71519    case 12:
   71520     $conv17 = ~~$add16_sink;
   71521     $conv24 = +($conv17 | 0);
   71522     $y = $result + 8 | 0;
   71523     HEAPF64[$y >> 3] = $conv24;
   71524     $4 = HEAP8[$c] | 0;
   71525     $cmp26 = $4 << 24 >> 24 == 33;
   71526     $_ = $cmp26 & 1;
   71527     $rv_0 = $_;
   71528     label = 19;
   71529     break;
   71530    case 13:
   71531     HEAP8[$c] = 0;
   71532     $call29 = _sscanf($call | 0, 159400, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $xf, HEAP32[tempInt + 8 >> 2] = $c, tempInt) | 0) | 0;
   71533     $cmp30 = ($call29 | 0) > 0;
   71534     if ($cmp30) {
   71535       label = 14;
   71536       break;
   71537     } else {
   71538       $rv_0 = 0;
   71539       label = 19;
   71540       break;
   71541     }
   71542    case 14:
   71543     $5 = +HEAPF64[$xf >> 3];
   71544     $cmp33 = $5 > 0.0;
   71545     if ($cmp33) {
   71546       label = 15;
   71547       break;
   71548     } else {
   71549       $rv_0 = 0;
   71550       label = 19;
   71551       break;
   71552     }
   71553    case 15:
   71554     $mul36 = $5 * 72.0;
   71555     $cmp37 = $mul36 < 0.0;
   71556     if ($cmp37) {
   71557       label = 17;
   71558       break;
   71559     } else {
   71560       label = 16;
   71561       break;
   71562     }
   71563    case 16:
   71564     $add41 = $mul36 + .5;
   71565     $add41_sink = $add41;
   71566     label = 18;
   71567     break;
   71568    case 17:
   71569     $sub45 = $mul36 + -.5;
   71570     $add41_sink = $sub45;
   71571     label = 18;
   71572     break;
   71573    case 18:
   71574     $conv42 = ~~$add41_sink;
   71575     $conv49 = +($conv42 | 0);
   71576     $x50 = $result | 0;
   71577     HEAPF64[$x50 >> 3] = $conv49;
   71578     $y51 = $result + 8 | 0;
   71579     HEAPF64[$y51 >> 3] = $conv49;
   71580     $6 = HEAP8[$c] | 0;
   71581     $cmp53 = $6 << 24 >> 24 == 33;
   71582     $_6 = $cmp53 & 1;
   71583     STACKTOP = __stackBase__;
   71584     return $_6 | 0;
   71585    case 19:
   71586     STACKTOP = __stackBase__;
   71587     return $rv_0 | 0;
   71588   }
   71589   return 0;
   71590 }
   71591 function _setYInvert($g) {
   71592   $g = $g | 0;
   71593   var $0 = 0, $tobool = 0, $y = 0, $1 = 0.0, $y3 = 0, $2 = 0.0, $add = 0.0, $div = 0.0, label = 0;
   71594   label = 2;
   71595   while (1) switch (label | 0) {
   71596    case 2:
   71597     $0 = HEAP32[41182] | 0;
   71598     $tobool = ($0 | 0) == 0;
   71599     if ($tobool) {
   71600       label = 4;
   71601       break;
   71602     } else {
   71603       label = 3;
   71604       break;
   71605     }
   71606    case 3:
   71607     $y = $g + 80 | 0;
   71608     $1 = +HEAPF64[$y >> 3];
   71609     $y3 = $g + 64 | 0;
   71610     $2 = +HEAPF64[$y3 >> 3];
   71611     $add = $1 + $2;
   71612     HEAPF64[20590] = $add;
   71613     $div = $add / 72.0;
   71614     HEAPF64[20592] = $div;
   71615     label = 4;
   71616     break;
   71617    case 4:
   71618     return;
   71619   }
   71620 }
   71621 function _do_graph_label($sg) {
   71622   $sg = $sg | 0;
   71623   var $0 = 0, $call = 0, $tobool = 0, $1 = 0, $cmp = 0, $root = 0, $2 = 0, $has_labels = 0, $3 = 0, $or = 0, $call4 = 0, $tobool5 = 0, $cond = 0, $4 = 0, $5 = 0, $call7 = 0, $call8 = 0.0, $6 = 0, $7 = 0, $call10 = 0, $call11 = 0, $8 = 0, $9 = 0, $call13 = 0, $call14 = 0, $call15 = 0, $label = 0, $call17 = 0, $10 = 0, $cmp19 = 0, $tobool22 = 0, $11 = 0, $cmp25 = 0, $12 = 0, $cmp33 = 0, $pos_flag_0 = 0, $call39 = 0, $tobool40 = 0, $13 = 0, $or48 = 0, $or57 = 0, $pos_flag_1 = 0, $label_pos = 0, $14 = 0, $cmp64 = 0, $15 = 0, $dimen_sroa_0_0__idx2 = 0, $dimen_sroa_0_0_copyload3 = 0.0, $dimen_sroa_1_8__idx6 = 0, $dimen_sroa_1_8_copyload7 = 0.0, $add = 0.0, $add71 = 0.0, $rankdir = 0, $16 = 0, $and74 = 0, $tobool75 = 0, $and91 = 0, $tobool92 = 0, $_ = 0, $dimen_sroa_0_0__idx = 0, $dimen_sroa_1_8__idx4 = 0, $_43 = 0, $x100 = 0, $y105 = 0, label = 0;
   71624   label = 2;
   71625   while (1) switch (label | 0) {
   71626    case 2:
   71627     $0 = $sg | 0;
   71628     $call = _agget($0, 123360) | 0;
   71629     $tobool = ($call | 0) == 0;
   71630     if ($tobool) {
   71631       label = 19;
   71632       break;
   71633     } else {
   71634       label = 3;
   71635       break;
   71636     }
   71637    case 3:
   71638     $1 = HEAP8[$call] | 0;
   71639     $cmp = $1 << 24 >> 24 == 0;
   71640     if ($cmp) {
   71641       label = 19;
   71642       break;
   71643     } else {
   71644       label = 4;
   71645       break;
   71646     }
   71647    case 4:
   71648     $root = $sg + 32 | 0;
   71649     $2 = HEAP32[$root >> 2] | 0;
   71650     $has_labels = $2 + 153 | 0;
   71651     $3 = HEAP8[$has_labels] | 0;
   71652     $or = $3 | 8;
   71653     HEAP8[$has_labels] = $or;
   71654     $call4 = _aghtmlstr($call) | 0;
   71655     $tobool5 = ($call4 | 0) != 0;
   71656     $cond = $tobool5 ? 2 : 0;
   71657     $4 = HEAP32[$root >> 2] | 0;
   71658     $5 = $4 | 0;
   71659     $call7 = _agfindattr($5, 102528) | 0;
   71660     $call8 = +_late_double($0, $call7, 14.0, 1.0);
   71661     $6 = HEAP32[$root >> 2] | 0;
   71662     $7 = $6 | 0;
   71663     $call10 = _agfindattr($7, 102080) | 0;
   71664     $call11 = _late_nnstring($0, $call10, 161152) | 0;
   71665     $8 = HEAP32[$root >> 2] | 0;
   71666     $9 = $8 | 0;
   71667     $call13 = _agfindattr($9, 101664) | 0;
   71668     $call14 = _late_nnstring($0, $call13, 160776) | 0;
   71669     $call15 = _make_label($0, $call, $cond, $call8, $call11, $call14) | 0;
   71670     $label = $sg + 52 | 0;
   71671     HEAP32[$label >> 2] = $call15;
   71672     $call17 = _agget($0, 160472) | 0;
   71673     $10 = HEAP32[$root >> 2] | 0;
   71674     $cmp19 = ($10 | 0) == ($sg | 0);
   71675     $tobool22 = ($call17 | 0) != 0;
   71676     if ($cmp19) {
   71677       label = 8;
   71678       break;
   71679     } else {
   71680       label = 5;
   71681       break;
   71682     }
   71683    case 5:
   71684     if ($tobool22) {
   71685       label = 6;
   71686       break;
   71687     } else {
   71688       label = 7;
   71689       break;
   71690     }
   71691    case 6:
   71692     $11 = HEAP8[$call17] | 0;
   71693     $cmp25 = $11 << 24 >> 24 == 98;
   71694     if ($cmp25) {
   71695       $pos_flag_0 = 0;
   71696       label = 11;
   71697       break;
   71698     } else {
   71699       label = 7;
   71700       break;
   71701     }
   71702    case 7:
   71703     $pos_flag_0 = 1;
   71704     label = 11;
   71705     break;
   71706    case 8:
   71707     if ($tobool22) {
   71708       label = 9;
   71709       break;
   71710     } else {
   71711       label = 10;
   71712       break;
   71713     }
   71714    case 9:
   71715     $12 = HEAP8[$call17] | 0;
   71716     $cmp33 = $12 << 24 >> 24 == 116;
   71717     if ($cmp33) {
   71718       $pos_flag_0 = 1;
   71719       label = 11;
   71720       break;
   71721     } else {
   71722       label = 10;
   71723       break;
   71724     }
   71725    case 10:
   71726     $pos_flag_0 = 0;
   71727     label = 11;
   71728     break;
   71729    case 11:
   71730     $call39 = _agget($0, 160136) | 0;
   71731     $tobool40 = ($call39 | 0) == 0;
   71732     if ($tobool40) {
   71733       $pos_flag_1 = $pos_flag_0;
   71734       label = 15;
   71735       break;
   71736     } else {
   71737       label = 12;
   71738       break;
   71739     }
   71740    case 12:
   71741     $13 = HEAP8[$call39] | 0;
   71742     if (($13 << 24 >> 24 | 0) == 108) {
   71743       label = 13;
   71744       break;
   71745     } else if (($13 << 24 >> 24 | 0) == 114) {
   71746       label = 14;
   71747       break;
   71748     } else {
   71749       $pos_flag_1 = $pos_flag_0;
   71750       label = 15;
   71751       break;
   71752     }
   71753    case 13:
   71754     $or48 = $pos_flag_0 | 2;
   71755     $pos_flag_1 = $or48;
   71756     label = 15;
   71757     break;
   71758    case 14:
   71759     $or57 = $pos_flag_0 | 4;
   71760     $pos_flag_1 = $or57;
   71761     label = 15;
   71762     break;
   71763    case 15:
   71764     $label_pos = $sg + 287 | 0;
   71765     HEAP8[$label_pos] = $pos_flag_1;
   71766     $14 = HEAP32[$root >> 2] | 0;
   71767     $cmp64 = ($14 | 0) == ($sg | 0);
   71768     if ($cmp64) {
   71769       label = 19;
   71770       break;
   71771     } else {
   71772       label = 16;
   71773       break;
   71774     }
   71775    case 16:
   71776     $15 = HEAP32[$label >> 2] | 0;
   71777     $dimen_sroa_0_0__idx2 = $15 + 24 | 0;
   71778     $dimen_sroa_0_0_copyload3 = +HEAPF64[$dimen_sroa_0_0__idx2 >> 3];
   71779     $dimen_sroa_1_8__idx6 = $15 + 32 | 0;
   71780     $dimen_sroa_1_8_copyload7 = +HEAPF64[$dimen_sroa_1_8__idx6 >> 3];
   71781     $add = $dimen_sroa_0_0_copyload3 + 16.0;
   71782     $add71 = $dimen_sroa_1_8_copyload7 + 8.0;
   71783     $rankdir = $14 + 156 | 0;
   71784     $16 = HEAP32[$rankdir >> 2] | 0;
   71785     $and74 = $16 & 1;
   71786     $tobool75 = ($and74 | 0) == 0;
   71787     $and91 = $pos_flag_1 & 1;
   71788     $tobool92 = $and91 << 24 >> 24 != 0;
   71789     if ($tobool75) {
   71790       label = 17;
   71791       break;
   71792     } else {
   71793       label = 18;
   71794       break;
   71795     }
   71796    case 17:
   71797     $_ = $tobool92 ? 2 : 0;
   71798     $dimen_sroa_0_0__idx = $sg + 88 + ($_ << 4) | 0;
   71799     HEAPF64[$dimen_sroa_0_0__idx >> 3] = $add;
   71800     $dimen_sroa_1_8__idx4 = $sg + 88 + ($_ << 4) + 8 | 0;
   71801     HEAPF64[$dimen_sroa_1_8__idx4 >> 3] = $add71;
   71802     label = 19;
   71803     break;
   71804    case 18:
   71805     $_43 = $tobool92 ? 1 : 3;
   71806     $x100 = $sg + 88 + ($_43 << 4) | 0;
   71807     HEAPF64[$x100 >> 3] = $add71;
   71808     $y105 = $sg + 88 + ($_43 << 4) + 8 | 0;
   71809     HEAPF64[$y105 >> 3] = $add;
   71810     label = 19;
   71811     break;
   71812    case 19:
   71813     return;
   71814   }
   71815 }
   71816 function _graph_cleanup($g) {
   71817   $g = $g | 0;
   71818   var $u = 0, $drawing = 0, $0 = 0, $xdots = 0, $1 = 0, $tobool = 0, $2 = 0, $3 = 0, $id = 0, $4 = 0, $tobool6 = 0, $5 = 0, $6 = 0, $label = 0, $7 = 0, $8 = 0, label = 0;
   71819   label = 2;
   71820   while (1) switch (label | 0) {
   71821    case 2:
   71822     $u = $g + 48 | 0;
   71823     $drawing = $u | 0;
   71824     $0 = HEAP32[$drawing >> 2] | 0;
   71825     $xdots = $0 + 88 | 0;
   71826     $1 = HEAP32[$xdots >> 2] | 0;
   71827     $tobool = ($1 | 0) == 0;
   71828     if ($tobool) {
   71829       label = 4;
   71830       break;
   71831     } else {
   71832       label = 3;
   71833       break;
   71834     }
   71835    case 3:
   71836     $2 = $1;
   71837     _freeXDot($2 | 0);
   71838     label = 4;
   71839     break;
   71840    case 4:
   71841     $3 = HEAP32[$drawing >> 2] | 0;
   71842     $id = $3 + 92 | 0;
   71843     $4 = HEAP32[$id >> 2] | 0;
   71844     $tobool6 = ($4 | 0) == 0;
   71845     if ($tobool6) {
   71846       label = 6;
   71847       break;
   71848     } else {
   71849       label = 5;
   71850       break;
   71851     }
   71852    case 5:
   71853     _free($4);
   71854     label = 6;
   71855     break;
   71856    case 6:
   71857     $5 = HEAP32[$drawing >> 2] | 0;
   71858     $6 = $5;
   71859     _free($6);
   71860     HEAP32[$drawing >> 2] = 0;
   71861     $label = $g + 52 | 0;
   71862     $7 = HEAP32[$label >> 2] | 0;
   71863     _free_label($7);
   71864     $8 = $u;
   71865     _memset($8 | 0, 0, 248);
   71866     return;
   71867   }
   71868 }
   71869 function _charsetToStr($c) {
   71870   $c = $c | 0;
   71871   var $call = 0, $s_0 = 0, label = 0, __stackBase__ = 0;
   71872   __stackBase__ = STACKTOP;
   71873   label = 2;
   71874   while (1) switch (label | 0) {
   71875    case 2:
   71876     if (($c | 0) == 1) {
   71877       label = 3;
   71878       break;
   71879     } else if (($c | 0) == 2) {
   71880       label = 4;
   71881       break;
   71882     } else if (($c | 0) == 0) {
   71883       $s_0 = 162544;
   71884       label = 6;
   71885       break;
   71886     } else {
   71887       label = 5;
   71888       break;
   71889     }
   71890    case 3:
   71891     $s_0 = 162056;
   71892     label = 6;
   71893     break;
   71894    case 4:
   71895     $s_0 = 161712;
   71896     label = 6;
   71897     break;
   71898    case 5:
   71899     $call = _agerr(1, 161416, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $c, tempInt) | 0) | 0;
   71900     $s_0 = 162544;
   71901     label = 6;
   71902     break;
   71903    case 6:
   71904     STACKTOP = __stackBase__;
   71905     return $s_0 | 0;
   71906   }
   71907   return 0;
   71908 }
   71909 function _start_timer() {
   71910   _times(165400);
   71911   return;
   71912 }
   71913 function _elapsed_sec() {
   71914   var $S = 0, __stackBase__ = 0;
   71915   __stackBase__ = STACKTOP;
   71916   STACKTOP = STACKTOP + 16 | 0;
   71917   $S = __stackBase__ | 0;
   71918   _times($S | 0);
   71919   STACKTOP = __stackBase__;
   71920   return +(+(((((HEAP32[$S + 4 >> 2] | 0) + (HEAP32[$S >> 2] | 0) | 0) - (HEAP32[41350] | 0) | 0) - (HEAP32[41351] | 0) | 0) >>> 0 >>> 0) / 60.0);
   71921 }
   71922 function _write_plain($job, $g, $f, $extend) {
   71923   $job = $job | 0;
   71924   $g = $g | 0;
   71925   $f = $f | 0;
   71926   $extend = $extend | 0;
   71927   var $pt_sroa_0_0__idx = 0, $pt_sroa_0_0_copyload = 0.0, $pt_sroa_1_8__idx2 = 0, $pt_sroa_1_8_copyload = 0.0, $zoom = 0, $0 = 0.0, $div = 0.0, $div1 = 0.0, $call = 0, $1 = 0, $call2 = 0, $tobool99 = 0, $n_0_in100 = 0, $n_0 = 0, $clustnode = 0, $2 = 0, $tobool4 = 0, $3 = 0, $4 = 0, $call5 = 0, $coord = 0, $5 = 0, $label = 0, $6 = 0, $7 = 0, $8 = 0, $tobool8 = 0, $9 = 0, $10 = 0, $index = 0, $11 = 0, $call10 = 0, $call11 = 0, $text = 0, $12 = 0, $call14 = 0, $lbl_0 = 0, $width = 0, $13 = 0, $14 = 0.0, $height = 0, $15 = 0, $16 = 0.0, $17 = 0, $18 = 0, $call18 = 0, $shape = 0, $19 = 0, $20 = 0, $name20 = 0, $21 = 0, $22 = 0, $call21 = 0, $23 = 0, $call22 = 0, $24 = 0, $cmp = 0, $25 = 0, $call25 = 0, $fillcolor_0 = 0, $call27 = 0, $call28 = 0, $tobool = 0, $call29 = 0, $tobool3197 = 0, $tobool38 = 0, $n_1_in98 = 0, $call33 = 0, $tobool3594 = 0, $e_0_in95 = 0, $26 = 0, $27 = 0, $tobool39 = 0, $arrayidx42 = 0, $28 = 0, $arrayidx44 = 0, $29 = 0, $tport_0 = 0, $hport_0 = 0, $u47 = 0, $spl = 0, $30 = 0, $tobool48 = 0, $31 = 0, $size85 = 0, $32 = 0, $cmp5386 = 0, $33 = 0, $size = 0, $34 = 0, $35 = 0, $i_088 = 0, $splinePoints_087 = 0, $list = 0, $36 = 0, $bz_sroa_1_4__idx7 = 0, $bz_sroa_1_4_copyload8 = 0, $add = 0, $inc = 0, $cmp53 = 0, $splinePoints_0_lcssa = 0, $tail = 0, $37 = 0, $38 = 0, $head = 0, $39 = 0, $40 = 0, $41 = 0, $size6591 = 0, $42 = 0, $cmp6692 = 0, $43 = 0, $i_193 = 0, $list71 = 0, $44 = 0, $bz_sroa_0_0__idx = 0, $bz_sroa_0_0_copyload = 0, $bz_sroa_1_4__idx5 = 0, $bz_sroa_1_4_copyload = 0, $cmp7589 = 0, $j_090 = 0, $arrayidx79 = 0, $inc81 = 0, $cmp75 = 0, $inc84 = 0, $45 = 0, $size65 = 0, $46 = 0, $cmp66 = 0, $label88 = 0, $47 = 0, $48 = 0, $tobool89 = 0, $text95 = 0, $49 = 0, $call96 = 0, $50 = 0, $pos = 0, $51 = 0, $52 = 0, $call100 = 0, $53 = 0, $call101 = 0, $call102 = 0, $call104 = 0, $tobool35 = 0, $call107 = 0, $tobool31 = 0, $call109 = 0, label = 0;
   71928   label = 2;
   71929   while (1) switch (label | 0) {
   71930    case 2:
   71931     _setYInvert($g);
   71932     $pt_sroa_0_0__idx = $g + 72 | 0;
   71933     $pt_sroa_0_0_copyload = +HEAPF64[$pt_sroa_0_0__idx >> 3];
   71934     $pt_sroa_1_8__idx2 = $g + 80 | 0;
   71935     $pt_sroa_1_8_copyload = +HEAPF64[$pt_sroa_1_8__idx2 >> 3];
   71936     $zoom = $job + 352 | 0;
   71937     $0 = +HEAPF64[$zoom >> 3];
   71938     _printdouble($f, 120912, $0);
   71939     $div = $pt_sroa_0_0_copyload / 72.0;
   71940     _printdouble($f, 153984, $div);
   71941     $div1 = $pt_sroa_1_8_copyload / 72.0;
   71942     _printdouble($f, 153984, $div1);
   71943     $call = _agputc(10, $f) | 0;
   71944     $1 = $g;
   71945     $call2 = _agfstnode($1) | 0;
   71946     $tobool99 = ($call2 | 0) == 0;
   71947     if ($tobool99) {
   71948       label = 11;
   71949       break;
   71950     } else {
   71951       $n_0_in100 = $call2;
   71952       label = 3;
   71953       break;
   71954     }
   71955    case 3:
   71956     $n_0 = $n_0_in100;
   71957     $clustnode = $n_0 + 134 | 0;
   71958     $2 = HEAP8[$clustnode] | 0;
   71959     $tobool4 = $2 << 24 >> 24 == 0;
   71960     if ($tobool4) {
   71961       label = 4;
   71962       break;
   71963     } else {
   71964       label = 10;
   71965       break;
   71966     }
   71967    case 4:
   71968     $3 = $n_0_in100 + 12 | 0;
   71969     $4 = HEAP32[$3 >> 2] | 0;
   71970     $call5 = _agcanonical($4) | 0;
   71971     _printstring($f, 126768, $call5);
   71972     $coord = $n_0_in100 + 32 | 0;
   71973     $5 = $coord;
   71974     _printpoint($f, $5);
   71975     $label = $n_0_in100 + 120 | 0;
   71976     $6 = HEAP32[$label >> 2] | 0;
   71977     $7 = $6 + 82 | 0;
   71978     $8 = HEAP8[$7] | 0;
   71979     $tobool8 = $8 << 24 >> 24 == 0;
   71980     if ($tobool8) {
   71981       label = 6;
   71982       break;
   71983     } else {
   71984       label = 5;
   71985       break;
   71986     }
   71987    case 5:
   71988     $9 = $n_0_in100 | 0;
   71989     $10 = HEAP32[41588] | 0;
   71990     $index = $10 + 8 | 0;
   71991     $11 = HEAP32[$index >> 2] | 0;
   71992     $call10 = _agxget($9, $11) | 0;
   71993     $call11 = _agcanonical($call10) | 0;
   71994     $lbl_0 = $call11;
   71995     label = 7;
   71996     break;
   71997    case 6:
   71998     $text = $6;
   71999     $12 = HEAP32[$text >> 2] | 0;
   72000     $call14 = _canon($12) | 0;
   72001     $lbl_0 = $call14;
   72002     label = 7;
   72003     break;
   72004    case 7:
   72005     $width = $n_0_in100 + 48 | 0;
   72006     $13 = $width;
   72007     $14 = +HEAPF64[$13 >> 3];
   72008     _printdouble($f, 153984, $14);
   72009     $height = $n_0_in100 + 56 | 0;
   72010     $15 = $height;
   72011     $16 = +HEAPF64[$15 >> 3];
   72012     _printdouble($f, 153984, $16);
   72013     _printstring($f, 153984, $lbl_0);
   72014     $17 = $n_0_in100 | 0;
   72015     $18 = HEAP32[41560] | 0;
   72016     $call18 = _late_nnstring($17, $18, 117360) | 0;
   72017     _printstring($f, 153984, $call18);
   72018     $shape = $n_0_in100 + 24 | 0;
   72019     $19 = $shape;
   72020     $20 = HEAP32[$19 >> 2] | 0;
   72021     $name20 = $20 | 0;
   72022     $21 = HEAP32[$name20 >> 2] | 0;
   72023     _printstring($f, 153984, $21);
   72024     $22 = HEAP32[41616] | 0;
   72025     $call21 = _late_nnstring($17, $22, 112152) | 0;
   72026     _printstring($f, 153984, $call21);
   72027     $23 = HEAP32[41604] | 0;
   72028     $call22 = _late_nnstring($17, $23, 107768) | 0;
   72029     $24 = HEAP8[$call22] | 0;
   72030     $cmp = $24 << 24 >> 24 == 0;
   72031     if ($cmp) {
   72032       label = 8;
   72033       break;
   72034     } else {
   72035       $fillcolor_0 = $call22;
   72036       label = 9;
   72037       break;
   72038     }
   72039    case 8:
   72040     $25 = HEAP32[41616] | 0;
   72041     $call25 = _late_nnstring($17, $25, 103840) | 0;
   72042     $fillcolor_0 = $call25;
   72043     label = 9;
   72044     break;
   72045    case 9:
   72046     _printstring($f, 153984, $fillcolor_0);
   72047     $call27 = _agputc(10, $f) | 0;
   72048     label = 10;
   72049     break;
   72050    case 10:
   72051     $call28 = _agnxtnode($1, $n_0_in100) | 0;
   72052     $tobool = ($call28 | 0) == 0;
   72053     if ($tobool) {
   72054       label = 11;
   72055       break;
   72056     } else {
   72057       $n_0_in100 = $call28;
   72058       label = 3;
   72059       break;
   72060     }
   72061    case 11:
   72062     $call29 = _agfstnode($1) | 0;
   72063     $tobool3197 = ($call29 | 0) == 0;
   72064     if ($tobool3197) {
   72065       label = 29;
   72066       break;
   72067     } else {
   72068       label = 12;
   72069       break;
   72070     }
   72071    case 12:
   72072     $tobool38 = $extend << 24 >> 24 == 0;
   72073     $n_1_in98 = $call29;
   72074     label = 13;
   72075     break;
   72076    case 13:
   72077     $call33 = _agfstout($1, $n_1_in98) | 0;
   72078     $tobool3594 = ($call33 | 0) == 0;
   72079     if ($tobool3594) {
   72080       label = 28;
   72081       break;
   72082     } else {
   72083       $e_0_in95 = $call33;
   72084       label = 14;
   72085       break;
   72086     }
   72087    case 14:
   72088     if ($tobool38) {
   72089       $hport_0 = 107768;
   72090       $tport_0 = 107768;
   72091       label = 17;
   72092       break;
   72093     } else {
   72094       label = 15;
   72095       break;
   72096     }
   72097    case 15:
   72098     $26 = $e_0_in95 + 4 | 0;
   72099     $27 = HEAP32[$26 >> 2] | 0;
   72100     $tobool39 = ($27 | 0) == 0;
   72101     if ($tobool39) {
   72102       $hport_0 = 107768;
   72103       $tport_0 = 107768;
   72104       label = 17;
   72105       break;
   72106     } else {
   72107       label = 16;
   72108       break;
   72109     }
   72110    case 16:
   72111     $arrayidx42 = $27 + 4 | 0;
   72112     $28 = HEAP32[$arrayidx42 >> 2] | 0;
   72113     $arrayidx44 = $27 + 8 | 0;
   72114     $29 = HEAP32[$arrayidx44 >> 2] | 0;
   72115     $hport_0 = $29;
   72116     $tport_0 = $28;
   72117     label = 17;
   72118     break;
   72119    case 17:
   72120     $u47 = $e_0_in95 + 24 | 0;
   72121     $spl = $u47;
   72122     $30 = HEAP32[$spl >> 2] | 0;
   72123     $tobool48 = ($30 | 0) == 0;
   72124     if ($tobool48) {
   72125       label = 25;
   72126       break;
   72127     } else {
   72128       label = 18;
   72129       break;
   72130     }
   72131    case 18:
   72132     $31 = HEAP32[$spl >> 2] | 0;
   72133     $size85 = $31 + 4 | 0;
   72134     $32 = HEAP32[$size85 >> 2] | 0;
   72135     $cmp5386 = ($32 | 0) > 0;
   72136     if ($cmp5386) {
   72137       label = 19;
   72138       break;
   72139     } else {
   72140       $splinePoints_0_lcssa = 0;
   72141       label = 21;
   72142       break;
   72143     }
   72144    case 19:
   72145     $33 = HEAP32[$spl >> 2] | 0;
   72146     $size = $33 + 4 | 0;
   72147     $34 = HEAP32[$size >> 2] | 0;
   72148     $splinePoints_087 = 0;
   72149     $i_088 = 0;
   72150     $35 = $31;
   72151     label = 20;
   72152     break;
   72153    case 20:
   72154     $list = $35 | 0;
   72155     $36 = HEAP32[$list >> 2] | 0;
   72156     $bz_sroa_1_4__idx7 = $36 + ($i_088 * 48 & -1) + 4 | 0;
   72157     $bz_sroa_1_4_copyload8 = HEAP32[$bz_sroa_1_4__idx7 >> 2] | 0;
   72158     $add = $bz_sroa_1_4_copyload8 + $splinePoints_087 | 0;
   72159     $inc = $i_088 + 1 | 0;
   72160     $cmp53 = ($inc | 0) < ($34 | 0);
   72161     if ($cmp53) {
   72162       $splinePoints_087 = $add;
   72163       $i_088 = $inc;
   72164       $35 = $33;
   72165       label = 20;
   72166       break;
   72167     } else {
   72168       $splinePoints_0_lcssa = $add;
   72169       label = 21;
   72170       break;
   72171     }
   72172    case 21:
   72173     _printstring($f, 0, 99664);
   72174     $tail = $e_0_in95 + 16 | 0;
   72175     $37 = HEAP32[$tail >> 2] | 0;
   72176     $38 = $37;
   72177     _writenodeandport($f, $38, $tport_0);
   72178     $head = $e_0_in95 + 12 | 0;
   72179     $39 = HEAP32[$head >> 2] | 0;
   72180     $40 = $39;
   72181     _writenodeandport($f, $40, $hport_0);
   72182     _printint($f, $splinePoints_0_lcssa);
   72183     $41 = HEAP32[$spl >> 2] | 0;
   72184     $size6591 = $41 + 4 | 0;
   72185     $42 = HEAP32[$size6591 >> 2] | 0;
   72186     $cmp6692 = ($42 | 0) > 0;
   72187     if ($cmp6692) {
   72188       $i_193 = 0;
   72189       $43 = $41;
   72190       label = 22;
   72191       break;
   72192     } else {
   72193       label = 25;
   72194       break;
   72195     }
   72196    case 22:
   72197     $list71 = $43 | 0;
   72198     $44 = HEAP32[$list71 >> 2] | 0;
   72199     $bz_sroa_0_0__idx = $44 + ($i_193 * 48 & -1) | 0;
   72200     $bz_sroa_0_0_copyload = HEAP32[$bz_sroa_0_0__idx >> 2] | 0;
   72201     $bz_sroa_1_4__idx5 = $44 + ($i_193 * 48 & -1) + 4 | 0;
   72202     $bz_sroa_1_4_copyload = HEAP32[$bz_sroa_1_4__idx5 >> 2] | 0;
   72203     $cmp7589 = ($bz_sroa_1_4_copyload | 0) > 0;
   72204     if ($cmp7589) {
   72205       $j_090 = 0;
   72206       label = 23;
   72207       break;
   72208     } else {
   72209       label = 24;
   72210       break;
   72211     }
   72212    case 23:
   72213     $arrayidx79 = $bz_sroa_0_0_copyload + ($j_090 << 4) | 0;
   72214     _printpoint($f, $arrayidx79);
   72215     $inc81 = $j_090 + 1 | 0;
   72216     $cmp75 = ($inc81 | 0) < ($bz_sroa_1_4_copyload | 0);
   72217     if ($cmp75) {
   72218       $j_090 = $inc81;
   72219       label = 23;
   72220       break;
   72221     } else {
   72222       label = 24;
   72223       break;
   72224     }
   72225    case 24:
   72226     $inc84 = $i_193 + 1 | 0;
   72227     $45 = HEAP32[$spl >> 2] | 0;
   72228     $size65 = $45 + 4 | 0;
   72229     $46 = HEAP32[$size65 >> 2] | 0;
   72230     $cmp66 = ($inc84 | 0) < ($46 | 0);
   72231     if ($cmp66) {
   72232       $i_193 = $inc84;
   72233       $43 = $45;
   72234       label = 22;
   72235       break;
   72236     } else {
   72237       label = 25;
   72238       break;
   72239     }
   72240    case 25:
   72241     $label88 = $e_0_in95 + 112 | 0;
   72242     $47 = $label88;
   72243     $48 = HEAP32[$47 >> 2] | 0;
   72244     $tobool89 = ($48 | 0) == 0;
   72245     if ($tobool89) {
   72246       label = 27;
   72247       break;
   72248     } else {
   72249       label = 26;
   72250       break;
   72251     }
   72252    case 26:
   72253     $text95 = $48 | 0;
   72254     $49 = HEAP32[$text95 >> 2] | 0;
   72255     $call96 = _canon($49) | 0;
   72256     _printstring($f, 153984, $call96);
   72257     $50 = HEAP32[$47 >> 2] | 0;
   72258     $pos = $50 + 56 | 0;
   72259     _printpoint($f, $pos);
   72260     label = 27;
   72261     break;
   72262    case 27:
   72263     $51 = $e_0_in95 | 0;
   72264     $52 = HEAP32[41904] | 0;
   72265     $call100 = _late_nnstring($51, $52, 117360) | 0;
   72266     _printstring($f, 153984, $call100);
   72267     $53 = HEAP32[41958] | 0;
   72268     $call101 = _late_nnstring($51, $53, 112152) | 0;
   72269     _printstring($f, 153984, $call101);
   72270     $call102 = _agputc(10, $f) | 0;
   72271     $call104 = _agnxtout($1, $e_0_in95) | 0;
   72272     $tobool35 = ($call104 | 0) == 0;
   72273     if ($tobool35) {
   72274       label = 28;
   72275       break;
   72276     } else {
   72277       $e_0_in95 = $call104;
   72278       label = 14;
   72279       break;
   72280     }
   72281    case 28:
   72282     $call107 = _agnxtnode($1, $n_1_in98) | 0;
   72283     $tobool31 = ($call107 | 0) == 0;
   72284     if ($tobool31) {
   72285       label = 29;
   72286       break;
   72287     } else {
   72288       $n_1_in98 = $call107;
   72289       label = 13;
   72290       break;
   72291     }
   72292    case 29:
   72293     $call109 = _agputs(95656, $f) | 0;
   72294     return;
   72295   }
   72296 }
   72297 function _printdouble($f, $prefix, $v) {
   72298   $f = $f | 0;
   72299   $prefix = $prefix | 0;
   72300   $v = +$v;
   72301   var $buf = 0, $tobool = 0, $call = 0, $arraydecay = 0, $call1 = 0, $call3 = 0, label = 0, __stackBase__ = 0;
   72302   __stackBase__ = STACKTOP;
   72303   STACKTOP = STACKTOP + 1024 | 0;
   72304   label = 2;
   72305   while (1) switch (label | 0) {
   72306    case 2:
   72307     $buf = __stackBase__ | 0;
   72308     $tobool = ($prefix | 0) == 0;
   72309     if ($tobool) {
   72310       label = 4;
   72311       break;
   72312     } else {
   72313       label = 3;
   72314       break;
   72315     }
   72316    case 3:
   72317     $call = _agputs($prefix, $f) | 0;
   72318     label = 4;
   72319     break;
   72320    case 4:
   72321     $arraydecay = $buf | 0;
   72322     $call1 = _sprintf($arraydecay | 0, 123320, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAPF64[tempInt >> 3] = $v, tempInt) | 0) | 0;
   72323     $call3 = _agputs($arraydecay, $f) | 0;
   72324     STACKTOP = __stackBase__;
   72325     return;
   72326   }
   72327 }
   72328 function _printstring($f, $prefix, $s) {
   72329   $f = $f | 0;
   72330   $prefix = $prefix | 0;
   72331   $s = $s | 0;
   72332   var $tobool = 0, $call = 0, $call1 = 0, label = 0;
   72333   label = 2;
   72334   while (1) switch (label | 0) {
   72335    case 2:
   72336     $tobool = ($prefix | 0) == 0;
   72337     if ($tobool) {
   72338       label = 4;
   72339       break;
   72340     } else {
   72341       label = 3;
   72342       break;
   72343     }
   72344    case 3:
   72345     $call = _agputs($prefix, $f) | 0;
   72346     label = 4;
   72347     break;
   72348    case 4:
   72349     $call1 = _agputs($s, $f) | 0;
   72350     return;
   72351   }
   72352 }
   72353 function _printpoint($f, $p) {
   72354   $f = $f | 0;
   72355   $p = $p | 0;
   72356   var $x = 0, $0 = 0.0, $div = 0.0, $1 = 0, $tobool = 0, $2 = 0.0, $y = 0, $3 = 0.0, $sub = 0.0, $y1 = 0, $4 = 0.0, $cond = 0.0, $div2 = 0.0, label = 0, tempParam = 0, __stackBase__ = 0;
   72357   __stackBase__ = STACKTOP;
   72358   tempParam = $p;
   72359   $p = STACKTOP;
   72360   STACKTOP = STACKTOP + 16 | 0;
   72361   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   72362   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   72363   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   72364   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   72365   label = 2;
   72366   while (1) switch (label | 0) {
   72367    case 2:
   72368     $x = $p | 0;
   72369     $0 = +HEAPF64[$x >> 3];
   72370     $div = $0 / 72.0;
   72371     _printdouble($f, 153984, $div);
   72372     $1 = HEAP32[41182] | 0;
   72373     $tobool = ($1 | 0) == 0;
   72374     if ($tobool) {
   72375       label = 4;
   72376       break;
   72377     } else {
   72378       label = 3;
   72379       break;
   72380     }
   72381    case 3:
   72382     $2 = +HEAPF64[20590];
   72383     $y = $p + 8 | 0;
   72384     $3 = +HEAPF64[$y >> 3];
   72385     $sub = $2 - $3;
   72386     $cond = $sub;
   72387     label = 5;
   72388     break;
   72389    case 4:
   72390     $y1 = $p + 8 | 0;
   72391     $4 = +HEAPF64[$y1 >> 3];
   72392     $cond = $4;
   72393     label = 5;
   72394     break;
   72395    case 5:
   72396     $div2 = $cond / 72.0;
   72397     _printdouble($f, 153984, $div2);
   72398     STACKTOP = __stackBase__;
   72399     return;
   72400   }
   72401 }
   72402 function _canon($s) {
   72403   $s = $s | 0;
   72404   var $call = 0, $call1 = 0;
   72405   $call = _agstrdup($s) | 0;
   72406   $call1 = _agcanonical($call) | 0;
   72407   _agstrfree($call);
   72408   return $call1 | 0;
   72409 }
   72410 function _writenodeandport($f, $node, $port) {
   72411   $f = $f | 0;
   72412   $node = $node | 0;
   72413   $port = $port | 0;
   72414   var $clustnode = 0, $0 = 0, $tobool = 0, $name3 = 0, $1 = 0, $call = 0, $add_ptr = 0, $call2 = 0, $call4 = 0, $name_0 = 0, $tobool5 = 0, $2 = 0, $tobool6 = 0, $call8 = 0, label = 0;
   72415   label = 2;
   72416   while (1) switch (label | 0) {
   72417    case 2:
   72418     $clustnode = $node + 134 | 0;
   72419     $0 = HEAP8[$clustnode] | 0;
   72420     $tobool = $0 << 24 >> 24 == 0;
   72421     $name3 = $node + 12 | 0;
   72422     $1 = HEAP32[$name3 >> 2] | 0;
   72423     if ($tobool) {
   72424       label = 4;
   72425       break;
   72426     } else {
   72427       label = 3;
   72428       break;
   72429     }
   72430    case 3:
   72431     $call = _strchr($1 | 0, 58) | 0;
   72432     $add_ptr = $call + 1 | 0;
   72433     $call2 = _canon($add_ptr) | 0;
   72434     $name_0 = $call2;
   72435     label = 5;
   72436     break;
   72437    case 4:
   72438     $call4 = _agcanonical($1) | 0;
   72439     $name_0 = $call4;
   72440     label = 5;
   72441     break;
   72442    case 5:
   72443     _printstring($f, 153984, $name_0);
   72444     $tobool5 = ($port | 0) == 0;
   72445     if ($tobool5) {
   72446       label = 8;
   72447       break;
   72448     } else {
   72449       label = 6;
   72450       break;
   72451     }
   72452    case 6:
   72453     $2 = HEAP8[$port] | 0;
   72454     $tobool6 = $2 << 24 >> 24 == 0;
   72455     if ($tobool6) {
   72456       label = 8;
   72457       break;
   72458     } else {
   72459       label = 7;
   72460       break;
   72461     }
   72462    case 7:
   72463     $call8 = _agcanonical($port) | 0;
   72464     _printstring($f, 116440, $call8);
   72465     label = 8;
   72466     break;
   72467    case 8:
   72468     return;
   72469   }
   72470 }
   72471 function _printint($f, $i) {
   72472   $f = $f | 0;
   72473   $i = $i | 0;
   72474   var $arraydecay = 0, __stackBase__ = 0;
   72475   __stackBase__ = STACKTOP;
   72476   STACKTOP = STACKTOP + 1024 | 0;
   72477   _agputs(153984, $f);
   72478   $arraydecay = __stackBase__ | 0;
   72479   _sprintf($arraydecay | 0, 117016, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $i, tempInt) | 0);
   72480   _agputs($arraydecay, $f);
   72481   STACKTOP = __stackBase__;
   72482   return;
   72483 }
   72484 function _attach_attrs_and_arrows($g, $sp, $ep) {
   72485   $g = $g | 0;
   72486   $sp = $sp | 0;
   72487   $ep = $ep | 0;
   72488   var $buf = 0, $xbuffer = 0, $xb = 0, $odim = 0, $0 = 0, $cmp = 0, $arraydecay = 0, $proto = 0, $1 = 0, $n2 = 0, $2 = 0, $3 = 0, $call = 0, $4 = 0, $n4 = 0, $5 = 0, $6 = 0, $call5 = 0, $7 = 0, $n7 = 0, $8 = 0, $9 = 0, $call8 = 0, $10 = 0, $n10 = 0, $11 = 0, $12 = 0, $call11 = 0, $13 = 0, $e13 = 0, $14 = 0, $15 = 0, $call14 = 0, $has_labels = 0, $16 = 0, $and = 0, $tobool = 0, $17 = 0, $n18 = 0, $18 = 0, $19 = 0, $call19 = 0, $20 = 0, $and23 = 0, $tobool24 = 0, $21 = 0, $e27 = 0, $22 = 0, $23 = 0, $call28 = 0, $24 = 0, $and33 = 0, $tobool34 = 0, $25 = 0, $e37 = 0, $26 = 0, $27 = 0, $call38 = 0, $28 = 0, $and43 = 0, $tobool44 = 0, $29 = 0, $e47 = 0, $30 = 0, $31 = 0, $call48 = 0, $32 = 0, $and53 = 0, $tobool54 = 0, $33 = 0, $e57 = 0, $34 = 0, $35 = 0, $call58 = 0, $label = 0, $36 = 0, $tobool61 = 0, $37 = 0, $call63 = 0, $call64 = 0, $call65 = 0, $38 = 0, $text = 0, $39 = 0, $40 = 0, $tobool68 = 0, $ptf_sroa_0_0__idx35 = 0, $ptf_sroa_0_0_copyload36 = 0.0, $ptf_sroa_1_8__idx64 = 0, $ptf_sroa_1_8_copyload65 = 0.0, $arraydecay72 = 0, $41 = 0, $tobool73 = 0, $42 = 0.0, $sub = 0.0, $cond = 0.0, $call75 = 0, $call77 = 0, $43 = 0, $ptf_sroa_0_0__idx32 = 0, $ptf_sroa_0_0_copyload33 = 0.0, $ptf_sroa_1_8__idx60 = 0, $ptf_sroa_1_8_copyload61 = 0.0, $div = 0.0, $call82 = 0, $call84 = 0, $div87 = 0.0, $call88 = 0, $call90 = 0, $44 = 0, $call93 = 0, $45 = 0, $call94 = 0, $tobool95215 = 0, $arraydecay98 = 0, $ptr = 0, $eptr = 0, $buf142 = 0, $ptr206 = 0, $eptr207 = 0, $buf217 = 0, $ptr503 = 0, $eptr504 = 0, $buf514 = 0, $ptr373 = 0, $eptr374 = 0, $ptr466 = 0, $eptr467 = 0, $ptr330 = 0, $eptr331 = 0, $buf341 = 0, $ptr251 = 0, $eptr252 = 0, $n_0220_in = 0, $e_arrows_0219 = 0, $s_arrows_0218 = 0, $n_0220 = 0, $u99 = 0, $coord = 0, $x100 = 0, $46 = 0.0, $47 = 0, $tobool101 = 0, $48 = 0.0, $y105 = 0, $49 = 0, $50 = 0.0, $sub106 = 0.0, $y110 = 0, $51 = 0, $52 = 0.0, $cond112 = 0.0, $pos114 = 0, $53 = 0, $54 = 0, $arrayidx115 = 0, $55 = 0.0, $mul = 0.0, $call116 = 0, $call118 = 0, $56 = 0, $cmp123193 = 0, $k_0194 = 0, $57 = 0, $arrayidx129 = 0, $58 = 0.0, $mul130 = 0.0, $call131 = 0, $call133 = 0, $inc = 0, $59 = 0, $conv122 = 0, $cmp123 = 0, $60 = 0, $61 = 0, $62 = 0, $cmp134 = 0, $call137 = 0, $63 = 0, $64 = 0, $call144 = 0, $65 = 0.0, $y153 = 0, $66 = 0, $67 = 0.0, $sub154 = 0.0, $y158 = 0, $68 = 0, $69 = 0.0, $cond160 = 0.0, $call161 = 0, $70 = 0, $call163 = 0, $ht = 0, $71 = 0, $72 = 0.0, $div167 = 0.0, $call168 = 0, $73 = 0, $74 = 0, $index = 0, $75 = 0, $call170 = 0, $lw = 0, $76 = 0, $77 = 0.0, $rw = 0, $78 = 0, $79 = 0.0, $add = 0.0, $div174 = 0.0, $call175 = 0, $80 = 0, $index176 = 0, $81 = 0, $call178 = 0, $xlabel = 0, $82 = 0, $tobool180 = 0, $ptf_sroa_0_0__idx29 = 0, $83 = 0, $ptf_sroa_0_0_copyload30 = 0.0, $ptf_sroa_1_8__idx57 = 0, $84 = 0, $ptf_sroa_1_8_copyload58 = 0.0, $85 = 0, $tobool187 = 0, $86 = 0.0, $sub190 = 0.0, $cond194 = 0.0, $call195 = 0, $call197 = 0, $shape = 0, $87 = 0, $name = 0, $88 = 0, $call200 = 0, $cmp201 = 0, $shape_info = 0, $89 = 0, $90 = 0, $91 = 0, $call205 = 0, $92 = 0, $93 = 0, $cmp208 = 0, $call211 = 0, $94 = 0, $95 = 0, $call219 = 0, $96 = 0, $tobool222 = 0, $call223 = 0, $tobool225 = 0, $shape_info228 = 0, $97 = 0, $98 = 0, $sides229 = 0, $99 = 0, $100 = 0, $cmp230 = 0, $call233 = 0, $tobool234 = 0, $call236 = 0, $sides_0 = 0, $cmp239 = 0, $_sides_0 = 0, $cmp245195 = 0, $sides_1224 = 0, $vertices = 0, $101 = 0, $width = 0, $102 = 0, $conv291 = 0.0, $height311 = 0, $103 = 0, $height = 0, $104 = 0, $i221_0196 = 0, $cmp248 = 0, $105 = 0, $106 = 0, $cmp253 = 0, $call256 = 0, $107 = 0, $incdec_ptr261 = 0, $108 = 0, $cmp264 = 0, $109 = 0, $x269 = 0, $110 = 0.0, $div270 = 0.0, $111 = 0, $tobool271 = 0, $112 = 0.0, $y275 = 0, $113 = 0.0, $div276 = 0.0, $sub277 = 0.0, $y281 = 0, $114 = 0.0, $div282 = 0.0, $cond284 = 0.0, $call285 = 0, $115 = 0.0, $div289 = 0.0, $conv290 = 0.0, $div292 = 0.0, $mul293 = 0.0, $mul294 = 0.0, $call295 = 0.0, $mul296 = 0.0, $116 = 0, $tobool297 = 0, $117 = 0.0, $118 = 0.0, $div300 = 0.0, $call306 = 0.0, $mul307 = 0.0, $sub308 = 0.0, $119 = 0.0, $div312 = 0.0, $call318 = 0.0, $mul319 = 0.0, $cond321 = 0.0, $call322 = 0, $call325 = 0, $inc327 = 0, $cmp245 = 0, $120 = 0, $index329 = 0, $121 = 0, $122 = 0, $123 = 0, $cmp332 = 0, $call335 = 0, $124 = 0, $125 = 0, $call343 = 0, $126 = 0, $cmp346 = 0, $call349 = 0, $tobool351208 = 0, $e_arrows_1211 = 0, $s_arrows_1210 = 0, $e_0_in209 = 0, $edge_type = 0, $127 = 0, $128 = 0, $cmp355 = 0, $u353 = 0, $spl = 0, $129 = 0, $cmp360 = 0, $130 = 0, $size201 = 0, $131 = 0, $cmp367202 = 0, $e_arrows_2206 = 0, $s_arrows_2205 = 0, $i_0203 = 0, $cmp370 = 0, $132 = 0, $133 = 0, $cmp375 = 0, $call378 = 0, $134 = 0, $incdec_ptr383 = 0, $135 = 0, $list = 0, $136 = 0, $sflag = 0, $137 = 0, $tobool388 = 0, $x396 = 0, $138 = 0.0, $139 = 0, $tobool397 = 0, $140 = 0.0, $y404 = 0, $141 = 0.0, $sub405 = 0.0, $y412 = 0, $142 = 0.0, $cond414 = 0.0, $call415 = 0, $call417 = 0, $s_arrows_3 = 0, $143 = 0, $list421 = 0, $144 = 0, $eflag = 0, $145 = 0, $tobool423 = 0, $x431 = 0, $146 = 0.0, $147 = 0, $tobool432 = 0, $148 = 0.0, $y439 = 0, $149 = 0.0, $sub440 = 0.0, $y447 = 0, $150 = 0.0, $cond449 = 0.0, $call450 = 0, $call452 = 0, $e_arrows_3 = 0, $151 = 0, $list457197 = 0, $152 = 0, $size459198 = 0, $153 = 0, $cmp460199 = 0, $j_0200 = 0, $cmp463 = 0, $154 = 0, $155 = 0, $cmp468 = 0, $call471 = 0, $156 = 0, $incdec_ptr476 = 0, $157 = 0, $list480 = 0, $158 = 0, $list482 = 0, $159 = 0, $ptf_sroa_0_0__idx26 = 0, $ptf_sroa_0_0_copyload27 = 0.0, $ptf_sroa_1_8__idx53 = 0, $ptf_sroa_1_8_copyload54 = 0.0, $160 = 0, $tobool486 = 0, $161 = 0.0, $sub489 = 0.0, $cond493 = 0.0, $call494 = 0, $call496 = 0, $inc498 = 0, $162 = 0, $list457 = 0, $163 = 0, $size459 = 0, $164 = 0, $cmp460 = 0, $inc501 = 0, $165 = 0, $size = 0, $166 = 0, $cmp367 = 0, $e_arrows_2_lcssa = 0, $s_arrows_2_lcssa = 0, $167 = 0, $168 = 0, $169 = 0, $cmp505 = 0, $call508 = 0, $170 = 0, $171 = 0, $call516 = 0, $label518 = 0, $172 = 0, $173 = 0, $tobool519 = 0, $ptf_sroa_0_0__idx23 = 0, $ptf_sroa_0_0_copyload24 = 0.0, $ptf_sroa_1_8__idx49 = 0, $ptf_sroa_1_8_copyload50 = 0.0, $174 = 0, $tobool526 = 0, $175 = 0.0, $sub529 = 0.0, $cond533 = 0.0, $call534 = 0, $call536 = 0, $xlabel539 = 0, $176 = 0, $tobool540 = 0, $ptf_sroa_0_0__idx20 = 0, $177 = 0, $ptf_sroa_0_0_copyload21 = 0.0, $ptf_sroa_1_8__idx45 = 0, $178 = 0, $ptf_sroa_1_8_copyload46 = 0.0, $179 = 0, $tobool547 = 0, $180 = 0.0, $sub550 = 0.0, $cond554 = 0.0, $call555 = 0, $call557 = 0, $head_label = 0, $181 = 0, $tobool560 = 0, $ptf_sroa_0_0__idx17 = 0, $182 = 0, $ptf_sroa_0_0_copyload18 = 0.0, $ptf_sroa_1_8__idx41 = 0, $183 = 0, $ptf_sroa_1_8_copyload42 = 0.0, $184 = 0, $tobool567 = 0, $185 = 0.0, $sub570 = 0.0, $cond574 = 0.0, $call575 = 0, $call577 = 0, $tail_label = 0, $186 = 0, $tobool580 = 0, $ptf_sroa_0_0__idx = 0, $187 = 0, $ptf_sroa_0_0_copyload = 0.0, $ptf_sroa_1_8__idx38 = 0, $188 = 0, $ptf_sroa_1_8_copyload = 0.0, $189 = 0, $tobool587 = 0, $190 = 0.0, $sub590 = 0.0, $cond594 = 0.0, $call595 = 0, $call597 = 0, $s_arrows_4 = 0, $e_arrows_4 = 0, $call600 = 0, $tobool351 = 0, $s_arrows_5 = 0, $e_arrows_5 = 0, $call604 = 0, $tobool95 = 0, $e_arrows_0_lcssa = 0, $s_arrows_0_lcssa = 0, $flags = 0, $191 = 0, $and608 = 0, $tobool609 = 0, label = 0, __stackBase__ = 0;
   72489   __stackBase__ = STACKTOP;
   72490   STACKTOP = STACKTOP + 2064 | 0;
   72491   label = 2;
   72492   while (1) switch (label | 0) {
   72493    case 2:
   72494     $buf = __stackBase__ | 0;
   72495     $xbuffer = __stackBase__ + 1024 | 0;
   72496     $xb = __stackBase__ + 2048 | 0;
   72497     $odim = $g + 210 | 0;
   72498     $0 = HEAP16[$odim >> 1] | 0;
   72499     $cmp = ($0 & 65535) > 2;
   72500     _gv_fixLocale(1);
   72501     _setYInvert($g);
   72502     $arraydecay = $xbuffer | 0;
   72503     _agxbinit($xb, 1024, $arraydecay);
   72504     $proto = $g + 40 | 0;
   72505     $1 = HEAP32[$proto >> 2] | 0;
   72506     $n2 = $1 | 0;
   72507     $2 = HEAP32[$n2 >> 2] | 0;
   72508     $3 = $2 | 0;
   72509     $call = _safe_dcl($g, $3, 92192, 107768, 380) | 0;
   72510     $4 = HEAP32[$proto >> 2] | 0;
   72511     $n4 = $4 | 0;
   72512     $5 = HEAP32[$n4 >> 2] | 0;
   72513     $6 = $5 | 0;
   72514     $call5 = _safe_dcl($g, $6, 162328, 107768, 380) | 0;
   72515     $7 = HEAP32[$proto >> 2] | 0;
   72516     $n7 = $7 | 0;
   72517     $8 = HEAP32[$n7 >> 2] | 0;
   72518     $9 = $8 | 0;
   72519     $call8 = _safe_dcl($g, $9, 158784, 107768, 380) | 0;
   72520     HEAP32[41552] = $call8;
   72521     $10 = HEAP32[$proto >> 2] | 0;
   72522     $n10 = $10 | 0;
   72523     $11 = HEAP32[$n10 >> 2] | 0;
   72524     $12 = $11 | 0;
   72525     $call11 = _safe_dcl($g, $12, 155304, 107768, 380) | 0;
   72526     HEAP32[41592] = $call11;
   72527     $13 = HEAP32[$proto >> 2] | 0;
   72528     $e13 = $13 + 4 | 0;
   72529     $14 = HEAP32[$e13 >> 2] | 0;
   72530     $15 = $14 | 0;
   72531     $call14 = _safe_dcl($g, $15, 92192, 107768, 654) | 0;
   72532     $has_labels = $g + 153 | 0;
   72533     $16 = HEAP8[$has_labels] | 0;
   72534     $and = $16 & 16;
   72535     $tobool = $and << 24 >> 24 == 0;
   72536     if ($tobool) {
   72537       label = 4;
   72538       break;
   72539     } else {
   72540       label = 3;
   72541       break;
   72542     }
   72543    case 3:
   72544     $17 = HEAP32[$proto >> 2] | 0;
   72545     $n18 = $17 | 0;
   72546     $18 = HEAP32[$n18 >> 2] | 0;
   72547     $19 = $18 | 0;
   72548     $call19 = _safe_dcl($g, $19, 151840, 107768, 380) | 0;
   72549     label = 4;
   72550     break;
   72551    case 4:
   72552     $20 = HEAP8[$has_labels] | 0;
   72553     $and23 = $20 & 1;
   72554     $tobool24 = $and23 << 24 >> 24 == 0;
   72555     if ($tobool24) {
   72556       label = 6;
   72557       break;
   72558     } else {
   72559       label = 5;
   72560       break;
   72561     }
   72562    case 5:
   72563     $21 = HEAP32[$proto >> 2] | 0;
   72564     $e27 = $21 + 4 | 0;
   72565     $22 = HEAP32[$e27 >> 2] | 0;
   72566     $23 = $22 | 0;
   72567     $call28 = _safe_dcl($g, $23, 148568, 107768, 654) | 0;
   72568     label = 6;
   72569     break;
   72570    case 6:
   72571     $24 = HEAP8[$has_labels] | 0;
   72572     $and33 = $24 & 32;
   72573     $tobool34 = $and33 << 24 >> 24 == 0;
   72574     if ($tobool34) {
   72575       label = 8;
   72576       break;
   72577     } else {
   72578       label = 7;
   72579       break;
   72580     }
   72581    case 7:
   72582     $25 = HEAP32[$proto >> 2] | 0;
   72583     $e37 = $25 + 4 | 0;
   72584     $26 = HEAP32[$e37 >> 2] | 0;
   72585     $27 = $26 | 0;
   72586     $call38 = _safe_dcl($g, $27, 151840, 107768, 654) | 0;
   72587     label = 8;
   72588     break;
   72589    case 8:
   72590     $28 = HEAP8[$has_labels] | 0;
   72591     $and43 = $28 & 2;
   72592     $tobool44 = $and43 << 24 >> 24 == 0;
   72593     if ($tobool44) {
   72594       label = 10;
   72595       break;
   72596     } else {
   72597       label = 9;
   72598       break;
   72599     }
   72600    case 9:
   72601     $29 = HEAP32[$proto >> 2] | 0;
   72602     $e47 = $29 + 4 | 0;
   72603     $30 = HEAP32[$e47 >> 2] | 0;
   72604     $31 = $30 | 0;
   72605     $call48 = _safe_dcl($g, $31, 145808, 107768, 654) | 0;
   72606     label = 10;
   72607     break;
   72608    case 10:
   72609     $32 = HEAP8[$has_labels] | 0;
   72610     $and53 = $32 & 4;
   72611     $tobool54 = $and53 << 24 >> 24 == 0;
   72612     if ($tobool54) {
   72613       label = 12;
   72614       break;
   72615     } else {
   72616       label = 11;
   72617       break;
   72618     }
   72619    case 11:
   72620     $33 = HEAP32[$proto >> 2] | 0;
   72621     $e57 = $33 + 4 | 0;
   72622     $34 = HEAP32[$e57 >> 2] | 0;
   72623     $35 = $34 | 0;
   72624     $call58 = _safe_dcl($g, $35, 142760, 107768, 654) | 0;
   72625     label = 12;
   72626     break;
   72627    case 12:
   72628     $label = $g + 52 | 0;
   72629     $36 = HEAP32[$label >> 2] | 0;
   72630     $tobool61 = ($36 | 0) == 0;
   72631     if ($tobool61) {
   72632       label = 17;
   72633       break;
   72634     } else {
   72635       label = 13;
   72636       break;
   72637     }
   72638    case 13:
   72639     $37 = $g | 0;
   72640     $call63 = _safe_dcl($g, $37, 148568, 107768, 360) | 0;
   72641     $call64 = _safe_dcl($g, $37, 139232, 107768, 360) | 0;
   72642     $call65 = _safe_dcl($g, $37, 136456, 107768, 360) | 0;
   72643     $38 = HEAP32[$label >> 2] | 0;
   72644     $text = $38 | 0;
   72645     $39 = HEAP32[$text >> 2] | 0;
   72646     $40 = HEAP8[$39] | 0;
   72647     $tobool68 = $40 << 24 >> 24 == 0;
   72648     if ($tobool68) {
   72649       label = 17;
   72650       break;
   72651     } else {
   72652       label = 14;
   72653       break;
   72654     }
   72655    case 14:
   72656     $ptf_sroa_0_0__idx35 = $38 + 56 | 0;
   72657     $ptf_sroa_0_0_copyload36 = +HEAPF64[$ptf_sroa_0_0__idx35 >> 3];
   72658     $ptf_sroa_1_8__idx64 = $38 + 64 | 0;
   72659     $ptf_sroa_1_8_copyload65 = +HEAPF64[$ptf_sroa_1_8__idx64 >> 3];
   72660     $arraydecay72 = $buf | 0;
   72661     $41 = HEAP32[41182] | 0;
   72662     $tobool73 = ($41 | 0) == 0;
   72663     if ($tobool73) {
   72664       $cond = $ptf_sroa_1_8_copyload65;
   72665       label = 16;
   72666       break;
   72667     } else {
   72668       label = 15;
   72669       break;
   72670     }
   72671    case 15:
   72672     $42 = +HEAPF64[20590];
   72673     $sub = $42 - $ptf_sroa_1_8_copyload65;
   72674     $cond = $sub;
   72675     label = 16;
   72676     break;
   72677    case 16:
   72678     $call75 = _sprintf($arraydecay72 | 0, 134096, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = $ptf_sroa_0_0_copyload36, HEAPF64[tempInt + 8 >> 3] = $cond, tempInt) | 0) | 0;
   72679     $call77 = _agset($37, 148568, $arraydecay72) | 0;
   72680     $43 = HEAP32[$label >> 2] | 0;
   72681     $ptf_sroa_0_0__idx32 = $43 + 24 | 0;
   72682     $ptf_sroa_0_0_copyload33 = +HEAPF64[$ptf_sroa_0_0__idx32 >> 3];
   72683     $ptf_sroa_1_8__idx60 = $43 + 32 | 0;
   72684     $ptf_sroa_1_8_copyload61 = +HEAPF64[$ptf_sroa_1_8__idx60 >> 3];
   72685     $div = $ptf_sroa_0_0_copyload33 / 72.0;
   72686     $call82 = _sprintf($arraydecay72 | 0, 131848, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAPF64[tempInt >> 3] = $div, tempInt) | 0) | 0;
   72687     $call84 = _agset($37, 139232, $arraydecay72) | 0;
   72688     $div87 = $ptf_sroa_1_8_copyload61 / 72.0;
   72689     $call88 = _sprintf($arraydecay72 | 0, 131848, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAPF64[tempInt >> 3] = $div87, tempInt) | 0) | 0;
   72690     $call90 = _agset($37, 136456, $arraydecay72) | 0;
   72691     label = 17;
   72692     break;
   72693    case 17:
   72694     $44 = $g | 0;
   72695     $call93 = _safe_dcl($g, $44, 129992, 107768, 360) | 0;
   72696     $45 = $g;
   72697     $call94 = _agfstnode($45) | 0;
   72698     $tobool95215 = ($call94 | 0) == 0;
   72699     if ($tobool95215) {
   72700       $s_arrows_0_lcssa = 0;
   72701       $e_arrows_0_lcssa = 0;
   72702       label = 112;
   72703       break;
   72704     } else {
   72705       label = 18;
   72706       break;
   72707     }
   72708    case 18:
   72709     $arraydecay98 = $buf | 0;
   72710     $ptr = $xb + 4 | 0;
   72711     $eptr = $xb + 8 | 0;
   72712     $buf142 = $xb | 0;
   72713     $ptr206 = $xb + 4 | 0;
   72714     $eptr207 = $xb + 8 | 0;
   72715     $buf217 = $xb | 0;
   72716     $ptr503 = $xb + 4 | 0;
   72717     $eptr504 = $xb + 8 | 0;
   72718     $buf514 = $xb | 0;
   72719     $ptr373 = $xb + 4 | 0;
   72720     $eptr374 = $xb + 8 | 0;
   72721     $ptr466 = $xb + 4 | 0;
   72722     $eptr467 = $xb + 8 | 0;
   72723     $ptr330 = $xb + 4 | 0;
   72724     $eptr331 = $xb + 8 | 0;
   72725     $buf341 = $xb | 0;
   72726     $ptr251 = $xb + 4 | 0;
   72727     $eptr252 = $xb + 8 | 0;
   72728     $s_arrows_0218 = 0;
   72729     $e_arrows_0219 = 0;
   72730     $n_0220_in = $call94;
   72731     label = 19;
   72732     break;
   72733    case 19:
   72734     $n_0220 = $n_0220_in;
   72735     $u99 = $n_0220_in + 24 | 0;
   72736     $coord = $n_0220_in + 32 | 0;
   72737     $x100 = $coord;
   72738     $46 = +HEAPF64[$x100 >> 3];
   72739     $47 = HEAP32[41182] | 0;
   72740     $tobool101 = ($47 | 0) != 0;
   72741     if ($cmp) {
   72742       label = 20;
   72743       break;
   72744     } else {
   72745       label = 28;
   72746       break;
   72747     }
   72748    case 20:
   72749     if ($tobool101) {
   72750       label = 21;
   72751       break;
   72752     } else {
   72753       label = 22;
   72754       break;
   72755     }
   72756    case 21:
   72757     $48 = +HEAPF64[20590];
   72758     $y105 = $coord + 8 | 0;
   72759     $49 = $y105;
   72760     $50 = +HEAPF64[$49 >> 3];
   72761     $sub106 = $48 - $50;
   72762     $cond112 = $sub106;
   72763     label = 23;
   72764     break;
   72765    case 22:
   72766     $y110 = $coord + 8 | 0;
   72767     $51 = $y110;
   72768     $52 = +HEAPF64[$51 >> 3];
   72769     $cond112 = $52;
   72770     label = 23;
   72771     break;
   72772    case 23:
   72773     $pos114 = $u99 + 124 | 0;
   72774     $53 = $pos114;
   72775     $54 = HEAP32[$53 >> 2] | 0;
   72776     $arrayidx115 = $54 + 16 | 0;
   72777     $55 = +HEAPF64[$arrayidx115 >> 3];
   72778     $mul = $55 * 72.0;
   72779     $call116 = _sprintf($arraydecay98 | 0, 127904, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAPF64[tempInt >> 3] = $46, HEAPF64[tempInt + 8 >> 3] = $cond112, HEAPF64[tempInt + 16 >> 3] = $mul, tempInt) | 0) | 0;
   72780     $call118 = _agxbput($xb, $arraydecay98) | 0;
   72781     $56 = HEAP16[$odim >> 1] | 0;
   72782     $cmp123193 = ($56 & 65535) > 3;
   72783     if ($cmp123193) {
   72784       $k_0194 = 3;
   72785       label = 24;
   72786       break;
   72787     } else {
   72788       label = 25;
   72789       break;
   72790     }
   72791    case 24:
   72792     $57 = HEAP32[$53 >> 2] | 0;
   72793     $arrayidx129 = $57 + ($k_0194 << 3) | 0;
   72794     $58 = +HEAPF64[$arrayidx129 >> 3];
   72795     $mul130 = $58 * 72.0;
   72796     $call131 = _sprintf($arraydecay98 | 0, 125368, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAPF64[tempInt >> 3] = $mul130, tempInt) | 0) | 0;
   72797     $call133 = _agxbput($xb, $arraydecay98) | 0;
   72798     $inc = $k_0194 + 1 | 0;
   72799     $59 = HEAP16[$odim >> 1] | 0;
   72800     $conv122 = $59 & 65535;
   72801     $cmp123 = ($inc | 0) < ($conv122 | 0);
   72802     if ($cmp123) {
   72803       $k_0194 = $inc;
   72804       label = 24;
   72805       break;
   72806     } else {
   72807       label = 25;
   72808       break;
   72809     }
   72810    case 25:
   72811     $60 = $n_0220_in | 0;
   72812     $61 = HEAP32[$ptr >> 2] | 0;
   72813     $62 = HEAP32[$eptr >> 2] | 0;
   72814     $cmp134 = $61 >>> 0 < $62 >>> 0;
   72815     if ($cmp134) {
   72816       label = 27;
   72817       break;
   72818     } else {
   72819       label = 26;
   72820       break;
   72821     }
   72822    case 26:
   72823     $call137 = _agxbmore($xb, 1) | 0;
   72824     label = 27;
   72825     break;
   72826    case 27:
   72827     $63 = HEAP32[$ptr >> 2] | 0;
   72828     HEAP8[$63] = 0;
   72829     $64 = HEAP32[$buf142 >> 2] | 0;
   72830     HEAP32[$ptr >> 2] = $64;
   72831     $call144 = _agset($60, 92192, $64) | 0;
   72832     label = 32;
   72833     break;
   72834    case 28:
   72835     if ($tobool101) {
   72836       label = 29;
   72837       break;
   72838     } else {
   72839       label = 30;
   72840       break;
   72841     }
   72842    case 29:
   72843     $65 = +HEAPF64[20590];
   72844     $y153 = $coord + 8 | 0;
   72845     $66 = $y153;
   72846     $67 = +HEAPF64[$66 >> 3];
   72847     $sub154 = $65 - $67;
   72848     $cond160 = $sub154;
   72849     label = 31;
   72850     break;
   72851    case 30:
   72852     $y158 = $n_0220_in + 40 | 0;
   72853     $68 = $y158;
   72854     $69 = +HEAPF64[$68 >> 3];
   72855     $cond160 = $69;
   72856     label = 31;
   72857     break;
   72858    case 31:
   72859     $call161 = _sprintf($arraydecay98 | 0, 134096, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = $46, HEAPF64[tempInt + 8 >> 3] = $cond160, tempInt) | 0) | 0;
   72860     $70 = $n_0220_in | 0;
   72861     $call163 = _agset($70, 92192, $arraydecay98) | 0;
   72862     label = 32;
   72863     break;
   72864    case 32:
   72865     $ht = $n_0220_in + 96 | 0;
   72866     $71 = $ht;
   72867     $72 = +HEAPF64[$71 >> 3];
   72868     $div167 = $72 / 72.0;
   72869     $call168 = _sprintf($arraydecay98 | 0, 123320, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAPF64[tempInt >> 3] = $div167, tempInt) | 0) | 0;
   72870     $73 = $n_0220_in | 0;
   72871     $74 = HEAP32[41592] | 0;
   72872     $index = $74 + 8 | 0;
   72873     $75 = HEAP32[$index >> 2] | 0;
   72874     $call170 = _agxset($73, $75, $arraydecay98) | 0;
   72875     $lw = $n_0220_in + 104 | 0;
   72876     $76 = $lw;
   72877     $77 = +HEAPF64[$76 >> 3];
   72878     $rw = $n_0220_in + 112 | 0;
   72879     $78 = $rw;
   72880     $79 = +HEAPF64[$78 >> 3];
   72881     $add = $77 + $79;
   72882     $div174 = $add / 72.0;
   72883     $call175 = _sprintf($arraydecay98 | 0, 123320, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAPF64[tempInt >> 3] = $div174, tempInt) | 0) | 0;
   72884     $80 = HEAP32[41552] | 0;
   72885     $index176 = $80 + 8 | 0;
   72886     $81 = HEAP32[$index176 >> 2] | 0;
   72887     $call178 = _agxset($73, $81, $arraydecay98) | 0;
   72888     $xlabel = $n_0220_in + 124 | 0;
   72889     $82 = HEAP32[$xlabel >> 2] | 0;
   72890     $tobool180 = ($82 | 0) == 0;
   72891     if ($tobool180) {
   72892       label = 36;
   72893       break;
   72894     } else {
   72895       label = 33;
   72896       break;
   72897     }
   72898    case 33:
   72899     $ptf_sroa_0_0__idx29 = $82 + 56 | 0;
   72900     $83 = $ptf_sroa_0_0__idx29;
   72901     $ptf_sroa_0_0_copyload30 = +HEAPF64[$83 >> 3];
   72902     $ptf_sroa_1_8__idx57 = $82 + 64 | 0;
   72903     $84 = $ptf_sroa_1_8__idx57;
   72904     $ptf_sroa_1_8_copyload58 = +HEAPF64[$84 >> 3];
   72905     $85 = HEAP32[41182] | 0;
   72906     $tobool187 = ($85 | 0) == 0;
   72907     if ($tobool187) {
   72908       $cond194 = $ptf_sroa_1_8_copyload58;
   72909       label = 35;
   72910       break;
   72911     } else {
   72912       label = 34;
   72913       break;
   72914     }
   72915    case 34:
   72916     $86 = +HEAPF64[20590];
   72917     $sub190 = $86 - $ptf_sroa_1_8_copyload58;
   72918     $cond194 = $sub190;
   72919     label = 35;
   72920     break;
   72921    case 35:
   72922     $call195 = _sprintf($arraydecay98 | 0, 134096, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = $ptf_sroa_0_0_copyload30, HEAPF64[tempInt + 8 >> 3] = $cond194, tempInt) | 0) | 0;
   72923     $call197 = _agset($73, 151840, $arraydecay98) | 0;
   72924     label = 36;
   72925     break;
   72926    case 36:
   72927     $shape = $u99;
   72928     $87 = HEAP32[$shape >> 2] | 0;
   72929     $name = $87 | 0;
   72930     $88 = HEAP32[$name >> 2] | 0;
   72931     $call200 = _strcmp($88 | 0, 121808) | 0;
   72932     $cmp201 = ($call200 | 0) == 0;
   72933     if ($cmp201) {
   72934       label = 37;
   72935       break;
   72936     } else {
   72937       label = 40;
   72938       break;
   72939     }
   72940    case 37:
   72941     $shape_info = $u99 + 4 | 0;
   72942     $89 = $shape_info;
   72943     $90 = HEAP32[$89 >> 2] | 0;
   72944     $91 = $90;
   72945     _set_record_rects($n_0220, $91, $xb);
   72946     $call205 = _agxbpop($xb) | 0;
   72947     $92 = HEAP32[$ptr206 >> 2] | 0;
   72948     $93 = HEAP32[$eptr207 >> 2] | 0;
   72949     $cmp208 = $92 >>> 0 < $93 >>> 0;
   72950     if ($cmp208) {
   72951       label = 39;
   72952       break;
   72953     } else {
   72954       label = 38;
   72955       break;
   72956     }
   72957    case 38:
   72958     $call211 = _agxbmore($xb, 1) | 0;
   72959     label = 39;
   72960     break;
   72961    case 39:
   72962     $94 = HEAP32[$ptr206 >> 2] | 0;
   72963     HEAP8[$94] = 0;
   72964     $95 = HEAP32[$buf217 >> 2] | 0;
   72965     HEAP32[$ptr206 >> 2] = $95;
   72966     $call219 = _agset($73, 162328, $95) | 0;
   72967     label = 64;
   72968     break;
   72969    case 40:
   72970     $96 = HEAP32[41558] | 0;
   72971     $tobool222 = ($96 | 0) == 0;
   72972     if ($tobool222) {
   72973       label = 64;
   72974       break;
   72975     } else {
   72976       label = 41;
   72977       break;
   72978     }
   72979    case 41:
   72980     $call223 = _isPolygon($n_0220) | 0;
   72981     $tobool225 = $call223 << 24 >> 24 == 0;
   72982     if ($tobool225) {
   72983       label = 64;
   72984       break;
   72985     } else {
   72986       label = 42;
   72987       break;
   72988     }
   72989    case 42:
   72990     $shape_info228 = $u99 + 4 | 0;
   72991     $97 = $shape_info228;
   72992     $98 = HEAP32[$97 >> 2] | 0;
   72993     $sides229 = $98 + 8 | 0;
   72994     $99 = $sides229;
   72995     $100 = HEAP32[$99 >> 2] | 0;
   72996     $cmp230 = ($100 | 0) < 3;
   72997     if ($cmp230) {
   72998       label = 43;
   72999       break;
   73000     } else {
   73001       $sides_1224 = $100;
   73002       label = 46;
   73003       break;
   73004     }
   73005    case 43:
   73006     $call233 = _agget($73, 121240) | 0;
   73007     $tobool234 = ($call233 | 0) == 0;
   73008     if ($tobool234) {
   73009       $sides_0 = 8;
   73010       label = 45;
   73011       break;
   73012     } else {
   73013       label = 44;
   73014       break;
   73015     }
   73016    case 44:
   73017     $call236 = _atoi($call233 | 0) | 0;
   73018     $sides_0 = $call236;
   73019     label = 45;
   73020     break;
   73021    case 45:
   73022     $cmp239 = ($sides_0 | 0) < 3;
   73023     $_sides_0 = $cmp239 ? 8 : $sides_0;
   73024     $cmp245195 = ($_sides_0 | 0) > 0;
   73025     if ($cmp245195) {
   73026       $sides_1224 = $_sides_0;
   73027       label = 46;
   73028       break;
   73029     } else {
   73030       label = 61;
   73031       break;
   73032     }
   73033    case 46:
   73034     $vertices = $98 + 44 | 0;
   73035     $101 = $vertices;
   73036     $width = $u99 + 24 | 0;
   73037     $102 = $width;
   73038     $conv291 = +($sides_1224 | 0);
   73039     $height311 = $u99 + 32 | 0;
   73040     $103 = $height311;
   73041     $height = $u99 + 32 | 0;
   73042     $104 = $height;
   73043     $i221_0196 = 0;
   73044     label = 47;
   73045     break;
   73046    case 47:
   73047     $cmp248 = ($i221_0196 | 0) > 0;
   73048     if ($cmp248) {
   73049       label = 48;
   73050       break;
   73051     } else {
   73052       label = 51;
   73053       break;
   73054     }
   73055    case 48:
   73056     $105 = HEAP32[$ptr251 >> 2] | 0;
   73057     $106 = HEAP32[$eptr252 >> 2] | 0;
   73058     $cmp253 = $105 >>> 0 < $106 >>> 0;
   73059     if ($cmp253) {
   73060       label = 50;
   73061       break;
   73062     } else {
   73063       label = 49;
   73064       break;
   73065     }
   73066    case 49:
   73067     $call256 = _agxbmore($xb, 1) | 0;
   73068     label = 50;
   73069     break;
   73070    case 50:
   73071     $107 = HEAP32[$ptr251 >> 2] | 0;
   73072     $incdec_ptr261 = $107 + 1 | 0;
   73073     HEAP32[$ptr251 >> 2] = $incdec_ptr261;
   73074     HEAP8[$107] = 32;
   73075     label = 51;
   73076     break;
   73077    case 51:
   73078     $108 = HEAP32[$99 >> 2] | 0;
   73079     $cmp264 = ($108 | 0) > 2;
   73080     if ($cmp264) {
   73081       label = 52;
   73082       break;
   73083     } else {
   73084       label = 56;
   73085       break;
   73086     }
   73087    case 52:
   73088     $109 = HEAP32[$101 >> 2] | 0;
   73089     $x269 = $109 + ($i221_0196 << 4) | 0;
   73090     $110 = +HEAPF64[$x269 >> 3];
   73091     $div270 = $110 / 72.0;
   73092     $111 = HEAP32[41182] | 0;
   73093     $tobool271 = ($111 | 0) == 0;
   73094     if ($tobool271) {
   73095       label = 54;
   73096       break;
   73097     } else {
   73098       label = 53;
   73099       break;
   73100     }
   73101    case 53:
   73102     $112 = +HEAPF64[20592];
   73103     $y275 = $109 + ($i221_0196 << 4) + 8 | 0;
   73104     $113 = +HEAPF64[$y275 >> 3];
   73105     $div276 = $113 / 72.0;
   73106     $sub277 = $112 - $div276;
   73107     $cond284 = $sub277;
   73108     label = 55;
   73109     break;
   73110    case 54:
   73111     $y281 = $109 + ($i221_0196 << 4) + 8 | 0;
   73112     $114 = +HEAPF64[$y281 >> 3];
   73113     $div282 = $114 / 72.0;
   73114     $cond284 = $div282;
   73115     label = 55;
   73116     break;
   73117    case 55:
   73118     $call285 = _sprintf($arraydecay98 | 0, 120536, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = $div270, HEAPF64[tempInt + 8 >> 3] = $cond284, tempInt) | 0) | 0;
   73119     label = 60;
   73120     break;
   73121    case 56:
   73122     $115 = +HEAPF64[$102 >> 3];
   73123     $div289 = $115 * .5;
   73124     $conv290 = +($i221_0196 | 0);
   73125     $div292 = $conv290 / $conv291;
   73126     $mul293 = $div292 * 3.141592653589793;
   73127     $mul294 = $mul293 * 2.0;
   73128     $call295 = +Math_cos(+$mul294);
   73129     $mul296 = $div289 * $call295;
   73130     $116 = HEAP32[41182] | 0;
   73131     $tobool297 = ($116 | 0) == 0;
   73132     if ($tobool297) {
   73133       label = 58;
   73134       break;
   73135     } else {
   73136       label = 57;
   73137       break;
   73138     }
   73139    case 57:
   73140     $117 = +HEAPF64[20592];
   73141     $118 = +HEAPF64[$104 >> 3];
   73142     $div300 = $118 * .5;
   73143     $call306 = +Math_sin(+$mul294);
   73144     $mul307 = $div300 * $call306;
   73145     $sub308 = $117 - $mul307;
   73146     $cond321 = $sub308;
   73147     label = 59;
   73148     break;
   73149    case 58:
   73150     $119 = +HEAPF64[$103 >> 3];
   73151     $div312 = $119 * .5;
   73152     $call318 = +Math_sin(+$mul294);
   73153     $mul319 = $div312 * $call318;
   73154     $cond321 = $mul319;
   73155     label = 59;
   73156     break;
   73157    case 59:
   73158     $call322 = _sprintf($arraydecay98 | 0, 120536, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = $mul296, HEAPF64[tempInt + 8 >> 3] = $cond321, tempInt) | 0) | 0;
   73159     label = 60;
   73160     break;
   73161    case 60:
   73162     $call325 = _agxbput($xb, $arraydecay98) | 0;
   73163     $inc327 = $i221_0196 + 1 | 0;
   73164     $cmp245 = ($inc327 | 0) < ($sides_1224 | 0);
   73165     if ($cmp245) {
   73166       $i221_0196 = $inc327;
   73167       label = 47;
   73168       break;
   73169     } else {
   73170       label = 61;
   73171       break;
   73172     }
   73173    case 61:
   73174     $120 = HEAP32[41558] | 0;
   73175     $index329 = $120 + 8 | 0;
   73176     $121 = HEAP32[$index329 >> 2] | 0;
   73177     $122 = HEAP32[$ptr330 >> 2] | 0;
   73178     $123 = HEAP32[$eptr331 >> 2] | 0;
   73179     $cmp332 = $122 >>> 0 < $123 >>> 0;
   73180     if ($cmp332) {
   73181       label = 63;
   73182       break;
   73183     } else {
   73184       label = 62;
   73185       break;
   73186     }
   73187    case 62:
   73188     $call335 = _agxbmore($xb, 1) | 0;
   73189     label = 63;
   73190     break;
   73191    case 63:
   73192     $124 = HEAP32[$ptr330 >> 2] | 0;
   73193     HEAP8[$124] = 0;
   73194     $125 = HEAP32[$buf341 >> 2] | 0;
   73195     HEAP32[$ptr330 >> 2] = $125;
   73196     $call343 = _agxset($73, $121, $125) | 0;
   73197     label = 64;
   73198     break;
   73199    case 64:
   73200     $126 = HEAP32[41356] | 0;
   73201     $cmp346 = ($126 | 0) > 0;
   73202     if ($cmp346) {
   73203       label = 65;
   73204       break;
   73205     } else {
   73206       $e_arrows_5 = $e_arrows_0219;
   73207       $s_arrows_5 = $s_arrows_0218;
   73208       label = 111;
   73209       break;
   73210     }
   73211    case 65:
   73212     $call349 = _agfstout($45, $n_0220_in) | 0;
   73213     $tobool351208 = ($call349 | 0) == 0;
   73214     if ($tobool351208) {
   73215       $e_arrows_5 = $e_arrows_0219;
   73216       $s_arrows_5 = $s_arrows_0218;
   73217       label = 111;
   73218       break;
   73219     } else {
   73220       $e_0_in209 = $call349;
   73221       $s_arrows_1210 = $s_arrows_0218;
   73222       $e_arrows_1211 = $e_arrows_0219;
   73223       label = 66;
   73224       break;
   73225     }
   73226    case 66:
   73227     $edge_type = $e_0_in209 + 128 | 0;
   73228     $127 = $edge_type;
   73229     $128 = HEAP8[$127] | 0;
   73230     $cmp355 = $128 << 24 >> 24 == 6;
   73231     if ($cmp355) {
   73232       $e_arrows_4 = $e_arrows_1211;
   73233       $s_arrows_4 = $s_arrows_1210;
   73234       label = 110;
   73235       break;
   73236     } else {
   73237       label = 67;
   73238       break;
   73239     }
   73240    case 67:
   73241     $u353 = $e_0_in209 + 24 | 0;
   73242     $spl = $u353;
   73243     $129 = HEAP32[$spl >> 2] | 0;
   73244     $cmp360 = ($129 | 0) == 0;
   73245     if ($cmp360) {
   73246       $e_arrows_4 = $e_arrows_1211;
   73247       $s_arrows_4 = $s_arrows_1210;
   73248       label = 110;
   73249       break;
   73250     } else {
   73251       label = 68;
   73252       break;
   73253     }
   73254    case 68:
   73255     $130 = HEAP32[$spl >> 2] | 0;
   73256     $size201 = $130 + 4 | 0;
   73257     $131 = HEAP32[$size201 >> 2] | 0;
   73258     $cmp367202 = ($131 | 0) > 0;
   73259     if ($cmp367202) {
   73260       $i_0203 = 0;
   73261       $s_arrows_2205 = $s_arrows_1210;
   73262       $e_arrows_2206 = $e_arrows_1211;
   73263       label = 69;
   73264       break;
   73265     } else {
   73266       $s_arrows_2_lcssa = $s_arrows_1210;
   73267       $e_arrows_2_lcssa = $e_arrows_1211;
   73268       label = 92;
   73269       break;
   73270     }
   73271    case 69:
   73272     $cmp370 = ($i_0203 | 0) > 0;
   73273     if ($cmp370) {
   73274       label = 70;
   73275       break;
   73276     } else {
   73277       label = 73;
   73278       break;
   73279     }
   73280    case 70:
   73281     $132 = HEAP32[$ptr373 >> 2] | 0;
   73282     $133 = HEAP32[$eptr374 >> 2] | 0;
   73283     $cmp375 = $132 >>> 0 < $133 >>> 0;
   73284     if ($cmp375) {
   73285       label = 72;
   73286       break;
   73287     } else {
   73288       label = 71;
   73289       break;
   73290     }
   73291    case 71:
   73292     $call378 = _agxbmore($xb, 1) | 0;
   73293     label = 72;
   73294     break;
   73295    case 72:
   73296     $134 = HEAP32[$ptr373 >> 2] | 0;
   73297     $incdec_ptr383 = $134 + 1 | 0;
   73298     HEAP32[$ptr373 >> 2] = $incdec_ptr383;
   73299     HEAP8[$134] = 59;
   73300     label = 73;
   73301     break;
   73302    case 73:
   73303     $135 = HEAP32[$spl >> 2] | 0;
   73304     $list = $135 | 0;
   73305     $136 = HEAP32[$list >> 2] | 0;
   73306     $sflag = $136 + ($i_0203 * 48 & -1) + 8 | 0;
   73307     $137 = HEAP32[$sflag >> 2] | 0;
   73308     $tobool388 = ($137 | 0) == 0;
   73309     if ($tobool388) {
   73310       $s_arrows_3 = $s_arrows_2205;
   73311       label = 78;
   73312       break;
   73313     } else {
   73314       label = 74;
   73315       break;
   73316     }
   73317    case 74:
   73318     $x396 = $136 + ($i_0203 * 48 & -1) + 16 | 0;
   73319     $138 = +HEAPF64[$x396 >> 3];
   73320     $139 = HEAP32[41182] | 0;
   73321     $tobool397 = ($139 | 0) == 0;
   73322     if ($tobool397) {
   73323       label = 76;
   73324       break;
   73325     } else {
   73326       label = 75;
   73327       break;
   73328     }
   73329    case 75:
   73330     $140 = +HEAPF64[20590];
   73331     $y404 = $136 + ($i_0203 * 48 & -1) + 24 | 0;
   73332     $141 = +HEAPF64[$y404 >> 3];
   73333     $sub405 = $140 - $141;
   73334     $cond414 = $sub405;
   73335     label = 77;
   73336     break;
   73337    case 76:
   73338     $y412 = $136 + ($i_0203 * 48 & -1) + 24 | 0;
   73339     $142 = +HEAPF64[$y412 >> 3];
   73340     $cond414 = $142;
   73341     label = 77;
   73342     break;
   73343    case 77:
   73344     $call415 = _sprintf($arraydecay98 | 0, 119848, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = $138, HEAPF64[tempInt + 8 >> 3] = $cond414, tempInt) | 0) | 0;
   73345     $call417 = _agxbput($xb, $arraydecay98) | 0;
   73346     $s_arrows_3 = 1;
   73347     label = 78;
   73348     break;
   73349    case 78:
   73350     $143 = HEAP32[$spl >> 2] | 0;
   73351     $list421 = $143 | 0;
   73352     $144 = HEAP32[$list421 >> 2] | 0;
   73353     $eflag = $144 + ($i_0203 * 48 & -1) + 12 | 0;
   73354     $145 = HEAP32[$eflag >> 2] | 0;
   73355     $tobool423 = ($145 | 0) == 0;
   73356     if ($tobool423) {
   73357       $e_arrows_3 = $e_arrows_2206;
   73358       label = 83;
   73359       break;
   73360     } else {
   73361       label = 79;
   73362       break;
   73363     }
   73364    case 79:
   73365     $x431 = $144 + ($i_0203 * 48 & -1) + 32 | 0;
   73366     $146 = +HEAPF64[$x431 >> 3];
   73367     $147 = HEAP32[41182] | 0;
   73368     $tobool432 = ($147 | 0) == 0;
   73369     if ($tobool432) {
   73370       label = 81;
   73371       break;
   73372     } else {
   73373       label = 80;
   73374       break;
   73375     }
   73376    case 80:
   73377     $148 = +HEAPF64[20590];
   73378     $y439 = $144 + ($i_0203 * 48 & -1) + 40 | 0;
   73379     $149 = +HEAPF64[$y439 >> 3];
   73380     $sub440 = $148 - $149;
   73381     $cond449 = $sub440;
   73382     label = 82;
   73383     break;
   73384    case 81:
   73385     $y447 = $144 + ($i_0203 * 48 & -1) + 40 | 0;
   73386     $150 = +HEAPF64[$y447 >> 3];
   73387     $cond449 = $150;
   73388     label = 82;
   73389     break;
   73390    case 82:
   73391     $call450 = _sprintf($arraydecay98 | 0, 119320, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = $146, HEAPF64[tempInt + 8 >> 3] = $cond449, tempInt) | 0) | 0;
   73392     $call452 = _agxbput($xb, $arraydecay98) | 0;
   73393     $e_arrows_3 = 1;
   73394     label = 83;
   73395     break;
   73396    case 83:
   73397     $151 = HEAP32[$spl >> 2] | 0;
   73398     $list457197 = $151 | 0;
   73399     $152 = HEAP32[$list457197 >> 2] | 0;
   73400     $size459198 = $152 + ($i_0203 * 48 & -1) + 4 | 0;
   73401     $153 = HEAP32[$size459198 >> 2] | 0;
   73402     $cmp460199 = ($153 | 0) > 0;
   73403     if ($cmp460199) {
   73404       $j_0200 = 0;
   73405       label = 84;
   73406       break;
   73407     } else {
   73408       label = 91;
   73409       break;
   73410     }
   73411    case 84:
   73412     $cmp463 = ($j_0200 | 0) > 0;
   73413     if ($cmp463) {
   73414       label = 85;
   73415       break;
   73416     } else {
   73417       label = 88;
   73418       break;
   73419     }
   73420    case 85:
   73421     $154 = HEAP32[$ptr466 >> 2] | 0;
   73422     $155 = HEAP32[$eptr467 >> 2] | 0;
   73423     $cmp468 = $154 >>> 0 < $155 >>> 0;
   73424     if ($cmp468) {
   73425       label = 87;
   73426       break;
   73427     } else {
   73428       label = 86;
   73429       break;
   73430     }
   73431    case 86:
   73432     $call471 = _agxbmore($xb, 1) | 0;
   73433     label = 87;
   73434     break;
   73435    case 87:
   73436     $156 = HEAP32[$ptr466 >> 2] | 0;
   73437     $incdec_ptr476 = $156 + 1 | 0;
   73438     HEAP32[$ptr466 >> 2] = $incdec_ptr476;
   73439     HEAP8[$156] = 32;
   73440     label = 88;
   73441     break;
   73442    case 88:
   73443     $157 = HEAP32[$spl >> 2] | 0;
   73444     $list480 = $157 | 0;
   73445     $158 = HEAP32[$list480 >> 2] | 0;
   73446     $list482 = $158 + ($i_0203 * 48 & -1) | 0;
   73447     $159 = HEAP32[$list482 >> 2] | 0;
   73448     $ptf_sroa_0_0__idx26 = $159 + ($j_0200 << 4) | 0;
   73449     $ptf_sroa_0_0_copyload27 = +HEAPF64[$ptf_sroa_0_0__idx26 >> 3];
   73450     $ptf_sroa_1_8__idx53 = $159 + ($j_0200 << 4) + 8 | 0;
   73451     $ptf_sroa_1_8_copyload54 = +HEAPF64[$ptf_sroa_1_8__idx53 >> 3];
   73452     $160 = HEAP32[41182] | 0;
   73453     $tobool486 = ($160 | 0) == 0;
   73454     if ($tobool486) {
   73455       $cond493 = $ptf_sroa_1_8_copyload54;
   73456       label = 90;
   73457       break;
   73458     } else {
   73459       label = 89;
   73460       break;
   73461     }
   73462    case 89:
   73463     $161 = +HEAPF64[20590];
   73464     $sub489 = $161 - $ptf_sroa_1_8_copyload54;
   73465     $cond493 = $sub489;
   73466     label = 90;
   73467     break;
   73468    case 90:
   73469     $call494 = _sprintf($arraydecay98 | 0, 134096, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = $ptf_sroa_0_0_copyload27, HEAPF64[tempInt + 8 >> 3] = $cond493, tempInt) | 0) | 0;
   73470     $call496 = _agxbput($xb, $arraydecay98) | 0;
   73471     $inc498 = $j_0200 + 1 | 0;
   73472     $162 = HEAP32[$spl >> 2] | 0;
   73473     $list457 = $162 | 0;
   73474     $163 = HEAP32[$list457 >> 2] | 0;
   73475     $size459 = $163 + ($i_0203 * 48 & -1) + 4 | 0;
   73476     $164 = HEAP32[$size459 >> 2] | 0;
   73477     $cmp460 = ($inc498 | 0) < ($164 | 0);
   73478     if ($cmp460) {
   73479       $j_0200 = $inc498;
   73480       label = 84;
   73481       break;
   73482     } else {
   73483       label = 91;
   73484       break;
   73485     }
   73486    case 91:
   73487     $inc501 = $i_0203 + 1 | 0;
   73488     $165 = HEAP32[$spl >> 2] | 0;
   73489     $size = $165 + 4 | 0;
   73490     $166 = HEAP32[$size >> 2] | 0;
   73491     $cmp367 = ($inc501 | 0) < ($166 | 0);
   73492     if ($cmp367) {
   73493       $i_0203 = $inc501;
   73494       $s_arrows_2205 = $s_arrows_3;
   73495       $e_arrows_2206 = $e_arrows_3;
   73496       label = 69;
   73497       break;
   73498     } else {
   73499       $s_arrows_2_lcssa = $s_arrows_3;
   73500       $e_arrows_2_lcssa = $e_arrows_3;
   73501       label = 92;
   73502       break;
   73503     }
   73504    case 92:
   73505     $167 = $e_0_in209 | 0;
   73506     $168 = HEAP32[$ptr503 >> 2] | 0;
   73507     $169 = HEAP32[$eptr504 >> 2] | 0;
   73508     $cmp505 = $168 >>> 0 < $169 >>> 0;
   73509     if ($cmp505) {
   73510       label = 94;
   73511       break;
   73512     } else {
   73513       label = 93;
   73514       break;
   73515     }
   73516    case 93:
   73517     $call508 = _agxbmore($xb, 1) | 0;
   73518     label = 94;
   73519     break;
   73520    case 94:
   73521     $170 = HEAP32[$ptr503 >> 2] | 0;
   73522     HEAP8[$170] = 0;
   73523     $171 = HEAP32[$buf514 >> 2] | 0;
   73524     HEAP32[$ptr503 >> 2] = $171;
   73525     $call516 = _agset($167, 92192, $171) | 0;
   73526     $label518 = $e_0_in209 + 112 | 0;
   73527     $172 = $label518;
   73528     $173 = HEAP32[$172 >> 2] | 0;
   73529     $tobool519 = ($173 | 0) == 0;
   73530     if ($tobool519) {
   73531       label = 98;
   73532       break;
   73533     } else {
   73534       label = 95;
   73535       break;
   73536     }
   73537    case 95:
   73538     $ptf_sroa_0_0__idx23 = $173 + 56 | 0;
   73539     $ptf_sroa_0_0_copyload24 = +HEAPF64[$ptf_sroa_0_0__idx23 >> 3];
   73540     $ptf_sroa_1_8__idx49 = $173 + 64 | 0;
   73541     $ptf_sroa_1_8_copyload50 = +HEAPF64[$ptf_sroa_1_8__idx49 >> 3];
   73542     $174 = HEAP32[41182] | 0;
   73543     $tobool526 = ($174 | 0) == 0;
   73544     if ($tobool526) {
   73545       $cond533 = $ptf_sroa_1_8_copyload50;
   73546       label = 97;
   73547       break;
   73548     } else {
   73549       label = 96;
   73550       break;
   73551     }
   73552    case 96:
   73553     $175 = +HEAPF64[20590];
   73554     $sub529 = $175 - $ptf_sroa_1_8_copyload50;
   73555     $cond533 = $sub529;
   73556     label = 97;
   73557     break;
   73558    case 97:
   73559     $call534 = _sprintf($arraydecay98 | 0, 134096, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = $ptf_sroa_0_0_copyload24, HEAPF64[tempInt + 8 >> 3] = $cond533, tempInt) | 0) | 0;
   73560     $call536 = _agset($167, 148568, $arraydecay98) | 0;
   73561     label = 98;
   73562     break;
   73563    case 98:
   73564     $xlabel539 = $e_0_in209 + 124 | 0;
   73565     $176 = HEAP32[$xlabel539 >> 2] | 0;
   73566     $tobool540 = ($176 | 0) == 0;
   73567     if ($tobool540) {
   73568       label = 102;
   73569       break;
   73570     } else {
   73571       label = 99;
   73572       break;
   73573     }
   73574    case 99:
   73575     $ptf_sroa_0_0__idx20 = $176 + 56 | 0;
   73576     $177 = $ptf_sroa_0_0__idx20;
   73577     $ptf_sroa_0_0_copyload21 = +HEAPF64[$177 >> 3];
   73578     $ptf_sroa_1_8__idx45 = $176 + 64 | 0;
   73579     $178 = $ptf_sroa_1_8__idx45;
   73580     $ptf_sroa_1_8_copyload46 = +HEAPF64[$178 >> 3];
   73581     $179 = HEAP32[41182] | 0;
   73582     $tobool547 = ($179 | 0) == 0;
   73583     if ($tobool547) {
   73584       $cond554 = $ptf_sroa_1_8_copyload46;
   73585       label = 101;
   73586       break;
   73587     } else {
   73588       label = 100;
   73589       break;
   73590     }
   73591    case 100:
   73592     $180 = +HEAPF64[20590];
   73593     $sub550 = $180 - $ptf_sroa_1_8_copyload46;
   73594     $cond554 = $sub550;
   73595     label = 101;
   73596     break;
   73597    case 101:
   73598     $call555 = _sprintf($arraydecay98 | 0, 134096, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = $ptf_sroa_0_0_copyload21, HEAPF64[tempInt + 8 >> 3] = $cond554, tempInt) | 0) | 0;
   73599     $call557 = _agset($167, 151840, $arraydecay98) | 0;
   73600     label = 102;
   73601     break;
   73602    case 102:
   73603     $head_label = $e_0_in209 + 116 | 0;
   73604     $181 = HEAP32[$head_label >> 2] | 0;
   73605     $tobool560 = ($181 | 0) == 0;
   73606     if ($tobool560) {
   73607       label = 106;
   73608       break;
   73609     } else {
   73610       label = 103;
   73611       break;
   73612     }
   73613    case 103:
   73614     $ptf_sroa_0_0__idx17 = $181 + 56 | 0;
   73615     $182 = $ptf_sroa_0_0__idx17;
   73616     $ptf_sroa_0_0_copyload18 = +HEAPF64[$182 >> 3];
   73617     $ptf_sroa_1_8__idx41 = $181 + 64 | 0;
   73618     $183 = $ptf_sroa_1_8__idx41;
   73619     $ptf_sroa_1_8_copyload42 = +HEAPF64[$183 >> 3];
   73620     $184 = HEAP32[41182] | 0;
   73621     $tobool567 = ($184 | 0) == 0;
   73622     if ($tobool567) {
   73623       $cond574 = $ptf_sroa_1_8_copyload42;
   73624       label = 105;
   73625       break;
   73626     } else {
   73627       label = 104;
   73628       break;
   73629     }
   73630    case 104:
   73631     $185 = +HEAPF64[20590];
   73632     $sub570 = $185 - $ptf_sroa_1_8_copyload42;
   73633     $cond574 = $sub570;
   73634     label = 105;
   73635     break;
   73636    case 105:
   73637     $call575 = _sprintf($arraydecay98 | 0, 134096, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = $ptf_sroa_0_0_copyload18, HEAPF64[tempInt + 8 >> 3] = $cond574, tempInt) | 0) | 0;
   73638     $call577 = _agset($167, 145808, $arraydecay98) | 0;
   73639     label = 106;
   73640     break;
   73641    case 106:
   73642     $tail_label = $e_0_in209 + 120 | 0;
   73643     $186 = HEAP32[$tail_label >> 2] | 0;
   73644     $tobool580 = ($186 | 0) == 0;
   73645     if ($tobool580) {
   73646       $e_arrows_4 = $e_arrows_2_lcssa;
   73647       $s_arrows_4 = $s_arrows_2_lcssa;
   73648       label = 110;
   73649       break;
   73650     } else {
   73651       label = 107;
   73652       break;
   73653     }
   73654    case 107:
   73655     $ptf_sroa_0_0__idx = $186 + 56 | 0;
   73656     $187 = $ptf_sroa_0_0__idx;
   73657     $ptf_sroa_0_0_copyload = +HEAPF64[$187 >> 3];
   73658     $ptf_sroa_1_8__idx38 = $186 + 64 | 0;
   73659     $188 = $ptf_sroa_1_8__idx38;
   73660     $ptf_sroa_1_8_copyload = +HEAPF64[$188 >> 3];
   73661     $189 = HEAP32[41182] | 0;
   73662     $tobool587 = ($189 | 0) == 0;
   73663     if ($tobool587) {
   73664       $cond594 = $ptf_sroa_1_8_copyload;
   73665       label = 109;
   73666       break;
   73667     } else {
   73668       label = 108;
   73669       break;
   73670     }
   73671    case 108:
   73672     $190 = +HEAPF64[20590];
   73673     $sub590 = $190 - $ptf_sroa_1_8_copyload;
   73674     $cond594 = $sub590;
   73675     label = 109;
   73676     break;
   73677    case 109:
   73678     $call595 = _sprintf($arraydecay98 | 0, 134096, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = $ptf_sroa_0_0_copyload, HEAPF64[tempInt + 8 >> 3] = $cond594, tempInt) | 0) | 0;
   73679     $call597 = _agset($167, 142760, $arraydecay98) | 0;
   73680     $e_arrows_4 = $e_arrows_2_lcssa;
   73681     $s_arrows_4 = $s_arrows_2_lcssa;
   73682     label = 110;
   73683     break;
   73684    case 110:
   73685     $call600 = _agnxtout($45, $e_0_in209) | 0;
   73686     $tobool351 = ($call600 | 0) == 0;
   73687     if ($tobool351) {
   73688       $e_arrows_5 = $e_arrows_4;
   73689       $s_arrows_5 = $s_arrows_4;
   73690       label = 111;
   73691       break;
   73692     } else {
   73693       $e_0_in209 = $call600;
   73694       $s_arrows_1210 = $s_arrows_4;
   73695       $e_arrows_1211 = $e_arrows_4;
   73696       label = 66;
   73697       break;
   73698     }
   73699    case 111:
   73700     $call604 = _agnxtnode($45, $n_0220_in) | 0;
   73701     $tobool95 = ($call604 | 0) == 0;
   73702     if ($tobool95) {
   73703       $s_arrows_0_lcssa = $s_arrows_5;
   73704       $e_arrows_0_lcssa = $e_arrows_5;
   73705       label = 112;
   73706       break;
   73707     } else {
   73708       $s_arrows_0218 = $s_arrows_5;
   73709       $e_arrows_0219 = $e_arrows_5;
   73710       $n_0220_in = $call604;
   73711       label = 19;
   73712       break;
   73713     }
   73714    case 112:
   73715     _rec_attach_bb($g, $call93);
   73716     _agxbfree($xb);
   73717     $flags = $g + 168 | 0;
   73718     $191 = HEAP16[$flags >> 1] | 0;
   73719     $and608 = $191 & 1;
   73720     $tobool609 = $and608 << 16 >> 16 == 0;
   73721     if ($tobool609) {
   73722       label = 114;
   73723       break;
   73724     } else {
   73725       label = 113;
   73726       break;
   73727     }
   73728    case 113:
   73729     _undoClusterEdges($g);
   73730     label = 114;
   73731     break;
   73732    case 114:
   73733     HEAP32[$sp >> 2] = $s_arrows_0_lcssa;
   73734     HEAP32[$ep >> 2] = $e_arrows_0_lcssa;
   73735     _gv_fixLocale(0);
   73736     STACKTOP = __stackBase__;
   73737     return;
   73738   }
   73739 }
   73740 function _set_record_rects($n, $f, $xb) {
   73741   $n = $n | 0;
   73742   $f = $f | 0;
   73743   $xb = $xb | 0;
   73744   var $buf = 0, $n_flds = 0, $0 = 0, $cmp = 0, $arraydecay = 0, $x = 0, $1 = 0.0, $x1 = 0, $2 = 0.0, $add = 0.0, $3 = 0, $tobool = 0, $4 = 0.0, $y = 0, $5 = 0.0, $y6 = 0, $6 = 0.0, $add7 = 0.0, $sub = 0.0, $y10 = 0, $7 = 0.0, $y13 = 0, $8 = 0.0, $add14 = 0.0, $cond = 0.0, $x16 = 0, $9 = 0.0, $10 = 0.0, $add20 = 0.0, $11 = 0, $tobool21 = 0, $12 = 0.0, $y25 = 0, $13 = 0.0, $y28 = 0, $14 = 0.0, $add29 = 0.0, $sub30 = 0.0, $y34 = 0, $15 = 0.0, $y37 = 0, $16 = 0.0, $add38 = 0.0, $cond40 = 0.0, $call = 0, $call42 = 0, $_pr = 0, $17 = 0, $cmp4418 = 0, $fld = 0, $i_019 = 0, $18 = 0, $arrayidx = 0, $19 = 0, $inc = 0, $20 = 0, $cmp44 = 0, label = 0, __stackBase__ = 0;
   73745   __stackBase__ = STACKTOP;
   73746   STACKTOP = STACKTOP + 1024 | 0;
   73747   label = 2;
   73748   while (1) switch (label | 0) {
   73749    case 2:
   73750     $buf = __stackBase__ | 0;
   73751     $n_flds = $f + 48 | 0;
   73752     $0 = HEAP32[$n_flds >> 2] | 0;
   73753     $cmp = ($0 | 0) == 0;
   73754     if ($cmp) {
   73755       label = 3;
   73756       break;
   73757     } else {
   73758       $17 = $0;
   73759       label = 10;
   73760       break;
   73761     }
   73762    case 3:
   73763     $arraydecay = $buf | 0;
   73764     $x = $f + 16 | 0;
   73765     $1 = +HEAPF64[$x >> 3];
   73766     $x1 = $n + 32 | 0;
   73767     $2 = +HEAPF64[$x1 >> 3];
   73768     $add = $1 + $2;
   73769     $3 = HEAP32[41182] | 0;
   73770     $tobool = ($3 | 0) == 0;
   73771     if ($tobool) {
   73772       label = 5;
   73773       break;
   73774     } else {
   73775       label = 4;
   73776       break;
   73777     }
   73778    case 4:
   73779     $4 = +HEAPF64[20590];
   73780     $y = $f + 24 | 0;
   73781     $5 = +HEAPF64[$y >> 3];
   73782     $y6 = $n + 40 | 0;
   73783     $6 = +HEAPF64[$y6 >> 3];
   73784     $add7 = $5 + $6;
   73785     $sub = $4 - $add7;
   73786     $cond = $sub;
   73787     label = 6;
   73788     break;
   73789    case 5:
   73790     $y10 = $f + 24 | 0;
   73791     $7 = +HEAPF64[$y10 >> 3];
   73792     $y13 = $n + 40 | 0;
   73793     $8 = +HEAPF64[$y13 >> 3];
   73794     $add14 = $7 + $8;
   73795     $cond = $add14;
   73796     label = 6;
   73797     break;
   73798    case 6:
   73799     $x16 = $f + 32 | 0;
   73800     $9 = +HEAPF64[$x16 >> 3];
   73801     $10 = +HEAPF64[$x1 >> 3];
   73802     $add20 = $9 + $10;
   73803     $11 = HEAP32[41182] | 0;
   73804     $tobool21 = ($11 | 0) == 0;
   73805     if ($tobool21) {
   73806       label = 8;
   73807       break;
   73808     } else {
   73809       label = 7;
   73810       break;
   73811     }
   73812    case 7:
   73813     $12 = +HEAPF64[20590];
   73814     $y25 = $f + 40 | 0;
   73815     $13 = +HEAPF64[$y25 >> 3];
   73816     $y28 = $n + 40 | 0;
   73817     $14 = +HEAPF64[$y28 >> 3];
   73818     $add29 = $13 + $14;
   73819     $sub30 = $12 - $add29;
   73820     $cond40 = $sub30;
   73821     label = 9;
   73822     break;
   73823    case 8:
   73824     $y34 = $f + 40 | 0;
   73825     $15 = +HEAPF64[$y34 >> 3];
   73826     $y37 = $n + 40 | 0;
   73827     $16 = +HEAPF64[$y37 >> 3];
   73828     $add38 = $15 + $16;
   73829     $cond40 = $add38;
   73830     label = 9;
   73831     break;
   73832    case 9:
   73833     $call = _sprintf($arraydecay | 0, 117640, (tempInt = STACKTOP, STACKTOP = STACKTOP + 32 | 0, HEAPF64[tempInt >> 3] = $add, HEAPF64[tempInt + 8 >> 3] = $cond, HEAPF64[tempInt + 16 >> 3] = $add20, HEAPF64[tempInt + 24 >> 3] = $cond40, tempInt) | 0) | 0;
   73834     $call42 = _agxbput($xb, $arraydecay) | 0;
   73835     $_pr = HEAP32[$n_flds >> 2] | 0;
   73836     $17 = $_pr;
   73837     label = 10;
   73838     break;
   73839    case 10:
   73840     $cmp4418 = ($17 | 0) > 0;
   73841     if ($cmp4418) {
   73842       label = 11;
   73843       break;
   73844     } else {
   73845       label = 13;
   73846       break;
   73847     }
   73848    case 11:
   73849     $fld = $f + 56 | 0;
   73850     $i_019 = 0;
   73851     label = 12;
   73852     break;
   73853    case 12:
   73854     $18 = HEAP32[$fld >> 2] | 0;
   73855     $arrayidx = $18 + ($i_019 << 2) | 0;
   73856     $19 = HEAP32[$arrayidx >> 2] | 0;
   73857     _set_record_rects($n, $19, $xb);
   73858     $inc = $i_019 + 1 | 0;
   73859     $20 = HEAP32[$n_flds >> 2] | 0;
   73860     $cmp44 = ($inc | 0) < ($20 | 0);
   73861     if ($cmp44) {
   73862       $i_019 = $inc;
   73863       label = 12;
   73864       break;
   73865     } else {
   73866       label = 13;
   73867       break;
   73868     }
   73869    case 13:
   73870     STACKTOP = __stackBase__;
   73871     return;
   73872   }
   73873 }
   73874 function _rec_attach_bb($g, $bbsym) {
   73875   $g = $g | 0;
   73876   $bbsym = $bbsym | 0;
   73877   var $buf = 0, $arraydecay = 0, $x = 0, $0 = 0.0, $1 = 0, $tobool = 0, $2 = 0.0, $y = 0, $3 = 0.0, $sub = 0.0, $y7 = 0, $4 = 0.0, $cond = 0.0, $x10 = 0, $5 = 0.0, $6 = 0, $tobool11 = 0, $7 = 0.0, $y16 = 0, $8 = 0.0, $sub17 = 0.0, $y22 = 0, $9 = 0.0, $cond24 = 0.0, $call = 0, $10 = 0, $index = 0, $11 = 0, $call26 = 0, $label = 0, $12 = 0, $tobool28 = 0, $text = 0, $13 = 0, $14 = 0, $tobool31 = 0, $pt_sroa_0_0__idx2 = 0, $pt_sroa_0_0_copyload3 = 0.0, $pt_sroa_1_8__idx7 = 0, $pt_sroa_1_8_copyload8 = 0.0, $15 = 0, $tobool36 = 0, $16 = 0.0, $sub39 = 0.0, $cond43 = 0.0, $call44 = 0, $call46 = 0, $17 = 0, $pt_sroa_0_0__idx = 0, $pt_sroa_0_0_copyload = 0.0, $pt_sroa_1_8__idx4 = 0, $pt_sroa_1_8_copyload = 0.0, $div = 0.0, $call51 = 0, $call53 = 0, $div56 = 0.0, $call57 = 0, $call59 = 0, $n_cluster = 0, $18 = 0, $cmp27 = 0, $clust = 0, $c_028 = 0, $19 = 0, $arrayidx63 = 0, $20 = 0, $inc = 0, $21 = 0, $cmp = 0, label = 0, __stackBase__ = 0;
   73878   __stackBase__ = STACKTOP;
   73879   STACKTOP = STACKTOP + 1024 | 0;
   73880   label = 2;
   73881   while (1) switch (label | 0) {
   73882    case 2:
   73883     $buf = __stackBase__ | 0;
   73884     $arraydecay = $buf | 0;
   73885     $x = $g + 56 | 0;
   73886     $0 = +HEAPF64[$x >> 3];
   73887     $1 = HEAP32[41182] | 0;
   73888     $tobool = ($1 | 0) == 0;
   73889     if ($tobool) {
   73890       label = 4;
   73891       break;
   73892     } else {
   73893       label = 3;
   73894       break;
   73895     }
   73896    case 3:
   73897     $2 = +HEAPF64[20590];
   73898     $y = $g + 64 | 0;
   73899     $3 = +HEAPF64[$y >> 3];
   73900     $sub = $2 - $3;
   73901     $cond = $sub;
   73902     label = 5;
   73903     break;
   73904    case 4:
   73905     $y7 = $g + 64 | 0;
   73906     $4 = +HEAPF64[$y7 >> 3];
   73907     $cond = $4;
   73908     label = 5;
   73909     break;
   73910    case 5:
   73911     $x10 = $g + 72 | 0;
   73912     $5 = +HEAPF64[$x10 >> 3];
   73913     $6 = HEAP32[41182] | 0;
   73914     $tobool11 = ($6 | 0) == 0;
   73915     if ($tobool11) {
   73916       label = 7;
   73917       break;
   73918     } else {
   73919       label = 6;
   73920       break;
   73921     }
   73922    case 6:
   73923     $7 = +HEAPF64[20590];
   73924     $y16 = $g + 80 | 0;
   73925     $8 = +HEAPF64[$y16 >> 3];
   73926     $sub17 = $7 - $8;
   73927     $cond24 = $sub17;
   73928     label = 8;
   73929     break;
   73930    case 7:
   73931     $y22 = $g + 80 | 0;
   73932     $9 = +HEAPF64[$y22 >> 3];
   73933     $cond24 = $9;
   73934     label = 8;
   73935     break;
   73936    case 8:
   73937     $call = _sprintf($arraydecay | 0, 118184, (tempInt = STACKTOP, STACKTOP = STACKTOP + 32 | 0, HEAPF64[tempInt >> 3] = $0, HEAPF64[tempInt + 8 >> 3] = $cond, HEAPF64[tempInt + 16 >> 3] = $5, HEAPF64[tempInt + 24 >> 3] = $cond24, tempInt) | 0) | 0;
   73938     $10 = $g | 0;
   73939     $index = $bbsym + 8 | 0;
   73940     $11 = HEAP32[$index >> 2] | 0;
   73941     $call26 = _agxset($10, $11, $arraydecay) | 0;
   73942     $label = $g + 52 | 0;
   73943     $12 = HEAP32[$label >> 2] | 0;
   73944     $tobool28 = ($12 | 0) == 0;
   73945     if ($tobool28) {
   73946       label = 13;
   73947       break;
   73948     } else {
   73949       label = 9;
   73950       break;
   73951     }
   73952    case 9:
   73953     $text = $12 | 0;
   73954     $13 = HEAP32[$text >> 2] | 0;
   73955     $14 = HEAP8[$13] | 0;
   73956     $tobool31 = $14 << 24 >> 24 == 0;
   73957     if ($tobool31) {
   73958       label = 13;
   73959       break;
   73960     } else {
   73961       label = 10;
   73962       break;
   73963     }
   73964    case 10:
   73965     $pt_sroa_0_0__idx2 = $12 + 56 | 0;
   73966     $pt_sroa_0_0_copyload3 = +HEAPF64[$pt_sroa_0_0__idx2 >> 3];
   73967     $pt_sroa_1_8__idx7 = $12 + 64 | 0;
   73968     $pt_sroa_1_8_copyload8 = +HEAPF64[$pt_sroa_1_8__idx7 >> 3];
   73969     $15 = HEAP32[41182] | 0;
   73970     $tobool36 = ($15 | 0) == 0;
   73971     if ($tobool36) {
   73972       $cond43 = $pt_sroa_1_8_copyload8;
   73973       label = 12;
   73974       break;
   73975     } else {
   73976       label = 11;
   73977       break;
   73978     }
   73979    case 11:
   73980     $16 = +HEAPF64[20590];
   73981     $sub39 = $16 - $pt_sroa_1_8_copyload8;
   73982     $cond43 = $sub39;
   73983     label = 12;
   73984     break;
   73985    case 12:
   73986     $call44 = _sprintf($arraydecay | 0, 134096, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = $pt_sroa_0_0_copyload3, HEAPF64[tempInt + 8 >> 3] = $cond43, tempInt) | 0) | 0;
   73987     $call46 = _agset($10, 148568, $arraydecay) | 0;
   73988     $17 = HEAP32[$label >> 2] | 0;
   73989     $pt_sroa_0_0__idx = $17 + 24 | 0;
   73990     $pt_sroa_0_0_copyload = +HEAPF64[$pt_sroa_0_0__idx >> 3];
   73991     $pt_sroa_1_8__idx4 = $17 + 32 | 0;
   73992     $pt_sroa_1_8_copyload = +HEAPF64[$pt_sroa_1_8__idx4 >> 3];
   73993     $div = $pt_sroa_0_0_copyload / 72.0;
   73994     $call51 = _sprintf($arraydecay | 0, 131848, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAPF64[tempInt >> 3] = $div, tempInt) | 0) | 0;
   73995     $call53 = _agset($10, 139232, $arraydecay) | 0;
   73996     $div56 = $pt_sroa_1_8_copyload / 72.0;
   73997     $call57 = _sprintf($arraydecay | 0, 131848, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAPF64[tempInt >> 3] = $div56, tempInt) | 0) | 0;
   73998     $call59 = _agset($10, 136456, $arraydecay) | 0;
   73999     label = 13;
   74000     break;
   74001    case 13:
   74002     $n_cluster = $g + 212 | 0;
   74003     $18 = HEAP32[$n_cluster >> 2] | 0;
   74004     $cmp27 = ($18 | 0) < 1;
   74005     if ($cmp27) {
   74006       label = 16;
   74007       break;
   74008     } else {
   74009       label = 14;
   74010       break;
   74011     }
   74012    case 14:
   74013     $clust = $g + 216 | 0;
   74014     $c_028 = 1;
   74015     label = 15;
   74016     break;
   74017    case 15:
   74018     $19 = HEAP32[$clust >> 2] | 0;
   74019     $arrayidx63 = $19 + ($c_028 << 2) | 0;
   74020     $20 = HEAP32[$arrayidx63 >> 2] | 0;
   74021     _rec_attach_bb($20, $bbsym);
   74022     $inc = $c_028 + 1 | 0;
   74023     $21 = HEAP32[$n_cluster >> 2] | 0;
   74024     $cmp = ($inc | 0) > ($21 | 0);
   74025     if ($cmp) {
   74026       label = 16;
   74027       break;
   74028     } else {
   74029       $c_028 = $inc;
   74030       label = 15;
   74031       break;
   74032     }
   74033    case 16:
   74034     STACKTOP = __stackBase__;
   74035     return;
   74036   }
   74037 }
   74038 function _attach_attrs($g) {
   74039   $g = $g | 0;
   74040   var __stackBase__ = 0;
   74041   __stackBase__ = STACKTOP;
   74042   STACKTOP = STACKTOP + 16 | 0;
   74043   _attach_attrs_and_arrows($g, __stackBase__ + 8 | 0, __stackBase__ | 0);
   74044   STACKTOP = __stackBase__;
   74045   return;
   74046 }
   74047 function _output_point($xbuf, $p) {
   74048   $xbuf = $xbuf | 0;
   74049   $p = $p | 0;
   74050   var $buf = 0, $arraydecay = 0, $x = 0, $0 = 0.0, $cmp = 0, $add = 0.0, $sub = 0.0, $add_sink = 0.0, $conv = 0, $1 = 0, $tobool = 0, $2 = 0.0, $y = 0, $3 = 0.0, $sub5 = 0.0, $y7 = 0, $4 = 0.0, $cond9 = 0.0, $cmp10 = 0, $5 = 0, $tobool13 = 0, $6 = 0.0, $y15 = 0, $7 = 0.0, $sub16 = 0.0, $y18 = 0, $8 = 0.0, $cond20 = 0.0, $add21 = 0.0, $9 = 0.0, $y26 = 0, $10 = 0.0, $sub27 = 0.0, $y29 = 0, $11 = 0.0, $cond31 = 0.0, $sub32 = 0.0, $add21_sink = 0.0, $conv22 = 0, $call = 0, $call37 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   74051   __stackBase__ = STACKTOP;
   74052   STACKTOP = STACKTOP + 1024 | 0;
   74053   tempParam = $p;
   74054   $p = STACKTOP;
   74055   STACKTOP = STACKTOP + 16 | 0;
   74056   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   74057   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   74058   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   74059   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   74060   label = 2;
   74061   while (1) switch (label | 0) {
   74062    case 2:
   74063     $buf = __stackBase__ | 0;
   74064     $arraydecay = $buf | 0;
   74065     $x = $p | 0;
   74066     $0 = +HEAPF64[$x >> 3];
   74067     $cmp = $0 < 0.0;
   74068     if ($cmp) {
   74069       label = 4;
   74070       break;
   74071     } else {
   74072       label = 3;
   74073       break;
   74074     }
   74075    case 3:
   74076     $add = $0 + .5;
   74077     $add_sink = $add;
   74078     label = 5;
   74079     break;
   74080    case 4:
   74081     $sub = $0 + -.5;
   74082     $add_sink = $sub;
   74083     label = 5;
   74084     break;
   74085    case 5:
   74086     $conv = ~~$add_sink;
   74087     $1 = HEAP32[41182] | 0;
   74088     $tobool = ($1 | 0) == 0;
   74089     if ($tobool) {
   74090       label = 7;
   74091       break;
   74092     } else {
   74093       label = 6;
   74094       break;
   74095     }
   74096    case 6:
   74097     $2 = +HEAPF64[20590];
   74098     $y = $p + 8 | 0;
   74099     $3 = +HEAPF64[$y >> 3];
   74100     $sub5 = $2 - $3;
   74101     $cond9 = $sub5;
   74102     label = 8;
   74103     break;
   74104    case 7:
   74105     $y7 = $p + 8 | 0;
   74106     $4 = +HEAPF64[$y7 >> 3];
   74107     $cond9 = $4;
   74108     label = 8;
   74109     break;
   74110    case 8:
   74111     $cmp10 = $cond9 < 0.0;
   74112     $5 = HEAP32[41182] | 0;
   74113     $tobool13 = ($5 | 0) != 0;
   74114     if ($cmp10) {
   74115       label = 13;
   74116       break;
   74117     } else {
   74118       label = 9;
   74119       break;
   74120     }
   74121    case 9:
   74122     if ($tobool13) {
   74123       label = 10;
   74124       break;
   74125     } else {
   74126       label = 11;
   74127       break;
   74128     }
   74129    case 10:
   74130     $6 = +HEAPF64[20590];
   74131     $y15 = $p + 8 | 0;
   74132     $7 = +HEAPF64[$y15 >> 3];
   74133     $sub16 = $6 - $7;
   74134     $cond20 = $sub16;
   74135     label = 12;
   74136     break;
   74137    case 11:
   74138     $y18 = $p + 8 | 0;
   74139     $8 = +HEAPF64[$y18 >> 3];
   74140     $cond20 = $8;
   74141     label = 12;
   74142     break;
   74143    case 12:
   74144     $add21 = $cond20 + .5;
   74145     $add21_sink = $add21;
   74146     label = 17;
   74147     break;
   74148    case 13:
   74149     if ($tobool13) {
   74150       label = 14;
   74151       break;
   74152     } else {
   74153       label = 15;
   74154       break;
   74155     }
   74156    case 14:
   74157     $9 = +HEAPF64[20590];
   74158     $y26 = $p + 8 | 0;
   74159     $10 = +HEAPF64[$y26 >> 3];
   74160     $sub27 = $9 - $10;
   74161     $cond31 = $sub27;
   74162     label = 16;
   74163     break;
   74164    case 15:
   74165     $y29 = $p + 8 | 0;
   74166     $11 = +HEAPF64[$y29 >> 3];
   74167     $cond31 = $11;
   74168     label = 16;
   74169     break;
   74170    case 16:
   74171     $sub32 = $cond31 + -.5;
   74172     $add21_sink = $sub32;
   74173     label = 17;
   74174     break;
   74175    case 17:
   74176     $conv22 = ~~$add21_sink;
   74177     $call = _sprintf($arraydecay | 0, 118768, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $conv, HEAP32[tempInt + 8 >> 2] = $conv22, tempInt) | 0) | 0;
   74178     $call37 = _agxbput($xbuf, $arraydecay) | 0;
   74179     STACKTOP = __stackBase__;
   74180     return;
   74181   }
   74182 }
   74183 function _gvContext() {
   74184   var $call1 = 0;
   74185   _aginitlib(296, 304, 192);
   74186   _agnodeattr(0, 122008, 154456);
   74187   $call1 = _gvNEWcontext(0, 1) | 0;
   74188   _gvconfig($call1, 0);
   74189   return $call1 | 0;
   74190 }
   74191 function _gvLayout($gvc, $g, $engine) {
   74192   $gvc = $gvc | 0;
   74193   $g = $g | 0;
   74194   $engine = $engine | 0;
   74195   var $buf = 0, $call = 0, $cmp = 0, $call1 = 0, $call2 = 0, $call3 = 0, $drawing = 0, $0 = 0, $landscape = 0, $1 = 0, $tobool = 0, $arraydecay = 0, $bb = 0, $y = 0, $2 = 0.0, $cmp6 = 0, $add = 0.0, $sub = 0.0, $add_sink = 0.0, $conv = 0, $x = 0, $3 = 0.0, $cmp19 = 0, $add26 = 0.0, $sub33 = 0.0, $add26_sink = 0.0, $conv27 = 0, $y39 = 0, $4 = 0.0, $cmp40 = 0, $add47 = 0.0, $sub54 = 0.0, $add47_sink = 0.0, $conv48 = 0, $x61 = 0, $5 = 0.0, $cmp62 = 0, $add69 = 0.0, $sub76 = 0.0, $add69_sink = 0.0, $conv70 = 0, $call80 = 0, $x85 = 0, $6 = 0.0, $cmp86 = 0, $add93 = 0.0, $sub100 = 0.0, $add93_sink = 0.0, $conv94 = 0, $y107 = 0, $7 = 0.0, $cmp108 = 0, $add115 = 0.0, $sub122 = 0.0, $add115_sink = 0.0, $conv116 = 0, $x129 = 0, $8 = 0.0, $cmp130 = 0, $add137 = 0.0, $sub144 = 0.0, $add137_sink = 0.0, $conv138 = 0, $y151 = 0, $9 = 0.0, $cmp152 = 0, $add159 = 0.0, $sub166 = 0.0, $add159_sink = 0.0, $conv160 = 0, $call170 = 0, $10 = 0, $call173 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   74196   __stackBase__ = STACKTOP;
   74197   STACKTOP = STACKTOP + 256 | 0;
   74198   label = 2;
   74199   while (1) switch (label | 0) {
   74200    case 2:
   74201     $buf = __stackBase__ | 0;
   74202     $call = _gvlayout_select($gvc, $engine) | 0;
   74203     $cmp = ($call | 0) == 999;
   74204     if ($cmp) {
   74205       label = 3;
   74206       break;
   74207     } else {
   74208       label = 4;
   74209       break;
   74210     }
   74211    case 3:
   74212     $call1 = _gvplugin_list($gvc, 1, $engine) | 0;
   74213     $call2 = _agerr(1, 127200, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $engine, HEAP32[tempInt + 8 >> 2] = $call1, tempInt) | 0) | 0;
   74214     $retval_0 = -1;
   74215     label = 32;
   74216     break;
   74217    case 4:
   74218     $call3 = _gvLayoutJobs($gvc, $g) | 0;
   74219     $drawing = $g + 48 | 0;
   74220     $0 = HEAP32[$drawing >> 2] | 0;
   74221     $landscape = $0 + 81 | 0;
   74222     $1 = HEAP8[$landscape] | 0;
   74223     $tobool = $1 << 24 >> 24 == 0;
   74224     $arraydecay = $buf | 0;
   74225     $bb = $g + 56 | 0;
   74226     if ($tobool) {
   74227       label = 18;
   74228       break;
   74229     } else {
   74230       label = 5;
   74231       break;
   74232     }
   74233    case 5:
   74234     $y = $g + 64 | 0;
   74235     $2 = +HEAPF64[$y >> 3];
   74236     $cmp6 = $2 < 0.0;
   74237     if ($cmp6) {
   74238       label = 7;
   74239       break;
   74240     } else {
   74241       label = 6;
   74242       break;
   74243     }
   74244    case 6:
   74245     $add = $2 + .5;
   74246     $add_sink = $add;
   74247     label = 8;
   74248     break;
   74249    case 7:
   74250     $sub = $2 + -.5;
   74251     $add_sink = $sub;
   74252     label = 8;
   74253     break;
   74254    case 8:
   74255     $conv = ~~$add_sink;
   74256     $x = $bb | 0;
   74257     $3 = +HEAPF64[$x >> 3];
   74258     $cmp19 = $3 < 0.0;
   74259     if ($cmp19) {
   74260       label = 10;
   74261       break;
   74262     } else {
   74263       label = 9;
   74264       break;
   74265     }
   74266    case 9:
   74267     $add26 = $3 + .5;
   74268     $add26_sink = $add26;
   74269     label = 11;
   74270     break;
   74271    case 10:
   74272     $sub33 = $3 + -.5;
   74273     $add26_sink = $sub33;
   74274     label = 11;
   74275     break;
   74276    case 11:
   74277     $conv27 = ~~$add26_sink;
   74278     $y39 = $g + 80 | 0;
   74279     $4 = +HEAPF64[$y39 >> 3];
   74280     $cmp40 = $4 < 0.0;
   74281     if ($cmp40) {
   74282       label = 13;
   74283       break;
   74284     } else {
   74285       label = 12;
   74286       break;
   74287     }
   74288    case 12:
   74289     $add47 = $4 + .5;
   74290     $add47_sink = $add47;
   74291     label = 14;
   74292     break;
   74293    case 13:
   74294     $sub54 = $4 + -.5;
   74295     $add47_sink = $sub54;
   74296     label = 14;
   74297     break;
   74298    case 14:
   74299     $conv48 = ~~$add47_sink;
   74300     $x61 = $g + 72 | 0;
   74301     $5 = +HEAPF64[$x61 >> 3];
   74302     $cmp62 = $5 < 0.0;
   74303     if ($cmp62) {
   74304       label = 16;
   74305       break;
   74306     } else {
   74307       label = 15;
   74308       break;
   74309     }
   74310    case 15:
   74311     $add69 = $5 + .5;
   74312     $add69_sink = $add69;
   74313     label = 17;
   74314     break;
   74315    case 16:
   74316     $sub76 = $5 + -.5;
   74317     $add69_sink = $sub76;
   74318     label = 17;
   74319     break;
   74320    case 17:
   74321     $conv70 = ~~$add69_sink;
   74322     $call80 = _sprintf($arraydecay | 0, 117440, (tempInt = STACKTOP, STACKTOP = STACKTOP + 32 | 0, HEAP32[tempInt >> 2] = $conv, HEAP32[tempInt + 8 >> 2] = $conv27, HEAP32[tempInt + 16 >> 2] = $conv48, HEAP32[tempInt + 24 >> 2] = $conv70, tempInt) | 0) | 0;
   74323     label = 31;
   74324     break;
   74325    case 18:
   74326     $x85 = $bb | 0;
   74327     $6 = +HEAPF64[$x85 >> 3];
   74328     $cmp86 = $6 < 0.0;
   74329     if ($cmp86) {
   74330       label = 20;
   74331       break;
   74332     } else {
   74333       label = 19;
   74334       break;
   74335     }
   74336    case 19:
   74337     $add93 = $6 + .5;
   74338     $add93_sink = $add93;
   74339     label = 21;
   74340     break;
   74341    case 20:
   74342     $sub100 = $6 + -.5;
   74343     $add93_sink = $sub100;
   74344     label = 21;
   74345     break;
   74346    case 21:
   74347     $conv94 = ~~$add93_sink;
   74348     $y107 = $g + 64 | 0;
   74349     $7 = +HEAPF64[$y107 >> 3];
   74350     $cmp108 = $7 < 0.0;
   74351     if ($cmp108) {
   74352       label = 23;
   74353       break;
   74354     } else {
   74355       label = 22;
   74356       break;
   74357     }
   74358    case 22:
   74359     $add115 = $7 + .5;
   74360     $add115_sink = $add115;
   74361     label = 24;
   74362     break;
   74363    case 23:
   74364     $sub122 = $7 + -.5;
   74365     $add115_sink = $sub122;
   74366     label = 24;
   74367     break;
   74368    case 24:
   74369     $conv116 = ~~$add115_sink;
   74370     $x129 = $g + 72 | 0;
   74371     $8 = +HEAPF64[$x129 >> 3];
   74372     $cmp130 = $8 < 0.0;
   74373     if ($cmp130) {
   74374       label = 26;
   74375       break;
   74376     } else {
   74377       label = 25;
   74378       break;
   74379     }
   74380    case 25:
   74381     $add137 = $8 + .5;
   74382     $add137_sink = $add137;
   74383     label = 27;
   74384     break;
   74385    case 26:
   74386     $sub144 = $8 + -.5;
   74387     $add137_sink = $sub144;
   74388     label = 27;
   74389     break;
   74390    case 27:
   74391     $conv138 = ~~$add137_sink;
   74392     $y151 = $g + 80 | 0;
   74393     $9 = +HEAPF64[$y151 >> 3];
   74394     $cmp152 = $9 < 0.0;
   74395     if ($cmp152) {
   74396       label = 29;
   74397       break;
   74398     } else {
   74399       label = 28;
   74400       break;
   74401     }
   74402    case 28:
   74403     $add159 = $9 + .5;
   74404     $add159_sink = $add159;
   74405     label = 30;
   74406     break;
   74407    case 29:
   74408     $sub166 = $9 + -.5;
   74409     $add159_sink = $sub166;
   74410     label = 30;
   74411     break;
   74412    case 30:
   74413     $conv160 = ~~$add159_sink;
   74414     $call170 = _sprintf($arraydecay | 0, 117440, (tempInt = STACKTOP, STACKTOP = STACKTOP + 32 | 0, HEAP32[tempInt >> 2] = $conv94, HEAP32[tempInt + 8 >> 2] = $conv116, HEAP32[tempInt + 16 >> 2] = $conv138, HEAP32[tempInt + 24 >> 2] = $conv160, tempInt) | 0) | 0;
   74415     label = 31;
   74416     break;
   74417    case 31:
   74418     $10 = $g | 0;
   74419     $call173 = _agsafeset($10, 112216, $arraydecay, 107864) | 0;
   74420     $retval_0 = 0;
   74421     label = 32;
   74422     break;
   74423    case 32:
   74424     STACKTOP = __stackBase__;
   74425     return $retval_0 | 0;
   74426   }
   74427   return 0;
   74428 }
   74429 function _gvRender($gvc, $g, $format, $out) {
   74430   $gvc = $gvc | 0;
   74431   $g = $g | 0;
   74432   $format = $format | 0;
   74433   $out = $out | 0;
   74434   var $root = 0, $0 = 0, $call = 0, $job1 = 0, $1 = 0, $output_langname = 0, $2 = 0, $call5 = 0, $output_lang = 0, $drawing = 0, $3 = 0, $tobool = 0, $flags = 0, $4 = 0, $and = 0, $tobool6 = 0, $5 = 0, $6 = 0, $output_file = 0, $cmp10 = 0, $flags13 = 0, $7 = 0, $or = 0, $call15 = 0, $retval_0 = 0, label = 0;
   74435   label = 2;
   74436   while (1) switch (label | 0) {
   74437    case 2:
   74438     $root = $g + 32 | 0;
   74439     $0 = HEAP32[$root >> 2] | 0;
   74440     $call = _gvjobs_output_langname($gvc, $format) | 0;
   74441     $job1 = $gvc + 124 | 0;
   74442     $1 = HEAP32[$job1 >> 2] | 0;
   74443     $output_langname = $1 + 52 | 0;
   74444     $2 = HEAP32[$output_langname >> 2] | 0;
   74445     $call5 = _gvrender_select($1, $2) | 0;
   74446     $output_lang = $1 + 56 | 0;
   74447     HEAP32[$output_lang >> 2] = $call5;
   74448     $drawing = $0 + 48 | 0;
   74449     $3 = HEAP32[$drawing >> 2] | 0;
   74450     $tobool = ($3 | 0) == 0;
   74451     if ($tobool) {
   74452       label = 3;
   74453       break;
   74454     } else {
   74455       label = 5;
   74456       break;
   74457     }
   74458    case 3:
   74459     $flags = $1 + 152 | 0;
   74460     $4 = HEAP32[$flags >> 2] | 0;
   74461     $and = $4 & 67108864;
   74462     $tobool6 = ($and | 0) == 0;
   74463     if ($tobool6) {
   74464       label = 4;
   74465       break;
   74466     } else {
   74467       label = 5;
   74468       break;
   74469     }
   74470    case 4:
   74471     $5 = HEAP32[_stderr >> 2] | 0;
   74472     $6 = _fwrite(99768, 20, 1, $5 | 0) | 0;
   74473     $retval_0 = -1;
   74474     label = 8;
   74475     break;
   74476    case 5:
   74477     $output_file = $1 + 36 | 0;
   74478     HEAP32[$output_file >> 2] = $out;
   74479     $cmp10 = ($out | 0) == 0;
   74480     if ($cmp10) {
   74481       label = 6;
   74482       break;
   74483     } else {
   74484       label = 7;
   74485       break;
   74486     }
   74487    case 6:
   74488     $flags13 = $1 + 152 | 0;
   74489     $7 = HEAP32[$flags13 >> 2] | 0;
   74490     $or = $7 | 134217728;
   74491     HEAP32[$flags13 >> 2] = $or;
   74492     label = 7;
   74493     break;
   74494    case 7:
   74495     $call15 = _gvRenderJobs($gvc, $0) | 0;
   74496     _gvrender_end_job($1);
   74497     _gvjobs_delete($gvc);
   74498     $retval_0 = $call15;
   74499     label = 8;
   74500     break;
   74501    case 8:
   74502     return $retval_0 | 0;
   74503   }
   74504   return 0;
   74505 }
   74506 function _gvRenderFilename($gvc, $g, $format, $filename) {
   74507   $gvc = $gvc | 0;
   74508   $g = $g | 0;
   74509   $format = $format | 0;
   74510   $filename = $filename | 0;
   74511   var $root = 0, $0 = 0, $call = 0, $job1 = 0, $1 = 0, $output_langname = 0, $2 = 0, $call5 = 0, $output_lang = 0, $drawing = 0, $3 = 0, $tobool = 0, $flags = 0, $4 = 0, $and = 0, $tobool6 = 0, $5 = 0, $6 = 0, $call10 = 0, $7 = 0, $retval_0 = 0, label = 0;
   74512   label = 2;
   74513   while (1) switch (label | 0) {
   74514    case 2:
   74515     $root = $g + 32 | 0;
   74516     $0 = HEAP32[$root >> 2] | 0;
   74517     $call = _gvjobs_output_langname($gvc, $format) | 0;
   74518     $job1 = $gvc + 124 | 0;
   74519     $1 = HEAP32[$job1 >> 2] | 0;
   74520     $output_langname = $1 + 52 | 0;
   74521     $2 = HEAP32[$output_langname >> 2] | 0;
   74522     $call5 = _gvrender_select($1, $2) | 0;
   74523     $output_lang = $1 + 56 | 0;
   74524     HEAP32[$output_lang >> 2] = $call5;
   74525     $drawing = $0 + 48 | 0;
   74526     $3 = HEAP32[$drawing >> 2] | 0;
   74527     $tobool = ($3 | 0) == 0;
   74528     if ($tobool) {
   74529       label = 3;
   74530       break;
   74531     } else {
   74532       label = 5;
   74533       break;
   74534     }
   74535    case 3:
   74536     $flags = $1 + 152 | 0;
   74537     $4 = HEAP32[$flags >> 2] | 0;
   74538     $and = $4 & 67108864;
   74539     $tobool6 = ($and | 0) == 0;
   74540     if ($tobool6) {
   74541       label = 4;
   74542       break;
   74543     } else {
   74544       label = 5;
   74545       break;
   74546     }
   74547    case 4:
   74548     $5 = HEAP32[_stderr >> 2] | 0;
   74549     $6 = _fwrite(99768, 20, 1, $5 | 0) | 0;
   74550     $retval_0 = -1;
   74551     label = 6;
   74552     break;
   74553    case 5:
   74554     _gvjobs_output_filename($gvc, $filename);
   74555     $call10 = _gvRenderJobs($gvc, $0) | 0;
   74556     _gvrender_end_job($1);
   74557     $7 = $1;
   74558     _gvdevice_finalize($7);
   74559     _gvjobs_delete($gvc);
   74560     $retval_0 = $call10;
   74561     label = 6;
   74562     break;
   74563    case 6:
   74564     return $retval_0 | 0;
   74565   }
   74566   return 0;
   74567 }
   74568 function _gvAddLibrary($gvc, $lib) {
   74569   $gvc = $gvc | 0;
   74570   $lib = $lib | 0;
   74571   _gvconfig_plugin_install_from_library($gvc, 0, $lib);
   74572   return;
   74573 }
   74574 function _gvconfig_plugin_install_from_library($gvc, $path, $library) {
   74575   $gvc = $gvc | 0;
   74576   $path = $path | 0;
   74577   $library = $library | 0;
   74578   var $packagename = 0, $0 = 0, $call = 0, $apis1 = 0, $1 = 0, $types215 = 0, $2 = 0, $tobool16 = 0, $3 = 0, $apis_017 = 0, $type12 = 0, $4 = 0, $tobool413 = 0, $api = 0, $5 = 0, $i_014 = 0, $arrayidx = 0, $6 = 0, $quality = 0, $7 = 0, $call10 = 0, $inc = 0, $type = 0, $8 = 0, $tobool4 = 0, $incdec_ptr = 0, $types2 = 0, $9 = 0, $tobool = 0, label = 0;
   74579   label = 2;
   74580   while (1) switch (label | 0) {
   74581    case 2:
   74582     $packagename = $library | 0;
   74583     $0 = HEAP32[$packagename >> 2] | 0;
   74584     $call = _gvplugin_package_record($gvc, $path, $0) | 0;
   74585     $apis1 = $library + 4 | 0;
   74586     $1 = HEAP32[$apis1 >> 2] | 0;
   74587     $types215 = $1 + 4 | 0;
   74588     $2 = HEAP32[$types215 >> 2] | 0;
   74589     $tobool16 = ($2 | 0) == 0;
   74590     if ($tobool16) {
   74591       label = 7;
   74592       break;
   74593     } else {
   74594       $apis_017 = $1;
   74595       $3 = $2;
   74596       label = 3;
   74597       break;
   74598     }
   74599    case 3:
   74600     $type12 = $3 + 4 | 0;
   74601     $4 = HEAP32[$type12 >> 2] | 0;
   74602     $tobool413 = ($4 | 0) == 0;
   74603     if ($tobool413) {
   74604       label = 6;
   74605       break;
   74606     } else {
   74607       label = 4;
   74608       break;
   74609     }
   74610    case 4:
   74611     $api = $apis_017 | 0;
   74612     $i_014 = 0;
   74613     $5 = $4;
   74614     label = 5;
   74615     break;
   74616    case 5:
   74617     $arrayidx = $3 + ($i_014 * 20 & -1) | 0;
   74618     $6 = HEAP32[$api >> 2] | 0;
   74619     $quality = $3 + ($i_014 * 20 & -1) + 8 | 0;
   74620     $7 = HEAP32[$quality >> 2] | 0;
   74621     $call10 = _gvplugin_install($gvc, $6, $5, $7, $call, $arrayidx) | 0;
   74622     $inc = $i_014 + 1 | 0;
   74623     $type = $3 + ($inc * 20 & -1) + 4 | 0;
   74624     $8 = HEAP32[$type >> 2] | 0;
   74625     $tobool4 = ($8 | 0) == 0;
   74626     if ($tobool4) {
   74627       label = 6;
   74628       break;
   74629     } else {
   74630       $i_014 = $inc;
   74631       $5 = $8;
   74632       label = 5;
   74633       break;
   74634     }
   74635    case 6:
   74636     $incdec_ptr = $apis_017 + 8 | 0;
   74637     $types2 = $apis_017 + 12 | 0;
   74638     $9 = HEAP32[$types2 >> 2] | 0;
   74639     $tobool = ($9 | 0) == 0;
   74640     if ($tobool) {
   74641       label = 7;
   74642       break;
   74643     } else {
   74644       $apis_017 = $incdec_ptr;
   74645       $3 = $9;
   74646       label = 3;
   74647       break;
   74648     }
   74649    case 7:
   74650     return;
   74651   }
   74652 }
   74653 function _gvplugin_package_record($gvc, $path, $name) {
   74654   $gvc = $gvc | 0;
   74655   $path = $path | 0;
   74656   $name = $name | 0;
   74657   var $call = 0, $0 = 0, $tobool = 0, $call1 = 0, $cond = 0, $path2 = 0, $1 = 0, $call3 = 0, $name4 = 0, $2 = 0, $packages = 0, $3 = 0, $next = 0, label = 0;
   74658   label = 2;
   74659   while (1) switch (label | 0) {
   74660    case 2:
   74661     $call = _gmalloc(12) | 0;
   74662     $0 = $call;
   74663     $tobool = ($path | 0) == 0;
   74664     if ($tobool) {
   74665       $cond = 0;
   74666       label = 4;
   74667       break;
   74668     } else {
   74669       label = 3;
   74670       break;
   74671     }
   74672    case 3:
   74673     $call1 = _strdup($path | 0) | 0;
   74674     $cond = $call1;
   74675     label = 4;
   74676     break;
   74677    case 4:
   74678     $path2 = $call + 4 | 0;
   74679     $1 = $path2;
   74680     HEAP32[$1 >> 2] = $cond;
   74681     $call3 = _strdup($name | 0) | 0;
   74682     $name4 = $call + 8 | 0;
   74683     $2 = $name4;
   74684     HEAP32[$2 >> 2] = $call3;
   74685     $packages = $gvc + 100 | 0;
   74686     $3 = HEAP32[$packages >> 2] | 0;
   74687     $next = $call;
   74688     HEAP32[$next >> 2] = $3;
   74689     HEAP32[$packages >> 2] = $0;
   74690     return $0 | 0;
   74691   }
   74692   return 0;
   74693 }
   74694 function _gvconfig($gvc, $rescan) {
   74695   $gvc = $gvc | 0;
   74696   $rescan = $rescan | 0;
   74697   _gvconfig_plugin_install_builtins($gvc);
   74698   HEAP8[$gvc + 44 | 0] = 0;
   74699   _gvtextlayout_select($gvc);
   74700   return;
   74701 }
   74702 function _gvconfig_plugin_install_builtins($gvc) {
   74703   $gvc = $gvc | 0;
   74704   var $builtins = 0, $0 = 0, $cmp = 0, $name36 = 0, $1 = 0, $tobool7 = 0, $2 = 0, $s_08 = 0, $3 = 0, $cmp4 = 0, $call = 0, $tobool6 = 0, $address = 0, $4 = 0, $5 = 0, $incdec_ptr = 0, $name3 = 0, $6 = 0, $tobool = 0, label = 0;
   74705   label = 2;
   74706   while (1) switch (label | 0) {
   74707    case 2:
   74708     $builtins = $gvc + 32 | 0;
   74709     $0 = HEAP32[$builtins >> 2] | 0;
   74710     $cmp = ($0 | 0) == 0;
   74711     if ($cmp) {
   74712       label = 8;
   74713       break;
   74714     } else {
   74715       label = 3;
   74716       break;
   74717     }
   74718    case 3:
   74719     $name36 = $0 | 0;
   74720     $1 = HEAP32[$name36 >> 2] | 0;
   74721     $tobool7 = ($1 | 0) == 0;
   74722     if ($tobool7) {
   74723       label = 8;
   74724       break;
   74725     } else {
   74726       $s_08 = $0;
   74727       $2 = $1;
   74728       label = 4;
   74729       break;
   74730     }
   74731    case 4:
   74732     $3 = HEAP8[$2] | 0;
   74733     $cmp4 = $3 << 24 >> 24 == 103;
   74734     if ($cmp4) {
   74735       label = 5;
   74736       break;
   74737     } else {
   74738       label = 7;
   74739       break;
   74740     }
   74741    case 5:
   74742     $call = _strstr($2 | 0, 92272) | 0;
   74743     $tobool6 = ($call | 0) == 0;
   74744     if ($tobool6) {
   74745       label = 7;
   74746       break;
   74747     } else {
   74748       label = 6;
   74749       break;
   74750     }
   74751    case 6:
   74752     $address = $s_08 + 4 | 0;
   74753     $4 = HEAP32[$address >> 2] | 0;
   74754     $5 = $4;
   74755     _gvconfig_plugin_install_from_library($gvc, 0, $5);
   74756     label = 7;
   74757     break;
   74758    case 7:
   74759     $incdec_ptr = $s_08 + 8 | 0;
   74760     $name3 = $incdec_ptr | 0;
   74761     $6 = HEAP32[$name3 >> 2] | 0;
   74762     $tobool = ($6 | 0) == 0;
   74763     if ($tobool) {
   74764       label = 8;
   74765       break;
   74766     } else {
   74767       $s_08 = $incdec_ptr;
   74768       $2 = $6;
   74769       label = 4;
   74770       break;
   74771     }
   74772    case 8:
   74773     return;
   74774   }
   74775 }
   74776 function _gvNEWcontext($builtins, $demand_loading) {
   74777   $builtins = $builtins | 0;
   74778   $demand_loading = $demand_loading | 0;
   74779   var $call = 0, $0 = 0, $tobool = 0, $info = 0, $errorfn = 0, $1 = 0, $builtins3 = 0, $2 = 0, $demand_loading5 = 0, $3 = 0, label = 0;
   74780   label = 2;
   74781   while (1) switch (label | 0) {
   74782    case 2:
   74783     $call = _zmalloc(360) | 0;
   74784     $0 = $call;
   74785     $tobool = ($call | 0) == 0;
   74786     if ($tobool) {
   74787       label = 4;
   74788       break;
   74789     } else {
   74790       label = 3;
   74791       break;
   74792     }
   74793    case 3:
   74794     $info = $call;
   74795     HEAP32[$info >> 2] = 166576;
   74796     $errorfn = $call + 16 | 0;
   74797     $1 = $errorfn;
   74798     HEAP32[$1 >> 2] = 502;
   74799     $builtins3 = $call + 32 | 0;
   74800     $2 = $builtins3;
   74801     HEAP32[$2 >> 2] = $builtins;
   74802     $demand_loading5 = $call + 36 | 0;
   74803     $3 = $demand_loading5;
   74804     HEAP32[$3 >> 2] = $demand_loading;
   74805     label = 4;
   74806     break;
   74807    case 4:
   74808     return $0 | 0;
   74809   }
   74810   return 0;
   74811 }
   74812 function _gvFreeContext($gvc) {
   74813   $gvc = $gvc | 0;
   74814   var $gvgs = 0, $0 = 0, $tobool14 = 0, $gvg_next_015 = 0, $next = 0, $1 = 0, $2 = 0, $tobool = 0, $packages = 0, $3 = 0, $tobool212 = 0, $package_next_013 = 0, $next4 = 0, $4 = 0, $path = 0, $5 = 0, $name = 0, $6 = 0, $7 = 0, $tobool2 = 0, $config_path = 0, $8 = 0, $tobool6 = 0, $input_filenames = 0, $9 = 0, $tobool8 = 0, $10 = 0, $11 = 0, $12 = 0, $call = 0, $add = 0, label = 0;
   74815   label = 2;
   74816   while (1) switch (label | 0) {
   74817    case 2:
   74818     _emit_once_reset();
   74819     $gvgs = $gvc + 52 | 0;
   74820     $0 = HEAP32[$gvgs >> 2] | 0;
   74821     $tobool14 = ($0 | 0) == 0;
   74822     if ($tobool14) {
   74823       label = 4;
   74824       break;
   74825     } else {
   74826       $gvg_next_015 = $0;
   74827       label = 3;
   74828       break;
   74829     }
   74830    case 3:
   74831     $next = $gvg_next_015 + 4 | 0;
   74832     $1 = HEAP32[$next >> 2] | 0;
   74833     $2 = $gvg_next_015;
   74834     _free($2);
   74835     $tobool = ($1 | 0) == 0;
   74836     if ($tobool) {
   74837       label = 4;
   74838       break;
   74839     } else {
   74840       $gvg_next_015 = $1;
   74841       label = 3;
   74842       break;
   74843     }
   74844    case 4:
   74845     $packages = $gvc + 100 | 0;
   74846     $3 = HEAP32[$packages >> 2] | 0;
   74847     $tobool212 = ($3 | 0) == 0;
   74848     if ($tobool212) {
   74849       label = 6;
   74850       break;
   74851     } else {
   74852       $package_next_013 = $3;
   74853       label = 5;
   74854       break;
   74855     }
   74856    case 5:
   74857     $next4 = $package_next_013 | 0;
   74858     $4 = HEAP32[$next4 >> 2] | 0;
   74859     $path = $package_next_013 + 4 | 0;
   74860     $5 = HEAP32[$path >> 2] | 0;
   74861     _free($5);
   74862     $name = $package_next_013 + 8 | 0;
   74863     $6 = HEAP32[$name >> 2] | 0;
   74864     _free($6);
   74865     $7 = $package_next_013;
   74866     _free($7);
   74867     $tobool2 = ($4 | 0) == 0;
   74868     if ($tobool2) {
   74869       label = 6;
   74870       break;
   74871     } else {
   74872       $package_next_013 = $4;
   74873       label = 5;
   74874       break;
   74875     }
   74876    case 6:
   74877     _gvjobs_delete($gvc);
   74878     $config_path = $gvc + 40 | 0;
   74879     $8 = HEAP32[$config_path >> 2] | 0;
   74880     $tobool6 = ($8 | 0) == 0;
   74881     if ($tobool6) {
   74882       label = 8;
   74883       break;
   74884     } else {
   74885       label = 7;
   74886       break;
   74887     }
   74888    case 7:
   74889     _free($8);
   74890     label = 8;
   74891     break;
   74892    case 8:
   74893     $input_filenames = $gvc + 48 | 0;
   74894     $9 = HEAP32[$input_filenames >> 2] | 0;
   74895     $tobool8 = ($9 | 0) == 0;
   74896     if ($tobool8) {
   74897       label = 10;
   74898       break;
   74899     } else {
   74900       label = 9;
   74901       break;
   74902     }
   74903    case 9:
   74904     $10 = $9;
   74905     _free($10);
   74906     label = 10;
   74907     break;
   74908    case 10:
   74909     $11 = $gvc;
   74910     _free($11);
   74911     $12 = HEAP32[4046] | 0;
   74912     $call = _agerrors() | 0;
   74913     $add = $call + $12 | 0;
   74914     return $add | 0;
   74915   }
   74916   return 0;
   74917 }
   74918 function _gvdevice_initialize($job) {
   74919   $job = $job | 0;
   74920   var $engine = 0, $0 = 0, $gvc1 = 0, $1 = 0, $tobool = 0, $initialize = 0, $2 = 0, $tobool2 = 0, $output_data = 0, $3 = 0, $tobool4 = 0, $output_file = 0, $4 = 0, $tobool7 = 0, $auto_outfile_names = 0, $5 = 0, $tobool9 = 0, $output_filename = 0, $6 = 0, $tobool11 = 0, $call = 0, $cmp = 0, $common17 = 0, $7 = 0, $errorfn = 0, $8 = 0, $9 = 0, $call19 = 0, $10 = 0, $call20 = 0, $11 = 0, $flags = 0, $12 = 0, $and = 0, $tobool28 = 0, $common30 = 0, $13 = 0, $errorfn31 = 0, $14 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   74921   __stackBase__ = STACKTOP;
   74922   label = 2;
   74923   while (1) switch (label | 0) {
   74924    case 2:
   74925     $engine = $job + 76 | 0;
   74926     $0 = HEAP32[$engine >> 2] | 0;
   74927     $gvc1 = $job | 0;
   74928     $1 = HEAP32[$gvc1 >> 2] | 0;
   74929     $tobool = ($0 | 0) == 0;
   74930     if ($tobool) {
   74931       label = 5;
   74932       break;
   74933     } else {
   74934       label = 3;
   74935       break;
   74936     }
   74937    case 3:
   74938     $initialize = $0 | 0;
   74939     $2 = HEAP32[$initialize >> 2] | 0;
   74940     $tobool2 = ($2 | 0) == 0;
   74941     if ($tobool2) {
   74942       label = 5;
   74943       break;
   74944     } else {
   74945       label = 4;
   74946       break;
   74947     }
   74948    case 4:
   74949     FUNCTION_TABLE_vi[$2 & 1023]($job);
   74950     label = 13;
   74951     break;
   74952    case 5:
   74953     $output_data = $job + 40 | 0;
   74954     $3 = HEAP32[$output_data >> 2] | 0;
   74955     $tobool4 = ($3 | 0) == 0;
   74956     if ($tobool4) {
   74957       label = 6;
   74958       break;
   74959     } else {
   74960       label = 13;
   74961       break;
   74962     }
   74963    case 6:
   74964     $output_file = $job + 36 | 0;
   74965     $4 = HEAP32[$output_file >> 2] | 0;
   74966     $tobool7 = ($4 | 0) == 0;
   74967     if ($tobool7) {
   74968       label = 7;
   74969       break;
   74970     } else {
   74971       label = 13;
   74972       break;
   74973     }
   74974    case 7:
   74975     $auto_outfile_names = $1 + 13 | 0;
   74976     $5 = HEAP8[$auto_outfile_names] | 0;
   74977     $tobool9 = $5 << 24 >> 24 == 0;
   74978     if ($tobool9) {
   74979       label = 9;
   74980       break;
   74981     } else {
   74982       label = 8;
   74983       break;
   74984     }
   74985    case 8:
   74986     _auto_output_filename($job);
   74987     label = 9;
   74988     break;
   74989    case 9:
   74990     $output_filename = $job + 32 | 0;
   74991     $6 = HEAP32[$output_filename >> 2] | 0;
   74992     $tobool11 = ($6 | 0) == 0;
   74993     if ($tobool11) {
   74994       label = 12;
   74995       break;
   74996     } else {
   74997       label = 10;
   74998       break;
   74999     }
   75000    case 10:
   75001     $call = _fopen($6 | 0, 134128) | 0;
   75002     HEAP32[$output_file >> 2] = $call;
   75003     $cmp = ($call | 0) == 0;
   75004     if ($cmp) {
   75005       label = 11;
   75006       break;
   75007     } else {
   75008       label = 13;
   75009       break;
   75010     }
   75011    case 11:
   75012     $common17 = $job + 12 | 0;
   75013     $7 = HEAP32[$common17 >> 2] | 0;
   75014     $errorfn = $7 + 16 | 0;
   75015     $8 = HEAP32[$errorfn >> 2] | 0;
   75016     $9 = HEAP32[$output_filename >> 2] | 0;
   75017     $call19 = ___errno_location() | 0;
   75018     $10 = HEAP32[$call19 >> 2] | 0;
   75019     $call20 = _strerror($10 | 0) | 0;
   75020     FUNCTION_TABLE_vii[$8 & 1023](156152, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $9, HEAP32[tempInt + 8 >> 2] = $call20, tempInt) | 0);
   75021     $retval_0 = 1;
   75022     label = 15;
   75023     break;
   75024    case 12:
   75025     $11 = HEAP32[_stdout >> 2] | 0;
   75026     HEAP32[$output_file >> 2] = $11;
   75027     label = 13;
   75028     break;
   75029    case 13:
   75030     $flags = $job + 152 | 0;
   75031     $12 = HEAP32[$flags >> 2] | 0;
   75032     $and = $12 & 1024;
   75033     $tobool28 = ($and | 0) == 0;
   75034     if ($tobool28) {
   75035       $retval_0 = 0;
   75036       label = 15;
   75037       break;
   75038     } else {
   75039       label = 14;
   75040       break;
   75041     }
   75042    case 14:
   75043     $common30 = $job + 12 | 0;
   75044     $13 = HEAP32[$common30 >> 2] | 0;
   75045     $errorfn31 = $13 + 16 | 0;
   75046     $14 = HEAP32[$errorfn31 >> 2] | 0;
   75047     FUNCTION_TABLE_vii[$14 & 1023](128392, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0);
   75048     $retval_0 = 1;
   75049     label = 15;
   75050     break;
   75051    case 15:
   75052     STACKTOP = __stackBase__;
   75053     return $retval_0 | 0;
   75054   }
   75055   return 0;
   75056 }
   75057 function _auto_output_filename($job) {
   75058   $job = $job | 0;
   75059   var $gidx = 0, $graph_index = 0, $0 = 0, $tobool = 0, $arraydecay = 0, $add = 0, $call = 0, $input_filename = 0, $1 = 0, $tobool2 = 0, $_ = 0, $call5 = 0, $call7 = 0, $output_langname = 0, $2 = 0, $call10 = 0, $add8 = 0, $add9 = 0, $add11 = 0, $add12 = 0, $3 = 0, $cmp = 0, $add14 = 0, $4 = 0, $call15 = 0, $5 = 0, $call17 = 0, $6 = 0, $call19 = 0, $7 = 0, $strlen = 0, $endptr = 0, $8 = 0, $9 = 0, $call22 = 0, $call2313 = 0, $tobool2414 = 0, $10 = 0, $11 = 0, $call2315 = 0, $add_ptr = 0, $call25 = 0, $12 = 0, $strlen11 = 0, $endptr12 = 0, $13 = 0, $call23 = 0, $tobool24 = 0, $14 = 0, $_lcssa = 0, $call27 = 0, $15 = 0, $output_filename = 0, label = 0, __stackBase__ = 0;
   75060   __stackBase__ = STACKTOP;
   75061   STACKTOP = STACKTOP + 104 | 0;
   75062   label = 2;
   75063   while (1) switch (label | 0) {
   75064    case 2:
   75065     $gidx = __stackBase__ | 0;
   75066     $graph_index = $job + 24 | 0;
   75067     $0 = HEAP32[$graph_index >> 2] | 0;
   75068     $tobool = ($0 | 0) == 0;
   75069     $arraydecay = $gidx | 0;
   75070     if ($tobool) {
   75071       label = 4;
   75072       break;
   75073     } else {
   75074       label = 3;
   75075       break;
   75076     }
   75077    case 3:
   75078     $add = $0 + 1 | 0;
   75079     $call = _sprintf($arraydecay | 0, 162400, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $add, tempInt) | 0) | 0;
   75080     label = 5;
   75081     break;
   75082    case 4:
   75083     HEAP8[$arraydecay] = 0;
   75084     label = 5;
   75085     break;
   75086    case 5:
   75087     $input_filename = $job + 20 | 0;
   75088     $1 = HEAP32[$input_filename >> 2] | 0;
   75089     $tobool2 = ($1 | 0) == 0;
   75090     $_ = $tobool2 ? 158840 : $1;
   75091     $call5 = _strlen($_ | 0) | 0;
   75092     $call7 = _strlen($arraydecay | 0) | 0;
   75093     $output_langname = $job + 52 | 0;
   75094     $2 = HEAP32[$output_langname >> 2] | 0;
   75095     $call10 = _strlen($2 | 0) | 0;
   75096     $add8 = $call5 + 1 | 0;
   75097     $add9 = $add8 + $call7 | 0;
   75098     $add11 = $add9 + $call10 | 0;
   75099     $add12 = $add11 + 1 | 0;
   75100     $3 = HEAP32[21448] | 0;
   75101     $cmp = $3 >>> 0 < $add12 >>> 0;
   75102     if ($cmp) {
   75103       label = 6;
   75104       break;
   75105     } else {
   75106       label = 7;
   75107       break;
   75108     }
   75109    case 6:
   75110     $add14 = $add11 + 11 | 0;
   75111     HEAP32[21448] = $add14;
   75112     $4 = HEAP32[21450] | 0;
   75113     $call15 = _realloc($4, $add14) | 0;
   75114     HEAP32[21450] = $call15;
   75115     label = 7;
   75116     break;
   75117    case 7:
   75118     $5 = HEAP32[21450] | 0;
   75119     $call17 = _strcpy($5 | 0, $_ | 0) | 0;
   75120     $6 = HEAP32[21450] | 0;
   75121     $call19 = _strcat($6 | 0, $arraydecay | 0) | 0;
   75122     $7 = HEAP32[21450] | 0;
   75123     $strlen = _strlen($7 | 0) | 0;
   75124     $endptr = $7 + $strlen | 0;
   75125     $8 = $endptr;
   75126     tempBigInt = 46;
   75127     HEAP8[$8] = tempBigInt & 255;
   75128     tempBigInt = tempBigInt >> 8;
   75129     HEAP8[$8 + 1 | 0] = tempBigInt & 255;
   75130     $9 = HEAP32[$output_langname >> 2] | 0;
   75131     $call22 = _strdup($9 | 0) | 0;
   75132     $call2313 = _strrchr($call22 | 0, 58) | 0;
   75133     $tobool2414 = ($call2313 | 0) == 0;
   75134     $10 = HEAP32[21450] | 0;
   75135     if ($tobool2414) {
   75136       $_lcssa = $10;
   75137       label = 9;
   75138       break;
   75139     } else {
   75140       $call2315 = $call2313;
   75141       $11 = $10;
   75142       label = 8;
   75143       break;
   75144     }
   75145    case 8:
   75146     $add_ptr = $call2315 + 1 | 0;
   75147     $call25 = _strcat($11 | 0, $add_ptr | 0) | 0;
   75148     $12 = HEAP32[21450] | 0;
   75149     $strlen11 = _strlen($12 | 0) | 0;
   75150     $endptr12 = $12 + $strlen11 | 0;
   75151     $13 = $endptr12;
   75152     tempBigInt = 46;
   75153     HEAP8[$13] = tempBigInt & 255;
   75154     tempBigInt = tempBigInt >> 8;
   75155     HEAP8[$13 + 1 | 0] = tempBigInt & 255;
   75156     HEAP8[$call2315] = 0;
   75157     $call23 = _strrchr($call22 | 0, 58) | 0;
   75158     $tobool24 = ($call23 | 0) == 0;
   75159     $14 = HEAP32[21450] | 0;
   75160     if ($tobool24) {
   75161       $_lcssa = $14;
   75162       label = 9;
   75163       break;
   75164     } else {
   75165       $call2315 = $call23;
   75166       $11 = $14;
   75167       label = 8;
   75168       break;
   75169     }
   75170    case 9:
   75171     $call27 = _strcat($_lcssa | 0, $call22 | 0) | 0;
   75172     _free($call22);
   75173     $15 = HEAP32[21450] | 0;
   75174     $output_filename = $job + 32 | 0;
   75175     HEAP32[$output_filename >> 2] = $15;
   75176     STACKTOP = __stackBase__;
   75177     return;
   75178   }
   75179 }
   75180 function _gvwrite($job, $s, $len) {
   75181   $job = $job | 0;
   75182   $s = $s | 0;
   75183   $len = $len | 0;
   75184   var $tobool = 0, $tobool1 = 0, $or_cond = 0, $flags = 0, $0 = 0, $and = 0, $tobool2 = 0, $common = 0, $1 = 0, $errorfn = 0, $2 = 0, $call = 0, $cmp = 0, $common5 = 0, $3 = 0, $errorfn6 = 0, $4 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   75185   __stackBase__ = STACKTOP;
   75186   label = 2;
   75187   while (1) switch (label | 0) {
   75188    case 2:
   75189     $tobool = ($len | 0) == 0;
   75190     $tobool1 = ($s | 0) == 0;
   75191     $or_cond = $tobool | $tobool1;
   75192     if ($or_cond) {
   75193       $retval_0 = 0;
   75194       label = 7;
   75195       break;
   75196     } else {
   75197       label = 3;
   75198       break;
   75199     }
   75200    case 3:
   75201     $flags = $job + 152 | 0;
   75202     $0 = HEAP32[$flags >> 2] | 0;
   75203     $and = $0 & 1024;
   75204     $tobool2 = ($and | 0) == 0;
   75205     if ($tobool2) {
   75206       label = 5;
   75207       break;
   75208     } else {
   75209       label = 4;
   75210       break;
   75211     }
   75212    case 4:
   75213     $common = $job + 12 | 0;
   75214     $1 = HEAP32[$common >> 2] | 0;
   75215     $errorfn = $1 + 16 | 0;
   75216     $2 = HEAP32[$errorfn >> 2] | 0;
   75217     FUNCTION_TABLE_vii[$2 & 1023](128392, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0);
   75218     _exit(1);
   75219     return 0;
   75220    case 5:
   75221     $call = _gvwrite_no_z($job, $s, $len) | 0;
   75222     $cmp = ($call | 0) == ($len | 0);
   75223     if ($cmp) {
   75224       $retval_0 = $len;
   75225       label = 7;
   75226       break;
   75227     } else {
   75228       label = 6;
   75229       break;
   75230     }
   75231    case 6:
   75232     $common5 = $job + 12 | 0;
   75233     $3 = HEAP32[$common5 >> 2] | 0;
   75234     $errorfn6 = $3 + 16 | 0;
   75235     $4 = HEAP32[$errorfn6 >> 2] | 0;
   75236     FUNCTION_TABLE_vii[$4 & 1023](117688, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $len, tempInt) | 0);
   75237     _exit(1);
   75238     return 0;
   75239    case 7:
   75240     STACKTOP = __stackBase__;
   75241     return $retval_0 | 0;
   75242   }
   75243   return 0;
   75244 }
   75245 function _gvwrite_no_z($job, $s, $len) {
   75246   $job = $job | 0;
   75247   $s = $s | 0;
   75248   $len = $len | 0;
   75249   var $gvc = 0, $0 = 0, $write_fn = 0, $1 = 0, $tobool = 0, $call = 0, $output_data = 0, $2 = 0, $tobool3 = 0, $output_data_allocated = 0, $3 = 0, $output_data_position = 0, $4 = 0, $add_neg = 0, $sub = 0, $cmp = 0, $add7 = 0, $add9 = 0, $and = 0, $5 = 0, $call13 = 0, $tobool16 = 0, $common = 0, $6 = 0, $errorfn = 0, $7 = 0, $8 = 0, $9 = 0, $add_ptr = 0, $10 = 0, $add23 = 0, $11 = 0, $arrayidx = 0, $output_file = 0, $12 = 0, $call26 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   75250   __stackBase__ = STACKTOP;
   75251   label = 2;
   75252   while (1) switch (label | 0) {
   75253    case 2:
   75254     $gvc = $job | 0;
   75255     $0 = HEAP32[$gvc >> 2] | 0;
   75256     $write_fn = $0 + 116 | 0;
   75257     $1 = HEAP32[$write_fn >> 2] | 0;
   75258     $tobool = ($1 | 0) == 0;
   75259     if ($tobool) {
   75260       label = 4;
   75261       break;
   75262     } else {
   75263       label = 3;
   75264       break;
   75265     }
   75266    case 3:
   75267     $call = FUNCTION_TABLE_iiii[$1 & 1023]($job, $s, $len) | 0;
   75268     $retval_0 = $call;
   75269     label = 10;
   75270     break;
   75271    case 4:
   75272     $output_data = $job + 40 | 0;
   75273     $2 = HEAP32[$output_data >> 2] | 0;
   75274     $tobool3 = ($2 | 0) == 0;
   75275     if ($tobool3) {
   75276       label = 9;
   75277       break;
   75278     } else {
   75279       label = 5;
   75280       break;
   75281     }
   75282    case 5:
   75283     $output_data_allocated = $job + 44 | 0;
   75284     $3 = HEAP32[$output_data_allocated >> 2] | 0;
   75285     $output_data_position = $job + 48 | 0;
   75286     $4 = HEAP32[$output_data_position >> 2] | 0;
   75287     $add_neg = $3 - 1 | 0;
   75288     $sub = $add_neg - $4 | 0;
   75289     $cmp = $sub >>> 0 < $len >>> 0;
   75290     if ($cmp) {
   75291       label = 6;
   75292       break;
   75293     } else {
   75294       label = 8;
   75295       break;
   75296     }
   75297    case 6:
   75298     $add7 = $len + 4096 | 0;
   75299     $add9 = $add7 + $4 | 0;
   75300     $and = $add9 & -4096;
   75301     HEAP32[$output_data_allocated >> 2] = $and;
   75302     $5 = HEAP32[$output_data >> 2] | 0;
   75303     $call13 = _realloc($5, $and) | 0;
   75304     HEAP32[$output_data >> 2] = $call13;
   75305     $tobool16 = ($call13 | 0) == 0;
   75306     if ($tobool16) {
   75307       label = 7;
   75308       break;
   75309     } else {
   75310       label = 8;
   75311       break;
   75312     }
   75313    case 7:
   75314     $common = $job + 12 | 0;
   75315     $6 = HEAP32[$common >> 2] | 0;
   75316     $errorfn = $6 + 16 | 0;
   75317     $7 = HEAP32[$errorfn >> 2] | 0;
   75318     FUNCTION_TABLE_vii[$7 & 1023](92096, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0);
   75319     _exit(1);
   75320     return 0;
   75321    case 8:
   75322     $8 = HEAP32[$output_data >> 2] | 0;
   75323     $9 = HEAP32[$output_data_position >> 2] | 0;
   75324     $add_ptr = $8 + $9 | 0;
   75325     _memcpy($add_ptr | 0, $s | 0, $len);
   75326     $10 = HEAP32[$output_data_position >> 2] | 0;
   75327     $add23 = $10 + $len | 0;
   75328     HEAP32[$output_data_position >> 2] = $add23;
   75329     $11 = HEAP32[$output_data >> 2] | 0;
   75330     $arrayidx = $11 + $add23 | 0;
   75331     HEAP8[$arrayidx] = 0;
   75332     $retval_0 = $len;
   75333     label = 10;
   75334     break;
   75335    case 9:
   75336     $output_file = $job + 36 | 0;
   75337     $12 = HEAP32[$output_file >> 2] | 0;
   75338     $call26 = _fwrite($s | 0, 1, $len | 0, $12 | 0) | 0;
   75339     $retval_0 = $call26;
   75340     label = 10;
   75341     break;
   75342    case 10:
   75343     STACKTOP = __stackBase__;
   75344     return $retval_0 | 0;
   75345   }
   75346   return 0;
   75347 }
   75348 function _quit_cb($job) {
   75349   $job = $job | 0;
   75350   return 1;
   75351 }
   75352 function _gvevent_modify($job, $name, $value) {
   75353   $job = $job | 0;
   75354   $name = $name | 0;
   75355   $value = $value | 0;
   75356   return;
   75357 }
   75358 function _gvevent_delete($job) {
   75359   $job = $job | 0;
   75360   return;
   75361 }
   75362 function _gvprintnum($len, $number) {
   75363   $len = $len | 0;
   75364   $number = +$number;
   75365   var $cmp = 0, $cmp1 = 0, $mul = 0.0, $cmp4 = 0, $sub6 = 0.0, $add = 0.0, $N_0_in = 0.0, $N_0 = 0, $cmp9 = 0, $tobool = 0, $sub17 = 0, $sub17_N_0 = 0, $i_026 = 0, $showzeros_025 = 0, $N_224 = 0, $result_023 = 0, $rem = 0, $div = 0, $tobool22 = 0, $tobool24 = 0, $or_cond21 = 0, $or = 0, $conv26 = 0, $incdec_ptr = 0, $result_1 = 0, $showzeros_1 = 0, $cmp28 = 0, $tobool31 = 0, $incdec_ptr33 = 0, $result_3 = 0, $showzeros_2 = 0, $dec = 0, $N_224_off = 0, $0 = 0, $cmp20 = 0, $or_cond = 0, $incdec_ptr38 = 0, $result_4 = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $retval_0 = 0, label = 0;
   75366   label = 2;
   75367   while (1) switch (label | 0) {
   75368    case 2:
   75369     $cmp = $number < -1.0e15;
   75370     if ($cmp) {
   75371       label = 3;
   75372       break;
   75373     } else {
   75374       label = 4;
   75375       break;
   75376     }
   75377    case 3:
   75378     HEAP32[$len >> 2] = 19;
   75379     $retval_0 = 11248;
   75380     label = 21;
   75381     break;
   75382    case 4:
   75383     $cmp1 = $number > 1.0e15;
   75384     if ($cmp1) {
   75385       label = 5;
   75386       break;
   75387     } else {
   75388       label = 6;
   75389       break;
   75390     }
   75391    case 5:
   75392     HEAP32[$len >> 2] = 18;
   75393     $retval_0 = 11249;
   75394     label = 21;
   75395     break;
   75396    case 6:
   75397     $mul = $number * 100.0;
   75398     $cmp4 = $mul < 0.0;
   75399     if ($cmp4) {
   75400       label = 7;
   75401       break;
   75402     } else {
   75403       label = 8;
   75404       break;
   75405     }
   75406    case 7:
   75407     $sub6 = $mul + -.5;
   75408     $N_0_in = $sub6;
   75409     label = 9;
   75410     break;
   75411    case 8:
   75412     $add = $mul + .5;
   75413     $N_0_in = $add;
   75414     label = 9;
   75415     break;
   75416    case 9:
   75417     $N_0 = ~~$N_0_in;
   75418     $cmp9 = ($N_0 | 0) == 0;
   75419     if ($cmp9) {
   75420       label = 10;
   75421       break;
   75422     } else {
   75423       label = 11;
   75424       break;
   75425     }
   75426    case 10:
   75427     HEAP32[$len >> 2] = 1;
   75428     $retval_0 = 95632;
   75429     label = 21;
   75430     break;
   75431    case 11:
   75432     $tobool = ($N_0 | 0) < 0;
   75433     $sub17 = -$N_0 | 0;
   75434     $sub17_N_0 = $tobool ? $sub17 : $N_0;
   75435     $result_023 = 14364;
   75436     $N_224 = $sub17_N_0;
   75437     $showzeros_025 = 0;
   75438     $i_026 = 2;
   75439     label = 12;
   75440     break;
   75441    case 12:
   75442     $rem = ($N_224 | 0) % 10;
   75443     $div = ($N_224 | 0) / 10 & -1;
   75444     $tobool22 = ($rem | 0) == 0;
   75445     $tobool24 = $showzeros_025 << 24 >> 24 == 0;
   75446     $or_cond21 = $tobool22 & $tobool24;
   75447     if ($or_cond21) {
   75448       $showzeros_1 = $showzeros_025;
   75449       $result_1 = $result_023;
   75450       label = 14;
   75451       break;
   75452     } else {
   75453       label = 13;
   75454       break;
   75455     }
   75456    case 13:
   75457     $or = $rem | 48;
   75458     $conv26 = $or & 255;
   75459     $incdec_ptr = $result_023 - 1 | 0;
   75460     HEAP8[$incdec_ptr] = $conv26;
   75461     $showzeros_1 = 1;
   75462     $result_1 = $incdec_ptr;
   75463     label = 14;
   75464     break;
   75465    case 14:
   75466     $cmp28 = ($i_026 | 0) == 1;
   75467     if ($cmp28) {
   75468       label = 15;
   75469       break;
   75470     } else {
   75471       $showzeros_2 = $showzeros_1;
   75472       $result_3 = $result_1;
   75473       label = 17;
   75474       break;
   75475     }
   75476    case 15:
   75477     $tobool31 = $showzeros_1 << 24 >> 24 == 0;
   75478     if ($tobool31) {
   75479       $showzeros_2 = 1;
   75480       $result_3 = $result_1;
   75481       label = 17;
   75482       break;
   75483     } else {
   75484       label = 16;
   75485       break;
   75486     }
   75487    case 16:
   75488     $incdec_ptr33 = $result_1 - 1 | 0;
   75489     HEAP8[$incdec_ptr33] = 46;
   75490     $showzeros_2 = 1;
   75491     $result_3 = $incdec_ptr33;
   75492     label = 17;
   75493     break;
   75494    case 17:
   75495     $dec = $i_026 - 1 | 0;
   75496     $N_224_off = $N_224 + 9 | 0;
   75497     $0 = $N_224_off >>> 0 > 18;
   75498     $cmp20 = ($dec | 0) > 0;
   75499     $or_cond = $0 | $cmp20;
   75500     if ($or_cond) {
   75501       $result_023 = $result_3;
   75502       $N_224 = $div;
   75503       $showzeros_025 = $showzeros_2;
   75504       $i_026 = $dec;
   75505       label = 12;
   75506       break;
   75507     } else {
   75508       label = 18;
   75509       break;
   75510     }
   75511    case 18:
   75512     if ($tobool) {
   75513       label = 19;
   75514       break;
   75515     } else {
   75516       $result_4 = $result_3;
   75517       label = 20;
   75518       break;
   75519     }
   75520    case 19:
   75521     $incdec_ptr38 = $result_3 - 1 | 0;
   75522     HEAP8[$incdec_ptr38] = 45;
   75523     $result_4 = $incdec_ptr38;
   75524     label = 20;
   75525     break;
   75526    case 20:
   75527     $sub_ptr_rhs_cast = $result_4;
   75528     $sub_ptr_sub = 14364 - $sub_ptr_rhs_cast | 0;
   75529     HEAP32[$len >> 2] = $sub_ptr_sub;
   75530     $retval_0 = $result_4;
   75531     label = 21;
   75532     break;
   75533    case 21:
   75534     return $retval_0 | 0;
   75535   }
   75536   return 0;
   75537 }
   75538 function _left_cb($job) {
   75539   $job = $job | 0;
   75540   var $x = 0;
   75541   HEAP8[$job + 536 | 0] = 0;
   75542   $x = $job + 336 | 0;
   75543   HEAPF64[$x >> 3] = 10.0 / +HEAPF64[$job + 352 >> 3] + +HEAPF64[$x >> 3];
   75544   HEAP8[$job + 537 | 0] = 1;
   75545   return 0;
   75546 }
   75547 function _right_cb($job) {
   75548   $job = $job | 0;
   75549   var $x = 0;
   75550   HEAP8[$job + 536 | 0] = 0;
   75551   $x = $job + 336 | 0;
   75552   HEAPF64[$x >> 3] = +HEAPF64[$x >> 3] - 10.0 / +HEAPF64[$job + 352 >> 3];
   75553   HEAP8[$job + 537 | 0] = 1;
   75554   return 0;
   75555 }
   75556 function _up_cb($job) {
   75557   $job = $job | 0;
   75558   var $y = 0;
   75559   HEAP8[$job + 536 | 0] = 0;
   75560   $y = $job + 344 | 0;
   75561   HEAPF64[$y >> 3] = +HEAPF64[$y >> 3] - 10.0 / +HEAPF64[$job + 352 >> 3];
   75562   HEAP8[$job + 537 | 0] = 1;
   75563   return 0;
   75564 }
   75565 function _down_cb($job) {
   75566   $job = $job | 0;
   75567   var $y = 0;
   75568   HEAP8[$job + 536 | 0] = 0;
   75569   $y = $job + 344 | 0;
   75570   HEAPF64[$y >> 3] = 10.0 / +HEAPF64[$job + 352 >> 3] + +HEAPF64[$y >> 3];
   75571   HEAP8[$job + 537 | 0] = 1;
   75572   return 0;
   75573 }
   75574 function _zoom_in_cb($job) {
   75575   $job = $job | 0;
   75576   var $zoom = 0;
   75577   HEAP8[$job + 536 | 0] = 0;
   75578   $zoom = $job + 352 | 0;
   75579   HEAPF64[$zoom >> 3] = +HEAPF64[$zoom >> 3] * 1.1;
   75580   HEAP8[$job + 537 | 0] = 1;
   75581   return 0;
   75582 }
   75583 function _zoom_out_cb($job) {
   75584   $job = $job | 0;
   75585   var $zoom = 0;
   75586   HEAP8[$job + 536 | 0] = 0;
   75587   $zoom = $job + 352 | 0;
   75588   HEAPF64[$zoom >> 3] = +HEAPF64[$zoom >> 3] / 1.1;
   75589   HEAP8[$job + 537 | 0] = 1;
   75590   return 0;
   75591 }
   75592 function _gvevent_button_release($job, $button, $pointer) {
   75593   $job = $job | 0;
   75594   $button = $button | 0;
   75595   $pointer = $pointer | 0;
   75596   var tempParam = 0, __stackBase__ = 0;
   75597   __stackBase__ = STACKTOP;
   75598   tempParam = $pointer;
   75599   $pointer = STACKTOP;
   75600   STACKTOP = STACKTOP + 16 | 0;
   75601   HEAP32[$pointer >> 2] = HEAP32[tempParam >> 2] | 0;
   75602   HEAP32[$pointer + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   75603   HEAP32[$pointer + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   75604   HEAP32[$pointer + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   75605   HEAP8[$job + 538 | 0] = 0;
   75606   HEAP8[$job + 541 | 0] = 0;
   75607   STACKTOP = __stackBase__;
   75608   return;
   75609 }
   75610 function _gvferror($stream) {
   75611   $stream = $stream | 0;
   75612   var $gvc = 0, $0 = 0, $write_fn = 0, $1 = 0, $tobool = 0, $output_data = 0, $2 = 0, $tobool1 = 0, $output_file = 0, $3 = 0, $4 = 0, $call = 0, $retval_0 = 0, label = 0;
   75613   label = 2;
   75614   while (1) switch (label | 0) {
   75615    case 2:
   75616     $gvc = $stream;
   75617     $0 = HEAP32[$gvc >> 2] | 0;
   75618     $write_fn = $0 + 116 | 0;
   75619     $1 = HEAP32[$write_fn >> 2] | 0;
   75620     $tobool = ($1 | 0) == 0;
   75621     if ($tobool) {
   75622       label = 3;
   75623       break;
   75624     } else {
   75625       $retval_0 = 0;
   75626       label = 5;
   75627       break;
   75628     }
   75629    case 3:
   75630     $output_data = $stream + 40 | 0;
   75631     $2 = HEAP32[$output_data >> 2] | 0;
   75632     $tobool1 = ($2 | 0) == 0;
   75633     if ($tobool1) {
   75634       label = 4;
   75635       break;
   75636     } else {
   75637       $retval_0 = 0;
   75638       label = 5;
   75639       break;
   75640     }
   75641    case 4:
   75642     $output_file = $stream + 36 | 0;
   75643     $3 = HEAP32[$output_file >> 2] | 0;
   75644     $4 = $3;
   75645     $call = _ferror($4 | 0) | 0;
   75646     $retval_0 = $call;
   75647     label = 5;
   75648     break;
   75649    case 5:
   75650     return $retval_0 | 0;
   75651   }
   75652   return 0;
   75653 }
   75654 function _gvfwrite($ptr, $size, $nmemb, $stream) {
   75655   $ptr = $ptr | 0;
   75656   $size = $size | 0;
   75657   $nmemb = $nmemb | 0;
   75658   $stream = $stream | 0;
   75659   return _gvwrite($stream, $ptr, $nmemb) | 0;
   75660 }
   75661 function _gvputs($job, $s) {
   75662   $job = $job | 0;
   75663   $s = $s | 0;
   75664   var $call = 0;
   75665   $call = _strlen($s | 0) | 0;
   75666   return ((_gvwrite($job, $s, $call) | 0) == ($call | 0) ? 1 : -1) | 0;
   75667 }
   75668 function _gvputc($job, $c) {
   75669   $job = $job | 0;
   75670   $c = $c | 0;
   75671   var $cc = 0, $c_ = 0, __stackBase__ = 0;
   75672   __stackBase__ = STACKTOP;
   75673   STACKTOP = STACKTOP + 8 | 0;
   75674   $cc = __stackBase__ | 0;
   75675   HEAP8[$cc] = $c & 255;
   75676   $c_ = (_gvwrite($job, $cc, 1) | 0) == 1 ? $c : -1;
   75677   STACKTOP = __stackBase__;
   75678   return $c_ | 0;
   75679 }
   75680 function _gvflush($job) {
   75681   $job = $job | 0;
   75682   var $output_file = 0, $0 = 0, $tobool = 0, $external_context = 0, $1 = 0, $tobool1 = 0, $gvc = 0, $2 = 0, $write_fn = 0, $3 = 0, $tobool3 = 0, $call = 0, $retval_0 = 0, label = 0;
   75683   label = 2;
   75684   while (1) switch (label | 0) {
   75685    case 2:
   75686     $output_file = $job + 36 | 0;
   75687     $0 = HEAP32[$output_file >> 2] | 0;
   75688     $tobool = ($0 | 0) == 0;
   75689     if ($tobool) {
   75690       $retval_0 = 0;
   75691       label = 6;
   75692       break;
   75693     } else {
   75694       label = 3;
   75695       break;
   75696     }
   75697    case 3:
   75698     $external_context = $job + 144 | 0;
   75699     $1 = HEAP8[$external_context] | 0;
   75700     $tobool1 = $1 << 24 >> 24 == 0;
   75701     if ($tobool1) {
   75702       label = 4;
   75703       break;
   75704     } else {
   75705       $retval_0 = 0;
   75706       label = 6;
   75707       break;
   75708     }
   75709    case 4:
   75710     $gvc = $job | 0;
   75711     $2 = HEAP32[$gvc >> 2] | 0;
   75712     $write_fn = $2 + 116 | 0;
   75713     $3 = HEAP32[$write_fn >> 2] | 0;
   75714     $tobool3 = ($3 | 0) == 0;
   75715     if ($tobool3) {
   75716       label = 5;
   75717       break;
   75718     } else {
   75719       $retval_0 = 0;
   75720       label = 6;
   75721       break;
   75722     }
   75723    case 5:
   75724     $call = _fflush($0 | 0) | 0;
   75725     $retval_0 = $call;
   75726     label = 6;
   75727     break;
   75728    case 6:
   75729     return $retval_0 | 0;
   75730   }
   75731   return 0;
   75732 }
   75733 function _gvdevice_format($job) {
   75734   $job = $job | 0;
   75735   var $engine = 0, $0 = 0, $tobool = 0, $format = 0, $1 = 0, $tobool1 = 0, $call = 0, label = 0;
   75736   label = 2;
   75737   while (1) switch (label | 0) {
   75738    case 2:
   75739     $engine = $job + 76 | 0;
   75740     $0 = HEAP32[$engine >> 2] | 0;
   75741     $tobool = ($0 | 0) == 0;
   75742     if ($tobool) {
   75743       label = 5;
   75744       break;
   75745     } else {
   75746       label = 3;
   75747       break;
   75748     }
   75749    case 3:
   75750     $format = $0 + 4 | 0;
   75751     $1 = HEAP32[$format >> 2] | 0;
   75752     $tobool1 = ($1 | 0) == 0;
   75753     if ($tobool1) {
   75754       label = 5;
   75755       break;
   75756     } else {
   75757       label = 4;
   75758       break;
   75759     }
   75760    case 4:
   75761     FUNCTION_TABLE_vi[$1 & 1023]($job);
   75762     label = 5;
   75763     break;
   75764    case 5:
   75765     $call = _gvflush($job) | 0;
   75766     return;
   75767   }
   75768 }
   75769 function _gvdevice_close($job) {
   75770   $job = $job | 0;
   75771   var $output_filename = 0, $0 = 0, $tobool = 0, $output_file = 0, $1 = 0, $2 = 0, $cmp = 0, $external_context = 0, $3 = 0, $tobool2 = 0, $tobool4 = 0, $call = 0, label = 0;
   75772   label = 2;
   75773   while (1) switch (label | 0) {
   75774    case 2:
   75775     $output_filename = $job + 32 | 0;
   75776     $0 = HEAP32[$output_filename >> 2] | 0;
   75777     $tobool = ($0 | 0) == 0;
   75778     if ($tobool) {
   75779       label = 8;
   75780       break;
   75781     } else {
   75782       label = 3;
   75783       break;
   75784     }
   75785    case 3:
   75786     $output_file = $job + 36 | 0;
   75787     $1 = HEAP32[$output_file >> 2] | 0;
   75788     $2 = HEAP32[_stdout >> 2] | 0;
   75789     $cmp = ($1 | 0) == ($2 | 0);
   75790     if ($cmp) {
   75791       label = 8;
   75792       break;
   75793     } else {
   75794       label = 4;
   75795       break;
   75796     }
   75797    case 4:
   75798     $external_context = $job + 144 | 0;
   75799     $3 = HEAP8[$external_context] | 0;
   75800     $tobool2 = $3 << 24 >> 24 == 0;
   75801     if ($tobool2) {
   75802       label = 5;
   75803       break;
   75804     } else {
   75805       label = 8;
   75806       break;
   75807     }
   75808    case 5:
   75809     $tobool4 = ($1 | 0) == 0;
   75810     if ($tobool4) {
   75811       label = 7;
   75812       break;
   75813     } else {
   75814       label = 6;
   75815       break;
   75816     }
   75817    case 6:
   75818     $call = _fclose($1 | 0) | 0;
   75819     HEAP32[$output_file >> 2] = 0;
   75820     label = 7;
   75821     break;
   75822    case 7:
   75823     HEAP32[$output_filename >> 2] = 0;
   75824     label = 8;
   75825     break;
   75826    case 8:
   75827     return;
   75828   }
   75829 }
   75830 function _gvprintf($job, $format, varrp) {
   75831   $job = $job | 0;
   75832   $format = $format | 0;
   75833   varrp = varrp | 0;
   75834   var $argp = 0, $arraydecay1 = 0, $arraydecay2 = 0, __stackBase__ = 0;
   75835   __stackBase__ = STACKTOP;
   75836   STACKTOP = STACKTOP + 1040 | 0;
   75837   $argp = __stackBase__ + 1024 | 0;
   75838   $arraydecay1 = $argp;
   75839   HEAP32[$arraydecay1 >> 2] = varrp;
   75840   HEAP32[$arraydecay1 + 4 >> 2] = 0;
   75841   $arraydecay2 = __stackBase__ | 0;
   75842   _gvwrite($job, $arraydecay2, _vsprintf($arraydecay2 | 0, $format | 0, $argp | 0) | 0);
   75843   STACKTOP = __stackBase__;
   75844   return;
   75845 }
   75846 function _gvprintdouble($job, $num) {
   75847   $job = $job | 0;
   75848   $num = +$num;
   75849   var $len = 0, $call = 0, __stackBase__ = 0;
   75850   __stackBase__ = STACKTOP;
   75851   STACKTOP = STACKTOP + 8 | 0;
   75852   $len = __stackBase__ | 0;
   75853   $call = _gvprintnum($len, $num) | 0;
   75854   _gvwrite($job, $call, HEAP32[$len >> 2] | 0);
   75855   STACKTOP = __stackBase__;
   75856   return;
   75857 }
   75858 function _gvprintpointf($job, $p) {
   75859   $job = $job | 0;
   75860   $p = $p | 0;
   75861   var $len = 0, $call = 0, $call3 = 0, tempParam = 0, __stackBase__ = 0;
   75862   __stackBase__ = STACKTOP;
   75863   STACKTOP = STACKTOP + 8 | 0;
   75864   tempParam = $p;
   75865   $p = STACKTOP;
   75866   STACKTOP = STACKTOP + 16 | 0;
   75867   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   75868   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   75869   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   75870   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   75871   $len = __stackBase__ | 0;
   75872   $call = _gvprintnum($len, +HEAPF64[$p >> 3]) | 0;
   75873   _gvwrite($job, $call, HEAP32[$len >> 2] | 0);
   75874   _gvwrite($job, 99672, 1);
   75875   $call3 = _gvprintnum($len, +HEAPF64[$p + 8 >> 3]) | 0;
   75876   _gvwrite($job, $call3, HEAP32[$len >> 2] | 0);
   75877   STACKTOP = __stackBase__;
   75878   return;
   75879 }
   75880 function _gvprintpointflist($job, $p, $n) {
   75881   $job = $job | 0;
   75882   $p = $p | 0;
   75883   $n = $n | 0;
   75884   var $cmp3 = 0, $inc4 = 0, $call = 0, $arrayidx = 0, $inc = 0, $cmp = 0, label = 0;
   75885   label = 2;
   75886   while (1) switch (label | 0) {
   75887    case 2:
   75888     _gvprintpointf($job, $p);
   75889     $cmp3 = ($n | 0) > 1;
   75890     if ($cmp3) {
   75891       $inc4 = 1;
   75892       label = 3;
   75893       break;
   75894     } else {
   75895       label = 4;
   75896       break;
   75897     }
   75898    case 3:
   75899     $call = _gvwrite($job, 99672, 1) | 0;
   75900     $arrayidx = $p + ($inc4 << 4) | 0;
   75901     _gvprintpointf($job, $arrayidx);
   75902     $inc = $inc4 + 1 | 0;
   75903     $cmp = ($inc | 0) < ($n | 0);
   75904     if ($cmp) {
   75905       $inc4 = $inc;
   75906       label = 3;
   75907       break;
   75908     } else {
   75909       label = 4;
   75910       break;
   75911     }
   75912    case 4:
   75913     return;
   75914   }
   75915 }
   75916 function _gvlayout_select($gvc, $layout) {
   75917   $gvc = $gvc | 0;
   75918   $layout = $layout | 0;
   75919   var $call = 0, $tobool = 0, $typeptr1 = 0, $0 = 0, $type = 0, $1 = 0, $type3 = 0, $engine = 0, $2 = 0, $3 = 0, $engine5 = 0, $id = 0, $4 = 0, $id7 = 0, $features = 0, $5 = 0, $6 = 0, $features9 = 0, $retval_0 = 0, label = 0;
   75920   label = 2;
   75921   while (1) switch (label | 0) {
   75922    case 2:
   75923     $call = _gvplugin_load($gvc, 1, $layout) | 0;
   75924     $tobool = ($call | 0) == 0;
   75925     if ($tobool) {
   75926       $retval_0 = 999;
   75927       label = 4;
   75928       break;
   75929     } else {
   75930       label = 3;
   75931       break;
   75932     }
   75933    case 3:
   75934     $typeptr1 = $call + 16 | 0;
   75935     $0 = HEAP32[$typeptr1 >> 2] | 0;
   75936     $type = $0 + 4 | 0;
   75937     $1 = HEAP32[$type >> 2] | 0;
   75938     $type3 = $gvc + 156 | 0;
   75939     HEAP32[$type3 >> 2] = $1;
   75940     $engine = $0 + 12 | 0;
   75941     $2 = HEAP32[$engine >> 2] | 0;
   75942     $3 = $2;
   75943     $engine5 = $gvc + 144 | 0;
   75944     HEAP32[$engine5 >> 2] = $3;
   75945     $id = $0 | 0;
   75946     $4 = HEAP32[$id >> 2] | 0;
   75947     $id7 = $gvc + 148 | 0;
   75948     HEAP32[$id7 >> 2] = $4;
   75949     $features = $0 + 16 | 0;
   75950     $5 = HEAP32[$features >> 2] | 0;
   75951     $6 = $5;
   75952     $features9 = $gvc + 152 | 0;
   75953     HEAP32[$features9 >> 2] = $6;
   75954     $retval_0 = 300;
   75955     label = 4;
   75956     break;
   75957    case 4:
   75958     return $retval_0 | 0;
   75959   }
   75960   return 0;
   75961 }
   75962 function _gvLayoutJobs($gvc, $g) {
   75963   $gvc = $gvc | 0;
   75964   $g = $g | 0;
   75965   var $gvc1 = 0, $root = 0, $0 = 0, $cmp = 0, $gvc4 = 0, $1 = 0, $call = 0, $tobool = 0, $call6 = 0, $cmp7 = 0, $call9 = 0, $call10 = 0, $engine = 0, $2 = 0, $tobool13 = 0, $features = 0, $3 = 0, $flags = 0, $4 = 0, $_tr = 0, $conv = 0, $drawing = 0, $5 = 0, $6 = 0, $drawing20 = 0, $layout22 = 0, $7 = 0, $tobool23 = 0, $cleanup = 0, $8 = 0, $tobool26 = 0, $cleanup30 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   75966   __stackBase__ = STACKTOP;
   75967   label = 2;
   75968   while (1) switch (label | 0) {
   75969    case 2:
   75970     $gvc1 = $g + 176 | 0;
   75971     HEAP32[$gvc1 >> 2] = $gvc;
   75972     $root = $g + 32 | 0;
   75973     $0 = HEAP32[$root >> 2] | 0;
   75974     $cmp = ($0 | 0) == ($g | 0);
   75975     if ($cmp) {
   75976       label = 4;
   75977       break;
   75978     } else {
   75979       label = 3;
   75980       break;
   75981     }
   75982    case 3:
   75983     $gvc4 = $0 + 176 | 0;
   75984     HEAP32[$gvc4 >> 2] = $gvc;
   75985     label = 4;
   75986     break;
   75987    case 4:
   75988     $1 = $g | 0;
   75989     $call = _agget($1, 118216) | 0;
   75990     $tobool = ($call | 0) == 0;
   75991     if ($tobool) {
   75992       label = 7;
   75993       break;
   75994     } else {
   75995       label = 5;
   75996       break;
   75997     }
   75998    case 5:
   75999     $call6 = _gvlayout_select($gvc, $call) | 0;
   76000     $cmp7 = ($call6 | 0) == 999;
   76001     if ($cmp7) {
   76002       label = 6;
   76003       break;
   76004     } else {
   76005       label = 7;
   76006       break;
   76007     }
   76008    case 6:
   76009     $call9 = _gvplugin_list($gvc, 1, $call) | 0;
   76010     $call10 = _agerr(1, 152056, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $call, HEAP32[tempInt + 8 >> 2] = $call9, tempInt) | 0) | 0;
   76011     $retval_0 = -1;
   76012     label = 12;
   76013     break;
   76014    case 7:
   76015     $engine = $gvc + 144 | 0;
   76016     $2 = HEAP32[$engine >> 2] | 0;
   76017     $tobool13 = ($2 | 0) == 0;
   76018     if ($tobool13) {
   76019       $retval_0 = -1;
   76020       label = 12;
   76021       break;
   76022     } else {
   76023       label = 8;
   76024       break;
   76025     }
   76026    case 8:
   76027     _gv_fixLocale(1);
   76028     $features = $gvc + 152 | 0;
   76029     $3 = HEAP32[$features >> 2] | 0;
   76030     $flags = $3 | 0;
   76031     $4 = HEAP32[$flags >> 2] | 0;
   76032     $_tr = $4 & 255;
   76033     $conv = $_tr & 1;
   76034     _graph_init($g, $conv);
   76035     $drawing = $g + 48 | 0;
   76036     $5 = HEAP32[$drawing >> 2] | 0;
   76037     $6 = HEAP32[$root >> 2] | 0;
   76038     $drawing20 = $6 + 48 | 0;
   76039     HEAP32[$drawing20 >> 2] = $5;
   76040     $layout22 = $2 | 0;
   76041     $7 = HEAP32[$layout22 >> 2] | 0;
   76042     $tobool23 = ($7 | 0) == 0;
   76043     if ($tobool23) {
   76044       label = 11;
   76045       break;
   76046     } else {
   76047       label = 9;
   76048       break;
   76049     }
   76050    case 9:
   76051     FUNCTION_TABLE_vi[$7 & 1023]($g);
   76052     $cleanup = $2 + 4 | 0;
   76053     $8 = HEAP32[$cleanup >> 2] | 0;
   76054     $tobool26 = ($8 | 0) == 0;
   76055     if ($tobool26) {
   76056       label = 11;
   76057       break;
   76058     } else {
   76059       label = 10;
   76060       break;
   76061     }
   76062    case 10:
   76063     $cleanup30 = $g + 180 | 0;
   76064     HEAP32[$cleanup30 >> 2] = $8;
   76065     label = 11;
   76066     break;
   76067    case 11:
   76068     _gv_fixLocale(0);
   76069     $retval_0 = 0;
   76070     label = 12;
   76071     break;
   76072    case 12:
   76073     STACKTOP = __stackBase__;
   76074     return $retval_0 | 0;
   76075   }
   76076   return 0;
   76077 }
   76078 function _gvFreeLayout($gvc, $g) {
   76079   $gvc = $gvc | 0;
   76080   $g = $g | 0;
   76081   var $cleanup = 0, $0 = 0, $tobool = 0, $drawing = 0, $1 = 0, $tobool6 = 0, $root = 0, $2 = 0, $drawing11 = 0, label = 0;
   76082   label = 2;
   76083   while (1) switch (label | 0) {
   76084    case 2:
   76085     $cleanup = $g + 180 | 0;
   76086     $0 = HEAP32[$cleanup >> 2] | 0;
   76087     $tobool = ($0 | 0) == 0;
   76088     if ($tobool) {
   76089       label = 4;
   76090       break;
   76091     } else {
   76092       label = 3;
   76093       break;
   76094     }
   76095    case 3:
   76096     FUNCTION_TABLE_vi[$0 & 1023]($g);
   76097     HEAP32[$cleanup >> 2] = 0;
   76098     label = 4;
   76099     break;
   76100    case 4:
   76101     $drawing = $g + 48 | 0;
   76102     $1 = HEAP32[$drawing >> 2] | 0;
   76103     $tobool6 = ($1 | 0) == 0;
   76104     if ($tobool6) {
   76105       label = 6;
   76106       break;
   76107     } else {
   76108       label = 5;
   76109       break;
   76110     }
   76111    case 5:
   76112     _graph_cleanup($g);
   76113     HEAP32[$drawing >> 2] = 0;
   76114     $root = $g + 32 | 0;
   76115     $2 = HEAP32[$root >> 2] | 0;
   76116     $drawing11 = $2 + 48 | 0;
   76117     HEAP32[$drawing11 >> 2] = 0;
   76118     label = 6;
   76119     break;
   76120    case 6:
   76121     return 0;
   76122   }
   76123   return 0;
   76124 }
   76125 function _toggle_fit_cb($job) {
   76126   $job = $job | 0;
   76127   var $fit_mode = 0, $0 = 0, $lnot = 0, $conv = 0, $width = 0, $1 = 0, $height = 0, $2 = 0, $conv5 = 0.0, $conv6 = 0.0, $div = 0.0, $conv8 = 0.0, $conv9 = 0.0, $div10 = 0.0, $cmp = 0, $div_div10 = 0.0, $zoom = 0, $x = 0, $needs_refresh = 0, $3 = 0, label = 0;
   76128   label = 2;
   76129   while (1) switch (label | 0) {
   76130    case 2:
   76131     $fit_mode = $job + 536 | 0;
   76132     $0 = HEAP8[$fit_mode] | 0;
   76133     $lnot = $0 << 24 >> 24 == 0;
   76134     $conv = $lnot & 1;
   76135     HEAP8[$fit_mode] = $conv;
   76136     if ($lnot) {
   76137       label = 3;
   76138       break;
   76139     } else {
   76140       label = 4;
   76141       break;
   76142     }
   76143    case 3:
   76144     $width = $job + 448 | 0;
   76145     $1 = HEAP32[$width >> 2] | 0;
   76146     $height = $job + 452 | 0;
   76147     $2 = HEAP32[$height >> 2] | 0;
   76148     $conv5 = +($1 >>> 0 >>> 0);
   76149     $conv6 = +($1 | 0);
   76150     $div = $conv5 / $conv6;
   76151     $conv8 = +($2 >>> 0 >>> 0);
   76152     $conv9 = +($2 | 0);
   76153     $div10 = $conv8 / $conv9;
   76154     $cmp = $div < $div10;
   76155     $div_div10 = $cmp ? $div : $div10;
   76156     $zoom = $job + 352 | 0;
   76157     HEAPF64[$zoom >> 3] = $div_div10;
   76158     $x = $job + 336 | 0;
   76159     $needs_refresh = $job + 537 | 0;
   76160     $3 = $x;
   76161     _memset($3 | 0, 0, 16);
   76162     HEAP8[$needs_refresh] = 1;
   76163     label = 4;
   76164     break;
   76165    case 4:
   76166     return 0;
   76167   }
   76168   return 0;
   76169 }
   76170 function _gvevent_refresh($job) {
   76171   $job = $job | 0;
   76172   var $gvc = 0, $0 = 0, $g1 = 0, $1 = 0, $selected_obj = 0, $2 = 0, $tobool = 0, $3 = 0, $gui_state = 0, $4 = 0, $or = 0, $has_been_rendered = 0, label = 0;
   76173   label = 2;
   76174   while (1) switch (label | 0) {
   76175    case 2:
   76176     $gvc = $job | 0;
   76177     $0 = HEAP32[$gvc >> 2] | 0;
   76178     $g1 = $0 + 128 | 0;
   76179     $1 = HEAP32[$g1 >> 2] | 0;
   76180     $selected_obj = $job + 580 | 0;
   76181     $2 = HEAP32[$selected_obj >> 2] | 0;
   76182     $tobool = ($2 | 0) == 0;
   76183     if ($tobool) {
   76184       label = 3;
   76185       break;
   76186     } else {
   76187       label = 4;
   76188       break;
   76189     }
   76190    case 3:
   76191     $3 = $1 | 0;
   76192     HEAP32[$selected_obj >> 2] = $3;
   76193     $gui_state = $1 + 152 | 0;
   76194     $4 = HEAP8[$gui_state] | 0;
   76195     $or = $4 | 2;
   76196     HEAP8[$gui_state] = $or;
   76197     _gv_graph_state($job, $1);
   76198     label = 4;
   76199     break;
   76200    case 4:
   76201     _emit_graph($job, $1);
   76202     $has_been_rendered = $job + 540 | 0;
   76203     HEAP8[$has_been_rendered] = 1;
   76204     return;
   76205   }
   76206 }
   76207 function _gvevent_button_press($job, $button, $pointer) {
   76208   $job = $job | 0;
   76209   $button = $button | 0;
   76210   $pointer = $pointer | 0;
   76211   var $click = 0, $conv = 0, $button1 = 0, $needs_refresh = 0, $click3 = 0, $conv4 = 0, $button5 = 0, $needs_refresh6 = 0, $click8 = 0, $conv9 = 0, $button10 = 0, $needs_refresh11 = 0, $fit_mode = 0, $rotation = 0, $0 = 0, $tobool = 0, $y = 0, $1 = 0.0, $height = 0, $2 = 0, $conv13 = 0.0, $div = 0.0, $sub = 0.0, $mul = 0.0, $zoom = 0, $3 = 0.0, $y14 = 0, $4 = 0.0, $mul15 = 0.0, $div16 = 0.0, $x = 0, $5 = 0.0, $sub17 = 0.0, $x18 = 0, $6 = 0.0, $width = 0, $7 = 0, $conv19 = 0.0, $div20 = 0.0, $sub21 = 0.0, $mul22 = 0.0, $8 = 0.0, $x25 = 0, $9 = 0.0, $mul26 = 0.0, $div27 = 0.0, $y29 = 0, $10 = 0.0, $add = 0.0, $x30 = 0, $11 = 0.0, $width31 = 0, $12 = 0, $conv32 = 0.0, $div33 = 0.0, $sub34 = 0.0, $mul35 = 0.0, $zoom36 = 0, $13 = 0.0, $x38 = 0, $14 = 0.0, $mul39 = 0.0, $div40 = 0.0, $x42 = 0, $15 = 0.0, $add43 = 0.0, $y44 = 0, $16 = 0.0, $height45 = 0, $17 = 0, $conv46 = 0.0, $div47 = 0.0, $sub48 = 0.0, $mul49 = 0.0, $18 = 0.0, $y52 = 0, $19 = 0.0, $mul53 = 0.0, $div54 = 0.0, $y56 = 0, $20 = 0.0, $add57 = 0.0, $zoom58 = 0, $21 = 0.0, $mul59 = 0.0, $needs_refresh60 = 0, $fit_mode62 = 0, $zoom63 = 0, $22 = 0.0, $div64 = 0.0, $rotation65 = 0, $23 = 0, $tobool66 = 0, $y68 = 0, $24 = 0.0, $height69 = 0, $25 = 0, $conv70 = 0.0, $div71 = 0.0, $sub72 = 0.0, $mul73 = 0.0, $y76 = 0, $26 = 0.0, $mul77 = 0.0, $div78 = 0.0, $x80 = 0, $27 = 0.0, $add81 = 0.0, $x82 = 0, $28 = 0.0, $width83 = 0, $29 = 0, $conv84 = 0.0, $div85 = 0.0, $sub86 = 0.0, $mul87 = 0.0, $30 = 0.0, $x90 = 0, $31 = 0.0, $mul91 = 0.0, $div92 = 0.0, $y94 = 0, $32 = 0.0, $sub95 = 0.0, $x97 = 0, $33 = 0.0, $width98 = 0, $34 = 0, $conv99 = 0.0, $div100 = 0.0, $sub101 = 0.0, $mul102 = 0.0, $x105 = 0, $35 = 0.0, $mul106 = 0.0, $div107 = 0.0, $x109 = 0, $36 = 0.0, $sub110 = 0.0, $y111 = 0, $37 = 0.0, $height112 = 0, $38 = 0, $conv113 = 0.0, $div114 = 0.0, $sub115 = 0.0, $mul116 = 0.0, $39 = 0.0, $y119 = 0, $40 = 0.0, $mul120 = 0.0, $div121 = 0.0, $y123 = 0, $41 = 0.0, $sub124 = 0.0, $needs_refresh126 = 0, $oldpointer = 0, $42 = 0, $43 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   76212   __stackBase__ = STACKTOP;
   76213   tempParam = $pointer;
   76214   $pointer = STACKTOP;
   76215   STACKTOP = STACKTOP + 16 | 0;
   76216   HEAP32[$pointer >> 2] = HEAP32[tempParam >> 2] | 0;
   76217   HEAP32[$pointer + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   76218   HEAP32[$pointer + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   76219   HEAP32[$pointer + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   76220   label = 2;
   76221   while (1) switch (label | 0) {
   76222    case 2:
   76223     if (($button | 0) == 1) {
   76224       label = 3;
   76225       break;
   76226     } else if (($button | 0) == 2) {
   76227       label = 4;
   76228       break;
   76229     } else if (($button | 0) == 3) {
   76230       label = 5;
   76231       break;
   76232     } else if (($button | 0) == 4) {
   76233       label = 6;
   76234       break;
   76235     } else if (($button | 0) == 5) {
   76236       label = 10;
   76237       break;
   76238     } else {
   76239       label = 14;
   76240       break;
   76241     }
   76242    case 3:
   76243     _gvevent_find_current_obj($job, $pointer);
   76244     _gvevent_select_current_obj($job);
   76245     $click = $job + 538 | 0;
   76246     HEAP8[$click] = 1;
   76247     $conv = $button & 255;
   76248     $button1 = $job + 541 | 0;
   76249     HEAP8[$button1] = $conv;
   76250     $needs_refresh = $job + 537 | 0;
   76251     HEAP8[$needs_refresh] = 1;
   76252     label = 14;
   76253     break;
   76254    case 4:
   76255     $click3 = $job + 538 | 0;
   76256     HEAP8[$click3] = 1;
   76257     $conv4 = $button & 255;
   76258     $button5 = $job + 541 | 0;
   76259     HEAP8[$button5] = $conv4;
   76260     $needs_refresh6 = $job + 537 | 0;
   76261     HEAP8[$needs_refresh6] = 1;
   76262     label = 14;
   76263     break;
   76264    case 5:
   76265     _gvevent_find_current_obj($job, $pointer);
   76266     $click8 = $job + 538 | 0;
   76267     HEAP8[$click8] = 1;
   76268     $conv9 = $button & 255;
   76269     $button10 = $job + 541 | 0;
   76270     HEAP8[$button10] = $conv9;
   76271     $needs_refresh11 = $job + 537 | 0;
   76272     HEAP8[$needs_refresh11] = 1;
   76273     label = 14;
   76274     break;
   76275    case 6:
   76276     $fit_mode = $job + 536 | 0;
   76277     HEAP8[$fit_mode] = 0;
   76278     $rotation = $job + 360 | 0;
   76279     $0 = HEAP32[$rotation >> 2] | 0;
   76280     $tobool = ($0 | 0) == 0;
   76281     if ($tobool) {
   76282       label = 8;
   76283       break;
   76284     } else {
   76285       label = 7;
   76286       break;
   76287     }
   76288    case 7:
   76289     $y = $pointer + 8 | 0;
   76290     $1 = +HEAPF64[$y >> 3];
   76291     $height = $job + 452 | 0;
   76292     $2 = HEAP32[$height >> 2] | 0;
   76293     $conv13 = +($2 >>> 0 >>> 0);
   76294     $div = $conv13 * .5;
   76295     $sub = $1 - $div;
   76296     $mul = $sub * .10000000000000009;
   76297     $zoom = $job + 352 | 0;
   76298     $3 = +HEAPF64[$zoom >> 3];
   76299     $y14 = $job + 528 | 0;
   76300     $4 = +HEAPF64[$y14 >> 3];
   76301     $mul15 = $3 * $4;
   76302     $div16 = $mul / $mul15;
   76303     $x = $job + 336 | 0;
   76304     $5 = +HEAPF64[$x >> 3];
   76305     $sub17 = $5 - $div16;
   76306     HEAPF64[$x >> 3] = $sub17;
   76307     $x18 = $pointer | 0;
   76308     $6 = +HEAPF64[$x18 >> 3];
   76309     $width = $job + 448 | 0;
   76310     $7 = HEAP32[$width >> 2] | 0;
   76311     $conv19 = +($7 >>> 0 >>> 0);
   76312     $div20 = $conv19 * .5;
   76313     $sub21 = $6 - $div20;
   76314     $mul22 = $sub21 * .10000000000000009;
   76315     $8 = +HEAPF64[$zoom >> 3];
   76316     $x25 = $job + 520 | 0;
   76317     $9 = +HEAPF64[$x25 >> 3];
   76318     $mul26 = $8 * $9;
   76319     $div27 = $mul22 / $mul26;
   76320     $y29 = $job + 344 | 0;
   76321     $10 = +HEAPF64[$y29 >> 3];
   76322     $add = $div27 + $10;
   76323     HEAPF64[$y29 >> 3] = $add;
   76324     label = 9;
   76325     break;
   76326    case 8:
   76327     $x30 = $pointer | 0;
   76328     $11 = +HEAPF64[$x30 >> 3];
   76329     $width31 = $job + 448 | 0;
   76330     $12 = HEAP32[$width31 >> 2] | 0;
   76331     $conv32 = +($12 >>> 0 >>> 0);
   76332     $div33 = $conv32 * .5;
   76333     $sub34 = $11 - $div33;
   76334     $mul35 = $sub34 * .10000000000000009;
   76335     $zoom36 = $job + 352 | 0;
   76336     $13 = +HEAPF64[$zoom36 >> 3];
   76337     $x38 = $job + 520 | 0;
   76338     $14 = +HEAPF64[$x38 >> 3];
   76339     $mul39 = $13 * $14;
   76340     $div40 = $mul35 / $mul39;
   76341     $x42 = $job + 336 | 0;
   76342     $15 = +HEAPF64[$x42 >> 3];
   76343     $add43 = $div40 + $15;
   76344     HEAPF64[$x42 >> 3] = $add43;
   76345     $y44 = $pointer + 8 | 0;
   76346     $16 = +HEAPF64[$y44 >> 3];
   76347     $height45 = $job + 452 | 0;
   76348     $17 = HEAP32[$height45 >> 2] | 0;
   76349     $conv46 = +($17 >>> 0 >>> 0);
   76350     $div47 = $conv46 * .5;
   76351     $sub48 = $16 - $div47;
   76352     $mul49 = $sub48 * .10000000000000009;
   76353     $18 = +HEAPF64[$zoom36 >> 3];
   76354     $y52 = $job + 528 | 0;
   76355     $19 = +HEAPF64[$y52 >> 3];
   76356     $mul53 = $18 * $19;
   76357     $div54 = $mul49 / $mul53;
   76358     $y56 = $job + 344 | 0;
   76359     $20 = +HEAPF64[$y56 >> 3];
   76360     $add57 = $div54 + $20;
   76361     HEAPF64[$y56 >> 3] = $add57;
   76362     label = 9;
   76363     break;
   76364    case 9:
   76365     $zoom58 = $job + 352 | 0;
   76366     $21 = +HEAPF64[$zoom58 >> 3];
   76367     $mul59 = $21 * 1.1;
   76368     HEAPF64[$zoom58 >> 3] = $mul59;
   76369     $needs_refresh60 = $job + 537 | 0;
   76370     HEAP8[$needs_refresh60] = 1;
   76371     label = 14;
   76372     break;
   76373    case 10:
   76374     $fit_mode62 = $job + 536 | 0;
   76375     HEAP8[$fit_mode62] = 0;
   76376     $zoom63 = $job + 352 | 0;
   76377     $22 = +HEAPF64[$zoom63 >> 3];
   76378     $div64 = $22 / 1.1;
   76379     HEAPF64[$zoom63 >> 3] = $div64;
   76380     $rotation65 = $job + 360 | 0;
   76381     $23 = HEAP32[$rotation65 >> 2] | 0;
   76382     $tobool66 = ($23 | 0) == 0;
   76383     if ($tobool66) {
   76384       label = 12;
   76385       break;
   76386     } else {
   76387       label = 11;
   76388       break;
   76389     }
   76390    case 11:
   76391     $y68 = $pointer + 8 | 0;
   76392     $24 = +HEAPF64[$y68 >> 3];
   76393     $height69 = $job + 452 | 0;
   76394     $25 = HEAP32[$height69 >> 2] | 0;
   76395     $conv70 = +($25 >>> 0 >>> 0);
   76396     $div71 = $conv70 * .5;
   76397     $sub72 = $24 - $div71;
   76398     $mul73 = $sub72 * .10000000000000009;
   76399     $y76 = $job + 528 | 0;
   76400     $26 = +HEAPF64[$y76 >> 3];
   76401     $mul77 = $div64 * $26;
   76402     $div78 = $mul73 / $mul77;
   76403     $x80 = $job + 336 | 0;
   76404     $27 = +HEAPF64[$x80 >> 3];
   76405     $add81 = $div78 + $27;
   76406     HEAPF64[$x80 >> 3] = $add81;
   76407     $x82 = $pointer | 0;
   76408     $28 = +HEAPF64[$x82 >> 3];
   76409     $width83 = $job + 448 | 0;
   76410     $29 = HEAP32[$width83 >> 2] | 0;
   76411     $conv84 = +($29 >>> 0 >>> 0);
   76412     $div85 = $conv84 * .5;
   76413     $sub86 = $28 - $div85;
   76414     $mul87 = $sub86 * .10000000000000009;
   76415     $30 = +HEAPF64[$zoom63 >> 3];
   76416     $x90 = $job + 520 | 0;
   76417     $31 = +HEAPF64[$x90 >> 3];
   76418     $mul91 = $30 * $31;
   76419     $div92 = $mul87 / $mul91;
   76420     $y94 = $job + 344 | 0;
   76421     $32 = +HEAPF64[$y94 >> 3];
   76422     $sub95 = $32 - $div92;
   76423     HEAPF64[$y94 >> 3] = $sub95;
   76424     label = 13;
   76425     break;
   76426    case 12:
   76427     $x97 = $pointer | 0;
   76428     $33 = +HEAPF64[$x97 >> 3];
   76429     $width98 = $job + 448 | 0;
   76430     $34 = HEAP32[$width98 >> 2] | 0;
   76431     $conv99 = +($34 >>> 0 >>> 0);
   76432     $div100 = $conv99 * .5;
   76433     $sub101 = $33 - $div100;
   76434     $mul102 = $sub101 * .10000000000000009;
   76435     $x105 = $job + 520 | 0;
   76436     $35 = +HEAPF64[$x105 >> 3];
   76437     $mul106 = $div64 * $35;
   76438     $div107 = $mul102 / $mul106;
   76439     $x109 = $job + 336 | 0;
   76440     $36 = +HEAPF64[$x109 >> 3];
   76441     $sub110 = $36 - $div107;
   76442     HEAPF64[$x109 >> 3] = $sub110;
   76443     $y111 = $pointer + 8 | 0;
   76444     $37 = +HEAPF64[$y111 >> 3];
   76445     $height112 = $job + 452 | 0;
   76446     $38 = HEAP32[$height112 >> 2] | 0;
   76447     $conv113 = +($38 >>> 0 >>> 0);
   76448     $div114 = $conv113 * .5;
   76449     $sub115 = $37 - $div114;
   76450     $mul116 = $sub115 * .10000000000000009;
   76451     $39 = +HEAPF64[$zoom63 >> 3];
   76452     $y119 = $job + 528 | 0;
   76453     $40 = +HEAPF64[$y119 >> 3];
   76454     $mul120 = $39 * $40;
   76455     $div121 = $mul116 / $mul120;
   76456     $y123 = $job + 344 | 0;
   76457     $41 = +HEAPF64[$y123 >> 3];
   76458     $sub124 = $41 - $div121;
   76459     HEAPF64[$y123 >> 3] = $sub124;
   76460     label = 13;
   76461     break;
   76462    case 13:
   76463     $needs_refresh126 = $job + 537 | 0;
   76464     HEAP8[$needs_refresh126] = 1;
   76465     label = 14;
   76466     break;
   76467    case 14:
   76468     $oldpointer = $job + 560 | 0;
   76469     $42 = $oldpointer;
   76470     $43 = $pointer;
   76471     HEAP32[$42 >> 2] = HEAP32[$43 >> 2] | 0;
   76472     HEAP32[$42 + 4 >> 2] = HEAP32[$43 + 4 >> 2] | 0;
   76473     HEAP32[$42 + 8 >> 2] = HEAP32[$43 + 8 >> 2] | 0;
   76474     HEAP32[$42 + 12 >> 2] = HEAP32[$43 + 12 >> 2] | 0;
   76475     STACKTOP = __stackBase__;
   76476     return;
   76477   }
   76478 }
   76479 function _gvevent_motion($job, $pointer) {
   76480   $job = $job | 0;
   76481   $pointer = $pointer | 0;
   76482   var $x = 0, $0 = 0.0, $oldpointer = 0, $x1 = 0, $1 = 0.0, $sub = 0.0, $x2 = 0, $2 = 0.0, $div = 0.0, $y = 0, $3 = 0.0, $y4 = 0, $4 = 0.0, $sub5 = 0.0, $y7 = 0, $5 = 0.0, $div8 = 0.0, $conv = 0, $ispos = 0, $neg = 0, $call = 0, $cmp = 0, $conv11 = 0, $ispos21 = 0, $neg22 = 0, $call12 = 0, $cmp14 = 0, $button = 0, $6 = 0, $conv16 = 0, $rotation = 0, $7 = 0, $tobool = 0, $zoom27 = 0, $8 = 0.0, $div20 = 0.0, $x21 = 0, $9 = 0.0, $sub22 = 0.0, $10 = 0.0, $div24 = 0.0, $y26 = 0, $11 = 0.0, $add = 0.0, $div28 = 0.0, $x30 = 0, $12 = 0.0, $sub31 = 0.0, $13 = 0.0, $div33 = 0.0, $y35 = 0, $14 = 0.0, $sub36 = 0.0, $needs_refresh = 0, $15 = 0, $16 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   76483   __stackBase__ = STACKTOP;
   76484   tempParam = $pointer;
   76485   $pointer = STACKTOP;
   76486   STACKTOP = STACKTOP + 16 | 0;
   76487   HEAP32[$pointer >> 2] = HEAP32[tempParam >> 2] | 0;
   76488   HEAP32[$pointer + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   76489   HEAP32[$pointer + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   76490   HEAP32[$pointer + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   76491   label = 2;
   76492   while (1) switch (label | 0) {
   76493    case 2:
   76494     $x = $pointer | 0;
   76495     $0 = +HEAPF64[$x >> 3];
   76496     $oldpointer = $job + 560 | 0;
   76497     $x1 = $oldpointer | 0;
   76498     $1 = +HEAPF64[$x1 >> 3];
   76499     $sub = $0 - $1;
   76500     $x2 = $job + 520 | 0;
   76501     $2 = +HEAPF64[$x2 >> 3];
   76502     $div = $sub / $2;
   76503     $y = $pointer + 8 | 0;
   76504     $3 = +HEAPF64[$y >> 3];
   76505     $y4 = $job + 568 | 0;
   76506     $4 = +HEAPF64[$y4 >> 3];
   76507     $sub5 = $3 - $4;
   76508     $y7 = $job + 528 | 0;
   76509     $5 = +HEAPF64[$y7 >> 3];
   76510     $div8 = $sub5 / $5;
   76511     $conv = ~~$div;
   76512     $ispos = ($conv | 0) > -1;
   76513     $neg = -$conv | 0;
   76514     $call = $ispos ? $conv : $neg;
   76515     $cmp = ($call | 0) < 1;
   76516     if ($cmp) {
   76517       label = 3;
   76518       break;
   76519     } else {
   76520       label = 4;
   76521       break;
   76522     }
   76523    case 3:
   76524     $conv11 = ~~$div8;
   76525     $ispos21 = ($conv11 | 0) > -1;
   76526     $neg22 = -$conv11 | 0;
   76527     $call12 = $ispos21 ? $conv11 : $neg22;
   76528     $cmp14 = ($call12 | 0) < 1;
   76529     if ($cmp14) {
   76530       label = 11;
   76531       break;
   76532     } else {
   76533       label = 4;
   76534       break;
   76535     }
   76536    case 4:
   76537     $button = $job + 541 | 0;
   76538     $6 = HEAP8[$button] | 0;
   76539     $conv16 = $6 & 255;
   76540     if (($conv16 | 0) == 0) {
   76541       label = 5;
   76542       break;
   76543     } else if (($conv16 | 0) == 2) {
   76544       label = 6;
   76545       break;
   76546     } else {
   76547       label = 10;
   76548       break;
   76549     }
   76550    case 5:
   76551     _gvevent_find_current_obj($job, $pointer);
   76552     label = 10;
   76553     break;
   76554    case 6:
   76555     $rotation = $job + 360 | 0;
   76556     $7 = HEAP32[$rotation >> 2] | 0;
   76557     $tobool = ($7 | 0) == 0;
   76558     $zoom27 = $job + 352 | 0;
   76559     $8 = +HEAPF64[$zoom27 >> 3];
   76560     if ($tobool) {
   76561       label = 8;
   76562       break;
   76563     } else {
   76564       label = 7;
   76565       break;
   76566     }
   76567    case 7:
   76568     $div20 = $div8 / $8;
   76569     $x21 = $job + 336 | 0;
   76570     $9 = +HEAPF64[$x21 >> 3];
   76571     $sub22 = $9 - $div20;
   76572     HEAPF64[$x21 >> 3] = $sub22;
   76573     $10 = +HEAPF64[$zoom27 >> 3];
   76574     $div24 = $div / $10;
   76575     $y26 = $job + 344 | 0;
   76576     $11 = +HEAPF64[$y26 >> 3];
   76577     $add = $div24 + $11;
   76578     HEAPF64[$y26 >> 3] = $add;
   76579     label = 9;
   76580     break;
   76581    case 8:
   76582     $div28 = $div / $8;
   76583     $x30 = $job + 336 | 0;
   76584     $12 = +HEAPF64[$x30 >> 3];
   76585     $sub31 = $12 - $div28;
   76586     HEAPF64[$x30 >> 3] = $sub31;
   76587     $13 = +HEAPF64[$zoom27 >> 3];
   76588     $div33 = $div8 / $13;
   76589     $y35 = $job + 344 | 0;
   76590     $14 = +HEAPF64[$y35 >> 3];
   76591     $sub36 = $14 - $div33;
   76592     HEAPF64[$y35 >> 3] = $sub36;
   76593     label = 9;
   76594     break;
   76595    case 9:
   76596     $needs_refresh = $job + 537 | 0;
   76597     HEAP8[$needs_refresh] = 1;
   76598     label = 10;
   76599     break;
   76600    case 10:
   76601     $15 = $oldpointer;
   76602     $16 = $pointer;
   76603     HEAP32[$15 >> 2] = HEAP32[$16 >> 2] | 0;
   76604     HEAP32[$15 + 4 >> 2] = HEAP32[$16 + 4 >> 2] | 0;
   76605     HEAP32[$15 + 8 >> 2] = HEAP32[$16 + 8 >> 2] | 0;
   76606     HEAP32[$15 + 12 >> 2] = HEAP32[$16 + 12 >> 2] | 0;
   76607     label = 11;
   76608     break;
   76609    case 11:
   76610     STACKTOP = __stackBase__;
   76611     return;
   76612   }
   76613 }
   76614 function _gvdevice_finalize($job) {
   76615   $job = $job | 0;
   76616   var $engine = 0, $0 = 0, $flags = 0, $1 = 0, $and = 0, $tobool = 0, $common = 0, $2 = 0, $errorfn = 0, $3 = 0, $tobool1 = 0, $finalize = 0, $4 = 0, $tobool3 = 0, $call = 0, label = 0, __stackBase__ = 0;
   76617   __stackBase__ = STACKTOP;
   76618   label = 2;
   76619   while (1) switch (label | 0) {
   76620    case 2:
   76621     $engine = $job + 76 | 0;
   76622     $0 = HEAP32[$engine >> 2] | 0;
   76623     $flags = $job + 152 | 0;
   76624     $1 = HEAP32[$flags >> 2] | 0;
   76625     $and = $1 & 1024;
   76626     $tobool = ($and | 0) == 0;
   76627     if ($tobool) {
   76628       label = 4;
   76629       break;
   76630     } else {
   76631       label = 3;
   76632       break;
   76633     }
   76634    case 3:
   76635     $common = $job + 12 | 0;
   76636     $2 = HEAP32[$common >> 2] | 0;
   76637     $errorfn = $2 + 16 | 0;
   76638     $3 = HEAP32[$errorfn >> 2] | 0;
   76639     FUNCTION_TABLE_vii[$3 & 1023](103960, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0);
   76640     _exit(1);
   76641    case 4:
   76642     $tobool1 = ($0 | 0) == 0;
   76643     if ($tobool1) {
   76644       label = 7;
   76645       break;
   76646     } else {
   76647       label = 5;
   76648       break;
   76649     }
   76650    case 5:
   76651     $finalize = $0 + 8 | 0;
   76652     $4 = HEAP32[$finalize >> 2] | 0;
   76653     $tobool3 = ($4 | 0) == 0;
   76654     if ($tobool3) {
   76655       label = 7;
   76656       break;
   76657     } else {
   76658       label = 6;
   76659       break;
   76660     }
   76661    case 6:
   76662     FUNCTION_TABLE_vi[$4 & 1023]($job);
   76663     label = 8;
   76664     break;
   76665    case 7:
   76666     $call = _gvflush($job) | 0;
   76667     _gvdevice_close($job);
   76668     label = 8;
   76669     break;
   76670    case 8:
   76671     STACKTOP = __stackBase__;
   76672     return;
   76673   }
   76674 }
   76675 function _pointer2graph($agg_result, $job, $pointer) {
   76676   $agg_result = $agg_result | 0;
   76677   $job = $job | 0;
   76678   $pointer = $pointer | 0;
   76679   var $rotation = 0, $0 = 0, $tobool = 0, $y = 0, $1 = 0.0, $zoom = 0, $2 = 0.0, $y1 = 0, $3 = 0.0, $mul = 0.0, $div = 0.0, $x = 0, $4 = 0.0, $sub = 0.0, $x3 = 0, $5 = 0.0, $sub4 = 0.0, $x7 = 0, $6 = 0.0, $mul8 = 0.0, $div9 = 0.0, $y11 = 0, $7 = 0.0, $sub12 = 0.0, $x14 = 0, $8 = 0.0, $zoom15 = 0, $9 = 0.0, $x17 = 0, $10 = 0.0, $mul18 = 0.0, $div19 = 0.0, $x21 = 0, $11 = 0.0, $sub22 = 0.0, $y24 = 0, $12 = 0.0, $y27 = 0, $13 = 0.0, $mul28 = 0.0, $div29 = 0.0, $y31 = 0, $14 = 0.0, $sub32 = 0.0, $p_sroa_1_0 = 0.0, $p_sroa_0_0 = 0.0, $p_sroa_0_0__idx = 0, $p_sroa_1_8__idx1 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   76680   __stackBase__ = STACKTOP;
   76681   tempParam = $pointer;
   76682   $pointer = STACKTOP;
   76683   STACKTOP = STACKTOP + 16 | 0;
   76684   HEAP32[$pointer >> 2] = HEAP32[tempParam >> 2] | 0;
   76685   HEAP32[$pointer + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   76686   HEAP32[$pointer + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   76687   HEAP32[$pointer + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   76688   label = 2;
   76689   while (1) switch (label | 0) {
   76690    case 2:
   76691     $rotation = $job + 360 | 0;
   76692     $0 = HEAP32[$rotation >> 2] | 0;
   76693     $tobool = ($0 | 0) == 0;
   76694     if ($tobool) {
   76695       label = 4;
   76696       break;
   76697     } else {
   76698       label = 3;
   76699       break;
   76700     }
   76701    case 3:
   76702     $y = $pointer + 8 | 0;
   76703     $1 = +HEAPF64[$y >> 3];
   76704     $zoom = $job + 352 | 0;
   76705     $2 = +HEAPF64[$zoom >> 3];
   76706     $y1 = $job + 528 | 0;
   76707     $3 = +HEAPF64[$y1 >> 3];
   76708     $mul = $2 * $3;
   76709     $div = $1 / $mul;
   76710     $x = $job + 504 | 0;
   76711     $4 = +HEAPF64[$x >> 3];
   76712     $sub = $div - $4;
   76713     $x3 = $pointer | 0;
   76714     $5 = +HEAPF64[$x3 >> 3];
   76715     $sub4 = -0.0 - $5;
   76716     $x7 = $job + 520 | 0;
   76717     $6 = +HEAPF64[$x7 >> 3];
   76718     $mul8 = $2 * $6;
   76719     $div9 = $sub4 / $mul8;
   76720     $y11 = $job + 512 | 0;
   76721     $7 = +HEAPF64[$y11 >> 3];
   76722     $sub12 = $div9 - $7;
   76723     $p_sroa_0_0 = $sub;
   76724     $p_sroa_1_0 = $sub12;
   76725     label = 5;
   76726     break;
   76727    case 4:
   76728     $x14 = $pointer | 0;
   76729     $8 = +HEAPF64[$x14 >> 3];
   76730     $zoom15 = $job + 352 | 0;
   76731     $9 = +HEAPF64[$zoom15 >> 3];
   76732     $x17 = $job + 520 | 0;
   76733     $10 = +HEAPF64[$x17 >> 3];
   76734     $mul18 = $9 * $10;
   76735     $div19 = $8 / $mul18;
   76736     $x21 = $job + 504 | 0;
   76737     $11 = +HEAPF64[$x21 >> 3];
   76738     $sub22 = $div19 - $11;
   76739     $y24 = $pointer + 8 | 0;
   76740     $12 = +HEAPF64[$y24 >> 3];
   76741     $y27 = $job + 528 | 0;
   76742     $13 = +HEAPF64[$y27 >> 3];
   76743     $mul28 = $9 * $13;
   76744     $div29 = $12 / $mul28;
   76745     $y31 = $job + 512 | 0;
   76746     $14 = +HEAPF64[$y31 >> 3];
   76747     $sub32 = $div29 - $14;
   76748     $p_sroa_0_0 = $sub22;
   76749     $p_sroa_1_0 = $sub32;
   76750     label = 5;
   76751     break;
   76752    case 5:
   76753     $p_sroa_0_0__idx = $agg_result | 0;
   76754     HEAPF64[$p_sroa_0_0__idx >> 3] = $p_sroa_0_0;
   76755     $p_sroa_1_8__idx1 = $agg_result + 8 | 0;
   76756     HEAPF64[$p_sroa_1_8__idx1 >> 3] = $p_sroa_1_0;
   76757     STACKTOP = __stackBase__;
   76758     return;
   76759   }
   76760 }
   76761 function _gvevent_leave_obj($job) {
   76762   $job = $job | 0;
   76763   var $current_obj = 0, $0 = 0, $tobool = 0, $1 = 0, $2 = 0, $3 = 0, $bf_val_sext = 0, $4 = 0, $5 = 0, $and = 0, $6 = 0, $7 = 0, $and6 = 0, $8 = 0, $9 = 0, $and12 = 0, $active_tooltip = 0, label = 0;
   76764   label = 2;
   76765   while (1) switch (label | 0) {
   76766    case 2:
   76767     $current_obj = $job + 576 | 0;
   76768     $0 = HEAP32[$current_obj >> 2] | 0;
   76769     $tobool = ($0 | 0) == 0;
   76770     if ($tobool) {
   76771       label = 7;
   76772       break;
   76773     } else {
   76774       label = 3;
   76775       break;
   76776     }
   76777    case 3:
   76778     $1 = $0;
   76779     $2 = HEAP32[$1 >> 2] | 0;
   76780     $3 = $2 << 28;
   76781     $bf_val_sext = $3 >> 28;
   76782     if (($bf_val_sext | 0) == 3) {
   76783       label = 4;
   76784       break;
   76785     } else if (($bf_val_sext | 0) == 1) {
   76786       label = 5;
   76787       break;
   76788     } else if (($bf_val_sext | 0) == 2) {
   76789       label = 6;
   76790       break;
   76791     } else {
   76792       label = 7;
   76793       break;
   76794     }
   76795    case 4:
   76796     $4 = $0 + 152 | 0;
   76797     $5 = HEAP8[$4] | 0;
   76798     $and = $5 & -2;
   76799     HEAP8[$4] = $and;
   76800     label = 7;
   76801     break;
   76802    case 5:
   76803     $6 = $0 + 133 | 0;
   76804     $7 = HEAP8[$6] | 0;
   76805     $and6 = $7 & -2;
   76806     HEAP8[$6] = $and6;
   76807     label = 7;
   76808     break;
   76809    case 6:
   76810     $8 = $0 + 131 | 0;
   76811     $9 = HEAP8[$8] | 0;
   76812     $and12 = $9 & -2;
   76813     HEAP8[$8] = $and12;
   76814     label = 7;
   76815     break;
   76816    case 7:
   76817     $active_tooltip = $job + 584 | 0;
   76818     HEAP32[$active_tooltip >> 2] = 0;
   76819     return;
   76820   }
   76821 }
   76822 function _gvevent_read($job, $filename, $layout) {
   76823   $job = $job | 0;
   76824   $filename = $filename | 0;
   76825   $layout = $layout | 0;
   76826   var $gvc1 = 0, $0 = 0, $tobool = 0, $call = 0, $1 = 0, $output_filename = 0, $call2 = 0, $tobool3 = 0, $call5 = 0, $2 = 0, $call6 = 0, $g_0 = 0, $tobool8 = 0, $g11 = 0, $3 = 0, $tobool12 = 0, $engine = 0, $4 = 0, $tobool15 = 0, $cleanup = 0, $5 = 0, $tobool16 = 0, $6 = 0, $7 = 0, $8 = 0, $gvc25 = 0, $call26 = 0, $selected_obj = 0, $current_obj = 0, $needs_refresh = 0, label = 0;
   76827   label = 2;
   76828   while (1) switch (label | 0) {
   76829    case 2:
   76830     $gvc1 = $job | 0;
   76831     $0 = HEAP32[$gvc1 >> 2] | 0;
   76832     $tobool = ($filename | 0) == 0;
   76833     if ($tobool) {
   76834       label = 3;
   76835       break;
   76836     } else {
   76837       label = 4;
   76838       break;
   76839     }
   76840    case 3:
   76841     $call = _agopen(147864, 1) | 0;
   76842     $1 = $call;
   76843     $output_filename = $job + 32 | 0;
   76844     HEAP32[$output_filename >> 2] = 145832;
   76845     $g_0 = $1;
   76846     label = 6;
   76847     break;
   76848    case 4:
   76849     $call2 = _fopen($filename | 0, 142784) | 0;
   76850     $tobool3 = ($call2 | 0) == 0;
   76851     if ($tobool3) {
   76852       label = 13;
   76853       break;
   76854     } else {
   76855       label = 5;
   76856       break;
   76857     }
   76858    case 5:
   76859     $call5 = _agread($call2) | 0;
   76860     $2 = $call5;
   76861     $call6 = _fclose($call2 | 0) | 0;
   76862     $g_0 = $2;
   76863     label = 6;
   76864     break;
   76865    case 6:
   76866     $tobool8 = ($g_0 | 0) == 0;
   76867     if ($tobool8) {
   76868       label = 13;
   76869       break;
   76870     } else {
   76871       label = 7;
   76872       break;
   76873     }
   76874    case 7:
   76875     $g11 = $0 + 128 | 0;
   76876     $3 = HEAP32[$g11 >> 2] | 0;
   76877     $tobool12 = ($3 | 0) == 0;
   76878     if ($tobool12) {
   76879       label = 12;
   76880       break;
   76881     } else {
   76882       label = 8;
   76883       break;
   76884     }
   76885    case 8:
   76886     $engine = $0 + 144 | 0;
   76887     $4 = HEAP32[$engine >> 2] | 0;
   76888     $tobool15 = ($4 | 0) == 0;
   76889     if ($tobool15) {
   76890       label = 11;
   76891       break;
   76892     } else {
   76893       label = 9;
   76894       break;
   76895     }
   76896    case 9:
   76897     $cleanup = $4 + 4 | 0;
   76898     $5 = HEAP32[$cleanup >> 2] | 0;
   76899     $tobool16 = ($5 | 0) == 0;
   76900     if ($tobool16) {
   76901       label = 11;
   76902       break;
   76903     } else {
   76904       label = 10;
   76905       break;
   76906     }
   76907    case 10:
   76908     FUNCTION_TABLE_vi[$5 & 1023]($3);
   76909     label = 11;
   76910     break;
   76911    case 11:
   76912     $6 = HEAP32[$g11 >> 2] | 0;
   76913     _graph_cleanup($6);
   76914     $7 = HEAP32[$g11 >> 2] | 0;
   76915     $8 = $7;
   76916     _agclose($8);
   76917     label = 12;
   76918     break;
   76919    case 12:
   76920     HEAP32[$g11 >> 2] = $g_0;
   76921     $gvc25 = $g_0 + 176 | 0;
   76922     HEAP32[$gvc25 >> 2] = $0;
   76923     $call26 = _gvLayout($0, $g_0, $layout) | 0;
   76924     $selected_obj = $job + 580 | 0;
   76925     HEAP32[$selected_obj >> 2] = 0;
   76926     $current_obj = $job + 576 | 0;
   76927     HEAP32[$current_obj >> 2] = 0;
   76928     $needs_refresh = $job + 537 | 0;
   76929     HEAP8[$needs_refresh] = 1;
   76930     label = 13;
   76931     break;
   76932    case 13:
   76933     return;
   76934   }
   76935 }
   76936 function _gvevent_layout($job, $layout) {
   76937   $job = $job | 0;
   76938   $layout = $layout | 0;
   76939   var $0 = 0;
   76940   $0 = HEAP32[$job >> 2] | 0;
   76941   _gvLayout($0, HEAP32[$0 + 128 >> 2] | 0, $layout);
   76942   return;
   76943 }
   76944 function _gvevent_render($job, $format, $filename) {
   76945   $job = $job | 0;
   76946   $format = $format | 0;
   76947   $filename = $filename | 0;
   76948   var $0 = 0;
   76949   $0 = HEAP32[$job >> 2] | 0;
   76950   _gvRenderFilename($0, HEAP32[$0 + 128 >> 2] | 0, $format, $filename);
   76951   return;
   76952 }
   76953 function _gvevent_find_current_obj($job, $pointer) {
   76954   $job = $job | 0;
   76955   $pointer = $pointer | 0;
   76956   var $b = 0, $tmp = 0, $p_sroa_0_0__idx = 0, $p_sroa_0_0_copyload = 0.0, $p_sroa_1_8__idx3 = 0, $p_sroa_1_8_copyload = 0.0, $zoom = 0, $0 = 0.0, $div = 0.0, $add = 0.0, $x1 = 0, $add2 = 0.0, $y4 = 0, $sub = 0.0, $x6 = 0, $sub8 = 0.0, $y10 = 0, $gvc = 0, $1 = 0, $g = 0, $2 = 0, $call = 0, $current_obj = 0, $3 = 0, $cmp = 0, $needs_refresh = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   76957   __stackBase__ = STACKTOP;
   76958   STACKTOP = STACKTOP + 48 | 0;
   76959   tempParam = $pointer;
   76960   $pointer = STACKTOP;
   76961   STACKTOP = STACKTOP + 16 | 0;
   76962   HEAP32[$pointer >> 2] = HEAP32[tempParam >> 2] | 0;
   76963   HEAP32[$pointer + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   76964   HEAP32[$pointer + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   76965   HEAP32[$pointer + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   76966   label = 2;
   76967   while (1) switch (label | 0) {
   76968    case 2:
   76969     $b = __stackBase__ | 0;
   76970     $tmp = __stackBase__ + 32 | 0;
   76971     _pointer2graph($tmp, $job, $pointer);
   76972     $p_sroa_0_0__idx = $tmp | 0;
   76973     $p_sroa_0_0_copyload = +HEAPF64[$p_sroa_0_0__idx >> 3];
   76974     $p_sroa_1_8__idx3 = $tmp + 8 | 0;
   76975     $p_sroa_1_8_copyload = +HEAPF64[$p_sroa_1_8__idx3 >> 3];
   76976     $zoom = $job + 352 | 0;
   76977     $0 = +HEAPF64[$zoom >> 3];
   76978     $div = 1.0 / $0;
   76979     $add = $p_sroa_0_0_copyload + $div;
   76980     $x1 = $b + 16 | 0;
   76981     HEAPF64[$x1 >> 3] = $add;
   76982     $add2 = $p_sroa_1_8_copyload + $div;
   76983     $y4 = $b + 24 | 0;
   76984     HEAPF64[$y4 >> 3] = $add2;
   76985     $sub = $p_sroa_0_0_copyload - $div;
   76986     $x6 = $b | 0;
   76987     HEAPF64[$x6 >> 3] = $sub;
   76988     $sub8 = $p_sroa_1_8_copyload - $div;
   76989     $y10 = $b + 8 | 0;
   76990     HEAPF64[$y10 >> 3] = $sub8;
   76991     $gvc = $job | 0;
   76992     $1 = HEAP32[$gvc >> 2] | 0;
   76993     $g = $1 + 128 | 0;
   76994     $2 = HEAP32[$g >> 2] | 0;
   76995     $call = _gvevent_find_obj($2, $b) | 0;
   76996     $current_obj = $job + 576 | 0;
   76997     $3 = HEAP32[$current_obj >> 2] | 0;
   76998     $cmp = ($call | 0) == ($3 | 0);
   76999     if ($cmp) {
   77000       label = 4;
   77001       break;
   77002     } else {
   77003       label = 3;
   77004       break;
   77005     }
   77006    case 3:
   77007     _gvevent_leave_obj($job);
   77008     HEAP32[$current_obj >> 2] = $call;
   77009     _gvevent_enter_obj($job);
   77010     $needs_refresh = $job + 537 | 0;
   77011     HEAP8[$needs_refresh] = 1;
   77012     label = 4;
   77013     break;
   77014    case 4:
   77015     STACKTOP = __stackBase__;
   77016     return;
   77017   }
   77018 }
   77019 function _gvevent_find_obj($g, $b) {
   77020   $g = $g | 0;
   77021   $b = $b | 0;
   77022   var $0 = 0, $call = 0, $tobool24 = 0, $n_0_in25 = 0, $call1 = 0, $tobool321 = 0, $e_0_in22 = 0, $e_0 = 0, $call5 = 0, $tobool6 = 0, $1 = 0, $call7 = 0, $tobool3 = 0, $call9 = 0, $tobool = 0, $call11 = 0, $tobool1318 = 0, $n_1_in19 = 0, $n_1 = 0, $call15 = 0, $tobool16 = 0, $2 = 0, $call20 = 0, $tobool13 = 0, $call22 = 0, $tobool23 = 0, $3 = 0, $4 = 0, $retval_0 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   77023   __stackBase__ = STACKTOP;
   77024   tempParam = $b;
   77025   $b = STACKTOP;
   77026   STACKTOP = STACKTOP + 32 | 0;
   77027   _memcpy($b, tempParam, 32);
   77028   label = 2;
   77029   while (1) switch (label | 0) {
   77030    case 2:
   77031     $0 = $g;
   77032     $call = _agfstnode($0) | 0;
   77033     $tobool24 = ($call | 0) == 0;
   77034     if ($tobool24) {
   77035       label = 8;
   77036       break;
   77037     } else {
   77038       $n_0_in25 = $call;
   77039       label = 3;
   77040       break;
   77041     }
   77042    case 3:
   77043     $call1 = _agfstout($0, $n_0_in25) | 0;
   77044     $tobool321 = ($call1 | 0) == 0;
   77045     if ($tobool321) {
   77046       label = 7;
   77047       break;
   77048     } else {
   77049       $e_0_in22 = $call1;
   77050       label = 4;
   77051       break;
   77052     }
   77053    case 4:
   77054     $e_0 = $e_0_in22;
   77055     $call5 = _overlap_edge($e_0, $b) | 0;
   77056     $tobool6 = $call5 << 24 >> 24 == 0;
   77057     if ($tobool6) {
   77058       label = 6;
   77059       break;
   77060     } else {
   77061       label = 5;
   77062       break;
   77063     }
   77064    case 5:
   77065     $1 = $e_0_in22 | 0;
   77066     $retval_0 = $1;
   77067     label = 15;
   77068     break;
   77069    case 6:
   77070     $call7 = _agnxtout($0, $e_0_in22) | 0;
   77071     $tobool3 = ($call7 | 0) == 0;
   77072     if ($tobool3) {
   77073       label = 7;
   77074       break;
   77075     } else {
   77076       $e_0_in22 = $call7;
   77077       label = 4;
   77078       break;
   77079     }
   77080    case 7:
   77081     $call9 = _agnxtnode($0, $n_0_in25) | 0;
   77082     $tobool = ($call9 | 0) == 0;
   77083     if ($tobool) {
   77084       label = 8;
   77085       break;
   77086     } else {
   77087       $n_0_in25 = $call9;
   77088       label = 3;
   77089       break;
   77090     }
   77091    case 8:
   77092     $call11 = _aglstnode($0) | 0;
   77093     $tobool1318 = ($call11 | 0) == 0;
   77094     if ($tobool1318) {
   77095       label = 12;
   77096       break;
   77097     } else {
   77098       $n_1_in19 = $call11;
   77099       label = 9;
   77100       break;
   77101     }
   77102    case 9:
   77103     $n_1 = $n_1_in19;
   77104     $call15 = _overlap_node($n_1, $b) | 0;
   77105     $tobool16 = $call15 << 24 >> 24 == 0;
   77106     if ($tobool16) {
   77107       label = 11;
   77108       break;
   77109     } else {
   77110       label = 10;
   77111       break;
   77112     }
   77113    case 10:
   77114     $2 = $n_1_in19 | 0;
   77115     $retval_0 = $2;
   77116     label = 15;
   77117     break;
   77118    case 11:
   77119     $call20 = _agprvnode($0, $n_1_in19) | 0;
   77120     $tobool13 = ($call20 | 0) == 0;
   77121     if ($tobool13) {
   77122       label = 12;
   77123       break;
   77124     } else {
   77125       $n_1_in19 = $call20;
   77126       label = 9;
   77127       break;
   77128     }
   77129    case 12:
   77130     $call22 = _gvevent_find_cluster($g, $b) | 0;
   77131     $tobool23 = ($call22 | 0) == 0;
   77132     if ($tobool23) {
   77133       label = 14;
   77134       break;
   77135     } else {
   77136       label = 13;
   77137       break;
   77138     }
   77139    case 13:
   77140     $3 = $call22 | 0;
   77141     $retval_0 = $3;
   77142     label = 15;
   77143     break;
   77144    case 14:
   77145     $4 = $g | 0;
   77146     $retval_0 = $4;
   77147     label = 15;
   77148     break;
   77149    case 15:
   77150     STACKTOP = __stackBase__;
   77151     return $retval_0 | 0;
   77152   }
   77153   return 0;
   77154 }
   77155 function _gvevent_enter_obj($job) {
   77156   $job = $job | 0;
   77157   var $active_tooltip = 0, $0 = 0, $tobool = 0, $current_obj = 0, $1 = 0, $tobool3 = 0, $2 = 0, $3 = 0, $4 = 0, $bf_val_sext = 0, $5 = 0, $6 = 0, $or = 0, $root = 0, $7 = 0, $8 = 0, $9 = 0, $call = 0, $tobool6 = 0, $index = 0, $10 = 0, $call8 = 0, $call9 = 0, $11 = 0, $12 = 0, $or16 = 0, $graph = 0, $13 = 0, $14 = 0, $proto = 0, $15 = 0, $n18 = 0, $16 = 0, $17 = 0, $call19 = 0, $tobool20 = 0, $index22 = 0, $18 = 0, $call23 = 0, $call24 = 0, $19 = 0, $20 = 0, $or31 = 0, $head = 0, $21 = 0, $22 = 0, $graph33 = 0, $23 = 0, $proto34 = 0, $24 = 0, $e35 = 0, $25 = 0, $26 = 0, $call36 = 0, $tobool37 = 0, $index39 = 0, $27 = 0, $call40 = 0, $call41 = 0, label = 0;
   77158   label = 2;
   77159   while (1) switch (label | 0) {
   77160    case 2:
   77161     $active_tooltip = $job + 584 | 0;
   77162     $0 = HEAP32[$active_tooltip >> 2] | 0;
   77163     $tobool = ($0 | 0) == 0;
   77164     if ($tobool) {
   77165       label = 4;
   77166       break;
   77167     } else {
   77168       label = 3;
   77169       break;
   77170     }
   77171    case 3:
   77172     _free($0);
   77173     HEAP32[$active_tooltip >> 2] = 0;
   77174     label = 4;
   77175     break;
   77176    case 4:
   77177     $current_obj = $job + 576 | 0;
   77178     $1 = HEAP32[$current_obj >> 2] | 0;
   77179     $tobool3 = ($1 | 0) == 0;
   77180     if ($tobool3) {
   77181       label = 12;
   77182       break;
   77183     } else {
   77184       label = 5;
   77185       break;
   77186     }
   77187    case 5:
   77188     $2 = $1;
   77189     $3 = HEAP32[$2 >> 2] | 0;
   77190     $4 = $3 << 28;
   77191     $bf_val_sext = $4 >> 28;
   77192     if (($bf_val_sext | 0) == 3) {
   77193       label = 6;
   77194       break;
   77195     } else if (($bf_val_sext | 0) == 1) {
   77196       label = 8;
   77197       break;
   77198     } else if (($bf_val_sext | 0) == 2) {
   77199       label = 10;
   77200       break;
   77201     } else {
   77202       label = 12;
   77203       break;
   77204     }
   77205    case 6:
   77206     $5 = $1 + 152 | 0;
   77207     $6 = HEAP8[$5] | 0;
   77208     $or = $6 | 1;
   77209     HEAP8[$5] = $or;
   77210     $root = $1 + 32 | 0;
   77211     $7 = $root;
   77212     $8 = HEAP32[$7 >> 2] | 0;
   77213     $9 = $8 | 0;
   77214     $call = _agfindattr($9, 139256) | 0;
   77215     $tobool6 = ($call | 0) == 0;
   77216     if ($tobool6) {
   77217       label = 12;
   77218       break;
   77219     } else {
   77220       label = 7;
   77221       break;
   77222     }
   77223    case 7:
   77224     $index = $call + 8 | 0;
   77225     $10 = HEAP32[$index >> 2] | 0;
   77226     $call8 = _agxget($1, $10) | 0;
   77227     $call9 = _strdup_and_subst_obj($call8, $1) | 0;
   77228     HEAP32[$active_tooltip >> 2] = $call9;
   77229     label = 12;
   77230     break;
   77231    case 8:
   77232     $11 = $1 + 133 | 0;
   77233     $12 = HEAP8[$11] | 0;
   77234     $or16 = $12 | 1;
   77235     HEAP8[$11] = $or16;
   77236     $graph = $1 + 20 | 0;
   77237     $13 = $graph;
   77238     $14 = HEAP32[$13 >> 2] | 0;
   77239     $proto = $14 + 40 | 0;
   77240     $15 = HEAP32[$proto >> 2] | 0;
   77241     $n18 = $15 | 0;
   77242     $16 = HEAP32[$n18 >> 2] | 0;
   77243     $17 = $16 | 0;
   77244     $call19 = _agfindattr($17, 139256) | 0;
   77245     $tobool20 = ($call19 | 0) == 0;
   77246     if ($tobool20) {
   77247       label = 12;
   77248       break;
   77249     } else {
   77250       label = 9;
   77251       break;
   77252     }
   77253    case 9:
   77254     $index22 = $call19 + 8 | 0;
   77255     $18 = HEAP32[$index22 >> 2] | 0;
   77256     $call23 = _agxget($1, $18) | 0;
   77257     $call24 = _strdup_and_subst_obj($call23, $1) | 0;
   77258     HEAP32[$active_tooltip >> 2] = $call24;
   77259     label = 12;
   77260     break;
   77261    case 10:
   77262     $19 = $1 + 131 | 0;
   77263     $20 = HEAP8[$19] | 0;
   77264     $or31 = $20 | 1;
   77265     HEAP8[$19] = $or31;
   77266     $head = $1 + 12 | 0;
   77267     $21 = $head;
   77268     $22 = HEAP32[$21 >> 2] | 0;
   77269     $graph33 = $22 + 20 | 0;
   77270     $23 = HEAP32[$graph33 >> 2] | 0;
   77271     $proto34 = $23 + 40 | 0;
   77272     $24 = HEAP32[$proto34 >> 2] | 0;
   77273     $e35 = $24 + 4 | 0;
   77274     $25 = HEAP32[$e35 >> 2] | 0;
   77275     $26 = $25 | 0;
   77276     $call36 = _agfindattr($26, 139256) | 0;
   77277     $tobool37 = ($call36 | 0) == 0;
   77278     if ($tobool37) {
   77279       label = 12;
   77280       break;
   77281     } else {
   77282       label = 11;
   77283       break;
   77284     }
   77285    case 11:
   77286     $index39 = $call36 + 8 | 0;
   77287     $27 = HEAP32[$index39 >> 2] | 0;
   77288     $call40 = _agxget($1, $27) | 0;
   77289     $call41 = _strdup_and_subst_obj($call40, $1) | 0;
   77290     HEAP32[$active_tooltip >> 2] = $call41;
   77291     label = 12;
   77292     break;
   77293    case 12:
   77294     return;
   77295   }
   77296 }
   77297 function _gvevent_find_cluster($g, $b) {
   77298   $g = $g | 0;
   77299   $b = $b | 0;
   77300   var $n_cluster = 0, $0 = 0, $clust = 0, $i_0 = 0, $cmp = 0, $1 = 0, $arrayidx = 0, $2 = 0, $call = 0, $tobool = 0, $inc = 0, $x = 0, $3 = 0.0, $y = 0, $4 = 0.0, $y19 = 0, $5 = 0.0, $x23 = 0, $6 = 0.0, $cmp26 = 0, $x13 = 0, $7 = 0.0, $x30 = 0, $8 = 0.0, $cmp31 = 0, $y34 = 0, $9 = 0.0, $cmp37 = 0, $y42 = 0, $10 = 0.0, $cmp43 = 0, $retval_0 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   77301   __stackBase__ = STACKTOP;
   77302   tempParam = $b;
   77303   $b = STACKTOP;
   77304   STACKTOP = STACKTOP + 32 | 0;
   77305   _memcpy($b, tempParam, 32);
   77306   label = 2;
   77307   while (1) switch (label | 0) {
   77308    case 2:
   77309     $n_cluster = $g + 212 | 0;
   77310     $0 = HEAP32[$n_cluster >> 2] | 0;
   77311     $clust = $g + 216 | 0;
   77312     $i_0 = 1;
   77313     label = 3;
   77314     break;
   77315    case 3:
   77316     $cmp = ($i_0 | 0) > ($0 | 0);
   77317     if ($cmp) {
   77318       label = 5;
   77319       break;
   77320     } else {
   77321       label = 4;
   77322       break;
   77323     }
   77324    case 4:
   77325     $1 = HEAP32[$clust >> 2] | 0;
   77326     $arrayidx = $1 + ($i_0 << 2) | 0;
   77327     $2 = HEAP32[$arrayidx >> 2] | 0;
   77328     $call = _gvevent_find_cluster($2, $b) | 0;
   77329     $tobool = ($call | 0) == 0;
   77330     $inc = $i_0 + 1 | 0;
   77331     if ($tobool) {
   77332       $i_0 = $inc;
   77333       label = 3;
   77334       break;
   77335     } else {
   77336       $retval_0 = $call;
   77337       label = 10;
   77338       break;
   77339     }
   77340    case 5:
   77341     $x = $g + 56 | 0;
   77342     $3 = +HEAPF64[$x >> 3];
   77343     $y = $g + 64 | 0;
   77344     $4 = +HEAPF64[$y >> 3];
   77345     $y19 = $g + 80 | 0;
   77346     $5 = +HEAPF64[$y19 >> 3];
   77347     $x23 = $b + 16 | 0;
   77348     $6 = +HEAPF64[$x23 >> 3];
   77349     $cmp26 = $6 < $3;
   77350     if ($cmp26) {
   77351       label = 9;
   77352       break;
   77353     } else {
   77354       label = 6;
   77355       break;
   77356     }
   77357    case 6:
   77358     $x13 = $g + 72 | 0;
   77359     $7 = +HEAPF64[$x13 >> 3];
   77360     $x30 = $b | 0;
   77361     $8 = +HEAPF64[$x30 >> 3];
   77362     $cmp31 = $7 < $8;
   77363     if ($cmp31) {
   77364       label = 9;
   77365       break;
   77366     } else {
   77367       label = 7;
   77368       break;
   77369     }
   77370    case 7:
   77371     $y34 = $b + 24 | 0;
   77372     $9 = +HEAPF64[$y34 >> 3];
   77373     $cmp37 = $9 < $4;
   77374     if ($cmp37) {
   77375       label = 9;
   77376       break;
   77377     } else {
   77378       label = 8;
   77379       break;
   77380     }
   77381    case 8:
   77382     $y42 = $b + 8 | 0;
   77383     $10 = +HEAPF64[$y42 >> 3];
   77384     $cmp43 = $5 < $10;
   77385     if ($cmp43) {
   77386       label = 9;
   77387       break;
   77388     } else {
   77389       $retval_0 = $g;
   77390       label = 10;
   77391       break;
   77392     }
   77393    case 9:
   77394     $retval_0 = 0;
   77395     label = 10;
   77396     break;
   77397    case 10:
   77398     STACKTOP = __stackBase__;
   77399     return $retval_0 | 0;
   77400   }
   77401   return 0;
   77402 }
   77403 function _gvevent_select_current_obj($job) {
   77404   $job = $job | 0;
   77405   var $selected_obj = 0, $0 = 0, $tobool = 0, $1 = 0, $2 = 0, $3 = 0, $bf_val_sext = 0, $4 = 0, $5 = 0, $or = 0, $and = 0, $6 = 0, $7 = 0, $or10 = 0, $and15 = 0, $8 = 0, $9 = 0, $or21 = 0, $and26 = 0, $selected_href = 0, $10 = 0, $tobool28 = 0, $current_obj = 0, $11 = 0, $tobool34 = 0, $12 = 0, $13 = 0, $14 = 0, $bf_val_sext37 = 0, $15 = 0, $16 = 0, $17 = 0, $or42 = 0, $18 = 0, $19 = 0, $20 = 0, $or48 = 0, $21 = 0, $22 = 0, $23 = 0, $or54 = 0, label = 0;
   77406   label = 2;
   77407   while (1) switch (label | 0) {
   77408    case 2:
   77409     $selected_obj = $job + 580 | 0;
   77410     $0 = HEAP32[$selected_obj >> 2] | 0;
   77411     $tobool = ($0 | 0) == 0;
   77412     if ($tobool) {
   77413       label = 7;
   77414       break;
   77415     } else {
   77416       label = 3;
   77417       break;
   77418     }
   77419    case 3:
   77420     $1 = $0;
   77421     $2 = HEAP32[$1 >> 2] | 0;
   77422     $3 = $2 << 28;
   77423     $bf_val_sext = $3 >> 28;
   77424     if (($bf_val_sext | 0) == 3) {
   77425       label = 4;
   77426       break;
   77427     } else if (($bf_val_sext | 0) == 1) {
   77428       label = 5;
   77429       break;
   77430     } else if (($bf_val_sext | 0) == 2) {
   77431       label = 6;
   77432       break;
   77433     } else {
   77434       label = 7;
   77435       break;
   77436     }
   77437    case 4:
   77438     $4 = $0 + 152 | 0;
   77439     $5 = HEAP8[$4] | 0;
   77440     $or = $5 & -7;
   77441     $and = $or | 4;
   77442     HEAP8[$4] = $and;
   77443     label = 7;
   77444     break;
   77445    case 5:
   77446     $6 = $0 + 133 | 0;
   77447     $7 = HEAP8[$6] | 0;
   77448     $or10 = $7 & -7;
   77449     $and15 = $or10 | 4;
   77450     HEAP8[$6] = $and15;
   77451     label = 7;
   77452     break;
   77453    case 6:
   77454     $8 = $0 + 131 | 0;
   77455     $9 = HEAP8[$8] | 0;
   77456     $or21 = $9 & -7;
   77457     $and26 = $or21 | 4;
   77458     HEAP8[$8] = $and26;
   77459     label = 7;
   77460     break;
   77461    case 7:
   77462     $selected_href = $job + 588 | 0;
   77463     $10 = HEAP32[$selected_href >> 2] | 0;
   77464     $tobool28 = ($10 | 0) == 0;
   77465     if ($tobool28) {
   77466       label = 9;
   77467       break;
   77468     } else {
   77469       label = 8;
   77470       break;
   77471     }
   77472    case 8:
   77473     _free($10);
   77474     HEAP32[$selected_href >> 2] = 0;
   77475     label = 9;
   77476     break;
   77477    case 9:
   77478     $current_obj = $job + 576 | 0;
   77479     $11 = HEAP32[$current_obj >> 2] | 0;
   77480     HEAP32[$selected_obj >> 2] = $11;
   77481     $tobool34 = ($11 | 0) == 0;
   77482     if ($tobool34) {
   77483       label = 14;
   77484       break;
   77485     } else {
   77486       label = 10;
   77487       break;
   77488     }
   77489    case 10:
   77490     $12 = $11;
   77491     $13 = HEAP32[$12 >> 2] | 0;
   77492     $14 = $13 << 28;
   77493     $bf_val_sext37 = $14 >> 28;
   77494     if (($bf_val_sext37 | 0) == 3) {
   77495       label = 11;
   77496       break;
   77497     } else if (($bf_val_sext37 | 0) == 1) {
   77498       label = 12;
   77499       break;
   77500     } else if (($bf_val_sext37 | 0) == 2) {
   77501       label = 13;
   77502       break;
   77503     } else {
   77504       label = 14;
   77505       break;
   77506     }
   77507    case 11:
   77508     $15 = $11;
   77509     $16 = $11 + 152 | 0;
   77510     $17 = HEAP8[$16] | 0;
   77511     $or42 = $17 | 2;
   77512     HEAP8[$16] = $or42;
   77513     _gv_graph_state($job, $15);
   77514     label = 14;
   77515     break;
   77516    case 12:
   77517     $18 = $11;
   77518     $19 = $11 + 133 | 0;
   77519     $20 = HEAP8[$19] | 0;
   77520     $or48 = $20 | 2;
   77521     HEAP8[$19] = $or48;
   77522     _gv_node_state($job, $18);
   77523     label = 14;
   77524     break;
   77525    case 13:
   77526     $21 = $11;
   77527     $22 = $11 + 131 | 0;
   77528     $23 = HEAP8[$22] | 0;
   77529     $or54 = $23 | 2;
   77530     HEAP8[$22] = $or54;
   77531     _gv_edge_state($job, $21);
   77532     label = 14;
   77533     break;
   77534    case 14:
   77535     return;
   77536   }
   77537 }
   77538 function _gv_graph_state($job, $g) {
   77539   $job = $job | 0;
   77540   $g = $g | 0;
   77541   var $selected_obj_type_name = 0, $root = 0, $0 = 0, $cmp = 0, $1 = 0, $2 = 0, $and = 0, $tobool = 0, $name = 0, $3 = 0, $argc = 0, $selected_obj_attributes = 0, $univ = 0, $4 = 0, $globattr36 = 0, $5 = 0, $dict37 = 0, $6 = 0, $call38 = 0, $cmp739 = 0, $7 = 0, $j_141 = 0, $i_040 = 0, $8 = 0, $globattr9 = 0, $9 = 0, $list10 = 0, $10 = 0, $arrayidx = 0, $11 = 0, $inc11 = 0, $name12 = 0, $12 = 0, $inc13 = 0, $index = 0, $13 = 0, $call14 = 0, $inc15 = 0, $inc16 = 0, $14 = 0, $globattr = 0, $15 = 0, $dict = 0, $16 = 0, $call = 0, $cmp7 = 0, $j_1_lcssa = 0, $argc17 = 0, $17 = 0, $18 = 0, $call19 = 0, $tobool20 = 0, $19 = 0, $20 = 0, $call23 = 0, $tobool25 = 0, $a_035 = 0, $21 = 0, $index27 = 0, $22 = 0, $call28 = 0, $call29 = 0, $selected_href = 0, label = 0;
   77542   label = 2;
   77543   while (1) switch (label | 0) {
   77544    case 2:
   77545     $selected_obj_type_name = $job + 592 | 0;
   77546     $root = $g + 32 | 0;
   77547     $0 = HEAP32[$root >> 2] | 0;
   77548     $cmp = ($0 | 0) == ($g | 0);
   77549     if ($cmp) {
   77550       label = 3;
   77551       break;
   77552     } else {
   77553       label = 6;
   77554       break;
   77555     }
   77556    case 3:
   77557     $1 = $g;
   77558     $2 = HEAP32[$1 >> 2] | 0;
   77559     $and = $2 & 16;
   77560     $tobool = ($and | 0) == 0;
   77561     if ($tobool) {
   77562       label = 5;
   77563       break;
   77564     } else {
   77565       label = 4;
   77566       break;
   77567     }
   77568    case 4:
   77569     _gv_argvlist_set_item($selected_obj_type_name, 0, 119336);
   77570     label = 7;
   77571     break;
   77572    case 5:
   77573     _gv_argvlist_set_item($selected_obj_type_name, 0, 119864);
   77574     label = 7;
   77575     break;
   77576    case 6:
   77577     _gv_argvlist_set_item($selected_obj_type_name, 0, 120552);
   77578     label = 7;
   77579     break;
   77580    case 7:
   77581     $name = $g + 12 | 0;
   77582     $3 = HEAP32[$name >> 2] | 0;
   77583     _gv_argvlist_set_item($selected_obj_type_name, 1, $3);
   77584     $argc = $job + 596 | 0;
   77585     HEAP32[$argc >> 2] = 2;
   77586     $selected_obj_attributes = $job + 604 | 0;
   77587     $univ = $g + 16 | 0;
   77588     $4 = HEAP32[$univ >> 2] | 0;
   77589     $globattr36 = $4 + 12 | 0;
   77590     $5 = HEAP32[$globattr36 >> 2] | 0;
   77591     $dict37 = $5 + 4 | 0;
   77592     $6 = HEAP32[$dict37 >> 2] | 0;
   77593     $call38 = _dtsize($6) | 0;
   77594     $cmp739 = ($call38 | 0) > 0;
   77595     if ($cmp739) {
   77596       label = 8;
   77597       break;
   77598     } else {
   77599       $j_1_lcssa = 0;
   77600       label = 10;
   77601       break;
   77602     }
   77603    case 8:
   77604     $7 = $g | 0;
   77605     $i_040 = 0;
   77606     $j_141 = 0;
   77607     label = 9;
   77608     break;
   77609    case 9:
   77610     $8 = HEAP32[$univ >> 2] | 0;
   77611     $globattr9 = $8 + 12 | 0;
   77612     $9 = HEAP32[$globattr9 >> 2] | 0;
   77613     $list10 = $9 + 8 | 0;
   77614     $10 = HEAP32[$list10 >> 2] | 0;
   77615     $arrayidx = $10 + ($i_040 << 2) | 0;
   77616     $11 = HEAP32[$arrayidx >> 2] | 0;
   77617     $inc11 = $j_141 + 1 | 0;
   77618     $name12 = $11 | 0;
   77619     $12 = HEAP32[$name12 >> 2] | 0;
   77620     _gv_argvlist_set_item($selected_obj_attributes, $j_141, $12);
   77621     $inc13 = $j_141 + 2 | 0;
   77622     $index = $11 + 8 | 0;
   77623     $13 = HEAP32[$index >> 2] | 0;
   77624     $call14 = _agxget($7, $13) | 0;
   77625     _gv_argvlist_set_item($selected_obj_attributes, $inc11, $call14);
   77626     $inc15 = $j_141 + 3 | 0;
   77627     _gv_argvlist_set_item($selected_obj_attributes, $inc13, 0);
   77628     $inc16 = $i_040 + 1 | 0;
   77629     $14 = HEAP32[$univ >> 2] | 0;
   77630     $globattr = $14 + 12 | 0;
   77631     $15 = HEAP32[$globattr >> 2] | 0;
   77632     $dict = $15 + 4 | 0;
   77633     $16 = HEAP32[$dict >> 2] | 0;
   77634     $call = _dtsize($16) | 0;
   77635     $cmp7 = ($inc16 | 0) < ($call | 0);
   77636     if ($cmp7) {
   77637       $i_040 = $inc16;
   77638       $j_141 = $inc15;
   77639       label = 9;
   77640       break;
   77641     } else {
   77642       $j_1_lcssa = $inc15;
   77643       label = 10;
   77644       break;
   77645     }
   77646    case 10:
   77647     $argc17 = $job + 608 | 0;
   77648     HEAP32[$argc17 >> 2] = $j_1_lcssa;
   77649     $17 = HEAP32[$root >> 2] | 0;
   77650     $18 = $17 | 0;
   77651     $call19 = _agfindattr($18, 130008) | 0;
   77652     $tobool20 = ($call19 | 0) == 0;
   77653     if ($tobool20) {
   77654       label = 11;
   77655       break;
   77656     } else {
   77657       $a_035 = $call19;
   77658       label = 12;
   77659       break;
   77660     }
   77661    case 11:
   77662     $19 = HEAP32[$root >> 2] | 0;
   77663     $20 = $19 | 0;
   77664     $call23 = _agfindattr($20, 131864) | 0;
   77665     $tobool25 = ($call23 | 0) == 0;
   77666     if ($tobool25) {
   77667       label = 13;
   77668       break;
   77669     } else {
   77670       $a_035 = $call23;
   77671       label = 12;
   77672       break;
   77673     }
   77674    case 12:
   77675     $21 = $g | 0;
   77676     $index27 = $a_035 + 8 | 0;
   77677     $22 = HEAP32[$index27 >> 2] | 0;
   77678     $call28 = _agxget($21, $22) | 0;
   77679     $call29 = _strdup_and_subst_obj($call28, $21) | 0;
   77680     $selected_href = $job + 588 | 0;
   77681     HEAP32[$selected_href >> 2] = $call29;
   77682     label = 13;
   77683     break;
   77684    case 13:
   77685     return;
   77686   }
   77687 }
   77688 function _gv_node_state($job, $n) {
   77689   $job = $job | 0;
   77690   $n = $n | 0;
   77691   var $selected_obj_type_name = 0, $name = 0, $0 = 0, $argc = 0, $selected_obj_attributes = 0, $graph = 0, $1 = 0, $root = 0, $2 = 0, $univ = 0, $3 = 0, $nodeattr29 = 0, $4 = 0, $dict30 = 0, $5 = 0, $call31 = 0, $cmp32 = 0, $6 = 0, $i_034 = 0, $j_033 = 0, $7 = 0, $nodeattr3 = 0, $8 = 0, $list4 = 0, $9 = 0, $arrayidx = 0, $10 = 0, $inc526 = 0, $name6 = 0, $11 = 0, $inc7 = 0, $index = 0, $12 = 0, $call8 = 0, $inc9 = 0, $13 = 0, $nodeattr = 0, $14 = 0, $dict = 0, $15 = 0, $call = 0, $cmp = 0, $j_0_lcssa = 0, $argc10 = 0, $16 = 0, $proto = 0, $17 = 0, $n12 = 0, $18 = 0, $19 = 0, $call13 = 0, $tobool = 0, $20 = 0, $proto15 = 0, $21 = 0, $n16 = 0, $22 = 0, $23 = 0, $call17 = 0, $tobool18 = 0, $a_028 = 0, $24 = 0, $index20 = 0, $25 = 0, $call21 = 0, $call22 = 0, $selected_href = 0, label = 0;
   77692   label = 2;
   77693   while (1) switch (label | 0) {
   77694    case 2:
   77695     $selected_obj_type_name = $job + 592 | 0;
   77696     _gv_argvlist_set_item($selected_obj_type_name, 0, 121256);
   77697     $name = $n + 12 | 0;
   77698     $0 = HEAP32[$name >> 2] | 0;
   77699     _gv_argvlist_set_item($selected_obj_type_name, 1, $0);
   77700     $argc = $job + 596 | 0;
   77701     HEAP32[$argc >> 2] = 2;
   77702     $selected_obj_attributes = $job + 604 | 0;
   77703     $graph = $n + 20 | 0;
   77704     $1 = HEAP32[$graph >> 2] | 0;
   77705     $root = $1 + 32 | 0;
   77706     $2 = HEAP32[$root >> 2] | 0;
   77707     $univ = $2 + 16 | 0;
   77708     $3 = HEAP32[$univ >> 2] | 0;
   77709     $nodeattr29 = $3 + 4 | 0;
   77710     $4 = HEAP32[$nodeattr29 >> 2] | 0;
   77711     $dict30 = $4 + 4 | 0;
   77712     $5 = HEAP32[$dict30 >> 2] | 0;
   77713     $call31 = _dtsize($5) | 0;
   77714     $cmp32 = ($call31 | 0) > 0;
   77715     if ($cmp32) {
   77716       label = 3;
   77717       break;
   77718     } else {
   77719       $j_0_lcssa = 0;
   77720       label = 5;
   77721       break;
   77722     }
   77723    case 3:
   77724     $6 = $n | 0;
   77725     $j_033 = 0;
   77726     $i_034 = 0;
   77727     label = 4;
   77728     break;
   77729    case 4:
   77730     $7 = HEAP32[$univ >> 2] | 0;
   77731     $nodeattr3 = $7 + 4 | 0;
   77732     $8 = HEAP32[$nodeattr3 >> 2] | 0;
   77733     $list4 = $8 + 8 | 0;
   77734     $9 = HEAP32[$list4 >> 2] | 0;
   77735     $arrayidx = $9 + ($i_034 << 2) | 0;
   77736     $10 = HEAP32[$arrayidx >> 2] | 0;
   77737     $inc526 = $j_033 | 1;
   77738     $name6 = $10 | 0;
   77739     $11 = HEAP32[$name6 >> 2] | 0;
   77740     _gv_argvlist_set_item($selected_obj_attributes, $j_033, $11);
   77741     $inc7 = $j_033 + 2 | 0;
   77742     $index = $10 + 8 | 0;
   77743     $12 = HEAP32[$index >> 2] | 0;
   77744     $call8 = _agxget($6, $12) | 0;
   77745     _gv_argvlist_set_item($selected_obj_attributes, $inc526, $call8);
   77746     $inc9 = $i_034 + 1 | 0;
   77747     $13 = HEAP32[$univ >> 2] | 0;
   77748     $nodeattr = $13 + 4 | 0;
   77749     $14 = HEAP32[$nodeattr >> 2] | 0;
   77750     $dict = $14 + 4 | 0;
   77751     $15 = HEAP32[$dict >> 2] | 0;
   77752     $call = _dtsize($15) | 0;
   77753     $cmp = ($inc9 | 0) < ($call | 0);
   77754     if ($cmp) {
   77755       $j_033 = $inc7;
   77756       $i_034 = $inc9;
   77757       label = 4;
   77758       break;
   77759     } else {
   77760       $j_0_lcssa = $inc7;
   77761       label = 5;
   77762       break;
   77763     }
   77764    case 5:
   77765     $argc10 = $job + 608 | 0;
   77766     HEAP32[$argc10 >> 2] = $j_0_lcssa;
   77767     $16 = HEAP32[$graph >> 2] | 0;
   77768     $proto = $16 + 40 | 0;
   77769     $17 = HEAP32[$proto >> 2] | 0;
   77770     $n12 = $17 | 0;
   77771     $18 = HEAP32[$n12 >> 2] | 0;
   77772     $19 = $18 | 0;
   77773     $call13 = _agfindattr($19, 130008) | 0;
   77774     $tobool = ($call13 | 0) == 0;
   77775     if ($tobool) {
   77776       label = 6;
   77777       break;
   77778     } else {
   77779       $a_028 = $call13;
   77780       label = 7;
   77781       break;
   77782     }
   77783    case 6:
   77784     $20 = HEAP32[$graph >> 2] | 0;
   77785     $proto15 = $20 + 40 | 0;
   77786     $21 = HEAP32[$proto15 >> 2] | 0;
   77787     $n16 = $21 | 0;
   77788     $22 = HEAP32[$n16 >> 2] | 0;
   77789     $23 = $22 | 0;
   77790     $call17 = _agfindattr($23, 131864) | 0;
   77791     $tobool18 = ($call17 | 0) == 0;
   77792     if ($tobool18) {
   77793       label = 8;
   77794       break;
   77795     } else {
   77796       $a_028 = $call17;
   77797       label = 7;
   77798       break;
   77799     }
   77800    case 7:
   77801     $24 = $n | 0;
   77802     $index20 = $a_028 + 8 | 0;
   77803     $25 = HEAP32[$index20 >> 2] | 0;
   77804     $call21 = _agxget($24, $25) | 0;
   77805     $call22 = _strdup_and_subst_obj($call21, $24) | 0;
   77806     $selected_href = $job + 588 | 0;
   77807     HEAP32[$selected_href >> 2] = $call22;
   77808     label = 8;
   77809     break;
   77810    case 8:
   77811     return;
   77812   }
   77813 }
   77814 function _gv_edge_state($job, $e) {
   77815   $job = $job | 0;
   77816   $e = $e | 0;
   77817   var $selected_obj_type_name = 0, $tail = 0, $0 = 0, $name = 0, $1 = 0, $2 = 0, $graph = 0, $3 = 0, $4 = 0, $5 = 0, $and = 0, $tobool = 0, $cond = 0, $head = 0, $6 = 0, $name6 = 0, $7 = 0, $argc = 0, $selected_obj_attributes = 0, $8 = 0, $graph10 = 0, $9 = 0, $root = 0, $10 = 0, $univ = 0, $11 = 0, $edgeattr49 = 0, $12 = 0, $dict50 = 0, $13 = 0, $call51 = 0, $cmp52 = 0, $14 = 0, $15 = 0, $16 = 0, $17 = 0, $i_054 = 0, $j_053 = 0, $18 = 0, $edgeattr12 = 0, $19 = 0, $list = 0, $20 = 0, $arrayidx = 0, $21 = 0, $name13 = 0, $22 = 0, $call14 = 0, $cmp15 = 0, $index = 0, $23 = 0, $call16 = 0, $call18 = 0, $cmp19 = 0, $index21 = 0, $24 = 0, $call22 = 0, $call25 = 0, $cmp26 = 0, $index28 = 0, $25 = 0, $call29 = 0, $inc32 = 0, $26 = 0, $inc34 = 0, $index35 = 0, $27 = 0, $call36 = 0, $j_1 = 0, $inc37 = 0, $28 = 0, $edgeattr = 0, $29 = 0, $dict = 0, $30 = 0, $call = 0, $cmp = 0, $j_0_lcssa = 0, $argc38 = 0, $31 = 0, $graph40 = 0, $32 = 0, $proto = 0, $33 = 0, $e41 = 0, $34 = 0, $35 = 0, $call42 = 0, $tobool43 = 0, $36 = 0, $graph46 = 0, $37 = 0, $proto47 = 0, $38 = 0, $e48 = 0, $39 = 0, $40 = 0, $call49 = 0, $tobool51 = 0, $a_048 = 0, $41 = 0, $index53 = 0, $42 = 0, $call54 = 0, $call55 = 0, $selected_href = 0, label = 0;
   77818   label = 2;
   77819   while (1) switch (label | 0) {
   77820    case 2:
   77821     $selected_obj_type_name = $job + 592 | 0;
   77822     _gv_argvlist_set_item($selected_obj_type_name, 0, 121816);
   77823     $tail = $e + 16 | 0;
   77824     $0 = HEAP32[$tail >> 2] | 0;
   77825     $name = $0 + 12 | 0;
   77826     $1 = HEAP32[$name >> 2] | 0;
   77827     _gv_argvlist_set_item($selected_obj_type_name, 1, $1);
   77828     $2 = HEAP32[$tail >> 2] | 0;
   77829     $graph = $2 + 20 | 0;
   77830     $3 = HEAP32[$graph >> 2] | 0;
   77831     $4 = $3;
   77832     $5 = HEAP32[$4 >> 2] | 0;
   77833     $and = $5 & 16;
   77834     $tobool = ($and | 0) != 0;
   77835     $cond = $tobool ? 136480 : 133352;
   77836     _gv_argvlist_set_item($selected_obj_type_name, 3, $cond);
   77837     $head = $e + 12 | 0;
   77838     $6 = HEAP32[$head >> 2] | 0;
   77839     $name6 = $6 + 12 | 0;
   77840     $7 = HEAP32[$name6 >> 2] | 0;
   77841     _gv_argvlist_set_item($selected_obj_type_name, 4, $7);
   77842     $argc = $job + 596 | 0;
   77843     HEAP32[$argc >> 2] = 7;
   77844     $selected_obj_attributes = $job + 604 | 0;
   77845     $8 = HEAP32[$head >> 2] | 0;
   77846     $graph10 = $8 + 20 | 0;
   77847     $9 = HEAP32[$graph10 >> 2] | 0;
   77848     $root = $9 + 32 | 0;
   77849     $10 = HEAP32[$root >> 2] | 0;
   77850     $univ = $10 + 16 | 0;
   77851     $11 = HEAP32[$univ >> 2] | 0;
   77852     $edgeattr49 = $11 + 8 | 0;
   77853     $12 = HEAP32[$edgeattr49 >> 2] | 0;
   77854     $dict50 = $12 + 4 | 0;
   77855     $13 = HEAP32[$dict50 >> 2] | 0;
   77856     $call51 = _dtsize($13) | 0;
   77857     $cmp52 = ($call51 | 0) > 0;
   77858     if ($cmp52) {
   77859       label = 3;
   77860       break;
   77861     } else {
   77862       $j_0_lcssa = 0;
   77863       label = 12;
   77864       break;
   77865     }
   77866    case 3:
   77867     $14 = $e | 0;
   77868     $15 = $e | 0;
   77869     $16 = $e | 0;
   77870     $17 = $e | 0;
   77871     $j_053 = 0;
   77872     $i_054 = 0;
   77873     label = 4;
   77874     break;
   77875    case 4:
   77876     $18 = HEAP32[$univ >> 2] | 0;
   77877     $edgeattr12 = $18 + 8 | 0;
   77878     $19 = HEAP32[$edgeattr12 >> 2] | 0;
   77879     $list = $19 + 8 | 0;
   77880     $20 = HEAP32[$list >> 2] | 0;
   77881     $arrayidx = $20 + ($i_054 << 2) | 0;
   77882     $21 = HEAP32[$arrayidx >> 2] | 0;
   77883     $name13 = $21 | 0;
   77884     $22 = HEAP32[$name13 >> 2] | 0;
   77885     $call14 = _strcmp($22 | 0, 123344) | 0;
   77886     $cmp15 = ($call14 | 0) == 0;
   77887     if ($cmp15) {
   77888       label = 5;
   77889       break;
   77890     } else {
   77891       label = 6;
   77892       break;
   77893     }
   77894    case 5:
   77895     $index = $21 + 8 | 0;
   77896     $23 = HEAP32[$index >> 2] | 0;
   77897     $call16 = _agxget($14, $23) | 0;
   77898     _gv_argvlist_set_item($selected_obj_type_name, 2, $call16);
   77899     label = 10;
   77900     break;
   77901    case 6:
   77902     $call18 = _strcmp($22 | 0, 125392) | 0;
   77903     $cmp19 = ($call18 | 0) == 0;
   77904     if ($cmp19) {
   77905       label = 7;
   77906       break;
   77907     } else {
   77908       label = 8;
   77909       break;
   77910     }
   77911    case 7:
   77912     $index21 = $21 + 8 | 0;
   77913     $24 = HEAP32[$index21 >> 2] | 0;
   77914     $call22 = _agxget($16, $24) | 0;
   77915     _gv_argvlist_set_item($selected_obj_type_name, 5, $call22);
   77916     label = 10;
   77917     break;
   77918    case 8:
   77919     $call25 = _strcmp($22 | 0, 127936) | 0;
   77920     $cmp26 = ($call25 | 0) == 0;
   77921     if ($cmp26) {
   77922       label = 9;
   77923       break;
   77924     } else {
   77925       label = 10;
   77926       break;
   77927     }
   77928    case 9:
   77929     $index28 = $21 + 8 | 0;
   77930     $25 = HEAP32[$index28 >> 2] | 0;
   77931     $call29 = _agxget($17, $25) | 0;
   77932     _gv_argvlist_set_item($selected_obj_type_name, 6, $call29);
   77933     $j_1 = $j_053;
   77934     label = 11;
   77935     break;
   77936    case 10:
   77937     $inc32 = $j_053 + 1 | 0;
   77938     $26 = HEAP32[$name13 >> 2] | 0;
   77939     _gv_argvlist_set_item($selected_obj_attributes, $j_053, $26);
   77940     $inc34 = $j_053 + 2 | 0;
   77941     $index35 = $21 + 8 | 0;
   77942     $27 = HEAP32[$index35 >> 2] | 0;
   77943     $call36 = _agxget($15, $27) | 0;
   77944     _gv_argvlist_set_item($selected_obj_attributes, $inc32, $call36);
   77945     $j_1 = $inc34;
   77946     label = 11;
   77947     break;
   77948    case 11:
   77949     $inc37 = $i_054 + 1 | 0;
   77950     $28 = HEAP32[$univ >> 2] | 0;
   77951     $edgeattr = $28 + 8 | 0;
   77952     $29 = HEAP32[$edgeattr >> 2] | 0;
   77953     $dict = $29 + 4 | 0;
   77954     $30 = HEAP32[$dict >> 2] | 0;
   77955     $call = _dtsize($30) | 0;
   77956     $cmp = ($inc37 | 0) < ($call | 0);
   77957     if ($cmp) {
   77958       $j_053 = $j_1;
   77959       $i_054 = $inc37;
   77960       label = 4;
   77961       break;
   77962     } else {
   77963       $j_0_lcssa = $j_1;
   77964       label = 12;
   77965       break;
   77966     }
   77967    case 12:
   77968     $argc38 = $job + 608 | 0;
   77969     HEAP32[$argc38 >> 2] = $j_0_lcssa;
   77970     $31 = HEAP32[$head >> 2] | 0;
   77971     $graph40 = $31 + 20 | 0;
   77972     $32 = HEAP32[$graph40 >> 2] | 0;
   77973     $proto = $32 + 40 | 0;
   77974     $33 = HEAP32[$proto >> 2] | 0;
   77975     $e41 = $33 + 4 | 0;
   77976     $34 = HEAP32[$e41 >> 2] | 0;
   77977     $35 = $34 | 0;
   77978     $call42 = _agfindattr($35, 130008) | 0;
   77979     $tobool43 = ($call42 | 0) == 0;
   77980     if ($tobool43) {
   77981       label = 13;
   77982       break;
   77983     } else {
   77984       $a_048 = $call42;
   77985       label = 14;
   77986       break;
   77987     }
   77988    case 13:
   77989     $36 = HEAP32[$head >> 2] | 0;
   77990     $graph46 = $36 + 20 | 0;
   77991     $37 = HEAP32[$graph46 >> 2] | 0;
   77992     $proto47 = $37 + 40 | 0;
   77993     $38 = HEAP32[$proto47 >> 2] | 0;
   77994     $e48 = $38 + 4 | 0;
   77995     $39 = HEAP32[$e48 >> 2] | 0;
   77996     $40 = $39 | 0;
   77997     $call49 = _agfindattr($40, 131864) | 0;
   77998     $tobool51 = ($call49 | 0) == 0;
   77999     if ($tobool51) {
   78000       label = 15;
   78001       break;
   78002     } else {
   78003       $a_048 = $call49;
   78004       label = 14;
   78005       break;
   78006     }
   78007    case 14:
   78008     $41 = $e | 0;
   78009     $index53 = $a_048 + 8 | 0;
   78010     $42 = HEAP32[$index53 >> 2] | 0;
   78011     $call54 = _agxget($41, $42) | 0;
   78012     $call55 = _strdup_and_subst_obj($call54, $41) | 0;
   78013     $selected_href = $job + 588 | 0;
   78014     HEAP32[$selected_href >> 2] = $call55;
   78015     label = 15;
   78016     break;
   78017    case 15:
   78018     return;
   78019   }
   78020 }
   78021 function _gvjobs_first($gvc) {
   78022   $gvc = $gvc | 0;
   78023   var $0 = 0;
   78024   $0 = HEAP32[$gvc + 120 >> 2] | 0;
   78025   HEAP32[$gvc + 124 >> 2] = $0;
   78026   return $0 | 0;
   78027 }
   78028 function _gvjobs_next($gvc) {
   78029   $gvc = $gvc | 0;
   78030   var $job1 = 0, $0 = 0, $next = 0, $1 = 0, $tobool = 0, $output_langname = 0, $2 = 0, $tobool2 = 0, $output_langname5 = 0, $3 = 0, label = 0;
   78031   label = 2;
   78032   while (1) switch (label | 0) {
   78033    case 2:
   78034     $job1 = $gvc + 124 | 0;
   78035     $0 = HEAP32[$job1 >> 2] | 0;
   78036     $next = $0 + 4 | 0;
   78037     $1 = HEAP32[$next >> 2] | 0;
   78038     $tobool = ($1 | 0) == 0;
   78039     if ($tobool) {
   78040       label = 5;
   78041       break;
   78042     } else {
   78043       label = 3;
   78044       break;
   78045     }
   78046    case 3:
   78047     $output_langname = $1 + 52 | 0;
   78048     $2 = HEAP32[$output_langname >> 2] | 0;
   78049     $tobool2 = ($2 | 0) == 0;
   78050     if ($tobool2) {
   78051       label = 4;
   78052       break;
   78053     } else {
   78054       label = 5;
   78055       break;
   78056     }
   78057    case 4:
   78058     $output_langname5 = $0 + 52 | 0;
   78059     $3 = HEAP32[$output_langname5 >> 2] | 0;
   78060     HEAP32[$output_langname >> 2] = $3;
   78061     label = 5;
   78062     break;
   78063    case 5:
   78064     HEAP32[$job1 >> 2] = $1;
   78065     return $1 | 0;
   78066   }
   78067   return 0;
   78068 }
   78069 function _gvrender_ptf($agg_result, $job, $p) {
   78070   $agg_result = $agg_result | 0;
   78071   $job = $job | 0;
   78072   $p = $p | 0;
   78073   var $translation_sroa_0_0__idx = 0, $translation_sroa_0_0_copyload = 0.0, $translation_sroa_1_8__idx5 = 0, $translation_sroa_1_8_copyload = 0.0, $zoom = 0, $0 = 0.0, $x = 0, $1 = 0.0, $mul = 0.0, $y = 0, $2 = 0.0, $mul5 = 0.0, $rotation = 0, $3 = 0, $tobool = 0, $y7 = 0, $4 = 0.0, $add = 0.0, $sub = 0.0, $x12 = 0, $5 = 0.0, $add14 = 0.0, $x18 = 0, $6 = 0.0, $add20 = 0.0, $y24 = 0, $7 = 0.0, $add26 = 0.0, $sub_pn = 0.0, $add14_pn = 0.0, $rv_sroa_1_0 = 0.0, $rv_sroa_0_0 = 0.0, $rv_sroa_0_0__idx = 0, $rv_sroa_1_8__idx6 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   78074   __stackBase__ = STACKTOP;
   78075   tempParam = $p;
   78076   $p = STACKTOP;
   78077   STACKTOP = STACKTOP + 16 | 0;
   78078   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   78079   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   78080   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   78081   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   78082   label = 2;
   78083   while (1) switch (label | 0) {
   78084    case 2:
   78085     $translation_sroa_0_0__idx = $job + 504 | 0;
   78086     $translation_sroa_0_0_copyload = +HEAPF64[$translation_sroa_0_0__idx >> 3];
   78087     $translation_sroa_1_8__idx5 = $job + 512 | 0;
   78088     $translation_sroa_1_8_copyload = +HEAPF64[$translation_sroa_1_8__idx5 >> 3];
   78089     $zoom = $job + 352 | 0;
   78090     $0 = +HEAPF64[$zoom >> 3];
   78091     $x = $job + 520 | 0;
   78092     $1 = +HEAPF64[$x >> 3];
   78093     $mul = $0 * $1;
   78094     $y = $job + 528 | 0;
   78095     $2 = +HEAPF64[$y >> 3];
   78096     $mul5 = $0 * $2;
   78097     $rotation = $job + 360 | 0;
   78098     $3 = HEAP32[$rotation >> 2] | 0;
   78099     $tobool = ($3 | 0) == 0;
   78100     if ($tobool) {
   78101       label = 4;
   78102       break;
   78103     } else {
   78104       label = 3;
   78105       break;
   78106     }
   78107    case 3:
   78108     $y7 = $p + 8 | 0;
   78109     $4 = +HEAPF64[$y7 >> 3];
   78110     $add = $translation_sroa_1_8_copyload + $4;
   78111     $sub = -0.0 - $add;
   78112     $x12 = $p | 0;
   78113     $5 = +HEAPF64[$x12 >> 3];
   78114     $add14 = $translation_sroa_0_0_copyload + $5;
   78115     $add14_pn = $add14;
   78116     $sub_pn = $sub;
   78117     label = 5;
   78118     break;
   78119    case 4:
   78120     $x18 = $p | 0;
   78121     $6 = +HEAPF64[$x18 >> 3];
   78122     $add20 = $translation_sroa_0_0_copyload + $6;
   78123     $y24 = $p + 8 | 0;
   78124     $7 = +HEAPF64[$y24 >> 3];
   78125     $add26 = $translation_sroa_1_8_copyload + $7;
   78126     $add14_pn = $add26;
   78127     $sub_pn = $add20;
   78128     label = 5;
   78129     break;
   78130    case 5:
   78131     $rv_sroa_1_0 = $mul5 * $add14_pn;
   78132     $rv_sroa_0_0 = $mul * $sub_pn;
   78133     $rv_sroa_0_0__idx = $agg_result | 0;
   78134     HEAPF64[$rv_sroa_0_0__idx >> 3] = $rv_sroa_0_0;
   78135     $rv_sroa_1_8__idx6 = $agg_result + 8 | 0;
   78136     HEAPF64[$rv_sroa_1_8__idx6 >> 3] = $rv_sroa_1_0;
   78137     STACKTOP = __stackBase__;
   78138     return;
   78139   }
   78140 }
   78141 function _gvrender_ptf_A($job, $af, $AF, $n) {
   78142   $job = $job | 0;
   78143   $af = $af | 0;
   78144   $AF = $AF | 0;
   78145   $n = $n | 0;
   78146   var $translation_sroa_0_0__idx = 0, $translation_sroa_0_0_copyload = 0.0, $translation_sroa_1_8__idx5 = 0, $translation_sroa_1_8_copyload = 0.0, $zoom = 0, $0 = 0.0, $x = 0, $1 = 0.0, $mul = 0.0, $y = 0, $2 = 0.0, $mul5 = 0.0, $rotation = 0, $3 = 0, $tobool = 0, $cmp2230 = 0, $i_034 = 0, $y7 = 0, $4 = 0.0, $add = 0.0, $sub = 0.0, $mul10 = 0.0, $x12 = 0, $5 = 0.0, $add14 = 0.0, $mul16 = 0.0, $y18 = 0, $x20 = 0, $inc = 0, $cmp = 0, $i_131 = 0, $x25 = 0, $6 = 0.0, $add27 = 0.0, $mul29 = 0.0, $x31 = 0, $y33 = 0, $7 = 0.0, $add35 = 0.0, $mul37 = 0.0, $y39 = 0, $inc41 = 0, $cmp22 = 0, label = 0;
   78147   label = 2;
   78148   while (1) switch (label | 0) {
   78149    case 2:
   78150     $translation_sroa_0_0__idx = $job + 504 | 0;
   78151     $translation_sroa_0_0_copyload = +HEAPF64[$translation_sroa_0_0__idx >> 3];
   78152     $translation_sroa_1_8__idx5 = $job + 512 | 0;
   78153     $translation_sroa_1_8_copyload = +HEAPF64[$translation_sroa_1_8__idx5 >> 3];
   78154     $zoom = $job + 352 | 0;
   78155     $0 = +HEAPF64[$zoom >> 3];
   78156     $x = $job + 520 | 0;
   78157     $1 = +HEAPF64[$x >> 3];
   78158     $mul = $0 * $1;
   78159     $y = $job + 528 | 0;
   78160     $2 = +HEAPF64[$y >> 3];
   78161     $mul5 = $0 * $2;
   78162     $rotation = $job + 360 | 0;
   78163     $3 = HEAP32[$rotation >> 2] | 0;
   78164     $tobool = ($3 | 0) == 0;
   78165     $cmp2230 = ($n | 0) > 0;
   78166     if ($tobool) {
   78167       label = 4;
   78168       break;
   78169     } else {
   78170       label = 3;
   78171       break;
   78172     }
   78173    case 3:
   78174     if ($cmp2230) {
   78175       $i_034 = 0;
   78176       label = 5;
   78177       break;
   78178     } else {
   78179       label = 7;
   78180       break;
   78181     }
   78182    case 4:
   78183     if ($cmp2230) {
   78184       $i_131 = 0;
   78185       label = 6;
   78186       break;
   78187     } else {
   78188       label = 7;
   78189       break;
   78190     }
   78191    case 5:
   78192     $y7 = $af + ($i_034 << 4) + 8 | 0;
   78193     $4 = +HEAPF64[$y7 >> 3];
   78194     $add = $translation_sroa_1_8_copyload + $4;
   78195     $sub = -0.0 - $add;
   78196     $mul10 = $mul * $sub;
   78197     $x12 = $af + ($i_034 << 4) | 0;
   78198     $5 = +HEAPF64[$x12 >> 3];
   78199     $add14 = $translation_sroa_0_0_copyload + $5;
   78200     $mul16 = $mul5 * $add14;
   78201     $y18 = $AF + ($i_034 << 4) + 8 | 0;
   78202     HEAPF64[$y18 >> 3] = $mul16;
   78203     $x20 = $AF + ($i_034 << 4) | 0;
   78204     HEAPF64[$x20 >> 3] = $mul10;
   78205     $inc = $i_034 + 1 | 0;
   78206     $cmp = ($inc | 0) < ($n | 0);
   78207     if ($cmp) {
   78208       $i_034 = $inc;
   78209       label = 5;
   78210       break;
   78211     } else {
   78212       label = 7;
   78213       break;
   78214     }
   78215    case 6:
   78216     $x25 = $af + ($i_131 << 4) | 0;
   78217     $6 = +HEAPF64[$x25 >> 3];
   78218     $add27 = $translation_sroa_0_0_copyload + $6;
   78219     $mul29 = $mul * $add27;
   78220     $x31 = $AF + ($i_131 << 4) | 0;
   78221     HEAPF64[$x31 >> 3] = $mul29;
   78222     $y33 = $af + ($i_131 << 4) + 8 | 0;
   78223     $7 = +HEAPF64[$y33 >> 3];
   78224     $add35 = $translation_sroa_1_8_copyload + $7;
   78225     $mul37 = $mul5 * $add35;
   78226     $y39 = $AF + ($i_131 << 4) + 8 | 0;
   78227     HEAPF64[$y39 >> 3] = $mul37;
   78228     $inc41 = $i_131 + 1 | 0;
   78229     $cmp22 = ($inc41 | 0) < ($n | 0);
   78230     if ($cmp22) {
   78231       $i_131 = $inc41;
   78232       label = 6;
   78233       break;
   78234     } else {
   78235       label = 7;
   78236       break;
   78237     }
   78238    case 7:
   78239     return $AF | 0;
   78240   }
   78241   return 0;
   78242 }
   78243 function _gvjobs_output_filename($gvc, $name) {
   78244   $gvc = $gvc | 0;
   78245   $name = $name | 0;
   78246   var $jobs = 0, $0 = 0, $tobool = 0, $call = 0, $1 = 0, $job = 0, $2 = 0, $tobool2 = 0, $next = 0, $3 = 0, $tobool6 = 0, $call8 = 0, $4 = 0, $5 = 0, $next9 = 0, $6 = 0, $next10 = 0, $7 = 0, $8 = 0, $output_filename = 0, $9 = 0, $gvc13 = 0, label = 0;
   78247   label = 2;
   78248   while (1) switch (label | 0) {
   78249    case 2:
   78250     $jobs = $gvc + 120 | 0;
   78251     $0 = HEAP32[$jobs >> 2] | 0;
   78252     $tobool = ($0 | 0) == 0;
   78253     if ($tobool) {
   78254       label = 3;
   78255       break;
   78256     } else {
   78257       label = 4;
   78258       break;
   78259     }
   78260    case 3:
   78261     $call = _zmalloc(632) | 0;
   78262     $1 = $call;
   78263     HEAP32[$jobs >> 2] = $1;
   78264     $job = $gvc + 124 | 0;
   78265     HEAP32[$job >> 2] = $1;
   78266     HEAP32[2250] = $1;
   78267     label = 9;
   78268     break;
   78269    case 4:
   78270     $2 = HEAP32[2250] | 0;
   78271     $tobool2 = ($2 | 0) == 0;
   78272     if ($tobool2) {
   78273       label = 5;
   78274       break;
   78275     } else {
   78276       label = 6;
   78277       break;
   78278     }
   78279    case 5:
   78280     HEAP32[2250] = $0;
   78281     label = 9;
   78282     break;
   78283    case 6:
   78284     $next = $2 + 4 | 0;
   78285     $3 = HEAP32[$next >> 2] | 0;
   78286     $tobool6 = ($3 | 0) == 0;
   78287     if ($tobool6) {
   78288       label = 7;
   78289       break;
   78290     } else {
   78291       label = 8;
   78292       break;
   78293     }
   78294    case 7:
   78295     $call8 = _zmalloc(632) | 0;
   78296     $4 = $call8;
   78297     $5 = HEAP32[2250] | 0;
   78298     $next9 = $5 + 4 | 0;
   78299     HEAP32[$next9 >> 2] = $4;
   78300     label = 8;
   78301     break;
   78302    case 8:
   78303     $6 = HEAP32[2250] | 0;
   78304     $next10 = $6 + 4 | 0;
   78305     $7 = HEAP32[$next10 >> 2] | 0;
   78306     HEAP32[2250] = $7;
   78307     label = 9;
   78308     break;
   78309    case 9:
   78310     $8 = HEAP32[2250] | 0;
   78311     $output_filename = $8 + 32 | 0;
   78312     HEAP32[$output_filename >> 2] = $name;
   78313     $9 = HEAP32[2250] | 0;
   78314     $gvc13 = $9 | 0;
   78315     HEAP32[$gvc13 >> 2] = $gvc;
   78316     return;
   78317   }
   78318 }
   78319 function _gvjobs_output_langname($gvc, $name) {
   78320   $gvc = $gvc | 0;
   78321   $name = $name | 0;
   78322   var $jobs = 0, $0 = 0, $tobool = 0, $call = 0, $1 = 0, $job = 0, $2 = 0, $tobool2 = 0, $next = 0, $3 = 0, $tobool6 = 0, $call8 = 0, $4 = 0, $5 = 0, $next9 = 0, $6 = 0, $next10 = 0, $7 = 0, $8 = 0, $output_langname = 0, $9 = 0, $gvc13 = 0, $call14 = 0, $not_tobool15 = 0, $_ = 0, label = 0;
   78323   label = 2;
   78324   while (1) switch (label | 0) {
   78325    case 2:
   78326     $jobs = $gvc + 120 | 0;
   78327     $0 = HEAP32[$jobs >> 2] | 0;
   78328     $tobool = ($0 | 0) == 0;
   78329     if ($tobool) {
   78330       label = 3;
   78331       break;
   78332     } else {
   78333       label = 4;
   78334       break;
   78335     }
   78336    case 3:
   78337     $call = _zmalloc(632) | 0;
   78338     $1 = $call;
   78339     HEAP32[$jobs >> 2] = $1;
   78340     $job = $gvc + 124 | 0;
   78341     HEAP32[$job >> 2] = $1;
   78342     HEAP32[2248] = $1;
   78343     label = 9;
   78344     break;
   78345    case 4:
   78346     $2 = HEAP32[2248] | 0;
   78347     $tobool2 = ($2 | 0) == 0;
   78348     if ($tobool2) {
   78349       label = 5;
   78350       break;
   78351     } else {
   78352       label = 6;
   78353       break;
   78354     }
   78355    case 5:
   78356     HEAP32[2248] = $0;
   78357     label = 9;
   78358     break;
   78359    case 6:
   78360     $next = $2 + 4 | 0;
   78361     $3 = HEAP32[$next >> 2] | 0;
   78362     $tobool6 = ($3 | 0) == 0;
   78363     if ($tobool6) {
   78364       label = 7;
   78365       break;
   78366     } else {
   78367       label = 8;
   78368       break;
   78369     }
   78370    case 7:
   78371     $call8 = _zmalloc(632) | 0;
   78372     $4 = $call8;
   78373     $5 = HEAP32[2248] | 0;
   78374     $next9 = $5 + 4 | 0;
   78375     HEAP32[$next9 >> 2] = $4;
   78376     label = 8;
   78377     break;
   78378    case 8:
   78379     $6 = HEAP32[2248] | 0;
   78380     $next10 = $6 + 4 | 0;
   78381     $7 = HEAP32[$next10 >> 2] | 0;
   78382     HEAP32[2248] = $7;
   78383     label = 9;
   78384     break;
   78385    case 9:
   78386     $8 = HEAP32[2248] | 0;
   78387     $output_langname = $8 + 52 | 0;
   78388     HEAP32[$output_langname >> 2] = $name;
   78389     $9 = HEAP32[2248] | 0;
   78390     $gvc13 = $9 | 0;
   78391     HEAP32[$gvc13 >> 2] = $gvc;
   78392     $call14 = _gvplugin_load($gvc, 3, $name) | 0;
   78393     $not_tobool15 = ($call14 | 0) != 0;
   78394     $_ = $not_tobool15 & 1;
   78395     return $_ | 0;
   78396   }
   78397   return 0;
   78398 }
   78399 function _gv_argvlist_set_item($list, $index, $item) {
   78400   $list = $list | 0;
   78401   $index = $index | 0;
   78402   $item = $item | 0;
   78403   var $alloc = 0, $0 = 0, $cmp = 0, $add = 0, $argv = 0, $1 = 0, $2 = 0, $mul = 0, $call = 0, $3 = 0, $argv4 = 0, $4 = 0, $arrayidx = 0, label = 0;
   78404   label = 2;
   78405   while (1) switch (label | 0) {
   78406    case 2:
   78407     $alloc = $list + 8 | 0;
   78408     $0 = HEAP32[$alloc >> 2] | 0;
   78409     $cmp = ($0 | 0) > ($index | 0);
   78410     if ($cmp) {
   78411       label = 4;
   78412       break;
   78413     } else {
   78414       label = 3;
   78415       break;
   78416     }
   78417    case 3:
   78418     $add = $index + 10 | 0;
   78419     HEAP32[$alloc >> 2] = $add;
   78420     $argv = $list | 0;
   78421     $1 = HEAP32[$argv >> 2] | 0;
   78422     $2 = $1;
   78423     $mul = $add << 2;
   78424     $call = _grealloc($2, $mul) | 0;
   78425     $3 = $call;
   78426     HEAP32[$argv >> 2] = $3;
   78427     label = 4;
   78428     break;
   78429    case 4:
   78430     $argv4 = $list | 0;
   78431     $4 = HEAP32[$argv4 >> 2] | 0;
   78432     $arrayidx = $4 + ($index << 2) | 0;
   78433     HEAP32[$arrayidx >> 2] = $item;
   78434     return;
   78435   }
   78436 }
   78437 function _gv_argvlist_reset($list) {
   78438   $list = $list | 0;
   78439   var $argv = 0, $0 = 0, $tobool = 0, $1 = 0, $alloc = 0, $argc = 0, label = 0;
   78440   label = 2;
   78441   while (1) switch (label | 0) {
   78442    case 2:
   78443     $argv = $list | 0;
   78444     $0 = HEAP32[$argv >> 2] | 0;
   78445     $tobool = ($0 | 0) == 0;
   78446     if ($tobool) {
   78447       label = 4;
   78448       break;
   78449     } else {
   78450       label = 3;
   78451       break;
   78452     }
   78453    case 3:
   78454     $1 = $0;
   78455     _free($1);
   78456     label = 4;
   78457     break;
   78458    case 4:
   78459     HEAP32[$argv >> 2] = 0;
   78460     $alloc = $list + 8 | 0;
   78461     HEAP32[$alloc >> 2] = 0;
   78462     $argc = $list + 4 | 0;
   78463     HEAP32[$argc >> 2] = 0;
   78464     return;
   78465   }
   78466 }
   78467 function _gvjobs_delete($gvc) {
   78468   $gvc = $gvc | 0;
   78469   var $jobs = 0, $0 = 0, $tobool12 = 0, $job_013 = 0, $next = 0, $1 = 0, $selected_obj_attributes = 0, $selected_obj_type_name = 0, $active_tooltip = 0, $2 = 0, $tobool1 = 0, $selected_href = 0, $3 = 0, $tobool3 = 0, $4 = 0, $tobool = 0, $active_jobs = 0, $job7 = 0, $viewNum = 0, label = 0;
   78470   label = 2;
   78471   while (1) switch (label | 0) {
   78472    case 2:
   78473     $jobs = $gvc + 120 | 0;
   78474     $0 = HEAP32[$jobs >> 2] | 0;
   78475     $tobool12 = ($0 | 0) == 0;
   78476     if ($tobool12) {
   78477       label = 8;
   78478       break;
   78479     } else {
   78480       $job_013 = $0;
   78481       label = 3;
   78482       break;
   78483     }
   78484    case 3:
   78485     $next = $job_013 + 4 | 0;
   78486     $1 = HEAP32[$next >> 2] | 0;
   78487     $selected_obj_attributes = $job_013 + 604 | 0;
   78488     _gv_argvlist_reset($selected_obj_attributes);
   78489     $selected_obj_type_name = $job_013 + 592 | 0;
   78490     _gv_argvlist_reset($selected_obj_type_name);
   78491     $active_tooltip = $job_013 + 584 | 0;
   78492     $2 = HEAP32[$active_tooltip >> 2] | 0;
   78493     $tobool1 = ($2 | 0) == 0;
   78494     if ($tobool1) {
   78495       label = 5;
   78496       break;
   78497     } else {
   78498       label = 4;
   78499       break;
   78500     }
   78501    case 4:
   78502     _free($2);
   78503     label = 5;
   78504     break;
   78505    case 5:
   78506     $selected_href = $job_013 + 588 | 0;
   78507     $3 = HEAP32[$selected_href >> 2] | 0;
   78508     $tobool3 = ($3 | 0) == 0;
   78509     if ($tobool3) {
   78510       label = 7;
   78511       break;
   78512     } else {
   78513       label = 6;
   78514       break;
   78515     }
   78516    case 6:
   78517     _free($3);
   78518     label = 7;
   78519     break;
   78520    case 7:
   78521     $4 = $job_013;
   78522     _free($4);
   78523     $tobool = ($1 | 0) == 0;
   78524     if ($tobool) {
   78525       label = 8;
   78526       break;
   78527     } else {
   78528       $job_013 = $1;
   78529       label = 3;
   78530       break;
   78531     }
   78532    case 8:
   78533     HEAP32[2248] = 0;
   78534     HEAP32[2250] = 0;
   78535     $active_jobs = $gvc + 164 | 0;
   78536     HEAP32[$active_jobs >> 2] = 0;
   78537     $job7 = $gvc + 124 | 0;
   78538     HEAP32[$job7 >> 2] = 0;
   78539     HEAP32[$jobs >> 2] = 0;
   78540     $viewNum = $gvc + 28 | 0;
   78541     HEAP32[$viewNum >> 2] = 0;
   78542     return;
   78543   }
   78544 }
   78545 function _gvplugin_install($gvc, $api, $typestr, $quality, $package, $typeptr) {
   78546   $gvc = $gvc | 0;
   78547   $api = $api | 0;
   78548   $typestr = $typestr | 0;
   78549   $quality = $quality | 0;
   78550   $package = $package | 0;
   78551   $typeptr = $typeptr | 0;
   78552   var $pins = 0, $pnxt = 0, $arraydecay = 0, $call = 0, $call2 = 0, $tobool = 0, $arrayidx = 0, $0 = 0, $tobool519 = 0, $arraydecay6 = 0, $pnext_0_lcssa = 0, $arraydecay23 = 0, $1 = 0, $pnext_020 = 0, $typestr7 = 0, $2 = 0, $call8 = 0, $call10 = 0, $tobool11 = 0, $call16 = 0, $cmp17 = 0, $3 = 0, $next = 0, $4 = 0, $tobool5 = 0, $pnext_1 = 0, $5 = 0, $tobool21 = 0, $typestr24 = 0, $6 = 0, $call25 = 0, $call27 = 0, $tobool28 = 0, $call33 = 0, $cmp34 = 0, $7 = 0, $quality37 = 0, $8 = 0, $cmp38 = 0, $next41 = 0, $call43 = 0, $9 = 0, $10 = 0, $next44 = 0, $typestr45 = 0, $11 = 0, $quality46 = 0, $12 = 0, $package47 = 0, $13 = 0, $typeptr48 = 0, $14 = 0, label = 0, __stackBase__ = 0;
   78553   __stackBase__ = STACKTOP;
   78554   STACKTOP = STACKTOP + 128 | 0;
   78555   label = 2;
   78556   while (1) switch (label | 0) {
   78557    case 2:
   78558     $pins = __stackBase__ | 0;
   78559     $pnxt = __stackBase__ + 64 | 0;
   78560     $arraydecay = $pins | 0;
   78561     $call = _strncpy($arraydecay | 0, $typestr | 0, 63) | 0;
   78562     $call2 = _strchr($arraydecay | 0, 58) | 0;
   78563     $tobool = ($call2 | 0) == 0;
   78564     if ($tobool) {
   78565       label = 4;
   78566       break;
   78567     } else {
   78568       label = 3;
   78569       break;
   78570     }
   78571    case 3:
   78572     HEAP8[$call2] = 0;
   78573     label = 4;
   78574     break;
   78575    case 4:
   78576     $arrayidx = $gvc + 60 + ($api << 2) | 0;
   78577     $0 = HEAP32[$arrayidx >> 2] | 0;
   78578     $tobool519 = ($0 | 0) == 0;
   78579     if ($tobool519) {
   78580       $pnext_0_lcssa = $arrayidx;
   78581       label = 6;
   78582       break;
   78583     } else {
   78584       label = 5;
   78585       break;
   78586     }
   78587    case 5:
   78588     $arraydecay6 = $pnxt | 0;
   78589     $pnext_020 = $arrayidx;
   78590     $1 = $0;
   78591     label = 7;
   78592     break;
   78593    case 6:
   78594     $arraydecay23 = $pnxt | 0;
   78595     $pnext_1 = $pnext_0_lcssa;
   78596     label = 11;
   78597     break;
   78598    case 7:
   78599     $typestr7 = $1 + 4 | 0;
   78600     $2 = HEAP32[$typestr7 >> 2] | 0;
   78601     $call8 = _strncpy($arraydecay6 | 0, $2 | 0, 63) | 0;
   78602     $call10 = _strchr($arraydecay6 | 0, 58) | 0;
   78603     $tobool11 = ($call10 | 0) == 0;
   78604     if ($tobool11) {
   78605       label = 9;
   78606       break;
   78607     } else {
   78608       label = 8;
   78609       break;
   78610     }
   78611    case 8:
   78612     HEAP8[$call10] = 0;
   78613     label = 9;
   78614     break;
   78615    case 9:
   78616     $call16 = _strcmp($arraydecay | 0, $arraydecay6 | 0) | 0;
   78617     $cmp17 = ($call16 | 0) < 1;
   78618     if ($cmp17) {
   78619       $pnext_0_lcssa = $pnext_020;
   78620       label = 6;
   78621       break;
   78622     } else {
   78623       label = 10;
   78624       break;
   78625     }
   78626    case 10:
   78627     $3 = HEAP32[$pnext_020 >> 2] | 0;
   78628     $next = $3 | 0;
   78629     $4 = HEAP32[$next >> 2] | 0;
   78630     $tobool5 = ($4 | 0) == 0;
   78631     if ($tobool5) {
   78632       $pnext_0_lcssa = $next;
   78633       label = 6;
   78634       break;
   78635     } else {
   78636       $pnext_020 = $next;
   78637       $1 = $4;
   78638       label = 7;
   78639       break;
   78640     }
   78641    case 11:
   78642     $5 = HEAP32[$pnext_1 >> 2] | 0;
   78643     $tobool21 = ($5 | 0) == 0;
   78644     if ($tobool21) {
   78645       label = 16;
   78646       break;
   78647     } else {
   78648       label = 12;
   78649       break;
   78650     }
   78651    case 12:
   78652     $typestr24 = $5 + 4 | 0;
   78653     $6 = HEAP32[$typestr24 >> 2] | 0;
   78654     $call25 = _strncpy($arraydecay23 | 0, $6 | 0, 63) | 0;
   78655     $call27 = _strchr($arraydecay23 | 0, 58) | 0;
   78656     $tobool28 = ($call27 | 0) == 0;
   78657     if ($tobool28) {
   78658       label = 14;
   78659       break;
   78660     } else {
   78661       label = 13;
   78662       break;
   78663     }
   78664    case 13:
   78665     HEAP8[$call27] = 0;
   78666     label = 14;
   78667     break;
   78668    case 14:
   78669     $call33 = _strcmp($arraydecay | 0, $arraydecay23 | 0) | 0;
   78670     $cmp34 = ($call33 | 0) == 0;
   78671     if ($cmp34) {
   78672       label = 15;
   78673       break;
   78674     } else {
   78675       label = 16;
   78676       break;
   78677     }
   78678    case 15:
   78679     $7 = HEAP32[$pnext_1 >> 2] | 0;
   78680     $quality37 = $7 + 8 | 0;
   78681     $8 = HEAP32[$quality37 >> 2] | 0;
   78682     $cmp38 = ($8 | 0) > ($quality | 0);
   78683     $next41 = $7 | 0;
   78684     if ($cmp38) {
   78685       $pnext_1 = $next41;
   78686       label = 11;
   78687       break;
   78688     } else {
   78689       label = 16;
   78690       break;
   78691     }
   78692    case 16:
   78693     $call43 = _gmalloc(20) | 0;
   78694     $9 = $call43;
   78695     $10 = HEAP32[$pnext_1 >> 2] | 0;
   78696     $next44 = $call43;
   78697     HEAP32[$next44 >> 2] = $10;
   78698     HEAP32[$pnext_1 >> 2] = $9;
   78699     $typestr45 = $call43 + 4 | 0;
   78700     $11 = $typestr45;
   78701     HEAP32[$11 >> 2] = $typestr;
   78702     $quality46 = $call43 + 8 | 0;
   78703     $12 = $quality46;
   78704     HEAP32[$12 >> 2] = $quality;
   78705     $package47 = $call43 + 12 | 0;
   78706     $13 = $package47;
   78707     HEAP32[$13 >> 2] = $package;
   78708     $typeptr48 = $call43 + 16 | 0;
   78709     $14 = $typeptr48;
   78710     HEAP32[$14 >> 2] = $typeptr;
   78711     STACKTOP = __stackBase__;
   78712     return 1;
   78713   }
   78714   return 0;
   78715 }
   78716 function _gvplugin_library_load($gvc, $path) {
   78717   $gvc = $gvc | 0;
   78718   $path = $path | 0;
   78719   var __stackBase__ = 0;
   78720   __stackBase__ = STACKTOP;
   78721   _agerr(1, 104968, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0);
   78722   STACKTOP = __stackBase__;
   78723   return 0;
   78724 }
   78725 function _gvplugin_load($gvc, $api, $str) {
   78726   $gvc = $gvc | 0;
   78727   $api = $api | 0;
   78728   $str = $str | 0;
   78729   var $reqtyp = 0, $typ = 0, $api_off = 0, $0 = 0, $apidep_0 = 0, $arraydecay = 0, $call = 0, $call6 = 0, $tobool = 0, $incdec_ptr = 0, $call8 = 0, $tobool9 = 0, $incdec_ptr11 = 0, $reqdep_0 = 0, $reqpkg_0 = 0, $arrayidx = 0, $1 = 0, $tobool1658 = 0, $arraydecay17 = 0, $tobool32 = 0, $tobool38 = 0, $cmp45 = 0, $2 = 0, $pnext_059 = 0, $typestr = 0, $3 = 0, $call18 = 0, $call20 = 0, $tobool21 = 0, $incdec_ptr23 = 0, $dep_0 = 0, $call27 = 0, $tobool28 = 0, $tobool31_not = 0, $or_cond = 0, $call34 = 0, $tobool35 = 0, $4 = 0, $package = 0, $5 = 0, $name = 0, $6 = 0, $call40 = 0, $cmp41 = 0, $or_cond53 = 0, $call47 = 0, $tobool48 = 0, $7 = 0, $next = 0, $8 = 0, $tobool16 = 0, $_pr = 0, $tobool53 = 0, $typeptr = 0, $9 = 0, $cmp55 = 0, $call58 = 0, $10 = 0, $cmp96 = 0, $_ = 0, $tobool99 = 0, $verbose102 = 0, $11 = 0, $cmp103 = 0, $12 = 0, $arrayidx105 = 0, $13 = 0, $typestr106 = 0, $14 = 0, $package107 = 0, $15 = 0, $name108 = 0, $16 = 0, $call109 = 0, $rv_056 = 0, $arrayidx112 = 0, label = 0, __stackBase__ = 0;
   78730   __stackBase__ = STACKTOP;
   78731   STACKTOP = STACKTOP + 128 | 0;
   78732   label = 2;
   78733   while (1) switch (label | 0) {
   78734    case 2:
   78735     $reqtyp = __stackBase__ | 0;
   78736     $typ = __stackBase__ + 64 | 0;
   78737     $api_off = $api - 3 | 0;
   78738     $0 = $api_off >>> 0 < 2;
   78739     $apidep_0 = $0 ? 0 : $api;
   78740     $arraydecay = $reqtyp | 0;
   78741     $call = _strncpy($arraydecay | 0, $str | 0, 63) | 0;
   78742     $call6 = _strchr($arraydecay | 0, 58) | 0;
   78743     $tobool = ($call6 | 0) == 0;
   78744     if ($tobool) {
   78745       $reqpkg_0 = 0;
   78746       $reqdep_0 = 0;
   78747       label = 5;
   78748       break;
   78749     } else {
   78750       label = 3;
   78751       break;
   78752     }
   78753    case 3:
   78754     $incdec_ptr = $call6 + 1 | 0;
   78755     HEAP8[$call6] = 0;
   78756     $call8 = _strchr($incdec_ptr | 0, 58) | 0;
   78757     $tobool9 = ($call8 | 0) == 0;
   78758     if ($tobool9) {
   78759       $reqpkg_0 = 0;
   78760       $reqdep_0 = $incdec_ptr;
   78761       label = 5;
   78762       break;
   78763     } else {
   78764       label = 4;
   78765       break;
   78766     }
   78767    case 4:
   78768     $incdec_ptr11 = $call8 + 1 | 0;
   78769     HEAP8[$call8] = 0;
   78770     $reqpkg_0 = $incdec_ptr11;
   78771     $reqdep_0 = $incdec_ptr;
   78772     label = 5;
   78773     break;
   78774    case 5:
   78775     $arrayidx = $gvc + 60 + ($api << 2) | 0;
   78776     $1 = HEAP32[$arrayidx >> 2] | 0;
   78777     $tobool1658 = ($1 | 0) == 0;
   78778     if ($tobool1658) {
   78779       $rv_056 = 0;
   78780       label = 23;
   78781       break;
   78782     } else {
   78783       label = 6;
   78784       break;
   78785     }
   78786    case 6:
   78787     $arraydecay17 = $typ | 0;
   78788     $tobool32 = ($reqdep_0 | 0) == 0;
   78789     $tobool38 = ($reqpkg_0 | 0) == 0;
   78790     $cmp45 = ($apidep_0 | 0) == ($api | 0);
   78791     $pnext_059 = $arrayidx;
   78792     $2 = $1;
   78793     label = 7;
   78794     break;
   78795    case 7:
   78796     $typestr = $2 + 4 | 0;
   78797     $3 = HEAP32[$typestr >> 2] | 0;
   78798     $call18 = _strncpy($arraydecay17 | 0, $3 | 0, 63) | 0;
   78799     $call20 = _strchr($arraydecay17 | 0, 58) | 0;
   78800     $tobool21 = ($call20 | 0) == 0;
   78801     if ($tobool21) {
   78802       $dep_0 = 0;
   78803       label = 9;
   78804       break;
   78805     } else {
   78806       label = 8;
   78807       break;
   78808     }
   78809    case 8:
   78810     $incdec_ptr23 = $call20 + 1 | 0;
   78811     HEAP8[$call20] = 0;
   78812     $dep_0 = $incdec_ptr23;
   78813     label = 9;
   78814     break;
   78815    case 9:
   78816     $call27 = _strcmp($arraydecay17 | 0, $arraydecay | 0) | 0;
   78817     $tobool28 = ($call27 | 0) == 0;
   78818     if ($tobool28) {
   78819       label = 10;
   78820       break;
   78821     } else {
   78822       label = 16;
   78823       break;
   78824     }
   78825    case 10:
   78826     $tobool31_not = ($dep_0 | 0) == 0;
   78827     $or_cond = $tobool31_not | $tobool32;
   78828     if ($or_cond) {
   78829       label = 12;
   78830       break;
   78831     } else {
   78832       label = 11;
   78833       break;
   78834     }
   78835    case 11:
   78836     $call34 = _strcmp($dep_0 | 0, $reqdep_0 | 0) | 0;
   78837     $tobool35 = ($call34 | 0) == 0;
   78838     if ($tobool35) {
   78839       label = 12;
   78840       break;
   78841     } else {
   78842       label = 16;
   78843       break;
   78844     }
   78845    case 12:
   78846     if ($tobool38) {
   78847       label = 14;
   78848       break;
   78849     } else {
   78850       label = 13;
   78851       break;
   78852     }
   78853    case 13:
   78854     $4 = HEAP32[$pnext_059 >> 2] | 0;
   78855     $package = $4 + 12 | 0;
   78856     $5 = HEAP32[$package >> 2] | 0;
   78857     $name = $5 + 8 | 0;
   78858     $6 = HEAP32[$name >> 2] | 0;
   78859     $call40 = _strcmp($reqpkg_0 | 0, $6 | 0) | 0;
   78860     $cmp41 = ($call40 | 0) == 0;
   78861     if ($cmp41) {
   78862       label = 14;
   78863       break;
   78864     } else {
   78865       label = 16;
   78866       break;
   78867     }
   78868    case 14:
   78869     $or_cond53 = $tobool31_not | $cmp45;
   78870     if ($or_cond53) {
   78871       label = 17;
   78872       break;
   78873     } else {
   78874       label = 15;
   78875       break;
   78876     }
   78877    case 15:
   78878     $call47 = _gvplugin_load($gvc, $apidep_0, $dep_0) | 0;
   78879     $tobool48 = ($call47 | 0) == 0;
   78880     if ($tobool48) {
   78881       label = 16;
   78882       break;
   78883     } else {
   78884       label = 17;
   78885       break;
   78886     }
   78887    case 16:
   78888     $7 = HEAP32[$pnext_059 >> 2] | 0;
   78889     $next = $7 | 0;
   78890     $8 = HEAP32[$next >> 2] | 0;
   78891     $tobool16 = ($8 | 0) == 0;
   78892     if ($tobool16) {
   78893       $rv_056 = 0;
   78894       label = 23;
   78895       break;
   78896     } else {
   78897       $pnext_059 = $next;
   78898       $2 = $8;
   78899       label = 7;
   78900       break;
   78901     }
   78902    case 17:
   78903     $_pr = HEAP32[$pnext_059 >> 2] | 0;
   78904     $tobool53 = ($_pr | 0) == 0;
   78905     if ($tobool53) {
   78906       $rv_056 = 0;
   78907       label = 23;
   78908       break;
   78909     } else {
   78910       label = 18;
   78911       break;
   78912     }
   78913    case 18:
   78914     $typeptr = $_pr + 16 | 0;
   78915     $9 = HEAP32[$typeptr >> 2] | 0;
   78916     $cmp55 = ($9 | 0) == 0;
   78917     if ($cmp55) {
   78918       label = 19;
   78919       break;
   78920     } else {
   78921       label = 20;
   78922       break;
   78923     }
   78924    case 19:
   78925     $call58 = _gvplugin_library_load(0, 0) | 0;
   78926     label = 20;
   78927     break;
   78928    case 20:
   78929     $10 = HEAP32[$typeptr >> 2] | 0;
   78930     $cmp96 = ($10 | 0) == 0;
   78931     $_ = $cmp96 ? 0 : $_pr;
   78932     $tobool99 = ($_ | 0) == 0;
   78933     if ($tobool99) {
   78934       $rv_056 = 0;
   78935       label = 23;
   78936       break;
   78937     } else {
   78938       label = 21;
   78939       break;
   78940     }
   78941    case 21:
   78942     $verbose102 = $gvc + 8 | 0;
   78943     $11 = HEAP32[$verbose102 >> 2] | 0;
   78944     $cmp103 = ($11 | 0) > 0;
   78945     if ($cmp103) {
   78946       label = 22;
   78947       break;
   78948     } else {
   78949       $rv_056 = $_;
   78950       label = 23;
   78951       break;
   78952     }
   78953    case 22:
   78954     $12 = HEAP32[_stderr >> 2] | 0;
   78955     $arrayidx105 = 88456 + ($api << 2) | 0;
   78956     $13 = HEAP32[$arrayidx105 >> 2] | 0;
   78957     $typestr106 = $_ + 4 | 0;
   78958     $14 = HEAP32[$typestr106 >> 2] | 0;
   78959     $package107 = $_ + 12 | 0;
   78960     $15 = HEAP32[$package107 >> 2] | 0;
   78961     $name108 = $15 + 8 | 0;
   78962     $16 = HEAP32[$name108 >> 2] | 0;
   78963     $call109 = _fprintf($12 | 0, 115448, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = $13, HEAP32[tempInt + 8 >> 2] = $14, HEAP32[tempInt + 16 >> 2] = $16, tempInt) | 0) | 0;
   78964     $rv_056 = $_;
   78965     label = 23;
   78966     break;
   78967    case 23:
   78968     $arrayidx112 = $gvc + 80 + ($api << 2) | 0;
   78969     HEAP32[$arrayidx112 >> 2] = $rv_056;
   78970     STACKTOP = __stackBase__;
   78971     return $rv_056 | 0;
   78972   }
   78973   return 0;
   78974 }
   78975 function _gvplugin_list($gvc, $api, $str) {
   78976   $gvc = $gvc | 0;
   78977   $api = $api | 0;
   78978   $str = $str | 0;
   78979   var $_b = 0, $call = 0, $call3 = 0, $tobool4 = 0, $arrayidx29 = 0, $incdec_ptr = 0, $arrayidx = 0, $tobool7 = 0, $0 = 0, $tobool940 = 0, $1 = 0, $new_042 = 0, $pnext_041 = 0, $typestr = 0, $2 = 0, $call10 = 0, $call11 = 0, $tobool12 = 0, $3 = 0, $tobool17 = 0, $call18 = 0, $cmp19 = 0, $4 = 0, $5 = 0, $cmp21 = 0, $call22 = 0, $6 = 0, $incdec_ptr23 = 0, $7 = 0, $typestr24 = 0, $8 = 0, $call25 = 0, $9 = 0, $10 = 0, $cmp26 = 0, $call28 = 0, $11 = 0, $incdec_ptr32 = 0, $12 = 0, $package = 0, $13 = 0, $name = 0, $14 = 0, $call33 = 0, $new_1 = 0, $15 = 0, $next = 0, $16 = 0, $tobool9 = 0, $arrayidx31_ph = 0, $tobool36 = 0, $pnext_1_ph = 0, $new_3_ph = 0, $17 = 0, $tobool3935 = 0, $18 = 0, $new_338 = 0, $typestr_last_037 = 0, $pnext_136 = 0, $typestr41 = 0, $19 = 0, $call42 = 0, $call43 = 0, $tobool44 = 0, $tobool48 = 0, $call50 = 0, $cmp51 = 0, $20 = 0, $21 = 0, $cmp53 = 0, $call55 = 0, $22 = 0, $incdec_ptr59 = 0, $call60 = 0, $new_433 = 0, $23 = 0, $next66 = 0, $24 = 0, $tobool39 = 0, $tobool68 = 0, $new_3_lcssa48 = 0, $new_3_lcssa47 = 0, $tobool72 = 0, $25 = 0, $26 = 0, $cmp74 = 0, $call76 = 0, $27 = 0, $28 = 0, $bp_0 = 0, label = 0;
   78980   label = 2;
   78981   while (1) switch (label | 0) {
   78982    case 2:
   78983     $_b = HEAP8[14384] | 0;
   78984     if ($_b) {
   78985       label = 4;
   78986       break;
   78987     } else {
   78988       label = 3;
   78989       break;
   78990     }
   78991    case 3:
   78992     _agxbinit(14368, 0, 0);
   78993     HEAP8[14384] = 1;
   78994     label = 4;
   78995     break;
   78996    case 4:
   78997     $call = _strdup($str | 0) | 0;
   78998     $call3 = _strchr($call | 0, 58) | 0;
   78999     $tobool4 = ($call3 | 0) == 0;
   79000     if ($tobool4) {
   79001       label = 5;
   79002       break;
   79003     } else {
   79004       label = 6;
   79005       break;
   79006     }
   79007    case 5:
   79008     $arrayidx29 = $gvc + 60 + ($api << 2) | 0;
   79009     $arrayidx31_ph = $arrayidx29;
   79010     label = 19;
   79011     break;
   79012    case 6:
   79013     $incdec_ptr = $call3 + 1 | 0;
   79014     HEAP8[$call3] = 0;
   79015     $arrayidx = $gvc + 60 + ($api << 2) | 0;
   79016     $tobool7 = ($incdec_ptr | 0) == 0;
   79017     if ($tobool7) {
   79018       $arrayidx31_ph = $arrayidx;
   79019       label = 19;
   79020       break;
   79021     } else {
   79022       label = 7;
   79023       break;
   79024     }
   79025    case 7:
   79026     $0 = HEAP32[$arrayidx >> 2] | 0;
   79027     $tobool940 = ($0 | 0) == 0;
   79028     if ($tobool940) {
   79029       label = 8;
   79030       break;
   79031     } else {
   79032       $pnext_041 = $arrayidx;
   79033       $new_042 = 1;
   79034       $1 = $0;
   79035       label = 9;
   79036       break;
   79037     }
   79038    case 8:
   79039     _free($call);
   79040     $new_3_ph = 1;
   79041     $pnext_1_ph = $arrayidx;
   79042     label = 21;
   79043     break;
   79044    case 9:
   79045     $typestr = $1 + 4 | 0;
   79046     $2 = HEAP32[$typestr >> 2] | 0;
   79047     $call10 = _strdup($2 | 0) | 0;
   79048     $call11 = _strchr($call10 | 0, 58) | 0;
   79049     $tobool12 = ($call11 | 0) == 0;
   79050     if ($tobool12) {
   79051       label = 11;
   79052       break;
   79053     } else {
   79054       label = 10;
   79055       break;
   79056     }
   79057    case 10:
   79058     HEAP8[$call11] = 0;
   79059     label = 11;
   79060     break;
   79061    case 11:
   79062     $3 = HEAP8[$call] | 0;
   79063     $tobool17 = $3 << 24 >> 24 == 0;
   79064     if ($tobool17) {
   79065       label = 13;
   79066       break;
   79067     } else {
   79068       label = 12;
   79069       break;
   79070     }
   79071    case 12:
   79072     $call18 = _strcasecmp($call, $call10) | 0;
   79073     $cmp19 = ($call18 | 0) == 0;
   79074     if ($cmp19) {
   79075       label = 13;
   79076       break;
   79077     } else {
   79078       $new_1 = $new_042;
   79079       label = 18;
   79080       break;
   79081     }
   79082    case 13:
   79083     $4 = HEAP32[3593] | 0;
   79084     $5 = HEAP32[3594] | 0;
   79085     $cmp21 = $4 >>> 0 < $5 >>> 0;
   79086     if ($cmp21) {
   79087       label = 15;
   79088       break;
   79089     } else {
   79090       label = 14;
   79091       break;
   79092     }
   79093    case 14:
   79094     $call22 = _agxbmore(14368, 1) | 0;
   79095     label = 15;
   79096     break;
   79097    case 15:
   79098     $6 = HEAP32[3593] | 0;
   79099     $incdec_ptr23 = $6 + 1 | 0;
   79100     HEAP32[3593] = $incdec_ptr23;
   79101     HEAP8[$6] = 32;
   79102     $7 = HEAP32[$pnext_041 >> 2] | 0;
   79103     $typestr24 = $7 + 4 | 0;
   79104     $8 = HEAP32[$typestr24 >> 2] | 0;
   79105     $call25 = _agxbput(14368, $8) | 0;
   79106     $9 = HEAP32[3593] | 0;
   79107     $10 = HEAP32[3594] | 0;
   79108     $cmp26 = $9 >>> 0 < $10 >>> 0;
   79109     if ($cmp26) {
   79110       label = 17;
   79111       break;
   79112     } else {
   79113       label = 16;
   79114       break;
   79115     }
   79116    case 16:
   79117     $call28 = _agxbmore(14368, 1) | 0;
   79118     label = 17;
   79119     break;
   79120    case 17:
   79121     $11 = HEAP32[3593] | 0;
   79122     $incdec_ptr32 = $11 + 1 | 0;
   79123     HEAP32[3593] = $incdec_ptr32;
   79124     HEAP8[$11] = 58;
   79125     $12 = HEAP32[$pnext_041 >> 2] | 0;
   79126     $package = $12 + 12 | 0;
   79127     $13 = HEAP32[$package >> 2] | 0;
   79128     $name = $13 + 8 | 0;
   79129     $14 = HEAP32[$name >> 2] | 0;
   79130     $call33 = _agxbput(14368, $14) | 0;
   79131     $new_1 = 0;
   79132     label = 18;
   79133     break;
   79134    case 18:
   79135     _free($call10);
   79136     $15 = HEAP32[$pnext_041 >> 2] | 0;
   79137     $next = $15 | 0;
   79138     $16 = HEAP32[$next >> 2] | 0;
   79139     $tobool9 = ($16 | 0) == 0;
   79140     if ($tobool9) {
   79141       label = 20;
   79142       break;
   79143     } else {
   79144       $pnext_041 = $next;
   79145       $new_042 = $new_1;
   79146       $1 = $16;
   79147       label = 9;
   79148       break;
   79149     }
   79150    case 19:
   79151     _free($call);
   79152     $new_3_ph = 1;
   79153     $pnext_1_ph = $arrayidx31_ph;
   79154     label = 21;
   79155     break;
   79156    case 20:
   79157     _free($call);
   79158     $tobool36 = $new_1 << 24 >> 24 == 0;
   79159     if ($tobool36) {
   79160       label = 34;
   79161       break;
   79162     } else {
   79163       $new_3_ph = $new_1;
   79164       $pnext_1_ph = $arrayidx;
   79165       label = 21;
   79166       break;
   79167     }
   79168    case 21:
   79169     $17 = HEAP32[$pnext_1_ph >> 2] | 0;
   79170     $tobool3935 = ($17 | 0) == 0;
   79171     if ($tobool3935) {
   79172       $new_3_lcssa48 = $new_3_ph;
   79173       label = 32;
   79174       break;
   79175     } else {
   79176       $pnext_136 = $pnext_1_ph;
   79177       $typestr_last_037 = 0;
   79178       $new_338 = $new_3_ph;
   79179       $18 = $17;
   79180       label = 22;
   79181       break;
   79182     }
   79183    case 22:
   79184     $typestr41 = $18 + 4 | 0;
   79185     $19 = HEAP32[$typestr41 >> 2] | 0;
   79186     $call42 = _strdup($19 | 0) | 0;
   79187     $call43 = _strchr($call42 | 0, 58) | 0;
   79188     $tobool44 = ($call43 | 0) == 0;
   79189     if ($tobool44) {
   79190       label = 24;
   79191       break;
   79192     } else {
   79193       label = 23;
   79194       break;
   79195     }
   79196    case 23:
   79197     HEAP8[$call43] = 0;
   79198     label = 24;
   79199     break;
   79200    case 24:
   79201     $tobool48 = ($typestr_last_037 | 0) != 0;
   79202     if ($tobool48) {
   79203       label = 25;
   79204       break;
   79205     } else {
   79206       label = 26;
   79207       break;
   79208     }
   79209    case 25:
   79210     $call50 = _strcasecmp($typestr_last_037, $call42) | 0;
   79211     $cmp51 = ($call50 | 0) == 0;
   79212     if ($cmp51) {
   79213       $new_433 = $new_338;
   79214       label = 30;
   79215       break;
   79216     } else {
   79217       label = 26;
   79218       break;
   79219     }
   79220    case 26:
   79221     $20 = HEAP32[3593] | 0;
   79222     $21 = HEAP32[3594] | 0;
   79223     $cmp53 = $20 >>> 0 < $21 >>> 0;
   79224     if ($cmp53) {
   79225       label = 28;
   79226       break;
   79227     } else {
   79228       label = 27;
   79229       break;
   79230     }
   79231    case 27:
   79232     $call55 = _agxbmore(14368, 1) | 0;
   79233     label = 28;
   79234     break;
   79235    case 28:
   79236     $22 = HEAP32[3593] | 0;
   79237     $incdec_ptr59 = $22 + 1 | 0;
   79238     HEAP32[3593] = $incdec_ptr59;
   79239     HEAP8[$22] = 32;
   79240     $call60 = _agxbput(14368, $call42) | 0;
   79241     if ($tobool48) {
   79242       $new_433 = 0;
   79243       label = 30;
   79244       break;
   79245     } else {
   79246       label = 29;
   79247       break;
   79248     }
   79249    case 29:
   79250     _free($typestr_last_037);
   79251     $new_433 = 0;
   79252     label = 30;
   79253     break;
   79254    case 30:
   79255     $23 = HEAP32[$pnext_136 >> 2] | 0;
   79256     $next66 = $23 | 0;
   79257     $24 = HEAP32[$next66 >> 2] | 0;
   79258     $tobool39 = ($24 | 0) == 0;
   79259     if ($tobool39) {
   79260       label = 31;
   79261       break;
   79262     } else {
   79263       $pnext_136 = $next66;
   79264       $typestr_last_037 = $call42;
   79265       $new_338 = $new_433;
   79266       $18 = $24;
   79267       label = 22;
   79268       break;
   79269     }
   79270    case 31:
   79271     $tobool68 = ($call42 | 0) == 0;
   79272     if ($tobool68) {
   79273       $new_3_lcssa48 = $new_433;
   79274       label = 32;
   79275       break;
   79276     } else {
   79277       $new_3_lcssa47 = $new_433;
   79278       label = 33;
   79279       break;
   79280     }
   79281    case 32:
   79282     _free(0);
   79283     $new_3_lcssa47 = $new_3_lcssa48;
   79284     label = 33;
   79285     break;
   79286    case 33:
   79287     $tobool72 = $new_3_lcssa47 << 24 >> 24 == 0;
   79288     if ($tobool72) {
   79289       label = 34;
   79290       break;
   79291     } else {
   79292       $bp_0 = 110504;
   79293       label = 37;
   79294       break;
   79295     }
   79296    case 34:
   79297     $25 = HEAP32[3593] | 0;
   79298     $26 = HEAP32[3594] | 0;
   79299     $cmp74 = $25 >>> 0 < $26 >>> 0;
   79300     if ($cmp74) {
   79301       label = 36;
   79302       break;
   79303     } else {
   79304       label = 35;
   79305       break;
   79306     }
   79307    case 35:
   79308     $call76 = _agxbmore(14368, 1) | 0;
   79309     label = 36;
   79310     break;
   79311    case 36:
   79312     $27 = HEAP32[3593] | 0;
   79313     HEAP8[$27] = 0;
   79314     $28 = HEAP32[3592] | 0;
   79315     HEAP32[3593] = $28;
   79316     $bp_0 = $28;
   79317     label = 37;
   79318     break;
   79319    case 37:
   79320     return $bp_0 | 0;
   79321   }
   79322   return 0;
   79323 }
   79324 function _gvrender_select($job, $str) {
   79325   $job = $job | 0;
   79326   $str = $str | 0;
   79327   var $gvc1 = 0, $0 = 0, $call = 0, $arrayidx = 0, $1 = 0, $tobool = 0, $typeptr2 = 0, $2 = 0, $engine = 0, $3 = 0, $4 = 0, $engine3 = 0, $features = 0, $5 = 0, $6 = 0, $features5 = 0, $id = 0, $7 = 0, $id7 = 0, $typestr = 0, $8 = 0, $type = 0, $9 = 0, $flags = 0, $10 = 0, $flags11 = 0, $11 = 0, $or = 0, $arrayidx13 = 0, $12 = 0, $tobool14 = 0, $typeptr16 = 0, $13 = 0, $engine17 = 0, $14 = 0, $15 = 0, $engine18 = 0, $features19 = 0, $16 = 0, $17 = 0, $features21 = 0, $typestr22 = 0, $18 = 0, $type24 = 0, $19 = 0, $flags27 = 0, $20 = 0, $21 = 0, $or29 = 0, $22 = 0, $tobool32 = 0, $id34 = 0, $23 = 0, $id36 = 0, $24 = 0, $id41 = 0, $engine45 = 0, $retval_0 = 0, label = 0;
   79328   label = 2;
   79329   while (1) switch (label | 0) {
   79330    case 2:
   79331     $gvc1 = $job | 0;
   79332     $0 = HEAP32[$gvc1 >> 2] | 0;
   79333     $call = _gvplugin_load($0, 3, $str) | 0;
   79334     $arrayidx = $0 + 92 | 0;
   79335     $1 = HEAP32[$arrayidx >> 2] | 0;
   79336     $tobool = ($1 | 0) == 0;
   79337     if ($tobool) {
   79338       $retval_0 = 999;
   79339       label = 8;
   79340       break;
   79341     } else {
   79342       label = 3;
   79343       break;
   79344     }
   79345    case 3:
   79346     $typeptr2 = $1 + 16 | 0;
   79347     $2 = HEAP32[$typeptr2 >> 2] | 0;
   79348     $engine = $2 + 12 | 0;
   79349     $3 = HEAP32[$engine >> 2] | 0;
   79350     $4 = $3;
   79351     $engine3 = $job + 76 | 0;
   79352     HEAP32[$engine3 >> 2] = $4;
   79353     $features = $2 + 16 | 0;
   79354     $5 = HEAP32[$features >> 2] | 0;
   79355     $6 = $5;
   79356     $features5 = $job + 84 | 0;
   79357     HEAP32[$features5 >> 2] = $6;
   79358     $id = $2 | 0;
   79359     $7 = HEAP32[$id >> 2] | 0;
   79360     $id7 = $job + 80 | 0;
   79361     HEAP32[$id7 >> 2] = $7;
   79362     $typestr = $1 + 4 | 0;
   79363     $8 = HEAP32[$typestr >> 2] | 0;
   79364     $type = $job + 88 | 0;
   79365     HEAP32[$type >> 2] = $8;
   79366     $9 = HEAP32[$features5 >> 2] | 0;
   79367     $flags = $9 | 0;
   79368     $10 = HEAP32[$flags >> 2] | 0;
   79369     $flags11 = $job + 152 | 0;
   79370     $11 = HEAP32[$flags11 >> 2] | 0;
   79371     $or = $11 | $10;
   79372     HEAP32[$flags11 >> 2] = $or;
   79373     $arrayidx13 = $0 + 80 | 0;
   79374     $12 = HEAP32[$arrayidx13 >> 2] | 0;
   79375     $tobool14 = ($12 | 0) == 0;
   79376     if ($tobool14) {
   79377       label = 7;
   79378       break;
   79379     } else {
   79380       label = 4;
   79381       break;
   79382     }
   79383    case 4:
   79384     $typeptr16 = $12 + 16 | 0;
   79385     $13 = HEAP32[$typeptr16 >> 2] | 0;
   79386     $engine17 = $13 + 12 | 0;
   79387     $14 = HEAP32[$engine17 >> 2] | 0;
   79388     $15 = $14;
   79389     $engine18 = $job + 60 | 0;
   79390     HEAP32[$engine18 >> 2] = $15;
   79391     $features19 = $13 + 16 | 0;
   79392     $16 = HEAP32[$features19 >> 2] | 0;
   79393     $17 = $16;
   79394     $features21 = $job + 68 | 0;
   79395     HEAP32[$features21 >> 2] = $17;
   79396     $typestr22 = $12 + 4 | 0;
   79397     $18 = HEAP32[$typestr22 >> 2] | 0;
   79398     $type24 = $job + 72 | 0;
   79399     HEAP32[$type24 >> 2] = $18;
   79400     $19 = HEAP32[$features21 >> 2] | 0;
   79401     $flags27 = $19 | 0;
   79402     $20 = HEAP32[$flags27 >> 2] | 0;
   79403     $21 = HEAP32[$flags11 >> 2] | 0;
   79404     $or29 = $21 | $20;
   79405     HEAP32[$flags11 >> 2] = $or29;
   79406     $22 = HEAP32[$engine3 >> 2] | 0;
   79407     $tobool32 = ($22 | 0) == 0;
   79408     if ($tobool32) {
   79409       label = 6;
   79410       break;
   79411     } else {
   79412       label = 5;
   79413       break;
   79414     }
   79415    case 5:
   79416     $id34 = $13 | 0;
   79417     $23 = HEAP32[$id34 >> 2] | 0;
   79418     $id36 = $job + 64 | 0;
   79419     HEAP32[$id36 >> 2] = $23;
   79420     $retval_0 = 300;
   79421     label = 8;
   79422     break;
   79423    case 6:
   79424     $24 = HEAP32[$id7 >> 2] | 0;
   79425     $id41 = $job + 64 | 0;
   79426     HEAP32[$id41 >> 2] = $24;
   79427     $retval_0 = 300;
   79428     label = 8;
   79429     break;
   79430    case 7:
   79431     $engine45 = $job + 60 | 0;
   79432     HEAP32[$engine45 >> 2] = 0;
   79433     $retval_0 = 999;
   79434     label = 8;
   79435     break;
   79436    case 8:
   79437     return $retval_0 | 0;
   79438   }
   79439   return 0;
   79440 }
   79441 function _gvrender_begin_job($job) {
   79442   $job = $job | 0;
   79443   var $engine = 0, $0 = 0, $1 = 0, $call = 0, $tobool = 0, $tobool1 = 0, $begin_job = 0, $2 = 0, $tobool3 = 0, $retval_0 = 0, label = 0;
   79444   label = 2;
   79445   while (1) switch (label | 0) {
   79446    case 2:
   79447     $engine = $job + 60 | 0;
   79448     $0 = HEAP32[$engine >> 2] | 0;
   79449     $1 = $job;
   79450     $call = _gvdevice_initialize($1) | 0;
   79451     $tobool = ($call | 0) == 0;
   79452     if ($tobool) {
   79453       label = 3;
   79454       break;
   79455     } else {
   79456       $retval_0 = 1;
   79457       label = 6;
   79458       break;
   79459     }
   79460    case 3:
   79461     $tobool1 = ($0 | 0) == 0;
   79462     if ($tobool1) {
   79463       $retval_0 = 0;
   79464       label = 6;
   79465       break;
   79466     } else {
   79467       label = 4;
   79468       break;
   79469     }
   79470    case 4:
   79471     $begin_job = $0 | 0;
   79472     $2 = HEAP32[$begin_job >> 2] | 0;
   79473     $tobool3 = ($2 | 0) == 0;
   79474     if ($tobool3) {
   79475       $retval_0 = 0;
   79476       label = 6;
   79477       break;
   79478     } else {
   79479       label = 5;
   79480       break;
   79481     }
   79482    case 5:
   79483     FUNCTION_TABLE_vi[$2 & 1023]($job);
   79484     $retval_0 = 0;
   79485     label = 6;
   79486     break;
   79487    case 6:
   79488     return $retval_0 | 0;
   79489   }
   79490   return 0;
   79491 }
   79492 function _gvrender_end_job($job) {
   79493   $job = $job | 0;
   79494   var $engine = 0, $0 = 0, $tobool = 0, $end_job = 0, $1 = 0, $tobool1 = 0, $gvc = 0, $2 = 0, $lib = 0, $3 = 0, label = 0;
   79495   label = 2;
   79496   while (1) switch (label | 0) {
   79497    case 2:
   79498     $engine = $job + 60 | 0;
   79499     $0 = HEAP32[$engine >> 2] | 0;
   79500     $tobool = ($0 | 0) == 0;
   79501     if ($tobool) {
   79502       label = 5;
   79503       break;
   79504     } else {
   79505       label = 3;
   79506       break;
   79507     }
   79508    case 3:
   79509     $end_job = $0 + 4 | 0;
   79510     $1 = HEAP32[$end_job >> 2] | 0;
   79511     $tobool1 = ($1 | 0) == 0;
   79512     if ($tobool1) {
   79513       label = 5;
   79514       break;
   79515     } else {
   79516       label = 4;
   79517       break;
   79518     }
   79519    case 4:
   79520     FUNCTION_TABLE_vi[$1 & 1023]($job);
   79521     label = 5;
   79522     break;
   79523    case 5:
   79524     $gvc = $job | 0;
   79525     $2 = HEAP32[$gvc >> 2] | 0;
   79526     $lib = $2 + 24 | 0;
   79527     HEAP32[$lib >> 2] = 0;
   79528     $3 = $job;
   79529     _gvdevice_finalize($3);
   79530     return;
   79531   }
   79532 }
   79533 function _gvrender_begin_graph($job, $g) {
   79534   $job = $job | 0;
   79535   $g = $g | 0;
   79536   var $gvc1 = 0, $0 = 0, $engine = 0, $1 = 0, $tobool = 0, $begin_graph = 0, $2 = 0, $tobool2 = 0, $3 = 0, $call = 0, $cmp = 0, $4 = 0, $tobool5 = 0, $features = 0, $5 = 0, $bgcolor = 0, $resolve_color = 0, $6 = 0, $tobool8 = 0, label = 0;
   79537   label = 2;
   79538   while (1) switch (label | 0) {
   79539    case 2:
   79540     $gvc1 = $job | 0;
   79541     $0 = HEAP32[$gvc1 >> 2] | 0;
   79542     $engine = $job + 60 | 0;
   79543     $1 = HEAP32[$engine >> 2] | 0;
   79544     $tobool = ($1 | 0) == 0;
   79545     if ($tobool) {
   79546       label = 9;
   79547       break;
   79548     } else {
   79549       label = 3;
   79550       break;
   79551     }
   79552    case 3:
   79553     $begin_graph = $1 + 8 | 0;
   79554     $2 = HEAP32[$begin_graph >> 2] | 0;
   79555     $tobool2 = ($2 | 0) == 0;
   79556     if ($tobool2) {
   79557       label = 5;
   79558       break;
   79559     } else {
   79560       label = 4;
   79561       break;
   79562     }
   79563    case 4:
   79564     FUNCTION_TABLE_vi[$2 & 1023]($job);
   79565     label = 5;
   79566     break;
   79567    case 5:
   79568     $3 = $g | 0;
   79569     $call = _agget($3, 90304) | 0;
   79570     $cmp = ($call | 0) == 0;
   79571     if ($cmp) {
   79572       label = 9;
   79573       break;
   79574     } else {
   79575       label = 6;
   79576       break;
   79577     }
   79578    case 6:
   79579     $4 = HEAP8[$call] | 0;
   79580     $tobool5 = $4 << 24 >> 24 == 0;
   79581     if ($tobool5) {
   79582       label = 9;
   79583       break;
   79584     } else {
   79585       label = 7;
   79586       break;
   79587     }
   79588    case 7:
   79589     $features = $job + 68 | 0;
   79590     $5 = HEAP32[$features >> 2] | 0;
   79591     $bgcolor = $0 + 312 | 0;
   79592     _gvrender_resolve_color($5, $call, $bgcolor);
   79593     $resolve_color = $1 + 92 | 0;
   79594     $6 = HEAP32[$resolve_color >> 2] | 0;
   79595     $tobool8 = ($6 | 0) == 0;
   79596     if ($tobool8) {
   79597       label = 9;
   79598       break;
   79599     } else {
   79600       label = 8;
   79601       break;
   79602     }
   79603    case 8:
   79604     FUNCTION_TABLE_vii[$6 & 1023]($job, $bgcolor);
   79605     label = 9;
   79606     break;
   79607    case 9:
   79608     return;
   79609   }
   79610 }
   79611 function _gvrender_resolve_color($features, $name, $color) {
   79612   $features = $features | 0;
   79613   $name = $name | 0;
   79614   $color = $color | 0;
   79615   var $tok = 0, $string = 0, $type = 0, $call = 0, $knowncolors = 0, $0 = 0, $tobool = 0, $1 = 0, $2 = 0, $sz_knowncolors = 0, $3 = 0, $call2 = 0, $cmp = 0, $color_type = 0, $4 = 0, $call3 = 0, $call8 = 0, $add = 0, $call9 = 0, $call10 = 0, $call11 = 0, $tobool12 = 0, $call14 = 0, $call15 = 0, label = 0, __stackBase__ = 0;
   79616   __stackBase__ = STACKTOP;
   79617   STACKTOP = STACKTOP + 8 | 0;
   79618   label = 2;
   79619   while (1) switch (label | 0) {
   79620    case 2:
   79621     $tok = __stackBase__ | 0;
   79622     $string = $color;
   79623     HEAP32[$string >> 2] = $name;
   79624     $type = $color + 32 | 0;
   79625     HEAP32[$type >> 2] = 5;
   79626     $call = _canontoken($name) | 0;
   79627     HEAP32[$tok >> 2] = $call;
   79628     $knowncolors = $features + 16 | 0;
   79629     $0 = HEAP32[$knowncolors >> 2] | 0;
   79630     $tobool = ($0 | 0) == 0;
   79631     if ($tobool) {
   79632       label = 4;
   79633       break;
   79634     } else {
   79635       label = 3;
   79636       break;
   79637     }
   79638    case 3:
   79639     $1 = $tok;
   79640     $2 = $0;
   79641     $sz_knowncolors = $features + 20 | 0;
   79642     $3 = HEAP32[$sz_knowncolors >> 2] | 0;
   79643     $call2 = _bsearch($1 | 0, $2 | 0, $3 | 0, 4, 254) | 0;
   79644     $cmp = ($call2 | 0) == 0;
   79645     if ($cmp) {
   79646       label = 4;
   79647       break;
   79648     } else {
   79649       label = 9;
   79650       break;
   79651     }
   79652    case 4:
   79653     $color_type = $features + 24 | 0;
   79654     $4 = HEAP32[$color_type >> 2] | 0;
   79655     $call3 = _colorxlate($name, $color, $4) | 0;
   79656     if (($call3 | 0) == 1) {
   79657       label = 5;
   79658       break;
   79659     } else if (($call3 | 0) == 0) {
   79660       label = 9;
   79661       break;
   79662     } else {
   79663       label = 8;
   79664       break;
   79665     }
   79666    case 5:
   79667     $call8 = _strlen($name | 0) | 0;
   79668     $add = $call8 + 16 | 0;
   79669     $call9 = _gmalloc($add) | 0;
   79670     $call10 = _sprintf($call9 | 0, 146272, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $name, tempInt) | 0) | 0;
   79671     $call11 = _emit_once($call9) | 0;
   79672     $tobool12 = ($call11 | 0) == 0;
   79673     if ($tobool12) {
   79674       label = 7;
   79675       break;
   79676     } else {
   79677       label = 6;
   79678       break;
   79679     }
   79680    case 6:
   79681     $call14 = _agerr(0, 143304, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $name, tempInt) | 0) | 0;
   79682     label = 7;
   79683     break;
   79684    case 7:
   79685     _free($call9);
   79686     label = 9;
   79687     break;
   79688    case 8:
   79689     $call15 = _agerr(1, 139648, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0) | 0;
   79690     label = 9;
   79691     break;
   79692    case 9:
   79693     STACKTOP = __stackBase__;
   79694     return;
   79695   }
   79696 }
   79697 function _gvrender_end_graph($job) {
   79698   $job = $job | 0;
   79699   var $engine = 0, $0 = 0, $tobool = 0, $end_graph = 0, $1 = 0, $tobool1 = 0, $2 = 0, label = 0;
   79700   label = 2;
   79701   while (1) switch (label | 0) {
   79702    case 2:
   79703     $engine = $job + 60 | 0;
   79704     $0 = HEAP32[$engine >> 2] | 0;
   79705     $tobool = ($0 | 0) == 0;
   79706     if ($tobool) {
   79707       label = 5;
   79708       break;
   79709     } else {
   79710       label = 3;
   79711       break;
   79712     }
   79713    case 3:
   79714     $end_graph = $0 + 12 | 0;
   79715     $1 = HEAP32[$end_graph >> 2] | 0;
   79716     $tobool1 = ($1 | 0) == 0;
   79717     if ($tobool1) {
   79718       label = 5;
   79719       break;
   79720     } else {
   79721       label = 4;
   79722       break;
   79723     }
   79724    case 4:
   79725     FUNCTION_TABLE_vi[$1 & 1023]($job);
   79726     label = 5;
   79727     break;
   79728    case 5:
   79729     $2 = $job;
   79730     _gvdevice_format($2);
   79731     return;
   79732   }
   79733 }
   79734 function _gvrender_begin_page($job) {
   79735   $job = $job | 0;
   79736   var $engine = 0, $0 = 0, $tobool = 0, $begin_page = 0, $1 = 0, $tobool1 = 0, label = 0;
   79737   label = 2;
   79738   while (1) switch (label | 0) {
   79739    case 2:
   79740     $engine = $job + 60 | 0;
   79741     $0 = HEAP32[$engine >> 2] | 0;
   79742     $tobool = ($0 | 0) == 0;
   79743     if ($tobool) {
   79744       label = 5;
   79745       break;
   79746     } else {
   79747       label = 3;
   79748       break;
   79749     }
   79750    case 3:
   79751     $begin_page = $0 + 24 | 0;
   79752     $1 = HEAP32[$begin_page >> 2] | 0;
   79753     $tobool1 = ($1 | 0) == 0;
   79754     if ($tobool1) {
   79755       label = 5;
   79756       break;
   79757     } else {
   79758       label = 4;
   79759       break;
   79760     }
   79761    case 4:
   79762     FUNCTION_TABLE_vi[$1 & 1023]($job);
   79763     label = 5;
   79764     break;
   79765    case 5:
   79766     return;
   79767   }
   79768 }
   79769 function _gvrender_end_page($job) {
   79770   $job = $job | 0;
   79771   var $engine = 0, $0 = 0, $tobool = 0, $end_page = 0, $1 = 0, $tobool1 = 0, label = 0;
   79772   label = 2;
   79773   while (1) switch (label | 0) {
   79774    case 2:
   79775     $engine = $job + 60 | 0;
   79776     $0 = HEAP32[$engine >> 2] | 0;
   79777     $tobool = ($0 | 0) == 0;
   79778     if ($tobool) {
   79779       label = 5;
   79780       break;
   79781     } else {
   79782       label = 3;
   79783       break;
   79784     }
   79785    case 3:
   79786     $end_page = $0 + 28 | 0;
   79787     $1 = HEAP32[$end_page >> 2] | 0;
   79788     $tobool1 = ($1 | 0) == 0;
   79789     if ($tobool1) {
   79790       label = 5;
   79791       break;
   79792     } else {
   79793       label = 4;
   79794       break;
   79795     }
   79796    case 4:
   79797     FUNCTION_TABLE_vi[$1 & 1023]($job);
   79798     label = 5;
   79799     break;
   79800    case 5:
   79801     return;
   79802   }
   79803 }
   79804 function _gvrender_begin_layer($job) {
   79805   $job = $job | 0;
   79806   var $engine = 0, $0 = 0, $tobool = 0, $begin_layer = 0, $1 = 0, $tobool1 = 0, $layerNum = 0, $2 = 0, $gvc = 0, $3 = 0, $layerIDs = 0, $4 = 0, $arrayidx = 0, $5 = 0, $numLayers = 0, $6 = 0, label = 0;
   79807   label = 2;
   79808   while (1) switch (label | 0) {
   79809    case 2:
   79810     $engine = $job + 60 | 0;
   79811     $0 = HEAP32[$engine >> 2] | 0;
   79812     $tobool = ($0 | 0) == 0;
   79813     if ($tobool) {
   79814       label = 5;
   79815       break;
   79816     } else {
   79817       label = 3;
   79818       break;
   79819     }
   79820    case 3:
   79821     $begin_layer = $0 + 16 | 0;
   79822     $1 = HEAP32[$begin_layer >> 2] | 0;
   79823     $tobool1 = ($1 | 0) == 0;
   79824     if ($tobool1) {
   79825       label = 5;
   79826       break;
   79827     } else {
   79828       label = 4;
   79829       break;
   79830     }
   79831    case 4:
   79832     $layerNum = $job + 160 | 0;
   79833     $2 = HEAP32[$layerNum >> 2] | 0;
   79834     $gvc = $job | 0;
   79835     $3 = HEAP32[$gvc >> 2] | 0;
   79836     $layerIDs = $3 + 280 | 0;
   79837     $4 = HEAP32[$layerIDs >> 2] | 0;
   79838     $arrayidx = $4 + ($2 << 2) | 0;
   79839     $5 = HEAP32[$arrayidx >> 2] | 0;
   79840     $numLayers = $job + 156 | 0;
   79841     $6 = HEAP32[$numLayers >> 2] | 0;
   79842     FUNCTION_TABLE_viiii[$1 & 1023]($job, $5, $2, $6);
   79843     label = 5;
   79844     break;
   79845    case 5:
   79846     return;
   79847   }
   79848 }
   79849 function _gvrender_end_layer($job) {
   79850   $job = $job | 0;
   79851   var $engine = 0, $0 = 0, $tobool = 0, $end_layer = 0, $1 = 0, $tobool1 = 0, label = 0;
   79852   label = 2;
   79853   while (1) switch (label | 0) {
   79854    case 2:
   79855     $engine = $job + 60 | 0;
   79856     $0 = HEAP32[$engine >> 2] | 0;
   79857     $tobool = ($0 | 0) == 0;
   79858     if ($tobool) {
   79859       label = 5;
   79860       break;
   79861     } else {
   79862       label = 3;
   79863       break;
   79864     }
   79865    case 3:
   79866     $end_layer = $0 + 20 | 0;
   79867     $1 = HEAP32[$end_layer >> 2] | 0;
   79868     $tobool1 = ($1 | 0) == 0;
   79869     if ($tobool1) {
   79870       label = 5;
   79871       break;
   79872     } else {
   79873       label = 4;
   79874       break;
   79875     }
   79876    case 4:
   79877     FUNCTION_TABLE_vi[$1 & 1023]($job);
   79878     label = 5;
   79879     break;
   79880    case 5:
   79881     return;
   79882   }
   79883 }
   79884 function _gvrender_begin_cluster($job, $sg) {
   79885   $job = $job | 0;
   79886   $sg = $sg | 0;
   79887   var $engine = 0, $0 = 0, $tobool = 0, $begin_cluster = 0, $1 = 0, $tobool1 = 0, label = 0;
   79888   label = 2;
   79889   while (1) switch (label | 0) {
   79890    case 2:
   79891     $engine = $job + 60 | 0;
   79892     $0 = HEAP32[$engine >> 2] | 0;
   79893     $tobool = ($0 | 0) == 0;
   79894     if ($tobool) {
   79895       label = 5;
   79896       break;
   79897     } else {
   79898       label = 3;
   79899       break;
   79900     }
   79901    case 3:
   79902     $begin_cluster = $0 + 32 | 0;
   79903     $1 = HEAP32[$begin_cluster >> 2] | 0;
   79904     $tobool1 = ($1 | 0) == 0;
   79905     if ($tobool1) {
   79906       label = 5;
   79907       break;
   79908     } else {
   79909       label = 4;
   79910       break;
   79911     }
   79912    case 4:
   79913     FUNCTION_TABLE_vi[$1 & 1023]($job);
   79914     label = 5;
   79915     break;
   79916    case 5:
   79917     return;
   79918   }
   79919 }
   79920 function _gvrender_end_cluster($job, $g) {
   79921   $job = $job | 0;
   79922   $g = $g | 0;
   79923   var $engine = 0, $0 = 0, $tobool = 0, $end_cluster = 0, $1 = 0, $tobool1 = 0, label = 0;
   79924   label = 2;
   79925   while (1) switch (label | 0) {
   79926    case 2:
   79927     $engine = $job + 60 | 0;
   79928     $0 = HEAP32[$engine >> 2] | 0;
   79929     $tobool = ($0 | 0) == 0;
   79930     if ($tobool) {
   79931       label = 5;
   79932       break;
   79933     } else {
   79934       label = 3;
   79935       break;
   79936     }
   79937    case 3:
   79938     $end_cluster = $0 + 36 | 0;
   79939     $1 = HEAP32[$end_cluster >> 2] | 0;
   79940     $tobool1 = ($1 | 0) == 0;
   79941     if ($tobool1) {
   79942       label = 5;
   79943       break;
   79944     } else {
   79945       label = 4;
   79946       break;
   79947     }
   79948    case 4:
   79949     FUNCTION_TABLE_vi[$1 & 1023]($job);
   79950     label = 5;
   79951     break;
   79952    case 5:
   79953     return;
   79954   }
   79955 }
   79956 function _gvrender_begin_nodes($job) {
   79957   $job = $job | 0;
   79958   var $engine = 0, $0 = 0, $tobool = 0, $begin_nodes = 0, $1 = 0, $tobool1 = 0, label = 0;
   79959   label = 2;
   79960   while (1) switch (label | 0) {
   79961    case 2:
   79962     $engine = $job + 60 | 0;
   79963     $0 = HEAP32[$engine >> 2] | 0;
   79964     $tobool = ($0 | 0) == 0;
   79965     if ($tobool) {
   79966       label = 5;
   79967       break;
   79968     } else {
   79969       label = 3;
   79970       break;
   79971     }
   79972    case 3:
   79973     $begin_nodes = $0 + 40 | 0;
   79974     $1 = HEAP32[$begin_nodes >> 2] | 0;
   79975     $tobool1 = ($1 | 0) == 0;
   79976     if ($tobool1) {
   79977       label = 5;
   79978       break;
   79979     } else {
   79980       label = 4;
   79981       break;
   79982     }
   79983    case 4:
   79984     FUNCTION_TABLE_vi[$1 & 1023]($job);
   79985     label = 5;
   79986     break;
   79987    case 5:
   79988     return;
   79989   }
   79990 }
   79991 function _gvrender_end_nodes($job) {
   79992   $job = $job | 0;
   79993   var $engine = 0, $0 = 0, $tobool = 0, $end_nodes = 0, $1 = 0, $tobool1 = 0, label = 0;
   79994   label = 2;
   79995   while (1) switch (label | 0) {
   79996    case 2:
   79997     $engine = $job + 60 | 0;
   79998     $0 = HEAP32[$engine >> 2] | 0;
   79999     $tobool = ($0 | 0) == 0;
   80000     if ($tobool) {
   80001       label = 5;
   80002       break;
   80003     } else {
   80004       label = 3;
   80005       break;
   80006     }
   80007    case 3:
   80008     $end_nodes = $0 + 44 | 0;
   80009     $1 = HEAP32[$end_nodes >> 2] | 0;
   80010     $tobool1 = ($1 | 0) == 0;
   80011     if ($tobool1) {
   80012       label = 5;
   80013       break;
   80014     } else {
   80015       label = 4;
   80016       break;
   80017     }
   80018    case 4:
   80019     FUNCTION_TABLE_vi[$1 & 1023]($job);
   80020     label = 5;
   80021     break;
   80022    case 5:
   80023     return;
   80024   }
   80025 }
   80026 function _gvrender_begin_edges($job) {
   80027   $job = $job | 0;
   80028   var $engine = 0, $0 = 0, $tobool = 0, $begin_edges = 0, $1 = 0, $tobool1 = 0, label = 0;
   80029   label = 2;
   80030   while (1) switch (label | 0) {
   80031    case 2:
   80032     $engine = $job + 60 | 0;
   80033     $0 = HEAP32[$engine >> 2] | 0;
   80034     $tobool = ($0 | 0) == 0;
   80035     if ($tobool) {
   80036       label = 5;
   80037       break;
   80038     } else {
   80039       label = 3;
   80040       break;
   80041     }
   80042    case 3:
   80043     $begin_edges = $0 + 48 | 0;
   80044     $1 = HEAP32[$begin_edges >> 2] | 0;
   80045     $tobool1 = ($1 | 0) == 0;
   80046     if ($tobool1) {
   80047       label = 5;
   80048       break;
   80049     } else {
   80050       label = 4;
   80051       break;
   80052     }
   80053    case 4:
   80054     FUNCTION_TABLE_vi[$1 & 1023]($job);
   80055     label = 5;
   80056     break;
   80057    case 5:
   80058     return;
   80059   }
   80060 }
   80061 function _gvrender_end_edges($job) {
   80062   $job = $job | 0;
   80063   var $engine = 0, $0 = 0, $tobool = 0, $end_edges = 0, $1 = 0, $tobool1 = 0, label = 0;
   80064   label = 2;
   80065   while (1) switch (label | 0) {
   80066    case 2:
   80067     $engine = $job + 60 | 0;
   80068     $0 = HEAP32[$engine >> 2] | 0;
   80069     $tobool = ($0 | 0) == 0;
   80070     if ($tobool) {
   80071       label = 5;
   80072       break;
   80073     } else {
   80074       label = 3;
   80075       break;
   80076     }
   80077    case 3:
   80078     $end_edges = $0 + 52 | 0;
   80079     $1 = HEAP32[$end_edges >> 2] | 0;
   80080     $tobool1 = ($1 | 0) == 0;
   80081     if ($tobool1) {
   80082       label = 5;
   80083       break;
   80084     } else {
   80085       label = 4;
   80086       break;
   80087     }
   80088    case 4:
   80089     FUNCTION_TABLE_vi[$1 & 1023]($job);
   80090     label = 5;
   80091     break;
   80092    case 5:
   80093     return;
   80094   }
   80095 }
   80096 function _gvrender_begin_node($job, $n) {
   80097   $job = $job | 0;
   80098   $n = $n | 0;
   80099   var $engine = 0, $0 = 0, $tobool = 0, $begin_node = 0, $1 = 0, $tobool1 = 0, label = 0;
   80100   label = 2;
   80101   while (1) switch (label | 0) {
   80102    case 2:
   80103     $engine = $job + 60 | 0;
   80104     $0 = HEAP32[$engine >> 2] | 0;
   80105     $tobool = ($0 | 0) == 0;
   80106     if ($tobool) {
   80107       label = 5;
   80108       break;
   80109     } else {
   80110       label = 3;
   80111       break;
   80112     }
   80113    case 3:
   80114     $begin_node = $0 + 56 | 0;
   80115     $1 = HEAP32[$begin_node >> 2] | 0;
   80116     $tobool1 = ($1 | 0) == 0;
   80117     if ($tobool1) {
   80118       label = 5;
   80119       break;
   80120     } else {
   80121       label = 4;
   80122       break;
   80123     }
   80124    case 4:
   80125     FUNCTION_TABLE_vi[$1 & 1023]($job);
   80126     label = 5;
   80127     break;
   80128    case 5:
   80129     return;
   80130   }
   80131 }
   80132 function _gvrender_end_node($job) {
   80133   $job = $job | 0;
   80134   var $engine = 0, $0 = 0, $tobool = 0, $end_node = 0, $1 = 0, $tobool1 = 0, label = 0;
   80135   label = 2;
   80136   while (1) switch (label | 0) {
   80137    case 2:
   80138     $engine = $job + 60 | 0;
   80139     $0 = HEAP32[$engine >> 2] | 0;
   80140     $tobool = ($0 | 0) == 0;
   80141     if ($tobool) {
   80142       label = 5;
   80143       break;
   80144     } else {
   80145       label = 3;
   80146       break;
   80147     }
   80148    case 3:
   80149     $end_node = $0 + 60 | 0;
   80150     $1 = HEAP32[$end_node >> 2] | 0;
   80151     $tobool1 = ($1 | 0) == 0;
   80152     if ($tobool1) {
   80153       label = 5;
   80154       break;
   80155     } else {
   80156       label = 4;
   80157       break;
   80158     }
   80159    case 4:
   80160     FUNCTION_TABLE_vi[$1 & 1023]($job);
   80161     label = 5;
   80162     break;
   80163    case 5:
   80164     return;
   80165   }
   80166 }
   80167 function _gvrender_begin_edge($job, $e) {
   80168   $job = $job | 0;
   80169   $e = $e | 0;
   80170   var $engine = 0, $0 = 0, $tobool = 0, $begin_edge = 0, $1 = 0, $tobool1 = 0, label = 0;
   80171   label = 2;
   80172   while (1) switch (label | 0) {
   80173    case 2:
   80174     $engine = $job + 60 | 0;
   80175     $0 = HEAP32[$engine >> 2] | 0;
   80176     $tobool = ($0 | 0) == 0;
   80177     if ($tobool) {
   80178       label = 5;
   80179       break;
   80180     } else {
   80181       label = 3;
   80182       break;
   80183     }
   80184    case 3:
   80185     $begin_edge = $0 + 64 | 0;
   80186     $1 = HEAP32[$begin_edge >> 2] | 0;
   80187     $tobool1 = ($1 | 0) == 0;
   80188     if ($tobool1) {
   80189       label = 5;
   80190       break;
   80191     } else {
   80192       label = 4;
   80193       break;
   80194     }
   80195    case 4:
   80196     FUNCTION_TABLE_vi[$1 & 1023]($job);
   80197     label = 5;
   80198     break;
   80199    case 5:
   80200     return;
   80201   }
   80202 }
   80203 function _gvrender_end_edge($job) {
   80204   $job = $job | 0;
   80205   var $engine = 0, $0 = 0, $tobool = 0, $end_edge = 0, $1 = 0, $tobool1 = 0, label = 0;
   80206   label = 2;
   80207   while (1) switch (label | 0) {
   80208    case 2:
   80209     $engine = $job + 60 | 0;
   80210     $0 = HEAP32[$engine >> 2] | 0;
   80211     $tobool = ($0 | 0) == 0;
   80212     if ($tobool) {
   80213       label = 5;
   80214       break;
   80215     } else {
   80216       label = 3;
   80217       break;
   80218     }
   80219    case 3:
   80220     $end_edge = $0 + 68 | 0;
   80221     $1 = HEAP32[$end_edge >> 2] | 0;
   80222     $tobool1 = ($1 | 0) == 0;
   80223     if ($tobool1) {
   80224       label = 5;
   80225       break;
   80226     } else {
   80227       label = 4;
   80228       break;
   80229     }
   80230    case 4:
   80231     FUNCTION_TABLE_vi[$1 & 1023]($job);
   80232     label = 5;
   80233     break;
   80234    case 5:
   80235     return;
   80236   }
   80237 }
   80238 function _gvrender_begin_anchor($job, $href, $tooltip, $target, $id) {
   80239   $job = $job | 0;
   80240   $href = $href | 0;
   80241   $tooltip = $tooltip | 0;
   80242   $target = $target | 0;
   80243   $id = $id | 0;
   80244   var $engine = 0, $0 = 0, $tobool = 0, $begin_anchor = 0, $1 = 0, $tobool1 = 0, label = 0;
   80245   label = 2;
   80246   while (1) switch (label | 0) {
   80247    case 2:
   80248     $engine = $job + 60 | 0;
   80249     $0 = HEAP32[$engine >> 2] | 0;
   80250     $tobool = ($0 | 0) == 0;
   80251     if ($tobool) {
   80252       label = 5;
   80253       break;
   80254     } else {
   80255       label = 3;
   80256       break;
   80257     }
   80258    case 3:
   80259     $begin_anchor = $0 + 72 | 0;
   80260     $1 = HEAP32[$begin_anchor >> 2] | 0;
   80261     $tobool1 = ($1 | 0) == 0;
   80262     if ($tobool1) {
   80263       label = 5;
   80264       break;
   80265     } else {
   80266       label = 4;
   80267       break;
   80268     }
   80269    case 4:
   80270     FUNCTION_TABLE_viiiii[$1 & 1023]($job, $href, $tooltip, $target, $id);
   80271     label = 5;
   80272     break;
   80273    case 5:
   80274     return;
   80275   }
   80276 }
   80277 function _gvrender_end_anchor($job) {
   80278   $job = $job | 0;
   80279   var $engine = 0, $0 = 0, $tobool = 0, $end_anchor = 0, $1 = 0, $tobool1 = 0, label = 0;
   80280   label = 2;
   80281   while (1) switch (label | 0) {
   80282    case 2:
   80283     $engine = $job + 60 | 0;
   80284     $0 = HEAP32[$engine >> 2] | 0;
   80285     $tobool = ($0 | 0) == 0;
   80286     if ($tobool) {
   80287       label = 5;
   80288       break;
   80289     } else {
   80290       label = 3;
   80291       break;
   80292     }
   80293    case 3:
   80294     $end_anchor = $0 + 76 | 0;
   80295     $1 = HEAP32[$end_anchor >> 2] | 0;
   80296     $tobool1 = ($1 | 0) == 0;
   80297     if ($tobool1) {
   80298       label = 5;
   80299       break;
   80300     } else {
   80301       label = 4;
   80302       break;
   80303     }
   80304    case 4:
   80305     FUNCTION_TABLE_vi[$1 & 1023]($job);
   80306     label = 5;
   80307     break;
   80308    case 5:
   80309     return;
   80310   }
   80311 }
   80312 function _gvrender_begin_label($job, $type) {
   80313   $job = $job | 0;
   80314   $type = $type | 0;
   80315   var $engine = 0, $0 = 0, $tobool = 0, $begin_label = 0, $1 = 0, $tobool1 = 0, label = 0;
   80316   label = 2;
   80317   while (1) switch (label | 0) {
   80318    case 2:
   80319     $engine = $job + 60 | 0;
   80320     $0 = HEAP32[$engine >> 2] | 0;
   80321     $tobool = ($0 | 0) == 0;
   80322     if ($tobool) {
   80323       label = 5;
   80324       break;
   80325     } else {
   80326       label = 3;
   80327       break;
   80328     }
   80329    case 3:
   80330     $begin_label = $0 + 80 | 0;
   80331     $1 = HEAP32[$begin_label >> 2] | 0;
   80332     $tobool1 = ($1 | 0) == 0;
   80333     if ($tobool1) {
   80334       label = 5;
   80335       break;
   80336     } else {
   80337       label = 4;
   80338       break;
   80339     }
   80340    case 4:
   80341     FUNCTION_TABLE_vii[$1 & 1023]($job, $type);
   80342     label = 5;
   80343     break;
   80344    case 5:
   80345     return;
   80346   }
   80347 }
   80348 function _gvrender_end_label($job) {
   80349   $job = $job | 0;
   80350   var $engine = 0, $0 = 0, $tobool = 0, $end_label = 0, $1 = 0, $tobool1 = 0, label = 0;
   80351   label = 2;
   80352   while (1) switch (label | 0) {
   80353    case 2:
   80354     $engine = $job + 60 | 0;
   80355     $0 = HEAP32[$engine >> 2] | 0;
   80356     $tobool = ($0 | 0) == 0;
   80357     if ($tobool) {
   80358       label = 5;
   80359       break;
   80360     } else {
   80361       label = 3;
   80362       break;
   80363     }
   80364    case 3:
   80365     $end_label = $0 + 84 | 0;
   80366     $1 = HEAP32[$end_label >> 2] | 0;
   80367     $tobool1 = ($1 | 0) == 0;
   80368     if ($tobool1) {
   80369       label = 5;
   80370       break;
   80371     } else {
   80372       label = 4;
   80373       break;
   80374     }
   80375    case 4:
   80376     FUNCTION_TABLE_vi[$1 & 1023]($job);
   80377     label = 5;
   80378     break;
   80379    case 5:
   80380     return;
   80381   }
   80382 }
   80383 function _gvrender_textpara($job, $p, $para) {
   80384   $job = $job | 0;
   80385   $p = $p | 0;
   80386   $para = $para | 0;
   80387   var $PF = 0, $tmp = 0, $engine = 0, $0 = 0, $str = 0, $1 = 0, $tobool = 0, $2 = 0, $tobool2 = 0, $obj = 0, $3 = 0, $tobool4 = 0, $pen = 0, $4 = 0, $cmp = 0, $flags = 0, $5 = 0, $and = 0, $tobool7 = 0, $6 = 0, $7 = 0, $8 = 0, $9 = 0, $tobool9 = 0, $textpara = 0, $10 = 0, $tobool11 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   80388   __stackBase__ = STACKTOP;
   80389   STACKTOP = STACKTOP + 32 | 0;
   80390   tempParam = $p;
   80391   $p = STACKTOP;
   80392   STACKTOP = STACKTOP + 16 | 0;
   80393   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   80394   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   80395   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   80396   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   80397   label = 2;
   80398   while (1) switch (label | 0) {
   80399    case 2:
   80400     $PF = __stackBase__ | 0;
   80401     $tmp = __stackBase__ + 16 | 0;
   80402     $engine = $job + 60 | 0;
   80403     $0 = HEAP32[$engine >> 2] | 0;
   80404     $str = $para | 0;
   80405     $1 = HEAP32[$str >> 2] | 0;
   80406     $tobool = ($1 | 0) == 0;
   80407     if ($tobool) {
   80408       label = 12;
   80409       break;
   80410     } else {
   80411       label = 3;
   80412       break;
   80413     }
   80414    case 3:
   80415     $2 = HEAP8[$1] | 0;
   80416     $tobool2 = $2 << 24 >> 24 == 0;
   80417     if ($tobool2) {
   80418       label = 12;
   80419       break;
   80420     } else {
   80421       label = 4;
   80422       break;
   80423     }
   80424    case 4:
   80425     $obj = $job + 16 | 0;
   80426     $3 = HEAP32[$obj >> 2] | 0;
   80427     $tobool4 = ($3 | 0) == 0;
   80428     if ($tobool4) {
   80429       label = 6;
   80430       break;
   80431     } else {
   80432       label = 5;
   80433       break;
   80434     }
   80435    case 5:
   80436     $pen = $3 + 96 | 0;
   80437     $4 = HEAP32[$pen >> 2] | 0;
   80438     $cmp = ($4 | 0) == 0;
   80439     if ($cmp) {
   80440       label = 12;
   80441       break;
   80442     } else {
   80443       label = 6;
   80444       break;
   80445     }
   80446    case 6:
   80447     $flags = $job + 152 | 0;
   80448     $5 = HEAP32[$flags >> 2] | 0;
   80449     $and = $5 & 8192;
   80450     $tobool7 = ($and | 0) == 0;
   80451     if ($tobool7) {
   80452       label = 8;
   80453       break;
   80454     } else {
   80455       label = 7;
   80456       break;
   80457     }
   80458    case 7:
   80459     $6 = $PF;
   80460     $7 = $p;
   80461     HEAP32[$6 >> 2] = HEAP32[$7 >> 2] | 0;
   80462     HEAP32[$6 + 4 >> 2] = HEAP32[$7 + 4 >> 2] | 0;
   80463     HEAP32[$6 + 8 >> 2] = HEAP32[$7 + 8 >> 2] | 0;
   80464     HEAP32[$6 + 12 >> 2] = HEAP32[$7 + 12 >> 2] | 0;
   80465     label = 9;
   80466     break;
   80467    case 8:
   80468     _gvrender_ptf($tmp, $job, $p);
   80469     $8 = $PF;
   80470     $9 = $tmp;
   80471     HEAP32[$8 >> 2] = HEAP32[$9 >> 2] | 0;
   80472     HEAP32[$8 + 4 >> 2] = HEAP32[$9 + 4 >> 2] | 0;
   80473     HEAP32[$8 + 8 >> 2] = HEAP32[$9 + 8 >> 2] | 0;
   80474     HEAP32[$8 + 12 >> 2] = HEAP32[$9 + 12 >> 2] | 0;
   80475     label = 9;
   80476     break;
   80477    case 9:
   80478     $tobool9 = ($0 | 0) == 0;
   80479     if ($tobool9) {
   80480       label = 12;
   80481       break;
   80482     } else {
   80483       label = 10;
   80484       break;
   80485     }
   80486    case 10:
   80487     $textpara = $0 + 88 | 0;
   80488     $10 = HEAP32[$textpara >> 2] | 0;
   80489     $tobool11 = ($10 | 0) == 0;
   80490     if ($tobool11) {
   80491       label = 12;
   80492       break;
   80493     } else {
   80494       label = 11;
   80495       break;
   80496     }
   80497    case 11:
   80498     FUNCTION_TABLE_viii[$10 & 1023]($job, $PF, $para);
   80499     label = 12;
   80500     break;
   80501    case 12:
   80502     STACKTOP = __stackBase__;
   80503     return;
   80504   }
   80505 }
   80506 function _gvrender_set_pencolor($job, $name) {
   80507   $job = $job | 0;
   80508   $name = $name | 0;
   80509   var $engine = 0, $0 = 0, $obj = 0, $1 = 0, $pencolor = 0, $strchr = 0, $tobool = 0, $tobool1 = 0, $features = 0, $2 = 0, $resolve_color = 0, $3 = 0, $tobool4 = 0, label = 0;
   80510   label = 2;
   80511   while (1) switch (label | 0) {
   80512    case 2:
   80513     $engine = $job + 60 | 0;
   80514     $0 = HEAP32[$engine >> 2] | 0;
   80515     $obj = $job + 16 | 0;
   80516     $1 = HEAP32[$obj >> 2] | 0;
   80517     $pencolor = $1 + 16 | 0;
   80518     $strchr = _strchr($name | 0, 58) | 0;
   80519     $tobool = ($strchr | 0) != 0;
   80520     if ($tobool) {
   80521       label = 3;
   80522       break;
   80523     } else {
   80524       label = 4;
   80525       break;
   80526     }
   80527    case 3:
   80528     HEAP8[$strchr] = 0;
   80529     label = 4;
   80530     break;
   80531    case 4:
   80532     $tobool1 = ($0 | 0) == 0;
   80533     if ($tobool1) {
   80534       label = 7;
   80535       break;
   80536     } else {
   80537       label = 5;
   80538       break;
   80539     }
   80540    case 5:
   80541     $features = $job + 68 | 0;
   80542     $2 = HEAP32[$features >> 2] | 0;
   80543     _gvrender_resolve_color($2, $name, $pencolor);
   80544     $resolve_color = $0 + 92 | 0;
   80545     $3 = HEAP32[$resolve_color >> 2] | 0;
   80546     $tobool4 = ($3 | 0) == 0;
   80547     if ($tobool4) {
   80548       label = 7;
   80549       break;
   80550     } else {
   80551       label = 6;
   80552       break;
   80553     }
   80554    case 6:
   80555     FUNCTION_TABLE_vii[$3 & 1023]($job, $pencolor);
   80556     label = 7;
   80557     break;
   80558    case 7:
   80559     if ($tobool) {
   80560       label = 8;
   80561       break;
   80562     } else {
   80563       label = 9;
   80564       break;
   80565     }
   80566    case 8:
   80567     HEAP8[$strchr] = 58;
   80568     label = 9;
   80569     break;
   80570    case 9:
   80571     return;
   80572   }
   80573 }
   80574 function _gvrender_set_fillcolor($job, $name) {
   80575   $job = $job | 0;
   80576   $name = $name | 0;
   80577   var $engine = 0, $0 = 0, $obj = 0, $1 = 0, $fillcolor = 0, $strchr = 0, $tobool = 0, $tobool1 = 0, $features = 0, $2 = 0, $resolve_color = 0, $3 = 0, $tobool4 = 0, label = 0;
   80578   label = 2;
   80579   while (1) switch (label | 0) {
   80580    case 2:
   80581     $engine = $job + 60 | 0;
   80582     $0 = HEAP32[$engine >> 2] | 0;
   80583     $obj = $job + 16 | 0;
   80584     $1 = HEAP32[$obj >> 2] | 0;
   80585     $fillcolor = $1 + 56 | 0;
   80586     $strchr = _strchr($name | 0, 58) | 0;
   80587     $tobool = ($strchr | 0) != 0;
   80588     if ($tobool) {
   80589       label = 3;
   80590       break;
   80591     } else {
   80592       label = 4;
   80593       break;
   80594     }
   80595    case 3:
   80596     HEAP8[$strchr] = 0;
   80597     label = 4;
   80598     break;
   80599    case 4:
   80600     $tobool1 = ($0 | 0) == 0;
   80601     if ($tobool1) {
   80602       label = 7;
   80603       break;
   80604     } else {
   80605       label = 5;
   80606       break;
   80607     }
   80608    case 5:
   80609     $features = $job + 68 | 0;
   80610     $2 = HEAP32[$features >> 2] | 0;
   80611     _gvrender_resolve_color($2, $name, $fillcolor);
   80612     $resolve_color = $0 + 92 | 0;
   80613     $3 = HEAP32[$resolve_color >> 2] | 0;
   80614     $tobool4 = ($3 | 0) == 0;
   80615     if ($tobool4) {
   80616       label = 7;
   80617       break;
   80618     } else {
   80619       label = 6;
   80620       break;
   80621     }
   80622    case 6:
   80623     FUNCTION_TABLE_vii[$3 & 1023]($job, $fillcolor);
   80624     label = 7;
   80625     break;
   80626    case 7:
   80627     if ($tobool) {
   80628       label = 8;
   80629       break;
   80630     } else {
   80631       label = 9;
   80632       break;
   80633     }
   80634    case 8:
   80635     HEAP8[$strchr] = 58;
   80636     label = 9;
   80637     break;
   80638    case 9:
   80639     return;
   80640   }
   80641 }
   80642 function _gvrender_set_style($job, $s) {
   80643   $job = $job | 0;
   80644   $s = $s | 0;
   80645   var $engine = 0, $0 = 0, $obj1 = 0, $1 = 0, $rawstyle = 0, $tobool = 0, $tobool2 = 0, $or_cond = 0, $2 = 0, $tobool436 = 0, $pen = 0, $pen17 = 0, $pen27 = 0, $pen44 = 0, $penwidth = 0, $penwidth69 = 0, $fill = 0, $fill88 = 0, $3 = 0, $s_pn = 0, $incdec_ptr37 = 0, $4 = 0, $cmp = 0, $call = 0, $tobool7 = 0, $_pr = 0, $5 = 0, $cmp11 = 0, $call14 = 0, $tobool15 = 0, $6 = 0, $tobool4 = 0, $7 = 0, $cmp21 = 0, $call24 = 0, $tobool25 = 0, $_pr32 = 0, $8 = 0, $cmp31 = 0, $call34 = 0, $tobool35 = 0, $9 = 0, $cmp38 = 0, $call41 = 0, $tobool42 = 0, $_pr33 = 0, $10 = 0, $cmp48 = 0, $call51 = 0, $tobool52 = 0, $11 = 0, $cmp57 = 0, $call60 = 0, $tobool61 = 0, $p_0 = 0, $12 = 0, $tobool64 = 0, $incdec_ptr66 = 0, $call68 = 0.0, $_pr34 = 0, $13 = 0, $cmp73 = 0, $call76 = 0, $tobool77 = 0, $14 = 0, $cmp82 = 0, $call85 = 0, $tobool86 = 0, $call90 = 0, label = 0, __stackBase__ = 0;
   80646   __stackBase__ = STACKTOP;
   80647   label = 2;
   80648   while (1) switch (label | 0) {
   80649    case 2:
   80650     $engine = $job + 60 | 0;
   80651     $0 = HEAP32[$engine >> 2] | 0;
   80652     $obj1 = $job + 16 | 0;
   80653     $1 = HEAP32[$obj1 >> 2] | 0;
   80654     $rawstyle = $1 + 112 | 0;
   80655     HEAP32[$rawstyle >> 2] = $s;
   80656     $tobool = ($0 | 0) == 0;
   80657     $tobool2 = ($s | 0) == 0;
   80658     $or_cond = $tobool | $tobool2;
   80659     if ($or_cond) {
   80660       label = 38;
   80661       break;
   80662     } else {
   80663       label = 3;
   80664       break;
   80665     }
   80666    case 3:
   80667     $2 = HEAP32[$s >> 2] | 0;
   80668     $tobool436 = ($2 | 0) == 0;
   80669     if ($tobool436) {
   80670       label = 38;
   80671       break;
   80672     } else {
   80673       label = 4;
   80674       break;
   80675     }
   80676    case 4:
   80677     $pen = $1 + 96 | 0;
   80678     $pen17 = $1 + 96 | 0;
   80679     $pen27 = $1 + 96 | 0;
   80680     $pen44 = $1 + 96 | 0;
   80681     $penwidth = $1 + 104 | 0;
   80682     $penwidth69 = $1 + 104 | 0;
   80683     $fill = $1 + 100 | 0;
   80684     $fill88 = $1 + 100 | 0;
   80685     $s_pn = $s;
   80686     $3 = $2;
   80687     label = 5;
   80688     break;
   80689    case 5:
   80690     $incdec_ptr37 = $s_pn + 4 | 0;
   80691     $4 = HEAP8[$3] | 0;
   80692     $cmp = $4 << 24 >> 24 == 115;
   80693     if ($cmp) {
   80694       label = 6;
   80695       break;
   80696     } else {
   80697       $5 = $4;
   80698       label = 9;
   80699       break;
   80700     }
   80701    case 6:
   80702     $call = _strcmp($3 | 0, 130512) | 0;
   80703     $tobool7 = ($call | 0) == 0;
   80704     if ($tobool7) {
   80705       label = 7;
   80706       break;
   80707     } else {
   80708       label = 8;
   80709       break;
   80710     }
   80711    case 7:
   80712     HEAP32[$pen >> 2] = 3;
   80713     label = 12;
   80714     break;
   80715    case 8:
   80716     $_pr = HEAP8[$3] | 0;
   80717     $5 = $_pr;
   80718     label = 9;
   80719     break;
   80720    case 9:
   80721     $cmp11 = $5 << 24 >> 24 == 100;
   80722     if ($cmp11) {
   80723       label = 10;
   80724       break;
   80725     } else {
   80726       label = 13;
   80727       break;
   80728     }
   80729    case 10:
   80730     $call14 = _strcmp($3 | 0, 118464) | 0;
   80731     $tobool15 = ($call14 | 0) == 0;
   80732     if ($tobool15) {
   80733       label = 11;
   80734       break;
   80735     } else {
   80736       label = 13;
   80737       break;
   80738     }
   80739    case 11:
   80740     HEAP32[$pen17 >> 2] = 1;
   80741     label = 12;
   80742     break;
   80743    case 12:
   80744     $6 = HEAP32[$incdec_ptr37 >> 2] | 0;
   80745     $tobool4 = ($6 | 0) == 0;
   80746     if ($tobool4) {
   80747       label = 38;
   80748       break;
   80749     } else {
   80750       $s_pn = $incdec_ptr37;
   80751       $3 = $6;
   80752       label = 5;
   80753       break;
   80754     }
   80755    case 13:
   80756     $7 = HEAP8[$3] | 0;
   80757     $cmp21 = $7 << 24 >> 24 == 100;
   80758     if ($cmp21) {
   80759       label = 14;
   80760       break;
   80761     } else {
   80762       $8 = $7;
   80763       label = 17;
   80764       break;
   80765     }
   80766    case 14:
   80767     $call24 = _strcmp($3 | 0, 113072) | 0;
   80768     $tobool25 = ($call24 | 0) == 0;
   80769     if ($tobool25) {
   80770       label = 15;
   80771       break;
   80772     } else {
   80773       label = 16;
   80774       break;
   80775     }
   80776    case 15:
   80777     HEAP32[$pen27 >> 2] = 2;
   80778     label = 12;
   80779     break;
   80780    case 16:
   80781     $_pr32 = HEAP8[$3] | 0;
   80782     $8 = $_pr32;
   80783     label = 17;
   80784     break;
   80785    case 17:
   80786     $cmp31 = $8 << 24 >> 24 == 105;
   80787     if ($cmp31) {
   80788       label = 18;
   80789       break;
   80790     } else {
   80791       label = 19;
   80792       break;
   80793     }
   80794    case 18:
   80795     $call34 = _strcmp($3 | 0, 108704) | 0;
   80796     $tobool35 = ($call34 | 0) == 0;
   80797     if ($tobool35) {
   80798       label = 21;
   80799       break;
   80800     } else {
   80801       label = 19;
   80802       break;
   80803     }
   80804    case 19:
   80805     $9 = HEAP8[$3] | 0;
   80806     $cmp38 = $9 << 24 >> 24 == 105;
   80807     if ($cmp38) {
   80808       label = 20;
   80809       break;
   80810     } else {
   80811       $10 = $9;
   80812       label = 23;
   80813       break;
   80814     }
   80815    case 20:
   80816     $call41 = _strcmp($3 | 0, 104616) | 0;
   80817     $tobool42 = ($call41 | 0) == 0;
   80818     if ($tobool42) {
   80819       label = 21;
   80820       break;
   80821     } else {
   80822       label = 22;
   80823       break;
   80824     }
   80825    case 21:
   80826     HEAP32[$pen44 >> 2] = 0;
   80827     label = 12;
   80828     break;
   80829    case 22:
   80830     $_pr33 = HEAP8[$3] | 0;
   80831     $10 = $_pr33;
   80832     label = 23;
   80833     break;
   80834    case 23:
   80835     $cmp48 = $10 << 24 >> 24 == 98;
   80836     if ($cmp48) {
   80837       label = 24;
   80838       break;
   80839     } else {
   80840       label = 26;
   80841       break;
   80842     }
   80843    case 24:
   80844     $call51 = _strcmp($3 | 0, 100424) | 0;
   80845     $tobool52 = ($call51 | 0) == 0;
   80846     if ($tobool52) {
   80847       label = 25;
   80848       break;
   80849     } else {
   80850       label = 26;
   80851       break;
   80852     }
   80853    case 25:
   80854     HEAPF64[$penwidth >> 3] = 2.0;
   80855     label = 12;
   80856     break;
   80857    case 26:
   80858     $11 = HEAP8[$3] | 0;
   80859     $cmp57 = $11 << 24 >> 24 == 115;
   80860     if ($cmp57) {
   80861       label = 27;
   80862       break;
   80863     } else {
   80864       $13 = $11;
   80865       label = 31;
   80866       break;
   80867     }
   80868    case 27:
   80869     $call60 = _strcmp($3 | 0, 96216) | 0;
   80870     $tobool61 = ($call60 | 0) == 0;
   80871     if ($tobool61) {
   80872       $p_0 = $3;
   80873       label = 28;
   80874       break;
   80875     } else {
   80876       label = 30;
   80877       break;
   80878     }
   80879    case 28:
   80880     $12 = HEAP8[$p_0] | 0;
   80881     $tobool64 = $12 << 24 >> 24 == 0;
   80882     $incdec_ptr66 = $p_0 + 1 | 0;
   80883     if ($tobool64) {
   80884       label = 29;
   80885       break;
   80886     } else {
   80887       $p_0 = $incdec_ptr66;
   80888       label = 28;
   80889       break;
   80890     }
   80891    case 29:
   80892     $call68 = +_atof($incdec_ptr66);
   80893     HEAPF64[$penwidth69 >> 3] = $call68;
   80894     label = 12;
   80895     break;
   80896    case 30:
   80897     $_pr34 = HEAP8[$3] | 0;
   80898     $13 = $_pr34;
   80899     label = 31;
   80900     break;
   80901    case 31:
   80902     $cmp73 = $13 << 24 >> 24 == 102;
   80903     if ($cmp73) {
   80904       label = 32;
   80905       break;
   80906     } else {
   80907       label = 34;
   80908       break;
   80909     }
   80910    case 32:
   80911     $call76 = _strcmp($3 | 0, 92800) | 0;
   80912     $tobool77 = ($call76 | 0) == 0;
   80913     if ($tobool77) {
   80914       label = 33;
   80915       break;
   80916     } else {
   80917       label = 34;
   80918       break;
   80919     }
   80920    case 33:
   80921     HEAP32[$fill >> 2] = 1;
   80922     label = 12;
   80923     break;
   80924    case 34:
   80925     $14 = HEAP8[$3] | 0;
   80926     $cmp82 = $14 << 24 >> 24 == 117;
   80927     if ($cmp82) {
   80928       label = 35;
   80929       break;
   80930     } else {
   80931       label = 37;
   80932       break;
   80933     }
   80934    case 35:
   80935     $call85 = _strcmp($3 | 0, 162912) | 0;
   80936     $tobool86 = ($call85 | 0) == 0;
   80937     if ($tobool86) {
   80938       label = 36;
   80939       break;
   80940     } else {
   80941       label = 37;
   80942       break;
   80943     }
   80944    case 36:
   80945     HEAP32[$fill88 >> 2] = 0;
   80946     label = 12;
   80947     break;
   80948    case 37:
   80949     $call90 = _agerr(0, 159472, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $3, tempInt) | 0) | 0;
   80950     label = 12;
   80951     break;
   80952    case 38:
   80953     STACKTOP = __stackBase__;
   80954     return;
   80955   }
   80956 }
   80957 function _gvrender_ellipse($job, $pf, $n, $filled) {
   80958   $job = $job | 0;
   80959   $pf = $pf | 0;
   80960   $n = $n | 0;
   80961   $filled = $filled | 0;
   80962   var $af = 0, $engine = 0, $0 = 0, $tobool = 0, $ellipse = 0, $1 = 0, $tobool1 = 0, $obj = 0, $2 = 0, $pen = 0, $3 = 0, $cmp = 0, $x = 0, $4 = 0.0, $arrayidx3 = 0, $x4 = 0, $5 = 0.0, $add = 0.0, $div = 0.0, $arrayidx5 = 0, $x6 = 0, $y = 0, $6 = 0.0, $y9 = 0, $7 = 0.0, $add10 = 0.0, $div11 = 0.0, $y13 = 0, $arrayidx14 = 0, $8 = 0, $9 = 0, $flags = 0, $10 = 0, $and = 0, $tobool16 = 0, $call = 0, $11 = 0, $conv = 0, label = 0, __stackBase__ = 0;
   80963   __stackBase__ = STACKTOP;
   80964   STACKTOP = STACKTOP + 32 | 0;
   80965   label = 2;
   80966   while (1) switch (label | 0) {
   80967    case 2:
   80968     $af = __stackBase__ | 0;
   80969     $engine = $job + 60 | 0;
   80970     $0 = HEAP32[$engine >> 2] | 0;
   80971     $tobool = ($0 | 0) == 0;
   80972     if ($tobool) {
   80973       label = 8;
   80974       break;
   80975     } else {
   80976       label = 3;
   80977       break;
   80978     }
   80979    case 3:
   80980     $ellipse = $0 + 96 | 0;
   80981     $1 = HEAP32[$ellipse >> 2] | 0;
   80982     $tobool1 = ($1 | 0) == 0;
   80983     if ($tobool1) {
   80984       label = 8;
   80985       break;
   80986     } else {
   80987       label = 4;
   80988       break;
   80989     }
   80990    case 4:
   80991     $obj = $job + 16 | 0;
   80992     $2 = HEAP32[$obj >> 2] | 0;
   80993     $pen = $2 + 96 | 0;
   80994     $3 = HEAP32[$pen >> 2] | 0;
   80995     $cmp = ($3 | 0) == 0;
   80996     if ($cmp) {
   80997       label = 8;
   80998       break;
   80999     } else {
   81000       label = 5;
   81001       break;
   81002     }
   81003    case 5:
   81004     $x = $pf | 0;
   81005     $4 = +HEAPF64[$x >> 3];
   81006     $arrayidx3 = $pf + 16 | 0;
   81007     $x4 = $arrayidx3 | 0;
   81008     $5 = +HEAPF64[$x4 >> 3];
   81009     $add = $4 + $5;
   81010     $div = $add * .5;
   81011     $arrayidx5 = $af | 0;
   81012     $x6 = $af | 0;
   81013     HEAPF64[$x6 >> 3] = $div;
   81014     $y = $pf + 8 | 0;
   81015     $6 = +HEAPF64[$y >> 3];
   81016     $y9 = $pf + 24 | 0;
   81017     $7 = +HEAPF64[$y9 >> 3];
   81018     $add10 = $6 + $7;
   81019     $div11 = $add10 * .5;
   81020     $y13 = $af + 8 | 0;
   81021     HEAPF64[$y13 >> 3] = $div11;
   81022     $arrayidx14 = $af + 16 | 0;
   81023     $8 = $arrayidx14;
   81024     $9 = $arrayidx3;
   81025     HEAP32[$8 >> 2] = HEAP32[$9 >> 2] | 0;
   81026     HEAP32[$8 + 4 >> 2] = HEAP32[$9 + 4 >> 2] | 0;
   81027     HEAP32[$8 + 8 >> 2] = HEAP32[$9 + 8 >> 2] | 0;
   81028     HEAP32[$8 + 12 >> 2] = HEAP32[$9 + 12 >> 2] | 0;
   81029     $flags = $job + 152 | 0;
   81030     $10 = HEAP32[$flags >> 2] | 0;
   81031     $and = $10 & 8192;
   81032     $tobool16 = ($and | 0) == 0;
   81033     if ($tobool16) {
   81034       label = 6;
   81035       break;
   81036     } else {
   81037       label = 7;
   81038       break;
   81039     }
   81040    case 6:
   81041     $call = _gvrender_ptf_A($job, $arrayidx5, $arrayidx5, 2) | 0;
   81042     label = 7;
   81043     break;
   81044    case 7:
   81045     $11 = HEAP32[$ellipse >> 2] | 0;
   81046     $conv = $filled & 255;
   81047     FUNCTION_TABLE_viii[$11 & 1023]($job, $arrayidx5, $conv);
   81048     label = 8;
   81049     break;
   81050    case 8:
   81051     STACKTOP = __stackBase__;
   81052     return;
   81053   }
   81054 }
   81055 function _gvrender_polygon($job, $af, $n, $filled) {
   81056   $job = $job | 0;
   81057   $af = $af | 0;
   81058   $n = $n | 0;
   81059   $filled = $filled | 0;
   81060   var $engine = 0, $0 = 0, $tobool = 0, $polygon = 0, $1 = 0, $tobool1 = 0, $obj = 0, $2 = 0, $pen = 0, $3 = 0, $cmp = 0, $flags = 0, $4 = 0, $and = 0, $tobool3 = 0, $conv = 0, $5 = 0, $cmp6 = 0, $add = 0, $6 = 0, $7 = 0, $mul = 0, $call = 0, $8 = 0, $9 = 0, $call9 = 0, $10 = 0, $11 = 0, $conv11 = 0, label = 0;
   81061   label = 2;
   81062   while (1) switch (label | 0) {
   81063    case 2:
   81064     $engine = $job + 60 | 0;
   81065     $0 = HEAP32[$engine >> 2] | 0;
   81066     $tobool = ($0 | 0) == 0;
   81067     if ($tobool) {
   81068       label = 10;
   81069       break;
   81070     } else {
   81071       label = 3;
   81072       break;
   81073     }
   81074    case 3:
   81075     $polygon = $0 + 100 | 0;
   81076     $1 = HEAP32[$polygon >> 2] | 0;
   81077     $tobool1 = ($1 | 0) == 0;
   81078     if ($tobool1) {
   81079       label = 10;
   81080       break;
   81081     } else {
   81082       label = 4;
   81083       break;
   81084     }
   81085    case 4:
   81086     $obj = $job + 16 | 0;
   81087     $2 = HEAP32[$obj >> 2] | 0;
   81088     $pen = $2 + 96 | 0;
   81089     $3 = HEAP32[$pen >> 2] | 0;
   81090     $cmp = ($3 | 0) == 0;
   81091     if ($cmp) {
   81092       label = 10;
   81093       break;
   81094     } else {
   81095       label = 5;
   81096       break;
   81097     }
   81098    case 5:
   81099     $flags = $job + 152 | 0;
   81100     $4 = HEAP32[$flags >> 2] | 0;
   81101     $and = $4 & 8192;
   81102     $tobool3 = ($and | 0) == 0;
   81103     if ($tobool3) {
   81104       label = 7;
   81105       break;
   81106     } else {
   81107       label = 6;
   81108       break;
   81109     }
   81110    case 6:
   81111     $conv = $filled & 255;
   81112     FUNCTION_TABLE_viiii[$1 & 1023]($job, $af, $n, $conv);
   81113     label = 10;
   81114     break;
   81115    case 7:
   81116     $5 = HEAP32[1052] | 0;
   81117     $cmp6 = ($5 | 0) < ($n | 0);
   81118     if ($cmp6) {
   81119       label = 8;
   81120       break;
   81121     } else {
   81122       label = 9;
   81123       break;
   81124     }
   81125    case 8:
   81126     $add = $n + 10 | 0;
   81127     HEAP32[1052] = $add;
   81128     $6 = HEAP32[42146] | 0;
   81129     $7 = $6;
   81130     $mul = $add << 4;
   81131     $call = _grealloc($7, $mul) | 0;
   81132     $8 = $call;
   81133     HEAP32[42146] = $8;
   81134     label = 9;
   81135     break;
   81136    case 9:
   81137     $9 = HEAP32[42146] | 0;
   81138     $call9 = _gvrender_ptf_A($job, $af, $9, $n) | 0;
   81139     $10 = HEAP32[$polygon >> 2] | 0;
   81140     $11 = HEAP32[42146] | 0;
   81141     $conv11 = $filled & 255;
   81142     FUNCTION_TABLE_viiii[$10 & 1023]($job, $11, $n, $conv11);
   81143     label = 10;
   81144     break;
   81145    case 10:
   81146     return;
   81147   }
   81148 }
   81149 function _gvrender_box($job, $B, $filled) {
   81150   $job = $job | 0;
   81151   $B = $B | 0;
   81152   $filled = $filled | 0;
   81153   var $A = 0, $0 = 0, $1 = 0, $arrayidx1 = 0, $2 = 0, $3 = 0, tempParam = 0, __stackBase__ = 0;
   81154   __stackBase__ = STACKTOP;
   81155   STACKTOP = STACKTOP + 64 | 0;
   81156   tempParam = $B;
   81157   $B = STACKTOP;
   81158   STACKTOP = STACKTOP + 32 | 0;
   81159   _memcpy($B, tempParam, 32);
   81160   $A = __stackBase__ | 0;
   81161   $0 = $A;
   81162   $1 = $B;
   81163   HEAP32[$0 >> 2] = HEAP32[$1 >> 2] | 0;
   81164   HEAP32[$0 + 4 >> 2] = HEAP32[$1 + 4 >> 2] | 0;
   81165   HEAP32[$0 + 8 >> 2] = HEAP32[$1 + 8 >> 2] | 0;
   81166   HEAP32[$0 + 12 >> 2] = HEAP32[$1 + 12 >> 2] | 0;
   81167   $arrayidx1 = $A + 32 | 0;
   81168   $2 = $arrayidx1;
   81169   $3 = $B + 16 | 0;
   81170   HEAP32[$2 >> 2] = HEAP32[$3 >> 2] | 0;
   81171   HEAP32[$2 + 4 >> 2] = HEAP32[$3 + 4 >> 2] | 0;
   81172   HEAP32[$2 + 8 >> 2] = HEAP32[$3 + 8 >> 2] | 0;
   81173   HEAP32[$2 + 12 >> 2] = HEAP32[$3 + 12 >> 2] | 0;
   81174   HEAPF64[$A + 16 >> 3] = +HEAPF64[$A >> 3];
   81175   HEAPF64[$A + 24 >> 3] = +HEAPF64[$A + 40 >> 3];
   81176   HEAPF64[$A + 48 >> 3] = +HEAPF64[$arrayidx1 >> 3];
   81177   HEAPF64[$A + 56 >> 3] = +HEAPF64[$A + 8 >> 3];
   81178   _gvrender_polygon($job, $A | 0, 4, $filled);
   81179   STACKTOP = __stackBase__;
   81180   return;
   81181 }
   81182 function _gvrender_set_penwidth($job, $penwidth) {
   81183   $job = $job | 0;
   81184   $penwidth = +$penwidth;
   81185   var $engine = 0, $0 = 0, $tobool = 0, $obj = 0, $1 = 0, $penwidth1 = 0, label = 0;
   81186   label = 2;
   81187   while (1) switch (label | 0) {
   81188    case 2:
   81189     $engine = $job + 60 | 0;
   81190     $0 = HEAP32[$engine >> 2] | 0;
   81191     $tobool = ($0 | 0) == 0;
   81192     if ($tobool) {
   81193       label = 4;
   81194       break;
   81195     } else {
   81196       label = 3;
   81197       break;
   81198     }
   81199    case 3:
   81200     $obj = $job + 16 | 0;
   81201     $1 = HEAP32[$obj >> 2] | 0;
   81202     $penwidth1 = $1 + 104 | 0;
   81203     HEAPF64[$penwidth1 >> 3] = $penwidth;
   81204     label = 4;
   81205     break;
   81206    case 4:
   81207     return;
   81208   }
   81209 }
   81210 function _gvusershape_size_dpi($agg_result, $us, $dpi) {
   81211   $agg_result = $agg_result | 0;
   81212   $us = $us | 0;
   81213   $dpi = $dpi | 0;
   81214   var $tobool = 0, $dpi1 = 0, $0 = 0, $cmp = 0, $conv = 0.0, $y4 = 0, $x5 = 0, $w = 0, $1 = 0, $mul = 0, $conv6 = 0.0, $x7 = 0, $2 = 0.0, $div = 0.0, $conv8 = 0, $h = 0, $3 = 0, $mul10 = 0, $conv11 = 0.0, $y12 = 0, $4 = 0.0, $div13 = 0.0, $conv14 = 0, $rv_sroa_1_0 = 0, $rv_sroa_0_0 = 0, $rv_sroa_0_0__idx = 0, $rv_sroa_1_4__idx1 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   81215   __stackBase__ = STACKTOP;
   81216   tempParam = $dpi;
   81217   $dpi = STACKTOP;
   81218   STACKTOP = STACKTOP + 16 | 0;
   81219   HEAP32[$dpi >> 2] = HEAP32[tempParam >> 2] | 0;
   81220   HEAP32[$dpi + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   81221   HEAP32[$dpi + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   81222   HEAP32[$dpi + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   81223   label = 2;
   81224   while (1) switch (label | 0) {
   81225    case 2:
   81226     $tobool = ($us | 0) == 0;
   81227     if ($tobool) {
   81228       $rv_sroa_0_0 = -1;
   81229       $rv_sroa_1_0 = -1;
   81230       label = 6;
   81231       break;
   81232     } else {
   81233       label = 3;
   81234       break;
   81235     }
   81236    case 3:
   81237     $dpi1 = $us + 48 | 0;
   81238     $0 = HEAP32[$dpi1 >> 2] | 0;
   81239     $cmp = ($0 | 0) == 0;
   81240     if ($cmp) {
   81241       label = 5;
   81242       break;
   81243     } else {
   81244       label = 4;
   81245       break;
   81246     }
   81247    case 4:
   81248     $conv = +($0 | 0);
   81249     $y4 = $dpi + 8 | 0;
   81250     HEAPF64[$y4 >> 3] = $conv;
   81251     $x5 = $dpi | 0;
   81252     HEAPF64[$x5 >> 3] = $conv;
   81253     label = 5;
   81254     break;
   81255    case 5:
   81256     $w = $us + 40 | 0;
   81257     $1 = HEAP32[$w >> 2] | 0;
   81258     $mul = $1 * 72 & -1;
   81259     $conv6 = +($mul | 0);
   81260     $x7 = $dpi | 0;
   81261     $2 = +HEAPF64[$x7 >> 3];
   81262     $div = $conv6 / $2;
   81263     $conv8 = ~~$div;
   81264     $h = $us + 44 | 0;
   81265     $3 = HEAP32[$h >> 2] | 0;
   81266     $mul10 = $3 * 72 & -1;
   81267     $conv11 = +($mul10 | 0);
   81268     $y12 = $dpi + 8 | 0;
   81269     $4 = +HEAPF64[$y12 >> 3];
   81270     $div13 = $conv11 / $4;
   81271     $conv14 = ~~$div13;
   81272     $rv_sroa_0_0 = $conv8;
   81273     $rv_sroa_1_0 = $conv14;
   81274     label = 6;
   81275     break;
   81276    case 6:
   81277     $rv_sroa_0_0__idx = $agg_result | 0;
   81278     HEAP32[$rv_sroa_0_0__idx >> 2] = $rv_sroa_0_0;
   81279     $rv_sroa_1_4__idx1 = $agg_result + 4 | 0;
   81280     HEAP32[$rv_sroa_1_4__idx1 >> 2] = $rv_sroa_1_0;
   81281     STACKTOP = __stackBase__;
   81282     return;
   81283   }
   81284 }
   81285 function _gvrender_beziercurve($job, $af, $n, $arrow_at_start, $arrow_at_end, $filled) {
   81286   $job = $job | 0;
   81287   $af = $af | 0;
   81288   $n = $n | 0;
   81289   $arrow_at_start = $arrow_at_start | 0;
   81290   $arrow_at_end = $arrow_at_end | 0;
   81291   $filled = $filled | 0;
   81292   var $engine = 0, $0 = 0, $tobool = 0, $beziercurve = 0, $1 = 0, $tobool1 = 0, $obj = 0, $2 = 0, $pen = 0, $3 = 0, $cmp = 0, $flags = 0, $4 = 0, $and = 0, $tobool3 = 0, $conv = 0, $5 = 0, $cmp6 = 0, $add = 0, $6 = 0, $7 = 0, $mul = 0, $call = 0, $8 = 0, $9 = 0, $call9 = 0, $10 = 0, $11 = 0, $conv11 = 0, label = 0;
   81293   label = 2;
   81294   while (1) switch (label | 0) {
   81295    case 2:
   81296     $engine = $job + 60 | 0;
   81297     $0 = HEAP32[$engine >> 2] | 0;
   81298     $tobool = ($0 | 0) == 0;
   81299     if ($tobool) {
   81300       label = 10;
   81301       break;
   81302     } else {
   81303       label = 3;
   81304       break;
   81305     }
   81306    case 3:
   81307     $beziercurve = $0 + 104 | 0;
   81308     $1 = HEAP32[$beziercurve >> 2] | 0;
   81309     $tobool1 = ($1 | 0) == 0;
   81310     if ($tobool1) {
   81311       label = 10;
   81312       break;
   81313     } else {
   81314       label = 4;
   81315       break;
   81316     }
   81317    case 4:
   81318     $obj = $job + 16 | 0;
   81319     $2 = HEAP32[$obj >> 2] | 0;
   81320     $pen = $2 + 96 | 0;
   81321     $3 = HEAP32[$pen >> 2] | 0;
   81322     $cmp = ($3 | 0) == 0;
   81323     if ($cmp) {
   81324       label = 10;
   81325       break;
   81326     } else {
   81327       label = 5;
   81328       break;
   81329     }
   81330    case 5:
   81331     $flags = $job + 152 | 0;
   81332     $4 = HEAP32[$flags >> 2] | 0;
   81333     $and = $4 & 8192;
   81334     $tobool3 = ($and | 0) == 0;
   81335     if ($tobool3) {
   81336       label = 7;
   81337       break;
   81338     } else {
   81339       label = 6;
   81340       break;
   81341     }
   81342    case 6:
   81343     $conv = $filled & 255;
   81344     FUNCTION_TABLE_viiiiii[$1 & 1023]($job, $af, $n, $arrow_at_start, $arrow_at_end, $conv);
   81345     label = 10;
   81346     break;
   81347    case 7:
   81348     $5 = HEAP32[1052] | 0;
   81349     $cmp6 = ($5 | 0) < ($n | 0);
   81350     if ($cmp6) {
   81351       label = 8;
   81352       break;
   81353     } else {
   81354       label = 9;
   81355       break;
   81356     }
   81357    case 8:
   81358     $add = $n + 10 | 0;
   81359     HEAP32[1052] = $add;
   81360     $6 = HEAP32[42146] | 0;
   81361     $7 = $6;
   81362     $mul = $add << 4;
   81363     $call = _grealloc($7, $mul) | 0;
   81364     $8 = $call;
   81365     HEAP32[42146] = $8;
   81366     label = 9;
   81367     break;
   81368    case 9:
   81369     $9 = HEAP32[42146] | 0;
   81370     $call9 = _gvrender_ptf_A($job, $af, $9, $n) | 0;
   81371     $10 = HEAP32[$beziercurve >> 2] | 0;
   81372     $11 = HEAP32[42146] | 0;
   81373     $conv11 = $filled & 255;
   81374     FUNCTION_TABLE_viiiiii[$10 & 1023]($job, $11, $n, $arrow_at_start, $arrow_at_end, $conv11);
   81375     label = 10;
   81376     break;
   81377    case 10:
   81378     return;
   81379   }
   81380 }
   81381 function _gvrender_polyline($job, $af, $n) {
   81382   $job = $job | 0;
   81383   $af = $af | 0;
   81384   $n = $n | 0;
   81385   var $engine = 0, $0 = 0, $tobool = 0, $polyline = 0, $1 = 0, $tobool1 = 0, $obj = 0, $2 = 0, $pen = 0, $3 = 0, $cmp = 0, $flags = 0, $4 = 0, $and = 0, $tobool3 = 0, $5 = 0, $cmp6 = 0, $add = 0, $6 = 0, $7 = 0, $mul = 0, $call = 0, $8 = 0, $9 = 0, $call8 = 0, $10 = 0, $11 = 0, label = 0;
   81386   label = 2;
   81387   while (1) switch (label | 0) {
   81388    case 2:
   81389     $engine = $job + 60 | 0;
   81390     $0 = HEAP32[$engine >> 2] | 0;
   81391     $tobool = ($0 | 0) == 0;
   81392     if ($tobool) {
   81393       label = 10;
   81394       break;
   81395     } else {
   81396       label = 3;
   81397       break;
   81398     }
   81399    case 3:
   81400     $polyline = $0 + 108 | 0;
   81401     $1 = HEAP32[$polyline >> 2] | 0;
   81402     $tobool1 = ($1 | 0) == 0;
   81403     if ($tobool1) {
   81404       label = 10;
   81405       break;
   81406     } else {
   81407       label = 4;
   81408       break;
   81409     }
   81410    case 4:
   81411     $obj = $job + 16 | 0;
   81412     $2 = HEAP32[$obj >> 2] | 0;
   81413     $pen = $2 + 96 | 0;
   81414     $3 = HEAP32[$pen >> 2] | 0;
   81415     $cmp = ($3 | 0) == 0;
   81416     if ($cmp) {
   81417       label = 10;
   81418       break;
   81419     } else {
   81420       label = 5;
   81421       break;
   81422     }
   81423    case 5:
   81424     $flags = $job + 152 | 0;
   81425     $4 = HEAP32[$flags >> 2] | 0;
   81426     $and = $4 & 8192;
   81427     $tobool3 = ($and | 0) == 0;
   81428     if ($tobool3) {
   81429       label = 7;
   81430       break;
   81431     } else {
   81432       label = 6;
   81433       break;
   81434     }
   81435    case 6:
   81436     FUNCTION_TABLE_viii[$1 & 1023]($job, $af, $n);
   81437     label = 10;
   81438     break;
   81439    case 7:
   81440     $5 = HEAP32[1052] | 0;
   81441     $cmp6 = ($5 | 0) < ($n | 0);
   81442     if ($cmp6) {
   81443       label = 8;
   81444       break;
   81445     } else {
   81446       label = 9;
   81447       break;
   81448     }
   81449    case 8:
   81450     $add = $n + 10 | 0;
   81451     HEAP32[1052] = $add;
   81452     $6 = HEAP32[42146] | 0;
   81453     $7 = $6;
   81454     $mul = $add << 4;
   81455     $call = _grealloc($7, $mul) | 0;
   81456     $8 = $call;
   81457     HEAP32[42146] = $8;
   81458     label = 9;
   81459     break;
   81460    case 9:
   81461     $9 = HEAP32[42146] | 0;
   81462     $call8 = _gvrender_ptf_A($job, $af, $9, $n) | 0;
   81463     $10 = HEAP32[$polyline >> 2] | 0;
   81464     $11 = HEAP32[42146] | 0;
   81465     FUNCTION_TABLE_viii[$10 & 1023]($job, $11, $n);
   81466     label = 10;
   81467     break;
   81468    case 10:
   81469     return;
   81470   }
   81471 }
   81472 function _gvrender_comment($job, $str) {
   81473   $job = $job | 0;
   81474   $str = $str | 0;
   81475   var $engine = 0, $0 = 0, $tobool = 0, $1 = 0, $tobool1 = 0, $tobool2 = 0, $or_cond = 0, $comment = 0, $2 = 0, $tobool4 = 0, label = 0;
   81476   label = 2;
   81477   while (1) switch (label | 0) {
   81478    case 2:
   81479     $engine = $job + 60 | 0;
   81480     $0 = HEAP32[$engine >> 2] | 0;
   81481     $tobool = ($str | 0) == 0;
   81482     if ($tobool) {
   81483       label = 6;
   81484       break;
   81485     } else {
   81486       label = 3;
   81487       break;
   81488     }
   81489    case 3:
   81490     $1 = HEAP8[$str] | 0;
   81491     $tobool1 = $1 << 24 >> 24 == 0;
   81492     $tobool2 = ($0 | 0) == 0;
   81493     $or_cond = $tobool1 | $tobool2;
   81494     if ($or_cond) {
   81495       label = 6;
   81496       break;
   81497     } else {
   81498       label = 4;
   81499       break;
   81500     }
   81501    case 4:
   81502     $comment = $0 + 112 | 0;
   81503     $2 = HEAP32[$comment >> 2] | 0;
   81504     $tobool4 = ($2 | 0) == 0;
   81505     if ($tobool4) {
   81506       label = 6;
   81507       break;
   81508     } else {
   81509       label = 5;
   81510       break;
   81511     }
   81512    case 5:
   81513     FUNCTION_TABLE_vii[$2 & 1023]($job, $str);
   81514     label = 6;
   81515     break;
   81516    case 6:
   81517     return;
   81518   }
   81519 }
   81520 function _gvrender_usershape($job, $name, $a, $n, $filled, $imagescale) {
   81521   $job = $job | 0;
   81522   $name = $name | 0;
   81523   $a = $a | 0;
   81524   $n = $n | 0;
   81525   $filled = $filled | 0;
   81526   $imagescale = $imagescale | 0;
   81527   var $b = 0, $tmp = 0, $tmp139 = 0, $tmp142 = 0, $engine = 0, $0 = 0, $call = 0, $tobool = 0, $call1 = 0, $tobool2 = 0, $tobool4 = 0, $or_cond = 0, $library_shape = 0, $1 = 0, $tobool5 = 0, $conv = 0, $dpi = 0, $isz_sroa_0_0__idx = 0, $isz_sroa_0_0_copyload = 0, $isz_sroa_1_4__idx3 = 0, $isz_sroa_1_4_copyload = 0, $cmp = 0, $cmp12 = 0, $or_cond65 = 0, $LL = 0, $UR = 0, $2 = 0, $3 = 0, $4 = 0, $cmp1667 = 0, $x19 = 0, $y31 = 0, $x47 = 0, $y63 = 0, $x19_promoted = 0.0, $y31_promoted = 0.0, $x47_promoted = 0.0, $y63_promoted = 0.0, $5 = 0.0, $_6669 = 0.0, $6 = 0.0, $7 = 0.0, $i_068 = 0, $x21 = 0, $8 = 0.0, $cmp22 = 0, $_ = 0.0, $y33 = 0, $9 = 0.0, $cmp34 = 0, $cond43 = 0.0, $10 = 0.0, $cmp50 = 0, $_66 = 0.0, $cmp66 = 0, $cond75 = 0.0, $inc = 0, $cmp16 = 0, $x79 = 0, $11 = 0.0, $x81 = 0, $12 = 0.0, $sub = 0.0, $y83 = 0, $13 = 0.0, $y85 = 0, $14 = 0.0, $sub86 = 0.0, $conv88 = 0.0, $conv90 = 0.0, $div = 0.0, $div91 = 0.0, $call92 = 0, $cmp93 = 0, $mul = 0.0, $mul96 = 0.0, $mul97 = 0.0, $mul98 = 0.0, $mul101 = 0.0, $mul103 = 0.0, $mul105 = 0.0, $mul106 = 0.0, $ih_0 = 0.0, $iw_0 = 0.0, $cmp108 = 0, $sub111 = 0.0, $div112 = 0.0, $15 = 0.0, $add = 0.0, $16 = 0.0, $sub119 = 0.0, $cmp121 = 0, $sub124 = 0.0, $div125 = 0.0, $17 = 0.0, $add128 = 0.0, $18 = 0.0, $sub133 = 0.0, $flags = 0, $19 = 0, $and = 0, $tobool135 = 0, $20 = 0, $21 = 0, $22 = 0.0, $23 = 0.0, $cmp148 = 0, $24 = 0.0, $25 = 0.0, $cmp165 = 0, $tobool179 = 0, $type = 0, $26 = 0, label = 0, __stackBase__ = 0;
   81528   __stackBase__ = STACKTOP;
   81529   STACKTOP = STACKTOP + 72 | 0;
   81530   label = 2;
   81531   while (1) switch (label | 0) {
   81532    case 2:
   81533     $b = __stackBase__ | 0;
   81534     $tmp = __stackBase__ + 32 | 0;
   81535     $tmp139 = __stackBase__ + 40 | 0;
   81536     $tmp142 = __stackBase__ + 56 | 0;
   81537     $engine = $job + 60 | 0;
   81538     $0 = HEAP32[$engine >> 2] | 0;
   81539     $call = _gvusershape_find($name) | 0;
   81540     $tobool = ($call | 0) == 0;
   81541     if ($tobool) {
   81542       label = 3;
   81543       break;
   81544     } else {
   81545       label = 6;
   81546       break;
   81547     }
   81548    case 3:
   81549     $call1 = _find_user_shape($name) | 0;
   81550     $tobool2 = ($call1 | 0) == 0;
   81551     $tobool4 = ($0 | 0) == 0;
   81552     $or_cond = $tobool2 | $tobool4;
   81553     if ($or_cond) {
   81554       label = 30;
   81555       break;
   81556     } else {
   81557       label = 4;
   81558       break;
   81559     }
   81560    case 4:
   81561     $library_shape = $0 + 116 | 0;
   81562     $1 = HEAP32[$library_shape >> 2] | 0;
   81563     $tobool5 = ($1 | 0) == 0;
   81564     if ($tobool5) {
   81565       label = 30;
   81566       break;
   81567     } else {
   81568       label = 5;
   81569       break;
   81570     }
   81571    case 5:
   81572     $conv = $filled & 255;
   81573     FUNCTION_TABLE_viiiii[$1 & 1023]($job, $name, $a, $n, $conv);
   81574     label = 30;
   81575     break;
   81576    case 6:
   81577     $dpi = $job + 432 | 0;
   81578     _gvusershape_size_dpi($tmp, $call, $dpi);
   81579     $isz_sroa_0_0__idx = $tmp | 0;
   81580     $isz_sroa_0_0_copyload = HEAP32[$isz_sroa_0_0__idx >> 2] | 0;
   81581     $isz_sroa_1_4__idx3 = $tmp + 4 | 0;
   81582     $isz_sroa_1_4_copyload = HEAP32[$isz_sroa_1_4__idx3 >> 2] | 0;
   81583     $cmp = ($isz_sroa_0_0_copyload | 0) < 1;
   81584     $cmp12 = ($isz_sroa_1_4_copyload | 0) < 1;
   81585     $or_cond65 = $cmp & $cmp12;
   81586     if ($or_cond65) {
   81587       label = 30;
   81588       break;
   81589     } else {
   81590       label = 7;
   81591       break;
   81592     }
   81593    case 7:
   81594     $LL = $b | 0;
   81595     $UR = $b + 16 | 0;
   81596     $2 = $UR;
   81597     $3 = $a;
   81598     HEAP32[$2 >> 2] = HEAP32[$3 >> 2] | 0;
   81599     HEAP32[$2 + 4 >> 2] = HEAP32[$3 + 4 >> 2] | 0;
   81600     HEAP32[$2 + 8 >> 2] = HEAP32[$3 + 8 >> 2] | 0;
   81601     HEAP32[$2 + 12 >> 2] = HEAP32[$3 + 12 >> 2] | 0;
   81602     $4 = $b;
   81603     HEAP32[$4 >> 2] = HEAP32[$3 >> 2] | 0;
   81604     HEAP32[$4 + 4 >> 2] = HEAP32[$3 + 4 >> 2] | 0;
   81605     HEAP32[$4 + 8 >> 2] = HEAP32[$3 + 8 >> 2] | 0;
   81606     HEAP32[$4 + 12 >> 2] = HEAP32[$3 + 12 >> 2] | 0;
   81607     $cmp1667 = ($n | 0) > 1;
   81608     if ($cmp1667) {
   81609       label = 8;
   81610       break;
   81611     } else {
   81612       label = 11;
   81613       break;
   81614     }
   81615    case 8:
   81616     $x19 = $b | 0;
   81617     $y31 = $b + 8 | 0;
   81618     $x47 = $UR | 0;
   81619     $y63 = $b + 24 | 0;
   81620     $x19_promoted = +HEAPF64[$x19 >> 3];
   81621     $y31_promoted = +HEAPF64[$y31 >> 3];
   81622     $x47_promoted = +HEAPF64[$x47 >> 3];
   81623     $y63_promoted = +HEAPF64[$y63 >> 3];
   81624     $i_068 = 1;
   81625     $7 = $x19_promoted;
   81626     $6 = $y31_promoted;
   81627     $_6669 = $x47_promoted;
   81628     $5 = $y63_promoted;
   81629     label = 9;
   81630     break;
   81631    case 9:
   81632     $x21 = $a + ($i_068 << 4) | 0;
   81633     $8 = +HEAPF64[$x21 >> 3];
   81634     $cmp22 = $7 < $8;
   81635     $_ = $cmp22 ? $7 : $8;
   81636     $y33 = $a + ($i_068 << 4) + 8 | 0;
   81637     $9 = +HEAPF64[$y33 >> 3];
   81638     $cmp34 = $6 < $9;
   81639     $cond43 = $cmp34 ? $6 : $9;
   81640     $10 = +HEAPF64[$x21 >> 3];
   81641     $cmp50 = $_6669 > $10;
   81642     $_66 = $cmp50 ? $_6669 : $10;
   81643     $cmp66 = $5 > $9;
   81644     $cond75 = $cmp66 ? $5 : $9;
   81645     $inc = $i_068 + 1 | 0;
   81646     $cmp16 = ($inc | 0) < ($n | 0);
   81647     if ($cmp16) {
   81648       $i_068 = $inc;
   81649       $7 = $_;
   81650       $6 = $cond43;
   81651       $_6669 = $_66;
   81652       $5 = $cond75;
   81653       label = 9;
   81654       break;
   81655     } else {
   81656       label = 10;
   81657       break;
   81658     }
   81659    case 10:
   81660     HEAPF64[$x19 >> 3] = $_;
   81661     HEAPF64[$y31 >> 3] = $cond43;
   81662     HEAPF64[$x47 >> 3] = $_66;
   81663     HEAPF64[$y63 >> 3] = $cond75;
   81664     label = 11;
   81665     break;
   81666    case 11:
   81667     $x79 = $UR | 0;
   81668     $11 = +HEAPF64[$x79 >> 3];
   81669     $x81 = $b | 0;
   81670     $12 = +HEAPF64[$x81 >> 3];
   81671     $sub = $11 - $12;
   81672     $y83 = $b + 24 | 0;
   81673     $13 = +HEAPF64[$y83 >> 3];
   81674     $y85 = $b + 8 | 0;
   81675     $14 = +HEAPF64[$y85 >> 3];
   81676     $sub86 = $13 - $14;
   81677     $conv88 = +($isz_sroa_1_4_copyload | 0);
   81678     $conv90 = +($isz_sroa_0_0_copyload | 0);
   81679     $div = $sub / $conv90;
   81680     $div91 = $sub86 / $conv88;
   81681     $call92 = _get_imagescale($imagescale) | 0;
   81682     if (($call92 | 0) == 1) {
   81683       label = 12;
   81684       break;
   81685     } else if (($call92 | 0) == 2) {
   81686       label = 15;
   81687       break;
   81688     } else if (($call92 | 0) == 3) {
   81689       label = 16;
   81690       break;
   81691     } else if (($call92 | 0) == 4) {
   81692       label = 17;
   81693       break;
   81694     } else {
   81695       $iw_0 = $conv90;
   81696       $ih_0 = $conv88;
   81697       label = 18;
   81698       break;
   81699     }
   81700    case 12:
   81701     $cmp93 = $div < $div91;
   81702     if ($cmp93) {
   81703       label = 13;
   81704       break;
   81705     } else {
   81706       label = 14;
   81707       break;
   81708     }
   81709    case 13:
   81710     $mul = $conv90 * $div;
   81711     $mul96 = $conv88 * $div;
   81712     $iw_0 = $mul;
   81713     $ih_0 = $mul96;
   81714     label = 18;
   81715     break;
   81716    case 14:
   81717     $mul97 = $conv90 * $div91;
   81718     $mul98 = $conv88 * $div91;
   81719     $iw_0 = $mul97;
   81720     $ih_0 = $mul98;
   81721     label = 18;
   81722     break;
   81723    case 15:
   81724     $mul101 = $conv90 * $div;
   81725     $iw_0 = $mul101;
   81726     $ih_0 = $conv88;
   81727     label = 18;
   81728     break;
   81729    case 16:
   81730     $mul103 = $conv88 * $div91;
   81731     $iw_0 = $conv90;
   81732     $ih_0 = $mul103;
   81733     label = 18;
   81734     break;
   81735    case 17:
   81736     $mul105 = $conv90 * $div;
   81737     $mul106 = $conv88 * $div91;
   81738     $iw_0 = $mul105;
   81739     $ih_0 = $mul106;
   81740     label = 18;
   81741     break;
   81742    case 18:
   81743     $cmp108 = $iw_0 < $sub;
   81744     if ($cmp108) {
   81745       label = 19;
   81746       break;
   81747     } else {
   81748       label = 20;
   81749       break;
   81750     }
   81751    case 19:
   81752     $sub111 = $sub - $iw_0;
   81753     $div112 = $sub111 * .5;
   81754     $15 = +HEAPF64[$x81 >> 3];
   81755     $add = $div112 + $15;
   81756     HEAPF64[$x81 >> 3] = $add;
   81757     $16 = +HEAPF64[$x79 >> 3];
   81758     $sub119 = $16 - $div112;
   81759     HEAPF64[$x79 >> 3] = $sub119;
   81760     label = 20;
   81761     break;
   81762    case 20:
   81763     $cmp121 = $ih_0 < $sub86;
   81764     if ($cmp121) {
   81765       label = 21;
   81766       break;
   81767     } else {
   81768       label = 22;
   81769       break;
   81770     }
   81771    case 21:
   81772     $sub124 = $sub86 - $ih_0;
   81773     $div125 = $sub124 * .5;
   81774     $17 = +HEAPF64[$y85 >> 3];
   81775     $add128 = $div125 + $17;
   81776     HEAPF64[$y85 >> 3] = $add128;
   81777     $18 = +HEAPF64[$y83 >> 3];
   81778     $sub133 = $18 - $div125;
   81779     HEAPF64[$y83 >> 3] = $sub133;
   81780     label = 22;
   81781     break;
   81782    case 22:
   81783     $flags = $job + 152 | 0;
   81784     $19 = HEAP32[$flags >> 2] | 0;
   81785     $and = $19 & 8192;
   81786     $tobool135 = ($and | 0) == 0;
   81787     if ($tobool135) {
   81788       label = 23;
   81789       break;
   81790     } else {
   81791       label = 24;
   81792       break;
   81793     }
   81794    case 23:
   81795     _gvrender_ptf($tmp139, $job, $LL);
   81796     $20 = $tmp139;
   81797     HEAP32[$4 >> 2] = HEAP32[$20 >> 2] | 0;
   81798     HEAP32[$4 + 4 >> 2] = HEAP32[$20 + 4 >> 2] | 0;
   81799     HEAP32[$4 + 8 >> 2] = HEAP32[$20 + 8 >> 2] | 0;
   81800     HEAP32[$4 + 12 >> 2] = HEAP32[$20 + 12 >> 2] | 0;
   81801     _gvrender_ptf($tmp142, $job, $UR);
   81802     $21 = $tmp142;
   81803     HEAP32[$2 >> 2] = HEAP32[$21 >> 2] | 0;
   81804     HEAP32[$2 + 4 >> 2] = HEAP32[$21 + 4 >> 2] | 0;
   81805     HEAP32[$2 + 8 >> 2] = HEAP32[$21 + 8 >> 2] | 0;
   81806     HEAP32[$2 + 12 >> 2] = HEAP32[$21 + 12 >> 2] | 0;
   81807     label = 24;
   81808     break;
   81809    case 24:
   81810     $22 = +HEAPF64[$x81 >> 3];
   81811     $23 = +HEAPF64[$x79 >> 3];
   81812     $cmp148 = $22 > $23;
   81813     if ($cmp148) {
   81814       label = 25;
   81815       break;
   81816     } else {
   81817       label = 26;
   81818       break;
   81819     }
   81820    case 25:
   81821     HEAPF64[$x81 >> 3] = $23;
   81822     HEAPF64[$x79 >> 3] = $22;
   81823     label = 26;
   81824     break;
   81825    case 26:
   81826     $24 = +HEAPF64[$y85 >> 3];
   81827     $25 = +HEAPF64[$y83 >> 3];
   81828     $cmp165 = $24 > $25;
   81829     if ($cmp165) {
   81830       label = 27;
   81831       break;
   81832     } else {
   81833       label = 28;
   81834       break;
   81835     }
   81836    case 27:
   81837     HEAPF64[$y85 >> 3] = $25;
   81838     HEAPF64[$y83 >> 3] = $24;
   81839     label = 28;
   81840     break;
   81841    case 28:
   81842     $tobool179 = ($0 | 0) == 0;
   81843     if ($tobool179) {
   81844       label = 30;
   81845       break;
   81846     } else {
   81847       label = 29;
   81848       break;
   81849     }
   81850    case 29:
   81851     $type = $job + 72 | 0;
   81852     $26 = HEAP32[$type >> 2] | 0;
   81853     _gvloadimage($job, $call, $b, $filled, $26);
   81854     label = 30;
   81855     break;
   81856    case 30:
   81857     STACKTOP = __stackBase__;
   81858     return;
   81859   }
   81860 }
   81861 function _get_imagescale($s) {
   81862   $s = $s | 0;
   81863   var $0 = 0, $cmp = 0, $call = 0, $tobool = 0, $call4 = 0, $tobool5 = 0, $call8 = 0, $tobool9 = 0, $call12 = 0, $not_tobool13 = 0, $_ = 0, $retval_0 = 0, label = 0;
   81864   label = 2;
   81865   while (1) switch (label | 0) {
   81866    case 2:
   81867     $0 = HEAP8[$s] | 0;
   81868     $cmp = $0 << 24 >> 24 == 0;
   81869     if ($cmp) {
   81870       $retval_0 = 0;
   81871       label = 7;
   81872       break;
   81873     } else {
   81874       label = 3;
   81875       break;
   81876     }
   81877    case 3:
   81878     $call = _strcasecmp($s, 155848) | 0;
   81879     $tobool = ($call | 0) == 0;
   81880     if ($tobool) {
   81881       $retval_0 = 2;
   81882       label = 7;
   81883       break;
   81884     } else {
   81885       label = 4;
   81886       break;
   81887     }
   81888    case 4:
   81889     $call4 = _strcasecmp($s, 152504) | 0;
   81890     $tobool5 = ($call4 | 0) == 0;
   81891     if ($tobool5) {
   81892       $retval_0 = 3;
   81893       label = 7;
   81894       break;
   81895     } else {
   81896       label = 5;
   81897       break;
   81898     }
   81899    case 5:
   81900     $call8 = _strcasecmp($s, 149064) | 0;
   81901     $tobool9 = ($call8 | 0) == 0;
   81902     if ($tobool9) {
   81903       $retval_0 = 4;
   81904       label = 7;
   81905       break;
   81906     } else {
   81907       label = 6;
   81908       break;
   81909     }
   81910    case 6:
   81911     $call12 = _mapbool($s) | 0;
   81912     $not_tobool13 = $call12 << 24 >> 24 != 0;
   81913     $_ = $not_tobool13 & 1;
   81914     $retval_0 = $_;
   81915     label = 7;
   81916     break;
   81917    case 7:
   81918     return $retval_0 | 0;
   81919   }
   81920   return 0;
   81921 }
   81922 function _gvrender_comparestr($s1, $s2) {
   81923   $s1 = $s1 | 0;
   81924   $s2 = $s2 | 0;
   81925   return _strcmp(HEAP32[$s1 >> 2] | 0, HEAP32[$s2 >> 2] | 0) | 0;
   81926 }
   81927 function _gvusershape_find($name) {
   81928   $name = $name | 0;
   81929   var $probe = 0, $0 = 0, $tobool = 0, $name1 = 0, $1 = 0, $searchf = 0, $2 = 0, $3 = 0, $call = 0, $4 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   81930   __stackBase__ = STACKTOP;
   81931   STACKTOP = STACKTOP + 64 | 0;
   81932   label = 2;
   81933   while (1) switch (label | 0) {
   81934    case 2:
   81935     $probe = __stackBase__ | 0;
   81936     $0 = HEAP32[41770] | 0;
   81937     $tobool = ($0 | 0) == 0;
   81938     if ($tobool) {
   81939       $retval_0 = 0;
   81940       label = 4;
   81941       break;
   81942     } else {
   81943       label = 3;
   81944       break;
   81945     }
   81946    case 3:
   81947     $name1 = $probe + 8 | 0;
   81948     HEAP32[$name1 >> 2] = $name;
   81949     $1 = HEAP32[41770] | 0;
   81950     $searchf = $1 | 0;
   81951     $2 = HEAP32[$searchf >> 2] | 0;
   81952     $3 = $probe;
   81953     $call = FUNCTION_TABLE_iiii[$2 & 1023]($1, $3, 4) | 0;
   81954     $4 = $call;
   81955     $retval_0 = $4;
   81956     label = 4;
   81957     break;
   81958    case 4:
   81959     STACKTOP = __stackBase__;
   81960     return $retval_0 | 0;
   81961   }
   81962   return 0;
   81963 }
   81964 function _gvusershape_file_release($us) {
   81965   $us = $us | 0;
   81966   var $nocache = 0, $0 = 0, $tobool = 0, $f = 0, $1 = 0, $tobool1 = 0, $call = 0, label = 0;
   81967   label = 2;
   81968   while (1) switch (label | 0) {
   81969    case 2:
   81970     $nocache = $us + 17 | 0;
   81971     $0 = HEAP8[$nocache] | 0;
   81972     $tobool = $0 << 24 >> 24 == 0;
   81973     if ($tobool) {
   81974       label = 5;
   81975       break;
   81976     } else {
   81977       label = 3;
   81978       break;
   81979     }
   81980    case 3:
   81981     $f = $us + 20 | 0;
   81982     $1 = HEAP32[$f >> 2] | 0;
   81983     $tobool1 = ($1 | 0) == 0;
   81984     if ($tobool1) {
   81985       label = 5;
   81986       break;
   81987     } else {
   81988       label = 4;
   81989       break;
   81990     }
   81991    case 4:
   81992     $call = _fclose($1 | 0) | 0;
   81993     HEAP32[$f >> 2] = 0;
   81994     label = 5;
   81995     break;
   81996    case 5:
   81997     return;
   81998   }
   81999 }
   82000 function _gvusershape_size($agg_result, $g, $name) {
   82001   $agg_result = $agg_result | 0;
   82002   $g = $g | 0;
   82003   $name = $name | 0;
   82004   var $dpi = 0, $tobool = 0, $0 = 0, $cmp = 0, $rv_sroa_0_0__idx = 0, $rv_sroa_1_4__idx1 = 0, $drawing = 0, $1 = 0, $dpi2 = 0, $2 = 0.0, $y3 = 0, $cmp4 = 0, $x8 = 0, $x10 = 0, $call = 0, label = 0, __stackBase__ = 0;
   82005   __stackBase__ = STACKTOP;
   82006   STACKTOP = STACKTOP + 16 | 0;
   82007   label = 2;
   82008   while (1) switch (label | 0) {
   82009    case 2:
   82010     $dpi = __stackBase__ | 0;
   82011     $tobool = ($name | 0) == 0;
   82012     if ($tobool) {
   82013       label = 4;
   82014       break;
   82015     } else {
   82016       label = 3;
   82017       break;
   82018     }
   82019    case 3:
   82020     $0 = HEAP8[$name] | 0;
   82021     $cmp = $0 << 24 >> 24 == 0;
   82022     if ($cmp) {
   82023       label = 4;
   82024       break;
   82025     } else {
   82026       label = 5;
   82027       break;
   82028     }
   82029    case 4:
   82030     $rv_sroa_0_0__idx = $agg_result | 0;
   82031     HEAP32[$rv_sroa_0_0__idx >> 2] = -1;
   82032     $rv_sroa_1_4__idx1 = $agg_result + 4 | 0;
   82033     HEAP32[$rv_sroa_1_4__idx1 >> 2] = -1;
   82034     label = 9;
   82035     break;
   82036    case 5:
   82037     $drawing = $g + 48 | 0;
   82038     $1 = HEAP32[$drawing >> 2] | 0;
   82039     $dpi2 = $1 + 24 | 0;
   82040     $2 = +HEAPF64[$dpi2 >> 3];
   82041     $y3 = $dpi + 8 | 0;
   82042     HEAPF64[$y3 >> 3] = $2;
   82043     $cmp4 = $2 < 1.0;
   82044     if ($cmp4) {
   82045       label = 7;
   82046       break;
   82047     } else {
   82048       label = 6;
   82049       break;
   82050     }
   82051    case 6:
   82052     $x8 = $dpi | 0;
   82053     HEAPF64[$x8 >> 3] = $2;
   82054     label = 8;
   82055     break;
   82056    case 7:
   82057     HEAPF64[$y3 >> 3] = 96.0;
   82058     $x10 = $dpi | 0;
   82059     HEAPF64[$x10 >> 3] = 96.0;
   82060     label = 8;
   82061     break;
   82062    case 8:
   82063     $call = _gvusershape_open($name) | 0;
   82064     _gvusershape_size_dpi($agg_result, $call, $dpi);
   82065     label = 9;
   82066     break;
   82067    case 9:
   82068     STACKTOP = __stackBase__;
   82069     return;
   82070   }
   82071 }
   82072 function _gvusershape_open($name) {
   82073   $name = $name | 0;
   82074   var $0 = 0, $tobool = 0, $1 = 0, $2 = 0, $call = 0, $call1 = 0, $tobool2 = 0, $call4 = 0, $3 = 0, $tobool5 = 0, $name8 = 0, $4 = 0, $call9 = 0, $tobool10 = 0, $call13 = 0, $5 = 0, $call15 = 0, $6 = 0, $data = 0, $7 = 0, $tobool16 = 0, $8 = 0, $call19 = 0, $9 = 0, $searchf = 0, $10 = 0, $call28 = 0, $us_0 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   82075   __stackBase__ = STACKTOP;
   82076   label = 2;
   82077   while (1) switch (label | 0) {
   82078    case 2:
   82079     $0 = HEAP32[41770] | 0;
   82080     $tobool = ($0 | 0) == 0;
   82081     if ($tobool) {
   82082       label = 3;
   82083       break;
   82084     } else {
   82085       label = 4;
   82086       break;
   82087     }
   82088    case 3:
   82089     $1 = HEAP32[41974] | 0;
   82090     $2 = $1;
   82091     $call = _dtopen(167e3, $2) | 0;
   82092     HEAP32[41770] = $call;
   82093     label = 4;
   82094     break;
   82095    case 4:
   82096     $call1 = _gvusershape_find($name) | 0;
   82097     $tobool2 = ($call1 | 0) == 0;
   82098     if ($tobool2) {
   82099       label = 5;
   82100       break;
   82101     } else {
   82102       $us_0 = $call1;
   82103       label = 18;
   82104       break;
   82105     }
   82106    case 5:
   82107     $call4 = _zmalloc(64) | 0;
   82108     $3 = $call4;
   82109     $tobool5 = ($call4 | 0) == 0;
   82110     if ($tobool5) {
   82111       $retval_0 = 0;
   82112       label = 19;
   82113       break;
   82114     } else {
   82115       label = 6;
   82116       break;
   82117     }
   82118    case 6:
   82119     $name8 = $call4 + 8 | 0;
   82120     $4 = $name8;
   82121     HEAP32[$4 >> 2] = $name;
   82122     $call9 = _gvusershape_file_access($3) | 0;
   82123     $tobool10 = $call9 << 24 >> 24 == 0;
   82124     if ($tobool10) {
   82125       $retval_0 = 0;
   82126       label = 19;
   82127       break;
   82128     } else {
   82129       label = 7;
   82130       break;
   82131     }
   82132    case 7:
   82133     $call13 = _imagetype($3) | 0;
   82134     if (($call13 | 0) == 0) {
   82135       label = 8;
   82136       break;
   82137     } else if (($call13 | 0) == 2) {
   82138       label = 11;
   82139       break;
   82140     } else if (($call13 | 0) == 3) {
   82141       label = 12;
   82142       break;
   82143     } else if (($call13 | 0) == 1) {
   82144       label = 13;
   82145       break;
   82146     } else if (($call13 | 0) == 4) {
   82147       label = 14;
   82148       break;
   82149     } else if (($call13 | 0) == 6) {
   82150       label = 15;
   82151       break;
   82152     } else if (($call13 | 0) == 8) {
   82153       label = 16;
   82154       break;
   82155     } else {
   82156       label = 17;
   82157       break;
   82158     }
   82159    case 8:
   82160     $5 = HEAP32[$4 >> 2] | 0;
   82161     $call15 = _find_user_shape($5) | 0;
   82162     $6 = $call15;
   82163     $data = $call4 + 52 | 0;
   82164     $7 = $data;
   82165     HEAP32[$7 >> 2] = $6;
   82166     $tobool16 = ($call15 | 0) == 0;
   82167     if ($tobool16) {
   82168       label = 9;
   82169       break;
   82170     } else {
   82171       label = 10;
   82172       break;
   82173     }
   82174    case 9:
   82175     $8 = HEAP32[$4 >> 2] | 0;
   82176     $call19 = _agerr(0, 108384, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $8, tempInt) | 0) | 0;
   82177     label = 10;
   82178     break;
   82179    case 10:
   82180     _free($call4);
   82181     $retval_0 = 0;
   82182     label = 19;
   82183     break;
   82184    case 11:
   82185     _gif_size($3);
   82186     label = 17;
   82187     break;
   82188    case 12:
   82189     _png_size($3);
   82190     label = 17;
   82191     break;
   82192    case 13:
   82193     _bmp_size($3);
   82194     label = 17;
   82195     break;
   82196    case 14:
   82197     _jpeg_size($3);
   82198     label = 17;
   82199     break;
   82200    case 15:
   82201     _ps_size($3);
   82202     label = 17;
   82203     break;
   82204    case 16:
   82205     _svg_size($3);
   82206     label = 17;
   82207     break;
   82208    case 17:
   82209     $9 = HEAP32[41770] | 0;
   82210     $searchf = $9 | 0;
   82211     $10 = HEAP32[$searchf >> 2] | 0;
   82212     $call28 = FUNCTION_TABLE_iiii[$10 & 1023]($9, $call4, 1) | 0;
   82213     $us_0 = $3;
   82214     label = 18;
   82215     break;
   82216    case 18:
   82217     _gvusershape_file_release($us_0);
   82218     $retval_0 = $us_0;
   82219     label = 19;
   82220     break;
   82221    case 19:
   82222     STACKTOP = __stackBase__;
   82223     return $retval_0 | 0;
   82224   }
   82225   return 0;
   82226 }
   82227 function _imagetype($us) {
   82228   $us = $us | 0;
   82229   var $header = 0, $line = 0, $f = 0, $0 = 0, $tobool = 0, $arraydecay = 0, $call = 0, $cmp = 0, $stringtype9 = 0, $type11 = 0, $arraydecay16 = 0, $i_019 = 0, $template = 0, $1 = 0, $size = 0, $2 = 0, $call5 = 0, $tobool6 = 0, $stringtype = 0, $3 = 0, $type = 0, $4 = 0, $cmp13 = 0, $5 = 0, $call18 = 0, $cmp19 = 0, $call21 = 0, $tobool22 = 0, $inc = 0, $cmp2 = 0, $stringtype29 = 0, $type30 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   82230   __stackBase__ = STACKTOP;
   82231   STACKTOP = STACKTOP + 224 | 0;
   82232   label = 2;
   82233   while (1) switch (label | 0) {
   82234    case 2:
   82235     $header = __stackBase__ | 0;
   82236     $line = __stackBase__ + 24 | 0;
   82237     $f = $us + 20 | 0;
   82238     $0 = HEAP32[$f >> 2] | 0;
   82239     $tobool = ($0 | 0) == 0;
   82240     if ($tobool) {
   82241       label = 11;
   82242       break;
   82243     } else {
   82244       label = 3;
   82245       break;
   82246     }
   82247    case 3:
   82248     $arraydecay = $header | 0;
   82249     $call = _fread($arraydecay | 0, 1, 20, $0 | 0) | 0;
   82250     $cmp = ($call | 0) == 20;
   82251     if ($cmp) {
   82252       label = 4;
   82253       break;
   82254     } else {
   82255       label = 11;
   82256       break;
   82257     }
   82258    case 4:
   82259     $stringtype9 = $us + 28 | 0;
   82260     $type11 = $us + 24 | 0;
   82261     $arraydecay16 = $line | 0;
   82262     $i_019 = 0;
   82263     label = 5;
   82264     break;
   82265    case 5:
   82266     $template = 12208 + ($i_019 << 4) | 0;
   82267     $1 = HEAP32[$template >> 2] | 0;
   82268     $size = 12212 + ($i_019 << 4) | 0;
   82269     $2 = HEAP32[$size >> 2] | 0;
   82270     $call5 = _memcmp($arraydecay | 0, $1 | 0, $2 | 0) | 0;
   82271     $tobool6 = ($call5 | 0) == 0;
   82272     if ($tobool6) {
   82273       label = 6;
   82274       break;
   82275     } else {
   82276       label = 10;
   82277       break;
   82278     }
   82279    case 6:
   82280     $stringtype = 12220 + ($i_019 << 4) | 0;
   82281     $3 = HEAP32[$stringtype >> 2] | 0;
   82282     HEAP32[$stringtype9 >> 2] = $3;
   82283     $type = 12216 + ($i_019 << 4) | 0;
   82284     $4 = HEAP32[$type >> 2] | 0;
   82285     HEAP32[$type11 >> 2] = $4;
   82286     $cmp13 = ($i_019 | 0) == 7;
   82287     if ($cmp13) {
   82288       label = 7;
   82289       break;
   82290     } else {
   82291       $retval_0 = $4;
   82292       label = 12;
   82293       break;
   82294     }
   82295    case 7:
   82296     $5 = HEAP32[$f >> 2] | 0;
   82297     $call18 = _fgets($arraydecay16 | 0, 200, $5 | 0) | 0;
   82298     $cmp19 = ($call18 | 0) == 0;
   82299     if ($cmp19) {
   82300       label = 10;
   82301       break;
   82302     } else {
   82303       label = 8;
   82304       break;
   82305     }
   82306    case 8:
   82307     $call21 = _memcmp($arraydecay16 | 0, 136856, 4) | 0;
   82308     $tobool22 = ($call21 | 0) == 0;
   82309     if ($tobool22) {
   82310       label = 9;
   82311       break;
   82312     } else {
   82313       label = 7;
   82314       break;
   82315     }
   82316    case 9:
   82317     HEAP32[$stringtype9 >> 2] = 134424;
   82318     HEAP32[$type11 >> 2] = 8;
   82319     $retval_0 = 8;
   82320     label = 12;
   82321     break;
   82322    case 10:
   82323     $inc = $i_019 + 1 | 0;
   82324     $cmp2 = $inc >>> 0 < 8;
   82325     if ($cmp2) {
   82326       $i_019 = $inc;
   82327       label = 5;
   82328       break;
   82329     } else {
   82330       label = 11;
   82331       break;
   82332     }
   82333    case 11:
   82334     $stringtype29 = $us + 28 | 0;
   82335     HEAP32[$stringtype29 >> 2] = 132040;
   82336     $type30 = $us + 24 | 0;
   82337     HEAP32[$type30 >> 2] = 0;
   82338     $retval_0 = 0;
   82339     label = 12;
   82340     break;
   82341    case 12:
   82342     STACKTOP = __stackBase__;
   82343     return $retval_0 | 0;
   82344   }
   82345   return 0;
   82346 }
   82347 function _gif_size($us) {
   82348   $us = $us | 0;
   82349   var $w = 0, $h = 0, $dpi = 0, $f = 0, $0 = 0, $call = 0, $1 = 0, $call2 = 0, $tobool = 0, $2 = 0, $call4 = 0, $tobool6 = 0, $3 = 0, $w7 = 0, $4 = 0, $h8 = 0, label = 0, __stackBase__ = 0;
   82350   __stackBase__ = STACKTOP;
   82351   STACKTOP = STACKTOP + 16 | 0;
   82352   label = 2;
   82353   while (1) switch (label | 0) {
   82354    case 2:
   82355     $w = __stackBase__ | 0;
   82356     $h = __stackBase__ + 8 | 0;
   82357     $dpi = $us + 48 | 0;
   82358     HEAP32[$dpi >> 2] = 0;
   82359     $f = $us + 20 | 0;
   82360     $0 = HEAP32[$f >> 2] | 0;
   82361     $call = _fseek($0 | 0, 6, 0) | 0;
   82362     $1 = HEAP32[$f >> 2] | 0;
   82363     $call2 = _get_int_lsb_first($1, $w) | 0;
   82364     $tobool = $call2 << 24 >> 24 == 0;
   82365     if ($tobool) {
   82366       label = 5;
   82367       break;
   82368     } else {
   82369       label = 3;
   82370       break;
   82371     }
   82372    case 3:
   82373     $2 = HEAP32[$f >> 2] | 0;
   82374     $call4 = _get_int_lsb_first($2, $h) | 0;
   82375     $tobool6 = $call4 << 24 >> 24 == 0;
   82376     if ($tobool6) {
   82377       label = 5;
   82378       break;
   82379     } else {
   82380       label = 4;
   82381       break;
   82382     }
   82383    case 4:
   82384     $3 = HEAP32[$w >> 2] | 0;
   82385     $w7 = $us + 40 | 0;
   82386     HEAP32[$w7 >> 2] = $3;
   82387     $4 = HEAP32[$h >> 2] | 0;
   82388     $h8 = $us + 44 | 0;
   82389     HEAP32[$h8 >> 2] = $4;
   82390     label = 5;
   82391     break;
   82392    case 5:
   82393     STACKTOP = __stackBase__;
   82394     return;
   82395   }
   82396 }
   82397 function _png_size($us) {
   82398   $us = $us | 0;
   82399   var $w = 0, $h = 0, $dpi = 0, $f = 0, $0 = 0, $call = 0, $1 = 0, $call2 = 0, $tobool = 0, $2 = 0, $call4 = 0, $tobool6 = 0, $3 = 0, $w7 = 0, $4 = 0, $h8 = 0, label = 0, __stackBase__ = 0;
   82400   __stackBase__ = STACKTOP;
   82401   STACKTOP = STACKTOP + 16 | 0;
   82402   label = 2;
   82403   while (1) switch (label | 0) {
   82404    case 2:
   82405     $w = __stackBase__ | 0;
   82406     $h = __stackBase__ + 8 | 0;
   82407     $dpi = $us + 48 | 0;
   82408     HEAP32[$dpi >> 2] = 0;
   82409     $f = $us + 20 | 0;
   82410     $0 = HEAP32[$f >> 2] | 0;
   82411     $call = _fseek($0 | 0, 16, 0) | 0;
   82412     $1 = HEAP32[$f >> 2] | 0;
   82413     $call2 = _get_int_msb_first($1, 4, $w) | 0;
   82414     $tobool = $call2 << 24 >> 24 == 0;
   82415     if ($tobool) {
   82416       label = 5;
   82417       break;
   82418     } else {
   82419       label = 3;
   82420       break;
   82421     }
   82422    case 3:
   82423     $2 = HEAP32[$f >> 2] | 0;
   82424     $call4 = _get_int_msb_first($2, 4, $h) | 0;
   82425     $tobool6 = $call4 << 24 >> 24 == 0;
   82426     if ($tobool6) {
   82427       label = 5;
   82428       break;
   82429     } else {
   82430       label = 4;
   82431       break;
   82432     }
   82433    case 4:
   82434     $3 = HEAP32[$w >> 2] | 0;
   82435     $w7 = $us + 40 | 0;
   82436     HEAP32[$w7 >> 2] = $3;
   82437     $4 = HEAP32[$h >> 2] | 0;
   82438     $h8 = $us + 44 | 0;
   82439     HEAP32[$h8 >> 2] = $4;
   82440     label = 5;
   82441     break;
   82442    case 5:
   82443     STACKTOP = __stackBase__;
   82444     return;
   82445   }
   82446 }
   82447 function _bmp_size($us) {
   82448   $us = $us | 0;
   82449   var $size_x_msw = 0, $size_x_lsw = 0, $size_y_msw = 0, $size_y_lsw = 0, $dpi = 0, $f = 0, $0 = 0, $call = 0, $1 = 0, $call2 = 0, $tobool = 0, $2 = 0, $call4 = 0, $tobool6 = 0, $3 = 0, $call9 = 0, $tobool11 = 0, $4 = 0, $call14 = 0, $tobool16 = 0, $5 = 0, $shl = 0, $6 = 0, $or = 0, $w = 0, $7 = 0, $shl17 = 0, $8 = 0, $or18 = 0, $h = 0, label = 0, __stackBase__ = 0;
   82450   __stackBase__ = STACKTOP;
   82451   STACKTOP = STACKTOP + 32 | 0;
   82452   label = 2;
   82453   while (1) switch (label | 0) {
   82454    case 2:
   82455     $size_x_msw = __stackBase__ | 0;
   82456     $size_x_lsw = __stackBase__ + 8 | 0;
   82457     $size_y_msw = __stackBase__ + 16 | 0;
   82458     $size_y_lsw = __stackBase__ + 24 | 0;
   82459     $dpi = $us + 48 | 0;
   82460     HEAP32[$dpi >> 2] = 0;
   82461     $f = $us + 20 | 0;
   82462     $0 = HEAP32[$f >> 2] | 0;
   82463     $call = _fseek($0 | 0, 16, 0) | 0;
   82464     $1 = HEAP32[$f >> 2] | 0;
   82465     $call2 = _get_int_lsb_first($1, $size_x_msw) | 0;
   82466     $tobool = $call2 << 24 >> 24 == 0;
   82467     if ($tobool) {
   82468       label = 7;
   82469       break;
   82470     } else {
   82471       label = 3;
   82472       break;
   82473     }
   82474    case 3:
   82475     $2 = HEAP32[$f >> 2] | 0;
   82476     $call4 = _get_int_lsb_first($2, $size_x_lsw) | 0;
   82477     $tobool6 = $call4 << 24 >> 24 == 0;
   82478     if ($tobool6) {
   82479       label = 7;
   82480       break;
   82481     } else {
   82482       label = 4;
   82483       break;
   82484     }
   82485    case 4:
   82486     $3 = HEAP32[$f >> 2] | 0;
   82487     $call9 = _get_int_lsb_first($3, $size_y_msw) | 0;
   82488     $tobool11 = $call9 << 24 >> 24 == 0;
   82489     if ($tobool11) {
   82490       label = 7;
   82491       break;
   82492     } else {
   82493       label = 5;
   82494       break;
   82495     }
   82496    case 5:
   82497     $4 = HEAP32[$f >> 2] | 0;
   82498     $call14 = _get_int_lsb_first($4, $size_y_lsw) | 0;
   82499     $tobool16 = $call14 << 24 >> 24 == 0;
   82500     if ($tobool16) {
   82501       label = 7;
   82502       break;
   82503     } else {
   82504       label = 6;
   82505       break;
   82506     }
   82507    case 6:
   82508     $5 = HEAP32[$size_x_msw >> 2] | 0;
   82509     $shl = $5 << 16;
   82510     $6 = HEAP32[$size_x_lsw >> 2] | 0;
   82511     $or = $shl | $6;
   82512     $w = $us + 40 | 0;
   82513     HEAP32[$w >> 2] = $or;
   82514     $7 = HEAP32[$size_y_msw >> 2] | 0;
   82515     $shl17 = $7 << 16;
   82516     $8 = HEAP32[$size_y_lsw >> 2] | 0;
   82517     $or18 = $shl17 | $8;
   82518     $h = $us + 44 | 0;
   82519     HEAP32[$h >> 2] = $or18;
   82520     label = 7;
   82521     break;
   82522    case 7:
   82523     STACKTOP = __stackBase__;
   82524     return;
   82525   }
   82526 }
   82527 function _gvusershape_file_access($us) {
   82528   $us = $us | 0;
   82529   var $tobool = 0, $name = 0, $0 = 0, $tobool1 = 0, $f = 0, $1 = 0, $tobool5 = 0, $call = 0, $call8 = 0, $tobool9 = 0, $call11 = 0, $cmp = 0, $call15 = 0, $2 = 0, $call16 = 0, $call17 = 0, $3 = 0, $cmp18 = 0, $nocache = 0, $inc = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   82530   __stackBase__ = STACKTOP;
   82531   label = 2;
   82532   while (1) switch (label | 0) {
   82533    case 2:
   82534     $tobool = ($us | 0) == 0;
   82535     if ($tobool) {
   82536       label = 3;
   82537       break;
   82538     } else {
   82539       label = 4;
   82540       break;
   82541     }
   82542    case 3:
   82543     ___assert_func(155760, 363, 164216, 158976);
   82544     return 0;
   82545    case 4:
   82546     $name = $us + 8 | 0;
   82547     $0 = HEAP32[$name >> 2] | 0;
   82548     $tobool1 = ($0 | 0) == 0;
   82549     if ($tobool1) {
   82550       label = 5;
   82551       break;
   82552     } else {
   82553       label = 6;
   82554       break;
   82555     }
   82556    case 5:
   82557     ___assert_func(155760, 364, 164216, 130056);
   82558     return 0;
   82559    case 6:
   82560     $f = $us + 20 | 0;
   82561     $1 = HEAP32[$f >> 2] | 0;
   82562     $tobool5 = ($1 | 0) == 0;
   82563     if ($tobool5) {
   82564       label = 8;
   82565       break;
   82566     } else {
   82567       label = 7;
   82568       break;
   82569     }
   82570    case 7:
   82571     $call = _fseek($1 | 0, 0, 0) | 0;
   82572     $retval_0 = 1;
   82573     label = 14;
   82574     break;
   82575    case 8:
   82576     $call8 = _safefile($0) | 0;
   82577     $tobool9 = ($call8 | 0) == 0;
   82578     if ($tobool9) {
   82579       $retval_0 = 1;
   82580       label = 14;
   82581       break;
   82582     } else {
   82583       label = 9;
   82584       break;
   82585     }
   82586    case 9:
   82587     $call11 = _fopen($call8 | 0, 118240) | 0;
   82588     HEAP32[$f >> 2] = $call11;
   82589     $cmp = ($call11 | 0) == 0;
   82590     if ($cmp) {
   82591       label = 10;
   82592       break;
   82593     } else {
   82594       label = 11;
   82595       break;
   82596     }
   82597    case 10:
   82598     $call15 = ___errno_location() | 0;
   82599     $2 = HEAP32[$call15 >> 2] | 0;
   82600     $call16 = _strerror($2 | 0) | 0;
   82601     $call17 = _agerr(0, 112944, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $call16, HEAP32[tempInt + 8 >> 2] = $call8, tempInt) | 0) | 0;
   82602     $retval_0 = 0;
   82603     label = 14;
   82604     break;
   82605    case 11:
   82606     $3 = HEAP32[3508] | 0;
   82607     $cmp18 = ($3 | 0) > 49;
   82608     if ($cmp18) {
   82609       label = 12;
   82610       break;
   82611     } else {
   82612       label = 13;
   82613       break;
   82614     }
   82615    case 12:
   82616     $nocache = $us + 17 | 0;
   82617     HEAP8[$nocache] = 1;
   82618     $retval_0 = 1;
   82619     label = 14;
   82620     break;
   82621    case 13:
   82622     $inc = $3 + 1 | 0;
   82623     HEAP32[3508] = $inc;
   82624     $retval_0 = 1;
   82625     label = 14;
   82626     break;
   82627    case 14:
   82628     STACKTOP = __stackBase__;
   82629     return $retval_0 | 0;
   82630   }
   82631   return 0;
   82632 }
   82633 function _B1($t) {
   82634   $t = +$t;
   82635   var $sub = 0.0;
   82636   $sub = 1.0 - $t;
   82637   return +($sub * $t * 3.0 * $sub);
   82638 }
   82639 function _B2($t) {
   82640   $t = +$t;
   82641   return +((1.0 - $t) * $t * 3.0 * $t);
   82642 }
   82643 function _jpeg_size($us) {
   82644   $us = $us | 0;
   82645   var $marker = 0, $length = 0, $size_x = 0, $size_y = 0, $junk = 0, $dpi = 0, $f = 0, $0 = 0, $call15 = 0, $tobool16 = 0, $1 = 0, $cmp = 0, $call3 = 0, $tobool4 = 0, $cmp7 = 0, $2 = 0, $call10 = 0, $tobool11 = 0, $3 = 0, $call13 = 0, $tobool15 = 0, $4 = 0, $call18 = 0, $tobool20 = 0, $5 = 0, $h = 0, $6 = 0, $w = 0, $cmp24 = 0, $7 = 0, $call28 = 0, $tobool29 = 0, $8 = 0, $call33 = 0, $tobool35 = 0, $9 = 0, $call38 = 0, $tobool40 = 0, $10 = 0, $h42 = 0, $11 = 0, $w43 = 0, $call47 = 0, $tobool48 = 0, $12 = 0, $13 = 0, $sub = 0, $call52 = 0, $14 = 0, $call = 0, $tobool = 0, label = 0, __stackBase__ = 0;
   82646   __stackBase__ = STACKTOP;
   82647   STACKTOP = STACKTOP + 40 | 0;
   82648   label = 2;
   82649   while (1) switch (label | 0) {
   82650    case 2:
   82651     $marker = __stackBase__ | 0;
   82652     $length = __stackBase__ + 8 | 0;
   82653     $size_x = __stackBase__ + 16 | 0;
   82654     $size_y = __stackBase__ + 24 | 0;
   82655     $junk = __stackBase__ + 32 | 0;
   82656     $dpi = $us + 48 | 0;
   82657     HEAP32[$dpi >> 2] = 0;
   82658     $f = $us + 20 | 0;
   82659     $0 = HEAP32[$f >> 2] | 0;
   82660     $call15 = _get_int_msb_first($0, 1, $marker) | 0;
   82661     $tobool16 = $call15 << 24 >> 24 == 0;
   82662     if ($tobool16) {
   82663       label = 18;
   82664       break;
   82665     } else {
   82666       label = 3;
   82667       break;
   82668     }
   82669    case 3:
   82670     $1 = HEAP32[$marker >> 2] | 0;
   82671     $cmp = ($1 | 0) == 255;
   82672     if ($cmp) {
   82673       label = 17;
   82674       break;
   82675     } else {
   82676       label = 4;
   82677       break;
   82678     }
   82679    case 4:
   82680     $call3 = _strchr(12336, $1 | 0) | 0;
   82681     $tobool4 = ($call3 | 0) == 0;
   82682     if ($tobool4) {
   82683       label = 5;
   82684       break;
   82685     } else {
   82686       label = 17;
   82687       break;
   82688     }
   82689    case 5:
   82690     $cmp7 = ($1 | 0) == 192;
   82691     if ($cmp7) {
   82692       label = 6;
   82693       break;
   82694     } else {
   82695       label = 10;
   82696       break;
   82697     }
   82698    case 6:
   82699     $2 = HEAP32[$f >> 2] | 0;
   82700     $call10 = _get_int_msb_first($2, 3, $junk) | 0;
   82701     $tobool11 = $call10 << 24 >> 24 == 0;
   82702     if ($tobool11) {
   82703       label = 18;
   82704       break;
   82705     } else {
   82706       label = 7;
   82707       break;
   82708     }
   82709    case 7:
   82710     $3 = HEAP32[$f >> 2] | 0;
   82711     $call13 = _get_int_msb_first($3, 2, $size_x) | 0;
   82712     $tobool15 = $call13 << 24 >> 24 == 0;
   82713     if ($tobool15) {
   82714       label = 18;
   82715       break;
   82716     } else {
   82717       label = 8;
   82718       break;
   82719     }
   82720    case 8:
   82721     $4 = HEAP32[$f >> 2] | 0;
   82722     $call18 = _get_int_msb_first($4, 2, $size_y) | 0;
   82723     $tobool20 = $call18 << 24 >> 24 == 0;
   82724     if ($tobool20) {
   82725       label = 18;
   82726       break;
   82727     } else {
   82728       label = 9;
   82729       break;
   82730     }
   82731    case 9:
   82732     $5 = HEAP32[$size_x >> 2] | 0;
   82733     $h = $us + 44 | 0;
   82734     HEAP32[$h >> 2] = $5;
   82735     $6 = HEAP32[$size_y >> 2] | 0;
   82736     $w = $us + 40 | 0;
   82737     HEAP32[$w >> 2] = $6;
   82738     label = 18;
   82739     break;
   82740    case 10:
   82741     $cmp24 = ($1 | 0) == 194;
   82742     $7 = HEAP32[$f >> 2] | 0;
   82743     if ($cmp24) {
   82744       label = 11;
   82745       break;
   82746     } else {
   82747       label = 15;
   82748       break;
   82749     }
   82750    case 11:
   82751     $call28 = _get_int_msb_first($7, 3, $junk) | 0;
   82752     $tobool29 = $call28 << 24 >> 24 == 0;
   82753     if ($tobool29) {
   82754       label = 18;
   82755       break;
   82756     } else {
   82757       label = 12;
   82758       break;
   82759     }
   82760    case 12:
   82761     $8 = HEAP32[$f >> 2] | 0;
   82762     $call33 = _get_int_msb_first($8, 2, $size_x) | 0;
   82763     $tobool35 = $call33 << 24 >> 24 == 0;
   82764     if ($tobool35) {
   82765       label = 18;
   82766       break;
   82767     } else {
   82768       label = 13;
   82769       break;
   82770     }
   82771    case 13:
   82772     $9 = HEAP32[$f >> 2] | 0;
   82773     $call38 = _get_int_msb_first($9, 2, $size_y) | 0;
   82774     $tobool40 = $call38 << 24 >> 24 == 0;
   82775     if ($tobool40) {
   82776       label = 18;
   82777       break;
   82778     } else {
   82779       label = 14;
   82780       break;
   82781     }
   82782    case 14:
   82783     $10 = HEAP32[$size_x >> 2] | 0;
   82784     $h42 = $us + 44 | 0;
   82785     HEAP32[$h42 >> 2] = $10;
   82786     $11 = HEAP32[$size_y >> 2] | 0;
   82787     $w43 = $us + 40 | 0;
   82788     HEAP32[$w43 >> 2] = $11;
   82789     label = 18;
   82790     break;
   82791    case 15:
   82792     $call47 = _get_int_msb_first($7, 2, $length) | 0;
   82793     $tobool48 = $call47 << 24 >> 24 == 0;
   82794     if ($tobool48) {
   82795       label = 18;
   82796       break;
   82797     } else {
   82798       label = 16;
   82799       break;
   82800     }
   82801    case 16:
   82802     $12 = HEAP32[$f >> 2] | 0;
   82803     $13 = HEAP32[$length >> 2] | 0;
   82804     $sub = $13 - 2 | 0;
   82805     $call52 = _fseek($12 | 0, $sub | 0, 1) | 0;
   82806     label = 17;
   82807     break;
   82808    case 17:
   82809     $14 = HEAP32[$f >> 2] | 0;
   82810     $call = _get_int_msb_first($14, 1, $marker) | 0;
   82811     $tobool = $call << 24 >> 24 == 0;
   82812     if ($tobool) {
   82813       label = 18;
   82814       break;
   82815     } else {
   82816       label = 3;
   82817       break;
   82818     }
   82819    case 18:
   82820     STACKTOP = __stackBase__;
   82821     return;
   82822   }
   82823 }
   82824 function _ps_size($us) {
   82825   $us = $us | 0;
   82826   var $line = 0, $lx = 0, $ly = 0, $ux = 0, $uy = 0, $dpi = 0, $f = 0, $0 = 0, $call = 0, $arraydecay = 0, $1 = 0, $call27 = 0, $tobool8 = 0, $call4 = 0, $tobool5 = 0, $call6 = 0, $cmp = 0, $2 = 0, $call2 = 0, $tobool = 0, $3 = 0, $x = 0, $4 = 0, $y = 0, $5 = 0, $6 = 0, $sub = 0, $w = 0, $7 = 0, $8 = 0, $sub11 = 0, $h = 0, label = 0, __stackBase__ = 0;
   82827   __stackBase__ = STACKTOP;
   82828   STACKTOP = STACKTOP + 1056 | 0;
   82829   label = 2;
   82830   while (1) switch (label | 0) {
   82831    case 2:
   82832     $line = __stackBase__ | 0;
   82833     $lx = __stackBase__ + 1024 | 0;
   82834     $ly = __stackBase__ + 1032 | 0;
   82835     $ux = __stackBase__ + 1040 | 0;
   82836     $uy = __stackBase__ + 1048 | 0;
   82837     $dpi = $us + 48 | 0;
   82838     HEAP32[$dpi >> 2] = 72;
   82839     $f = $us + 20 | 0;
   82840     $0 = HEAP32[$f >> 2] | 0;
   82841     $call = _fseek($0 | 0, 0, 0) | 0;
   82842     $arraydecay = $line | 0;
   82843     $1 = HEAP32[$f >> 2] | 0;
   82844     $call27 = _fgets($arraydecay | 0, 1024, $1 | 0) | 0;
   82845     $tobool8 = ($call27 | 0) == 0;
   82846     if ($tobool8) {
   82847       label = 7;
   82848       break;
   82849     } else {
   82850       label = 3;
   82851       break;
   82852     }
   82853    case 3:
   82854     $call4 = _strstr($arraydecay | 0, 143176) | 0;
   82855     $tobool5 = ($call4 | 0) == 0;
   82856     if ($tobool5) {
   82857       label = 5;
   82858       break;
   82859     } else {
   82860       label = 4;
   82861       break;
   82862     }
   82863    case 4:
   82864     $call6 = _sscanf($call4 | 0, 139544, (tempInt = STACKTOP, STACKTOP = STACKTOP + 32 | 0, HEAP32[tempInt >> 2] = $lx, HEAP32[tempInt + 8 >> 2] = $ly, HEAP32[tempInt + 16 >> 2] = $ux, HEAP32[tempInt + 24 >> 2] = $uy, tempInt) | 0) | 0;
   82865     $cmp = ($call6 | 0) == 4;
   82866     if ($cmp) {
   82867       label = 6;
   82868       break;
   82869     } else {
   82870       label = 5;
   82871       break;
   82872     }
   82873    case 5:
   82874     $2 = HEAP32[$f >> 2] | 0;
   82875     $call2 = _fgets($arraydecay | 0, 1024, $2 | 0) | 0;
   82876     $tobool = ($call2 | 0) == 0;
   82877     if ($tobool) {
   82878       label = 7;
   82879       break;
   82880     } else {
   82881       label = 3;
   82882       break;
   82883     }
   82884    case 6:
   82885     $3 = HEAP32[$lx >> 2] | 0;
   82886     $x = $us + 32 | 0;
   82887     HEAP32[$x >> 2] = $3;
   82888     $4 = HEAP32[$ly >> 2] | 0;
   82889     $y = $us + 36 | 0;
   82890     HEAP32[$y >> 2] = $4;
   82891     $5 = HEAP32[$ux >> 2] | 0;
   82892     $6 = HEAP32[$lx >> 2] | 0;
   82893     $sub = $5 - $6 | 0;
   82894     $w = $us + 40 | 0;
   82895     HEAP32[$w >> 2] = $sub;
   82896     $7 = HEAP32[$uy >> 2] | 0;
   82897     $8 = HEAP32[$ly >> 2] | 0;
   82898     $sub11 = $7 - $8 | 0;
   82899     $h = $us + 44 | 0;
   82900     HEAP32[$h >> 2] = $sub11;
   82901     label = 7;
   82902     break;
   82903    case 7:
   82904     STACKTOP = __stackBase__;
   82905     return;
   82906   }
   82907 }
   82908 function _svg_size($us) {
   82909   $us = $us | 0;
   82910   var $n = 0, $u = 0, $line = 0, $f = 0, $0 = 0, $arraydecay = 0, $call = 0, $sub = 0, $call1 = 0, $1 = 0, $call420 = 0, $cmp21 = 0, $arraydecay17 = 0, $w_0_ph = 0, $h_0_ph = 0, $wFlag_0_ph = 0, $hFlag_0_ph = 0, $2 = 0, $call4 = 0, $cmp = 0, $hFlag_025 = 0, $wFlag_024 = 0, $h_023 = 0, $w_022 = 0, $tobool = 0, $lnot = 0, $or_cond = 0, $call7 = 0, $cmp911 = 0, $hFlag_116 = 0, $wFlag_115 = 0, $token_014 = 0, $h_113 = 0, $w_112 = 0, $call11 = 0, $sub12 = 0, $arrayidx = 0, $3 = 0, $cmp13 = 0, $call18 = 0, $cmp19 = 0, $4 = 0.0, $call22 = 0, $tobool23 = 0, $w_2 = 0, $wFlag_2 = 0, $call27 = 0, $cmp28 = 0, $5 = 0.0, $call32 = 0, $tobool33 = 0, $h_2 = 0, $hFlag_2 = 0, $call37 = 0, $cmp9 = 0, $h_0_lcssa = 0, $w_0_lcssa = 0, $dpi = 0, $w39 = 0, $h40 = 0, label = 0, __stackBase__ = 0;
   82911   __stackBase__ = STACKTOP;
   82912   STACKTOP = STACKTOP + 224 | 0;
   82913   label = 2;
   82914   while (1) switch (label | 0) {
   82915    case 2:
   82916     $n = __stackBase__ | 0;
   82917     $u = __stackBase__ + 8 | 0;
   82918     $line = __stackBase__ + 24 | 0;
   82919     $f = $us + 20 | 0;
   82920     $0 = HEAP32[$f >> 2] | 0;
   82921     $arraydecay = $line | 0;
   82922     $call = _strlen($arraydecay | 0) | 0;
   82923     $sub = -$call | 0;
   82924     $call1 = _fseek($0 | 0, $sub | 0, 1) | 0;
   82925     $1 = HEAP32[$f >> 2] | 0;
   82926     $call420 = _fgets($arraydecay | 0, 200, $1 | 0) | 0;
   82927     $cmp21 = ($call420 | 0) == 0;
   82928     if ($cmp21) {
   82929       $w_0_lcssa = 0;
   82930       $h_0_lcssa = 0;
   82931       label = 13;
   82932       break;
   82933     } else {
   82934       label = 3;
   82935       break;
   82936     }
   82937    case 3:
   82938     $arraydecay17 = $u | 0;
   82939     $w_022 = 0;
   82940     $h_023 = 0;
   82941     $wFlag_024 = 0;
   82942     $hFlag_025 = 0;
   82943     label = 5;
   82944     break;
   82945    case 4:
   82946     $2 = HEAP32[$f >> 2] | 0;
   82947     $call4 = _fgets($arraydecay | 0, 200, $2 | 0) | 0;
   82948     $cmp = ($call4 | 0) == 0;
   82949     if ($cmp) {
   82950       $w_0_lcssa = $w_0_ph;
   82951       $h_0_lcssa = $h_0_ph;
   82952       label = 13;
   82953       break;
   82954     } else {
   82955       $w_022 = $w_0_ph;
   82956       $h_023 = $h_0_ph;
   82957       $wFlag_024 = $wFlag_0_ph;
   82958       $hFlag_025 = $hFlag_0_ph;
   82959       label = 5;
   82960       break;
   82961     }
   82962    case 5:
   82963     $tobool = $wFlag_024 << 24 >> 24 == 0;
   82964     $lnot = $hFlag_025 << 24 >> 24 == 0;
   82965     $or_cond = $tobool | $lnot;
   82966     if ($or_cond) {
   82967       label = 6;
   82968       break;
   82969     } else {
   82970       $w_0_lcssa = $w_022;
   82971       $h_0_lcssa = $h_023;
   82972       label = 13;
   82973       break;
   82974     }
   82975    case 6:
   82976     $call7 = _strtok($arraydecay | 0, 104472) | 0;
   82977     $cmp911 = ($call7 | 0) == 0;
   82978     if ($cmp911) {
   82979       $hFlag_0_ph = $hFlag_025;
   82980       $wFlag_0_ph = $wFlag_024;
   82981       $h_0_ph = $h_023;
   82982       $w_0_ph = $w_022;
   82983       label = 4;
   82984       break;
   82985     } else {
   82986       $w_112 = $w_022;
   82987       $h_113 = $h_023;
   82988       $token_014 = $call7;
   82989       $wFlag_115 = $wFlag_024;
   82990       $hFlag_116 = $hFlag_025;
   82991       label = 7;
   82992       break;
   82993     }
   82994    case 7:
   82995     $call11 = _strlen($token_014 | 0) | 0;
   82996     $sub12 = $call11 - 1 | 0;
   82997     $arrayidx = $token_014 + $sub12 | 0;
   82998     $3 = HEAP8[$arrayidx] | 0;
   82999     $cmp13 = $3 << 24 >> 24 == 62;
   83000     if ($cmp13) {
   83001       $hFlag_0_ph = $hFlag_116;
   83002       $wFlag_0_ph = $wFlag_115;
   83003       $h_0_ph = $h_113;
   83004       $w_0_ph = $w_112;
   83005       label = 4;
   83006       break;
   83007     } else {
   83008       label = 8;
   83009       break;
   83010     }
   83011    case 8:
   83012     $call18 = _sscanf($token_014 | 0, 100216, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $n, HEAP32[tempInt + 8 >> 2] = $arraydecay17, tempInt) | 0) | 0;
   83013     $cmp19 = ($call18 | 0) == 2;
   83014     if ($cmp19) {
   83015       label = 9;
   83016       break;
   83017     } else {
   83018       $wFlag_2 = $wFlag_115;
   83019       $w_2 = $w_112;
   83020       label = 10;
   83021       break;
   83022     }
   83023    case 9:
   83024     $4 = +HEAPF64[$n >> 3];
   83025     $call22 = _svg_units_convert($4, $arraydecay17) | 0;
   83026     $tobool23 = $hFlag_116 << 24 >> 24 == 0;
   83027     if ($tobool23) {
   83028       $wFlag_2 = 1;
   83029       $w_2 = $call22;
   83030       label = 10;
   83031       break;
   83032     } else {
   83033       $hFlag_0_ph = $hFlag_116;
   83034       $wFlag_0_ph = 1;
   83035       $h_0_ph = $h_113;
   83036       $w_0_ph = $call22;
   83037       label = 4;
   83038       break;
   83039     }
   83040    case 10:
   83041     $call27 = _sscanf($token_014 | 0, 96080, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $n, HEAP32[tempInt + 8 >> 2] = $arraydecay17, tempInt) | 0) | 0;
   83042     $cmp28 = ($call27 | 0) == 2;
   83043     if ($cmp28) {
   83044       label = 11;
   83045       break;
   83046     } else {
   83047       $hFlag_2 = $hFlag_116;
   83048       $h_2 = $h_113;
   83049       label = 12;
   83050       break;
   83051     }
   83052    case 11:
   83053     $5 = +HEAPF64[$n >> 3];
   83054     $call32 = _svg_units_convert($5, $arraydecay17) | 0;
   83055     $tobool33 = $wFlag_2 << 24 >> 24 == 0;
   83056     if ($tobool33) {
   83057       $hFlag_2 = 1;
   83058       $h_2 = $call32;
   83059       label = 12;
   83060       break;
   83061     } else {
   83062       $hFlag_0_ph = 1;
   83063       $wFlag_0_ph = $wFlag_2;
   83064       $h_0_ph = $call32;
   83065       $w_0_ph = $w_2;
   83066       label = 4;
   83067       break;
   83068     }
   83069    case 12:
   83070     $call37 = _strtok(0, 104472) | 0;
   83071     $cmp9 = ($call37 | 0) == 0;
   83072     if ($cmp9) {
   83073       $hFlag_0_ph = $hFlag_2;
   83074       $wFlag_0_ph = $wFlag_2;
   83075       $h_0_ph = $h_2;
   83076       $w_0_ph = $w_2;
   83077       label = 4;
   83078       break;
   83079     } else {
   83080       $w_112 = $w_2;
   83081       $h_113 = $h_2;
   83082       $token_014 = $call37;
   83083       $wFlag_115 = $wFlag_2;
   83084       $hFlag_116 = $hFlag_2;
   83085       label = 7;
   83086       break;
   83087     }
   83088    case 13:
   83089     $dpi = $us + 48 | 0;
   83090     HEAP32[$dpi >> 2] = 72;
   83091     $w39 = $us + 40 | 0;
   83092     HEAP32[$w39 >> 2] = $w_0_lcssa;
   83093     $h40 = $us + 44 | 0;
   83094     HEAP32[$h40 >> 2] = $h_0_lcssa;
   83095     STACKTOP = __stackBase__;
   83096     return;
   83097   }
   83098 }
   83099 function _svg_units_convert($n, $u) {
   83100   $n = +$n;
   83101   $u = $u | 0;
   83102   var $call = 0, $cmp = 0, $mul = 0.0, $cmp1 = 0, $add = 0.0, $sub = 0.0, $add_sink = 0.0, $conv = 0, $call5 = 0, $cmp6 = 0, $mul9 = 0.0, $div = 0.0, $cmp10 = 0, $add15 = 0.0, $sub20 = 0.0, $add15_sink = 0.0, $conv16 = 0, $call25 = 0, $cmp26 = 0, $mul29 = 0.0, $div30 = 0.0, $cmp31 = 0, $add36 = 0.0, $sub41 = 0.0, $add36_sink = 0.0, $conv37 = 0, $call46 = 0, $cmp47 = 0, $call49 = 0, $cmp50 = 0, $cmp53 = 0, $add56 = 0.0, $sub59 = 0.0, $add56_sink = 0.0, $conv57 = 0, $call64 = 0, $cmp65 = 0, $mul68 = 0.0, $cmp69 = 0, $add73 = 0.0, $sub77 = 0.0, $add73_sink = 0.0, $conv74 = 0, $call82 = 0, $cmp83 = 0, $mul86 = 0.0, $cmp87 = 0, $add91 = 0.0, $sub95 = 0.0, $add91_sink = 0.0, $conv92 = 0, $retval_0 = 0, label = 0;
   83103   label = 2;
   83104   while (1) switch (label | 0) {
   83105    case 2:
   83106     $call = _strcmp($u | 0, 92672) | 0;
   83107     $cmp = ($call | 0) == 0;
   83108     if ($cmp) {
   83109       label = 3;
   83110       break;
   83111     } else {
   83112       label = 7;
   83113       break;
   83114     }
   83115    case 3:
   83116     $mul = $n * 72.0;
   83117     $cmp1 = $mul < 0.0;
   83118     if ($cmp1) {
   83119       label = 5;
   83120       break;
   83121     } else {
   83122       label = 4;
   83123       break;
   83124     }
   83125    case 4:
   83126     $add = $mul + .5;
   83127     $add_sink = $add;
   83128     label = 6;
   83129     break;
   83130    case 5:
   83131     $sub = $mul + -.5;
   83132     $add_sink = $sub;
   83133     label = 6;
   83134     break;
   83135    case 6:
   83136     $conv = ~~$add_sink;
   83137     $retval_0 = $conv;
   83138     label = 33;
   83139     break;
   83140    case 7:
   83141     $call5 = _strcmp($u | 0, 162792) | 0;
   83142     $cmp6 = ($call5 | 0) == 0;
   83143     if ($cmp6) {
   83144       label = 8;
   83145       break;
   83146     } else {
   83147       label = 12;
   83148       break;
   83149     }
   83150    case 8:
   83151     $mul9 = $n * 72.0;
   83152     $div = $mul9 / 96.0;
   83153     $cmp10 = $div < 0.0;
   83154     if ($cmp10) {
   83155       label = 10;
   83156       break;
   83157     } else {
   83158       label = 9;
   83159       break;
   83160     }
   83161    case 9:
   83162     $add15 = $div + .5;
   83163     $add15_sink = $add15;
   83164     label = 11;
   83165     break;
   83166    case 10:
   83167     $sub20 = $div + -.5;
   83168     $add15_sink = $sub20;
   83169     label = 11;
   83170     break;
   83171    case 11:
   83172     $conv16 = ~~$add15_sink;
   83173     $retval_0 = $conv16;
   83174     label = 33;
   83175     break;
   83176    case 12:
   83177     $call25 = _strcmp($u | 0, 159320) | 0;
   83178     $cmp26 = ($call25 | 0) == 0;
   83179     if ($cmp26) {
   83180       label = 13;
   83181       break;
   83182     } else {
   83183       label = 17;
   83184       break;
   83185     }
   83186    case 13:
   83187     $mul29 = $n * 72.0;
   83188     $div30 = $mul29 / 6.0;
   83189     $cmp31 = $div30 < 0.0;
   83190     if ($cmp31) {
   83191       label = 15;
   83192       break;
   83193     } else {
   83194       label = 14;
   83195       break;
   83196     }
   83197    case 14:
   83198     $add36 = $div30 + .5;
   83199     $add36_sink = $add36;
   83200     label = 16;
   83201     break;
   83202    case 15:
   83203     $sub41 = $div30 + -.5;
   83204     $add36_sink = $sub41;
   83205     label = 16;
   83206     break;
   83207    case 16:
   83208     $conv37 = ~~$add36_sink;
   83209     $retval_0 = $conv37;
   83210     label = 33;
   83211     break;
   83212    case 17:
   83213     $call46 = _strcmp($u | 0, 155696) | 0;
   83214     $cmp47 = ($call46 | 0) == 0;
   83215     if ($cmp47) {
   83216       label = 19;
   83217       break;
   83218     } else {
   83219       label = 18;
   83220       break;
   83221     }
   83222    case 18:
   83223     $call49 = _strcmp($u | 0, 152336) | 0;
   83224     $cmp50 = ($call49 | 0) == 0;
   83225     if ($cmp50) {
   83226       label = 19;
   83227       break;
   83228     } else {
   83229       label = 23;
   83230       break;
   83231     }
   83232    case 19:
   83233     $cmp53 = $n < 0.0;
   83234     if ($cmp53) {
   83235       label = 21;
   83236       break;
   83237     } else {
   83238       label = 20;
   83239       break;
   83240     }
   83241    case 20:
   83242     $add56 = $n + .5;
   83243     $add56_sink = $add56;
   83244     label = 22;
   83245     break;
   83246    case 21:
   83247     $sub59 = $n + -.5;
   83248     $add56_sink = $sub59;
   83249     label = 22;
   83250     break;
   83251    case 22:
   83252     $conv57 = ~~$add56_sink;
   83253     $retval_0 = $conv57;
   83254     label = 33;
   83255     break;
   83256    case 23:
   83257     $call64 = _strcmp($u | 0, 148968) | 0;
   83258     $cmp65 = ($call64 | 0) == 0;
   83259     if ($cmp65) {
   83260       label = 24;
   83261       break;
   83262     } else {
   83263       label = 28;
   83264       break;
   83265     }
   83266    case 24:
   83267     $mul68 = $n * 28.346456664;
   83268     $cmp69 = $mul68 < 0.0;
   83269     if ($cmp69) {
   83270       label = 26;
   83271       break;
   83272     } else {
   83273       label = 25;
   83274       break;
   83275     }
   83276    case 25:
   83277     $add73 = $mul68 + .5;
   83278     $add73_sink = $add73;
   83279     label = 27;
   83280     break;
   83281    case 26:
   83282     $sub77 = $mul68 + -.5;
   83283     $add73_sink = $sub77;
   83284     label = 27;
   83285     break;
   83286    case 27:
   83287     $conv74 = ~~$add73_sink;
   83288     $retval_0 = $conv74;
   83289     label = 33;
   83290     break;
   83291    case 28:
   83292     $call82 = _strcmp($u | 0, 146168) | 0;
   83293     $cmp83 = ($call82 | 0) == 0;
   83294     if ($cmp83) {
   83295       label = 29;
   83296       break;
   83297     } else {
   83298       $retval_0 = 0;
   83299       label = 33;
   83300       break;
   83301     }
   83302    case 29:
   83303     $mul86 = $n * 2.8346456663999997;
   83304     $cmp87 = $mul86 < 0.0;
   83305     if ($cmp87) {
   83306       label = 31;
   83307       break;
   83308     } else {
   83309       label = 30;
   83310       break;
   83311     }
   83312    case 30:
   83313     $add91 = $mul86 + .5;
   83314     $add91_sink = $add91;
   83315     label = 32;
   83316     break;
   83317    case 31:
   83318     $sub95 = $mul86 + -.5;
   83319     $add91_sink = $sub95;
   83320     label = 32;
   83321     break;
   83322    case 32:
   83323     $conv92 = ~~$add91_sink;
   83324     $retval_0 = $conv92;
   83325     label = 33;
   83326     break;
   83327    case 33:
   83328     return $retval_0 | 0;
   83329   }
   83330   return 0;
   83331 }
   83332 function _get_int_msb_first($f, $sz, $val) {
   83333   $f = $f | 0;
   83334   $sz = $sz | 0;
   83335   $val = $val | 0;
   83336   var $cmp5 = 0, $i_06 = 0, $call = 0, $call1 = 0, $tobool = 0, $0 = 0, $shl = 0, $or = 0, $inc = 0, $cmp = 0, $retval_0 = 0, label = 0;
   83337   label = 2;
   83338   while (1) switch (label | 0) {
   83339    case 2:
   83340     HEAP32[$val >> 2] = 0;
   83341     $cmp5 = ($sz | 0) == 0;
   83342     if ($cmp5) {
   83343       $retval_0 = 1;
   83344       label = 5;
   83345       break;
   83346     } else {
   83347       $i_06 = 0;
   83348       label = 3;
   83349       break;
   83350     }
   83351    case 3:
   83352     $call = _fgetc($f | 0) | 0;
   83353     $call1 = _feof($f | 0) | 0;
   83354     $tobool = ($call1 | 0) == 0;
   83355     if ($tobool) {
   83356       label = 4;
   83357       break;
   83358     } else {
   83359       $retval_0 = 0;
   83360       label = 5;
   83361       break;
   83362     }
   83363    case 4:
   83364     $0 = HEAP32[$val >> 2] | 0;
   83365     $shl = $0 << 8;
   83366     $or = $shl | $call;
   83367     HEAP32[$val >> 2] = $or;
   83368     $inc = $i_06 + 1 | 0;
   83369     $cmp = $inc >>> 0 < $sz >>> 0;
   83370     if ($cmp) {
   83371       $i_06 = $inc;
   83372       label = 3;
   83373       break;
   83374     } else {
   83375       $retval_0 = 1;
   83376       label = 5;
   83377       break;
   83378     }
   83379    case 5:
   83380     return $retval_0 | 0;
   83381   }
   83382   return 0;
   83383 }
   83384 function _get_int_lsb_first($f, $val) {
   83385   $f = $f | 0;
   83386   $val = $val | 0;
   83387   var $call = 0, $call1 = 0, $tobool = 0, $0 = 0, $or = 0, $call_1 = 0, $call1_1 = 0, $tobool_1 = 0, $retval_0 = 0, $shl_1 = 0, $1 = 0, $or_1 = 0, label = 0;
   83388   label = 2;
   83389   while (1) switch (label | 0) {
   83390    case 2:
   83391     HEAP32[$val >> 2] = 0;
   83392     $call = _fgetc($f | 0) | 0;
   83393     $call1 = _feof($f | 0) | 0;
   83394     $tobool = ($call1 | 0) == 0;
   83395     if ($tobool) {
   83396       label = 3;
   83397       break;
   83398     } else {
   83399       $retval_0 = 0;
   83400       label = 4;
   83401       break;
   83402     }
   83403    case 3:
   83404     $0 = HEAP32[$val >> 2] | 0;
   83405     $or = $0 | $call;
   83406     HEAP32[$val >> 2] = $or;
   83407     $call_1 = _fgetc($f | 0) | 0;
   83408     $call1_1 = _feof($f | 0) | 0;
   83409     $tobool_1 = ($call1_1 | 0) == 0;
   83410     if ($tobool_1) {
   83411       label = 5;
   83412       break;
   83413     } else {
   83414       $retval_0 = 0;
   83415       label = 4;
   83416       break;
   83417     }
   83418    case 4:
   83419     return $retval_0 | 0;
   83420    case 5:
   83421     $shl_1 = $call_1 << 8;
   83422     $1 = HEAP32[$val >> 2] | 0;
   83423     $or_1 = $1 | $shl_1;
   83424     HEAP32[$val >> 2] = $or_1;
   83425     $retval_0 = 1;
   83426     label = 4;
   83427     break;
   83428   }
   83429   return 0;
   83430 }
   83431 function _usershape_close($dict, $p, $disc) {
   83432   $dict = $dict | 0;
   83433   $p = $p | 0;
   83434   $disc = $disc | 0;
   83435   var $0 = 0, $f = 0, $1 = 0, $2 = 0, $tobool = 0, $call = 0, $data = 0, $3 = 0, $4 = 0, $tobool2 = 0, $datafree = 0, $5 = 0, $6 = 0, $tobool3 = 0, label = 0;
   83436   label = 2;
   83437   while (1) switch (label | 0) {
   83438    case 2:
   83439     $0 = $p;
   83440     $f = $p + 20 | 0;
   83441     $1 = $f;
   83442     $2 = HEAP32[$1 >> 2] | 0;
   83443     $tobool = ($2 | 0) == 0;
   83444     if ($tobool) {
   83445       label = 4;
   83446       break;
   83447     } else {
   83448       label = 3;
   83449       break;
   83450     }
   83451    case 3:
   83452     $call = _fclose($2 | 0) | 0;
   83453     label = 4;
   83454     break;
   83455    case 4:
   83456     $data = $p + 52 | 0;
   83457     $3 = $data;
   83458     $4 = HEAP32[$3 >> 2] | 0;
   83459     $tobool2 = ($4 | 0) == 0;
   83460     if ($tobool2) {
   83461       label = 7;
   83462       break;
   83463     } else {
   83464       label = 5;
   83465       break;
   83466     }
   83467    case 5:
   83468     $datafree = $p + 60 | 0;
   83469     $5 = $datafree;
   83470     $6 = HEAP32[$5 >> 2] | 0;
   83471     $tobool3 = ($6 | 0) == 0;
   83472     if ($tobool3) {
   83473       label = 7;
   83474       break;
   83475     } else {
   83476       label = 6;
   83477       break;
   83478     }
   83479    case 6:
   83480     FUNCTION_TABLE_vi[$6 & 1023]($0);
   83481     label = 7;
   83482     break;
   83483    case 7:
   83484     _free($p);
   83485     return;
   83486   }
   83487 }
   83488 function _gvloadimage($job, $us, $b, $filled, $target) {
   83489   $job = $job | 0;
   83490   $us = $us | 0;
   83491   $b = $b | 0;
   83492   $filled = $filled | 0;
   83493   $target = $target | 0;
   83494   var $type = 0, $arraydecay = 0, $stringtype = 0, $0 = 0, $call = 0, $strlen = 0, $endptr = 0, $1 = 0, $call4 = 0, $call6 = 0, $cmp = 0, $call8 = 0, $engine = 0, $2 = 0, $tobool = 0, $loadimage9 = 0, $3 = 0, $tobool10 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   83495   __stackBase__ = STACKTOP;
   83496   STACKTOP = STACKTOP + 128 | 0;
   83497   tempParam = $b;
   83498   $b = STACKTOP;
   83499   STACKTOP = STACKTOP + 32 | 0;
   83500   _memcpy($b, tempParam, 32);
   83501   label = 2;
   83502   while (1) switch (label | 0) {
   83503    case 2:
   83504     $type = __stackBase__ | 0;
   83505     $arraydecay = $type | 0;
   83506     $stringtype = $us + 28 | 0;
   83507     $0 = HEAP32[$stringtype >> 2] | 0;
   83508     $call = _strcpy($arraydecay | 0, $0 | 0) | 0;
   83509     $strlen = _strlen($arraydecay | 0) | 0;
   83510     $endptr = $type + $strlen | 0;
   83511     $1 = $endptr;
   83512     tempBigInt = 58;
   83513     HEAP8[$1] = tempBigInt & 255;
   83514     tempBigInt = tempBigInt >> 8;
   83515     HEAP8[$1 + 1 | 0] = tempBigInt & 255;
   83516     $call4 = _strcat($arraydecay | 0, $target | 0) | 0;
   83517     $call6 = _gvloadimage_select($job, $arraydecay) | 0;
   83518     $cmp = ($call6 | 0) == 999;
   83519     if ($cmp) {
   83520       label = 3;
   83521       break;
   83522     } else {
   83523       label = 4;
   83524       break;
   83525     }
   83526    case 3:
   83527     $call8 = _agerr(0, 157312, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $arraydecay, tempInt) | 0) | 0;
   83528     label = 4;
   83529     break;
   83530    case 4:
   83531     $engine = $job + 92 | 0;
   83532     $2 = HEAP32[$engine >> 2] | 0;
   83533     $tobool = ($2 | 0) == 0;
   83534     if ($tobool) {
   83535       label = 7;
   83536       break;
   83537     } else {
   83538       label = 5;
   83539       break;
   83540     }
   83541    case 5:
   83542     $loadimage9 = $2 | 0;
   83543     $3 = HEAP32[$loadimage9 >> 2] | 0;
   83544     $tobool10 = ($3 | 0) == 0;
   83545     if ($tobool10) {
   83546       label = 7;
   83547       break;
   83548     } else {
   83549       label = 6;
   83550       break;
   83551     }
   83552    case 6:
   83553     FUNCTION_TABLE_viiii[$3 & 1023]($job, $us, $b, $filled);
   83554     label = 7;
   83555     break;
   83556    case 7:
   83557     STACKTOP = __stackBase__;
   83558     return;
   83559   }
   83560 }
   83561 function _gvloadimage_select($job, $str) {
   83562   $job = $job | 0;
   83563   $str = $str | 0;
   83564   var $gvc = 0, $0 = 0, $call = 0, $tobool = 0, $typeptr1 = 0, $1 = 0, $engine = 0, $2 = 0, $3 = 0, $engine2 = 0, $id = 0, $4 = 0, $id4 = 0, $retval_0 = 0, label = 0;
   83565   label = 2;
   83566   while (1) switch (label | 0) {
   83567    case 2:
   83568     $gvc = $job | 0;
   83569     $0 = HEAP32[$gvc >> 2] | 0;
   83570     $call = _gvplugin_load($0, 4, $str) | 0;
   83571     $tobool = ($call | 0) == 0;
   83572     if ($tobool) {
   83573       $retval_0 = 999;
   83574       label = 4;
   83575       break;
   83576     } else {
   83577       label = 3;
   83578       break;
   83579     }
   83580    case 3:
   83581     $typeptr1 = $call + 16 | 0;
   83582     $1 = HEAP32[$typeptr1 >> 2] | 0;
   83583     $engine = $1 + 12 | 0;
   83584     $2 = HEAP32[$engine >> 2] | 0;
   83585     $3 = $2;
   83586     $engine2 = $job + 92 | 0;
   83587     HEAP32[$engine2 >> 2] = $3;
   83588     $id = $1 | 0;
   83589     $4 = HEAP32[$id >> 2] | 0;
   83590     $id4 = $job + 96 | 0;
   83591     HEAP32[$id4 >> 2] = $4;
   83592     $retval_0 = 300;
   83593     label = 4;
   83594     break;
   83595    case 4:
   83596     return $retval_0 | 0;
   83597   }
   83598   return 0;
   83599 }
   83600 function _gvtextlayout_select($gvc) {
   83601   $gvc = $gvc | 0;
   83602   var $call = 0, $tobool = 0, $typeptr1 = 0, $0 = 0, $engine = 0, $1 = 0, $2 = 0, $engine2 = 0, $retval_0 = 0, label = 0;
   83603   label = 2;
   83604   while (1) switch (label | 0) {
   83605    case 2:
   83606     $call = _gvplugin_load($gvc, 2, 141752) | 0;
   83607     $tobool = ($call | 0) == 0;
   83608     if ($tobool) {
   83609       $retval_0 = 999;
   83610       label = 4;
   83611       break;
   83612     } else {
   83613       label = 3;
   83614       break;
   83615     }
   83616    case 3:
   83617     $typeptr1 = $call + 16 | 0;
   83618     $0 = HEAP32[$typeptr1 >> 2] | 0;
   83619     $engine = $0 + 12 | 0;
   83620     $1 = HEAP32[$engine >> 2] | 0;
   83621     $2 = $1;
   83622     $engine2 = $gvc + 132 | 0;
   83623     HEAP32[$engine2 >> 2] = $2;
   83624     $retval_0 = 300;
   83625     label = 4;
   83626     break;
   83627    case 4:
   83628     return $retval_0 | 0;
   83629   }
   83630   return 0;
   83631 }
   83632 function _gvtextlayout($gvc, $para, $fontpath) {
   83633   $gvc = $gvc | 0;
   83634   $para = $para | 0;
   83635   $fontpath = $fontpath | 0;
   83636   var $engine = 0, $0 = 0, $tobool = 0, $textlayout1 = 0, $1 = 0, $tobool2 = 0, $call = 0, $retval_0 = 0, label = 0;
   83637   label = 2;
   83638   while (1) switch (label | 0) {
   83639    case 2:
   83640     $engine = $gvc + 132 | 0;
   83641     $0 = HEAP32[$engine >> 2] | 0;
   83642     $tobool = ($0 | 0) == 0;
   83643     if ($tobool) {
   83644       $retval_0 = 0;
   83645       label = 5;
   83646       break;
   83647     } else {
   83648       label = 3;
   83649       break;
   83650     }
   83651    case 3:
   83652     $textlayout1 = $0 | 0;
   83653     $1 = HEAP32[$textlayout1 >> 2] | 0;
   83654     $tobool2 = ($1 | 0) == 0;
   83655     if ($tobool2) {
   83656       $retval_0 = 0;
   83657       label = 5;
   83658       break;
   83659     } else {
   83660       label = 4;
   83661       break;
   83662     }
   83663    case 4:
   83664     $call = FUNCTION_TABLE_iii[$1 & 1023]($para, $fontpath) | 0;
   83665     $retval_0 = $call;
   83666     label = 5;
   83667     break;
   83668    case 5:
   83669     return $retval_0 | 0;
   83670   }
   83671   return 0;
   83672 }
   83673 function _Proutespline($edges, $edgen, $input, $evs, $output) {
   83674   $edges = $edges | 0;
   83675   $edgen = $edgen | 0;
   83676   $input = $input | 0;
   83677   $evs = $evs | 0;
   83678   $output = $output | 0;
   83679   var $tmp = 0, $tmp4 = 0, $ps = 0, $0 = 0, $pn = 0, $1 = 0, $2 = 0, $3 = 0, $arrayidx2 = 0, $4 = 0, $5 = 0, $6 = 0, $inc = 0, $7 = 0, $arrayidx5 = 0, $8 = 0, $9 = 0, $call = 0, $cmp = 0, $10 = 0, $pn9 = 0, $11 = 0, $ps10 = 0, $retval_0 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   83680   __stackBase__ = STACKTOP;
   83681   STACKTOP = STACKTOP + 32 | 0;
   83682   tempParam = $input;
   83683   $input = STACKTOP;
   83684   STACKTOP = STACKTOP + 8 | 0;
   83685   HEAP32[$input >> 2] = HEAP32[tempParam >> 2] | 0;
   83686   HEAP32[$input + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   83687   label = 2;
   83688   while (1) switch (label | 0) {
   83689    case 2:
   83690     $tmp = __stackBase__ | 0;
   83691     $tmp4 = __stackBase__ + 16 | 0;
   83692     $ps = $input | 0;
   83693     $0 = HEAP32[$ps >> 2] | 0;
   83694     $pn = $input + 4 | 0;
   83695     $1 = HEAP32[$pn >> 2] | 0;
   83696     _normv($tmp, $evs);
   83697     $2 = $evs;
   83698     $3 = $tmp;
   83699     HEAP32[$2 >> 2] = HEAP32[$3 >> 2] | 0;
   83700     HEAP32[$2 + 4 >> 2] = HEAP32[$3 + 4 >> 2] | 0;
   83701     HEAP32[$2 + 8 >> 2] = HEAP32[$3 + 8 >> 2] | 0;
   83702     HEAP32[$2 + 12 >> 2] = HEAP32[$3 + 12 >> 2] | 0;
   83703     $arrayidx2 = $evs + 16 | 0;
   83704     _normv($tmp4, $arrayidx2);
   83705     $4 = $arrayidx2;
   83706     $5 = $tmp4;
   83707     HEAP32[$4 >> 2] = HEAP32[$5 >> 2] | 0;
   83708     HEAP32[$4 + 4 >> 2] = HEAP32[$5 + 4 >> 2] | 0;
   83709     HEAP32[$4 + 8 >> 2] = HEAP32[$5 + 8 >> 2] | 0;
   83710     HEAP32[$4 + 12 >> 2] = HEAP32[$5 + 12 >> 2] | 0;
   83711     HEAP32[2292] = 0;
   83712     _growops(4);
   83713     $6 = HEAP32[2292] | 0;
   83714     $inc = $6 + 1 | 0;
   83715     HEAP32[2292] = $inc;
   83716     $7 = HEAP32[2286] | 0;
   83717     $arrayidx5 = $7 + ($6 << 4) | 0;
   83718     $8 = $arrayidx5;
   83719     $9 = $0;
   83720     HEAP32[$8 >> 2] = HEAP32[$9 >> 2] | 0;
   83721     HEAP32[$8 + 4 >> 2] = HEAP32[$9 + 4 >> 2] | 0;
   83722     HEAP32[$8 + 8 >> 2] = HEAP32[$9 + 8 >> 2] | 0;
   83723     HEAP32[$8 + 12 >> 2] = HEAP32[$9 + 12 >> 2] | 0;
   83724     $call = _reallyroutespline($edges, $edgen, $0, $1, $evs, $arrayidx2) | 0;
   83725     $cmp = ($call | 0) == -1;
   83726     if ($cmp) {
   83727       $retval_0 = -1;
   83728       label = 4;
   83729       break;
   83730     } else {
   83731       label = 3;
   83732       break;
   83733     }
   83734    case 3:
   83735     $10 = HEAP32[2292] | 0;
   83736     $pn9 = $output + 4 | 0;
   83737     HEAP32[$pn9 >> 2] = $10;
   83738     $11 = HEAP32[2286] | 0;
   83739     $ps10 = $output | 0;
   83740     HEAP32[$ps10 >> 2] = $11;
   83741     $retval_0 = 0;
   83742     label = 4;
   83743     break;
   83744    case 4:
   83745     STACKTOP = __stackBase__;
   83746     return $retval_0 | 0;
   83747   }
   83748   return 0;
   83749 }
   83750 function _normv($agg_result, $v) {
   83751   $agg_result = $agg_result | 0;
   83752   $v = $v | 0;
   83753   var $x = 0, $0 = 0.0, $mul = 0.0, $y = 0, $1 = 0.0, $mul3 = 0.0, $add = 0.0, $cmp = 0, $call = 0.0, $div = 0.0, $2 = 0.0, $div6 = 0.0, $3 = 0, $4 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   83754   __stackBase__ = STACKTOP;
   83755   tempParam = $v;
   83756   $v = STACKTOP;
   83757   STACKTOP = STACKTOP + 16 | 0;
   83758   HEAP32[$v >> 2] = HEAP32[tempParam >> 2] | 0;
   83759   HEAP32[$v + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   83760   HEAP32[$v + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   83761   HEAP32[$v + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   83762   label = 2;
   83763   while (1) switch (label | 0) {
   83764    case 2:
   83765     $x = $v | 0;
   83766     $0 = +HEAPF64[$x >> 3];
   83767     $mul = $0 * $0;
   83768     $y = $v + 8 | 0;
   83769     $1 = +HEAPF64[$y >> 3];
   83770     $mul3 = $1 * $1;
   83771     $add = $mul + $mul3;
   83772     $cmp = $add > 1.0e-6;
   83773     if ($cmp) {
   83774       label = 3;
   83775       break;
   83776     } else {
   83777       label = 4;
   83778       break;
   83779     }
   83780    case 3:
   83781     $call = +Math_sqrt(+$add);
   83782     $div = $0 / $call;
   83783     HEAPF64[$x >> 3] = $div;
   83784     $2 = +HEAPF64[$y >> 3];
   83785     $div6 = $2 / $call;
   83786     HEAPF64[$y >> 3] = $div6;
   83787     label = 4;
   83788     break;
   83789    case 4:
   83790     $3 = $agg_result;
   83791     $4 = $v;
   83792     HEAP32[$3 >> 2] = HEAP32[$4 >> 2] | 0;
   83793     HEAP32[$3 + 4 >> 2] = HEAP32[$4 + 4 >> 2] | 0;
   83794     HEAP32[$3 + 8 >> 2] = HEAP32[$4 + 8 >> 2] | 0;
   83795     HEAP32[$3 + 12 >> 2] = HEAP32[$4 + 12 >> 2] | 0;
   83796     STACKTOP = __stackBase__;
   83797     return;
   83798   }
   83799 }
   83800 function _reallyroutespline($edges, $edgen, $inps, $inpn, $ev0, $ev1) {
   83801   $edges = $edges | 0;
   83802   $edgen = $edgen | 0;
   83803   $inps = $inps | 0;
   83804   $inpn = $inpn | 0;
   83805   $ev0 = $ev0 | 0;
   83806   $ev1 = $ev1 | 0;
   83807   var $p1 = 0, $p2 = 0, $p = 0, $v1 = 0, $v2 = 0, $splitv = 0, $splitv1 = 0, $splitv2 = 0, $tmp = 0, $tmp46 = 0, $agg_tmp = 0, $tmp58 = 0, $agg_tmp59 = 0, $tmp60 = 0, $agg_tmp105 = 0, $agg_tmp110 = 0, $agg_tmp115 = 0, $0 = 0, $cmp = 0, $1 = 0, $tobool = 0, $mul = 0, $call = 0, $2 = 0, $tobool2 = 0, $3 = 0, $mul4 = 0, $call5 = 0, $4 = 0, $tobool6 = 0, $5 = 0, $t11 = 0, $cmp1269 = 0, $cmp2267 = 0, $sub24 = 0, $6 = 0, $t26 = 0, $i_070 = 0, $sub = 0, $7 = 0, $t14 = 0, $8 = 0.0, $arrayidx15 = 0, $arrayidx17 = 0, $call18 = 0.0, $add = 0.0, $t20 = 0, $inc = 0, $cmp12 = 0, $cmp3364 = 0, $9 = 0, $10 = 0, $11 = 0, $i_168 = 0, $12 = 0.0, $t28 = 0, $13 = 0.0, $div = 0.0, $inc30 = 0, $cmp22 = 0, $14 = 0, $i_265 = 0, $arrayidx36 = 0, $t38 = 0, $15 = 0.0, $call39 = 0.0, $16 = 0, $17 = 0, $arrayidx42 = 0, $t44 = 0, $18 = 0.0, $call45 = 0.0, $19 = 0, $inc48 = 0, $cmp33 = 0, $20 = 0, $_lcssa = 0, $call54 = 0, $tobool55 = 0, $cp1_sroa_0_0__idx = 0, $cp1_sroa_0_0_copyload = 0.0, $cp1_sroa_1_8__idx34 = 0, $cp1_sroa_1_8_copyload = 0.0, $cp2_sroa_0_0__idx = 0, $cp2_sroa_0_0_copyload = 0.0, $cp2_sroa_1_8__idx33 = 0, $cp2_sroa_1_8_copyload = 0.0, $sub62 = 0, $cmp6360 = 0, $x = 0, $21 = 0.0, $x78 = 0, $22 = 0.0, $x81 = 0, $y = 0, $23 = 0.0, $y93 = 0, $24 = 0.0, $y96 = 0, $i_363 = 0, $maxi_062 = 0, $maxd_061 = 0.0, $25 = 0, $t66 = 0, $26 = 0.0, $call67 = 0.0, $mul68 = 0.0, $call69 = 0.0, $mul71 = 0.0, $add72 = 0.0, $call73 = 0.0, $mul75 = 0.0, $add76 = 0.0, $call77 = 0.0, $mul79 = 0.0, $add80 = 0.0, $mul83 = 0.0, $mul86 = 0.0, $add87 = 0.0, $mul90 = 0.0, $add91 = 0.0, $mul94 = 0.0, $add95 = 0.0, $arrayidx97 = 0, $call98 = 0.0, $cmp99 = 0, $maxd_1 = 0.0, $maxi_1 = 0, $inc103 = 0, $cmp63 = 0, $maxi_0_lcssa = 0, $arrayidx106 = 0, $sub107 = 0, $arrayidx108 = 0, $add111 = 0, $arrayidx112 = 0, $call118 = 0, $sub120 = 0, $call121 = 0, $retval_0 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   83808   __stackBase__ = STACKTOP;
   83809   STACKTOP = STACKTOP + 272 | 0;
   83810   tempParam = $ev0;
   83811   $ev0 = STACKTOP;
   83812   STACKTOP = STACKTOP + 16 | 0;
   83813   HEAP32[$ev0 >> 2] = HEAP32[tempParam >> 2] | 0;
   83814   HEAP32[$ev0 + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   83815   HEAP32[$ev0 + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   83816   HEAP32[$ev0 + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   83817   tempParam = $ev1;
   83818   $ev1 = STACKTOP;
   83819   STACKTOP = STACKTOP + 16 | 0;
   83820   HEAP32[$ev1 >> 2] = HEAP32[tempParam >> 2] | 0;
   83821   HEAP32[$ev1 + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   83822   HEAP32[$ev1 + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   83823   HEAP32[$ev1 + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   83824   label = 2;
   83825   while (1) switch (label | 0) {
   83826    case 2:
   83827     $p1 = __stackBase__ | 0;
   83828     $p2 = __stackBase__ + 16 | 0;
   83829     $p = __stackBase__ + 32 | 0;
   83830     $v1 = __stackBase__ + 48 | 0;
   83831     $v2 = __stackBase__ + 64 | 0;
   83832     $splitv = __stackBase__ + 80 | 0;
   83833     $splitv1 = __stackBase__ + 96 | 0;
   83834     $splitv2 = __stackBase__ + 112 | 0;
   83835     $tmp = __stackBase__ + 128 | 0;
   83836     $tmp46 = __stackBase__ + 144 | 0;
   83837     $agg_tmp = __stackBase__ + 160 | 0;
   83838     $tmp58 = __stackBase__ + 176 | 0;
   83839     $agg_tmp59 = __stackBase__ + 192 | 0;
   83840     $tmp60 = __stackBase__ + 208 | 0;
   83841     $agg_tmp105 = __stackBase__ + 224 | 0;
   83842     $agg_tmp110 = __stackBase__ + 240 | 0;
   83843     $agg_tmp115 = __stackBase__ + 256 | 0;
   83844     $0 = HEAP32[1162] | 0;
   83845     $cmp = ($0 | 0) < ($inpn | 0);
   83846     if ($cmp) {
   83847       label = 3;
   83848       break;
   83849     } else {
   83850       label = 7;
   83851       break;
   83852     }
   83853    case 3:
   83854     $1 = HEAP32[1160] | 0;
   83855     $tobool = ($1 | 0) == 0;
   83856     if ($tobool) {
   83857       label = 4;
   83858       break;
   83859     } else {
   83860       label = 5;
   83861       break;
   83862     }
   83863    case 4:
   83864     $mul = $inpn * 40 & -1;
   83865     $call = _malloc($mul) | 0;
   83866     $2 = $call;
   83867     HEAP32[1160] = $2;
   83868     $tobool2 = ($call | 0) == 0;
   83869     if ($tobool2) {
   83870       $retval_0 = -1;
   83871       label = 20;
   83872       break;
   83873     } else {
   83874       label = 6;
   83875       break;
   83876     }
   83877    case 5:
   83878     $3 = $1;
   83879     $mul4 = $inpn * 40 & -1;
   83880     $call5 = _realloc($3, $mul4) | 0;
   83881     $4 = $call5;
   83882     HEAP32[1160] = $4;
   83883     $tobool6 = ($call5 | 0) == 0;
   83884     if ($tobool6) {
   83885       $retval_0 = -1;
   83886       label = 20;
   83887       break;
   83888     } else {
   83889       label = 6;
   83890       break;
   83891     }
   83892    case 6:
   83893     HEAP32[1162] = $inpn;
   83894     label = 7;
   83895     break;
   83896    case 7:
   83897     $5 = HEAP32[1160] | 0;
   83898     $t11 = $5 | 0;
   83899     HEAPF64[$t11 >> 3] = 0.0;
   83900     $cmp1269 = ($inpn | 0) > 1;
   83901     if ($cmp1269) {
   83902       $i_070 = 1;
   83903       label = 10;
   83904       break;
   83905     } else {
   83906       label = 11;
   83907       break;
   83908     }
   83909    case 8:
   83910     $cmp2267 = ($inpn | 0) > 1;
   83911     if ($cmp2267) {
   83912       label = 9;
   83913       break;
   83914     } else {
   83915       label = 11;
   83916       break;
   83917     }
   83918    case 9:
   83919     $sub24 = $inpn - 1 | 0;
   83920     $6 = HEAP32[1160] | 0;
   83921     $t26 = $6 + ($sub24 * 40 & -1) | 0;
   83922     $i_168 = 1;
   83923     label = 13;
   83924     break;
   83925    case 10:
   83926     $sub = $i_070 - 1 | 0;
   83927     $7 = HEAP32[1160] | 0;
   83928     $t14 = $7 + ($sub * 40 & -1) | 0;
   83929     $8 = +HEAPF64[$t14 >> 3];
   83930     $arrayidx15 = $inps + ($i_070 << 4) | 0;
   83931     $arrayidx17 = $inps + ($sub << 4) | 0;
   83932     $call18 = +_dist($arrayidx15, $arrayidx17);
   83933     $add = $8 + $call18;
   83934     $t20 = $7 + ($i_070 * 40 & -1) | 0;
   83935     HEAPF64[$t20 >> 3] = $add;
   83936     $inc = $i_070 + 1 | 0;
   83937     $cmp12 = ($inc | 0) < ($inpn | 0);
   83938     if ($cmp12) {
   83939       $i_070 = $inc;
   83940       label = 10;
   83941       break;
   83942     } else {
   83943       label = 8;
   83944       break;
   83945     }
   83946    case 11:
   83947     $cmp3364 = ($inpn | 0) > 0;
   83948     $9 = HEAP32[1160] | 0;
   83949     if ($cmp3364) {
   83950       label = 12;
   83951       break;
   83952     } else {
   83953       $_lcssa = $9;
   83954       label = 15;
   83955       break;
   83956     }
   83957    case 12:
   83958     $10 = $tmp;
   83959     $11 = $tmp46;
   83960     $i_265 = 0;
   83961     $14 = $9;
   83962     label = 14;
   83963     break;
   83964    case 13:
   83965     $12 = +HEAPF64[$t26 >> 3];
   83966     $t28 = $6 + ($i_168 * 40 & -1) | 0;
   83967     $13 = +HEAPF64[$t28 >> 3];
   83968     $div = $13 / $12;
   83969     HEAPF64[$t28 >> 3] = $div;
   83970     $inc30 = $i_168 + 1 | 0;
   83971     $cmp22 = ($inc30 | 0) < ($inpn | 0);
   83972     if ($cmp22) {
   83973       $i_168 = $inc30;
   83974       label = 13;
   83975       break;
   83976     } else {
   83977       label = 11;
   83978       break;
   83979     }
   83980    case 14:
   83981     $arrayidx36 = $14 + ($i_265 * 40 & -1) + 8 | 0;
   83982     $t38 = $14 + ($i_265 * 40 & -1) | 0;
   83983     $15 = +HEAPF64[$t38 >> 3];
   83984     $call39 = +_B1($15);
   83985     _scale2744($tmp, $ev0, $call39);
   83986     $16 = $arrayidx36;
   83987     HEAP32[$16 >> 2] = HEAP32[$10 >> 2] | 0;
   83988     HEAP32[$16 + 4 >> 2] = HEAP32[$10 + 4 >> 2] | 0;
   83989     HEAP32[$16 + 8 >> 2] = HEAP32[$10 + 8 >> 2] | 0;
   83990     HEAP32[$16 + 12 >> 2] = HEAP32[$10 + 12 >> 2] | 0;
   83991     $17 = HEAP32[1160] | 0;
   83992     $arrayidx42 = $17 + ($i_265 * 40 & -1) + 24 | 0;
   83993     $t44 = $17 + ($i_265 * 40 & -1) | 0;
   83994     $18 = +HEAPF64[$t44 >> 3];
   83995     $call45 = +_B2($18);
   83996     _scale2744($tmp46, $ev1, $call45);
   83997     $19 = $arrayidx42;
   83998     HEAP32[$19 >> 2] = HEAP32[$11 >> 2] | 0;
   83999     HEAP32[$19 + 4 >> 2] = HEAP32[$11 + 4 >> 2] | 0;
   84000     HEAP32[$19 + 8 >> 2] = HEAP32[$11 + 8 >> 2] | 0;
   84001     HEAP32[$19 + 12 >> 2] = HEAP32[$11 + 12 >> 2] | 0;
   84002     $inc48 = $i_265 + 1 | 0;
   84003     $cmp33 = ($inc48 | 0) < ($inpn | 0);
   84004     $20 = HEAP32[1160] | 0;
   84005     if ($cmp33) {
   84006       $i_265 = $inc48;
   84007       $14 = $20;
   84008       label = 14;
   84009       break;
   84010     } else {
   84011       $_lcssa = $20;
   84012       label = 15;
   84013       break;
   84014     }
   84015    case 15:
   84016     _mkspline($inps, $inpn, $_lcssa, $ev0, $ev1, $p1, $v1, $p2, $v2);
   84017     $call54 = _splinefits($edges, $edgen, $p1, $v1, $p2, $v2, $inps, $inpn) | 0;
   84018     $tobool55 = ($call54 | 0) == 0;
   84019     if ($tobool55) {
   84020       label = 16;
   84021       break;
   84022     } else {
   84023       $retval_0 = 0;
   84024       label = 20;
   84025       break;
   84026     }
   84027    case 16:
   84028     _scale2744($agg_tmp, $v1, .3333333333333333);
   84029     _add($tmp58, $p1, $agg_tmp);
   84030     $cp1_sroa_0_0__idx = $tmp58 | 0;
   84031     $cp1_sroa_0_0_copyload = +HEAPF64[$cp1_sroa_0_0__idx >> 3];
   84032     $cp1_sroa_1_8__idx34 = $tmp58 + 8 | 0;
   84033     $cp1_sroa_1_8_copyload = +HEAPF64[$cp1_sroa_1_8__idx34 >> 3];
   84034     _scale2744($agg_tmp59, $v2, .3333333333333333);
   84035     _sub($tmp60, $p2, $agg_tmp59);
   84036     $cp2_sroa_0_0__idx = $tmp60 | 0;
   84037     $cp2_sroa_0_0_copyload = +HEAPF64[$cp2_sroa_0_0__idx >> 3];
   84038     $cp2_sroa_1_8__idx33 = $tmp60 + 8 | 0;
   84039     $cp2_sroa_1_8_copyload = +HEAPF64[$cp2_sroa_1_8__idx33 >> 3];
   84040     $sub62 = $inpn - 1 | 0;
   84041     $cmp6360 = ($sub62 | 0) > 1;
   84042     if ($cmp6360) {
   84043       label = 17;
   84044       break;
   84045     } else {
   84046       $maxi_0_lcssa = -1;
   84047       label = 19;
   84048       break;
   84049     }
   84050    case 17:
   84051     $x = $p1 | 0;
   84052     $21 = +HEAPF64[$x >> 3];
   84053     $x78 = $p2 | 0;
   84054     $22 = +HEAPF64[$x78 >> 3];
   84055     $x81 = $p | 0;
   84056     $y = $p1 + 8 | 0;
   84057     $23 = +HEAPF64[$y >> 3];
   84058     $y93 = $p2 + 8 | 0;
   84059     $24 = +HEAPF64[$y93 >> 3];
   84060     $y96 = $p + 8 | 0;
   84061     $maxd_061 = -1.0;
   84062     $maxi_062 = -1;
   84063     $i_363 = 1;
   84064     label = 18;
   84065     break;
   84066    case 18:
   84067     $25 = HEAP32[1160] | 0;
   84068     $t66 = $25 + ($i_363 * 40 & -1) | 0;
   84069     $26 = +HEAPF64[$t66 >> 3];
   84070     $call67 = +_B0($26);
   84071     $mul68 = $call67 * $21;
   84072     $call69 = +_B1($26);
   84073     $mul71 = $cp1_sroa_0_0_copyload * $call69;
   84074     $add72 = $mul68 + $mul71;
   84075     $call73 = +_B2($26);
   84076     $mul75 = $cp2_sroa_0_0_copyload * $call73;
   84077     $add76 = $add72 + $mul75;
   84078     $call77 = +_B3($26);
   84079     $mul79 = $call77 * $22;
   84080     $add80 = $add76 + $mul79;
   84081     HEAPF64[$x81 >> 3] = $add80;
   84082     $mul83 = $call67 * $23;
   84083     $mul86 = $cp1_sroa_1_8_copyload * $call69;
   84084     $add87 = $mul86 + $mul83;
   84085     $mul90 = $cp2_sroa_1_8_copyload * $call73;
   84086     $add91 = $mul90 + $add87;
   84087     $mul94 = $call77 * $24;
   84088     $add95 = $mul94 + $add91;
   84089     HEAPF64[$y96 >> 3] = $add95;
   84090     $arrayidx97 = $inps + ($i_363 << 4) | 0;
   84091     $call98 = +_dist($p, $arrayidx97);
   84092     $cmp99 = $call98 > $maxd_061;
   84093     $maxd_1 = $cmp99 ? $call98 : $maxd_061;
   84094     $maxi_1 = $cmp99 ? $i_363 : $maxi_062;
   84095     $inc103 = $i_363 + 1 | 0;
   84096     $cmp63 = ($inc103 | 0) < ($sub62 | 0);
   84097     if ($cmp63) {
   84098       $maxd_061 = $maxd_1;
   84099       $maxi_062 = $maxi_1;
   84100       $i_363 = $inc103;
   84101       label = 18;
   84102       break;
   84103     } else {
   84104       $maxi_0_lcssa = $maxi_1;
   84105       label = 19;
   84106       break;
   84107     }
   84108    case 19:
   84109     $arrayidx106 = $inps + ($maxi_0_lcssa << 4) | 0;
   84110     $sub107 = $maxi_0_lcssa - 1 | 0;
   84111     $arrayidx108 = $inps + ($sub107 << 4) | 0;
   84112     _sub($agg_tmp105, $arrayidx106, $arrayidx108);
   84113     _normv($splitv1, $agg_tmp105);
   84114     $add111 = $maxi_0_lcssa + 1 | 0;
   84115     $arrayidx112 = $inps + ($add111 << 4) | 0;
   84116     _sub($agg_tmp110, $arrayidx112, $arrayidx106);
   84117     _normv($splitv2, $agg_tmp110);
   84118     _add($agg_tmp115, $splitv1, $splitv2);
   84119     _normv($splitv, $agg_tmp115);
   84120     $call118 = _reallyroutespline($edges, $edgen, $inps, $add111, $ev0, $splitv) | 0;
   84121     $sub120 = $inpn - $maxi_0_lcssa | 0;
   84122     $call121 = _reallyroutespline($edges, $edgen, $arrayidx106, $sub120, $splitv, $ev1) | 0;
   84123     $retval_0 = 0;
   84124     label = 20;
   84125     break;
   84126    case 20:
   84127     STACKTOP = __stackBase__;
   84128     return $retval_0 | 0;
   84129   }
   84130   return 0;
   84131 }
   84132 function _dist($p1, $p2) {
   84133   $p1 = $p1 | 0;
   84134   $p2 = $p2 | 0;
   84135   var $sub = 0.0, $sub3 = 0.0, $call = 0.0, tempParam = 0, __stackBase__ = 0;
   84136   __stackBase__ = STACKTOP;
   84137   tempParam = $p1;
   84138   $p1 = STACKTOP;
   84139   STACKTOP = STACKTOP + 16 | 0;
   84140   HEAP32[$p1 >> 2] = HEAP32[tempParam >> 2] | 0;
   84141   HEAP32[$p1 + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   84142   HEAP32[$p1 + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   84143   HEAP32[$p1 + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   84144   tempParam = $p2;
   84145   $p2 = STACKTOP;
   84146   STACKTOP = STACKTOP + 16 | 0;
   84147   HEAP32[$p2 >> 2] = HEAP32[tempParam >> 2] | 0;
   84148   HEAP32[$p2 + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   84149   HEAP32[$p2 + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   84150   HEAP32[$p2 + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   84151   $sub = +HEAPF64[$p2 >> 3] - +HEAPF64[$p1 >> 3];
   84152   $sub3 = +HEAPF64[$p2 + 8 >> 3] - +HEAPF64[$p1 + 8 >> 3];
   84153   $call = +Math_sqrt(+($sub * $sub + $sub3 * $sub3));
   84154   STACKTOP = __stackBase__;
   84155   return +$call;
   84156 }
   84157 function _scale2744($agg_result, $p, $c) {
   84158   $agg_result = $agg_result | 0;
   84159   $p = $p | 0;
   84160   $c = +$c;
   84161   var $x = 0, $y = 0, $2 = 0, $3 = 0, tempParam = 0, __stackBase__ = 0;
   84162   __stackBase__ = STACKTOP;
   84163   tempParam = $p;
   84164   $p = STACKTOP;
   84165   STACKTOP = STACKTOP + 16 | 0;
   84166   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   84167   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   84168   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   84169   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   84170   $x = $p | 0;
   84171   HEAPF64[$x >> 3] = +HEAPF64[$x >> 3] * $c;
   84172   $y = $p + 8 | 0;
   84173   HEAPF64[$y >> 3] = +HEAPF64[$y >> 3] * $c;
   84174   $2 = $agg_result;
   84175   $3 = $p;
   84176   HEAP32[$2 >> 2] = HEAP32[$3 >> 2] | 0;
   84177   HEAP32[$2 + 4 >> 2] = HEAP32[$3 + 4 >> 2] | 0;
   84178   HEAP32[$2 + 8 >> 2] = HEAP32[$3 + 8 >> 2] | 0;
   84179   HEAP32[$2 + 12 >> 2] = HEAP32[$3 + 12 >> 2] | 0;
   84180   STACKTOP = __stackBase__;
   84181   return;
   84182 }
   84183 function _growops($newopn) {
   84184   $newopn = $newopn | 0;
   84185   var $0 = 0, $cmp = 0, $1 = 0, $tobool = 0, $mul = 0, $call = 0, $2 = 0, $tobool2 = 0, $3 = 0, $call4 = 0, $4 = 0, $mul6 = 0, $call7 = 0, $5 = 0, $tobool8 = 0, $6 = 0, $call10 = 0, label = 0, __stackBase__ = 0;
   84186   __stackBase__ = STACKTOP;
   84187   label = 2;
   84188   while (1) switch (label | 0) {
   84189    case 2:
   84190     $0 = HEAP32[2290] | 0;
   84191     $cmp = ($0 | 0) < ($newopn | 0);
   84192     if ($cmp) {
   84193       label = 3;
   84194       break;
   84195     } else {
   84196       label = 9;
   84197       break;
   84198     }
   84199    case 3:
   84200     $1 = HEAP32[2286] | 0;
   84201     $tobool = ($1 | 0) == 0;
   84202     if ($tobool) {
   84203       label = 4;
   84204       break;
   84205     } else {
   84206       label = 6;
   84207       break;
   84208     }
   84209    case 4:
   84210     $mul = $newopn << 4;
   84211     $call = _malloc($mul) | 0;
   84212     $2 = $call;
   84213     HEAP32[2286] = $2;
   84214     $tobool2 = ($call | 0) == 0;
   84215     if ($tobool2) {
   84216       label = 5;
   84217       break;
   84218     } else {
   84219       label = 8;
   84220       break;
   84221     }
   84222    case 5:
   84223     $3 = HEAP32[_stderr >> 2] | 0;
   84224     $call4 = _fprintf($3 | 0, 126624, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = 151816, HEAP32[tempInt + 8 >> 2] = 519, HEAP32[tempInt + 16 >> 2] = 123280, tempInt) | 0) | 0;
   84225     _abort();
   84226    case 6:
   84227     $4 = $1;
   84228     $mul6 = $newopn << 4;
   84229     $call7 = _realloc($4, $mul6) | 0;
   84230     $5 = $call7;
   84231     HEAP32[2286] = $5;
   84232     $tobool8 = ($call7 | 0) == 0;
   84233     if ($tobool8) {
   84234       label = 7;
   84235       break;
   84236     } else {
   84237       label = 8;
   84238       break;
   84239     }
   84240    case 7:
   84241     $6 = HEAP32[_stderr >> 2] | 0;
   84242     $call10 = _fprintf($6 | 0, 126624, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = 151816, HEAP32[tempInt + 8 >> 2] = 525, HEAP32[tempInt + 16 >> 2] = 117312, tempInt) | 0) | 0;
   84243     _abort();
   84244    case 8:
   84245     HEAP32[2290] = $newopn;
   84246     label = 9;
   84247     break;
   84248    case 9:
   84249     STACKTOP = __stackBase__;
   84250     return;
   84251   }
   84252 }
   84253 function _B0($t) {
   84254   $t = +$t;
   84255   var $sub = 0.0;
   84256   $sub = 1.0 - $t;
   84257   return +($sub * $sub * $sub);
   84258 }
   84259 function _B3($t) {
   84260   $t = +$t;
   84261   return +($t * $t * $t);
   84262 }
   84263 function _B01($t) {
   84264   $t = +$t;
   84265   var $sub = 0.0;
   84266   $sub = 1.0 - $t;
   84267   return +($sub * $sub * ($sub + $t * 3.0));
   84268 }
   84269 function _B23($t) {
   84270   $t = +$t;
   84271   return +($t * $t * ((1.0 - $t) * 3.0 + $t));
   84272 }
   84273 function _points2coeff($v0, $v1, $v2, $v3, $coeff) {
   84274   $v0 = +$v0;
   84275   $v1 = +$v1;
   84276   $v2 = +$v2;
   84277   $v3 = +$v3;
   84278   $coeff = $coeff | 0;
   84279   var $mul1 = 0.0;
   84280   $mul1 = $v2 * 3.0;
   84281   HEAPF64[$coeff + 24 >> 3] = $v1 * 3.0 + $v3 - ($mul1 + $v0);
   84282   HEAPF64[$coeff + 16 >> 3] = $v0 * 3.0 + $mul1 - $v1 * 6.0;
   84283   HEAPF64[$coeff + 8 >> 3] = ($v1 - $v0) * 3.0;
   84284   HEAPF64[$coeff >> 3] = $v0;
   84285   return;
   84286 }
   84287 function _addroot($root, $roots, $rootnp) {
   84288   $root = +$root;
   84289   $roots = $roots | 0;
   84290   $rootnp = $rootnp | 0;
   84291   var $cmp = 0, $cmp1 = 0, $or_cond = 0, $0 = 0, $arrayidx = 0, $1 = 0, $inc = 0, label = 0;
   84292   label = 2;
   84293   while (1) switch (label | 0) {
   84294    case 2:
   84295     $cmp = $root >= 0.0;
   84296     $cmp1 = $root <= 1.0;
   84297     $or_cond = $cmp & $cmp1;
   84298     if ($or_cond) {
   84299       label = 3;
   84300       break;
   84301     } else {
   84302       label = 4;
   84303       break;
   84304     }
   84305    case 3:
   84306     $0 = HEAP32[$rootnp >> 2] | 0;
   84307     $arrayidx = $roots + ($0 << 3) | 0;
   84308     HEAPF64[$arrayidx >> 3] = $root;
   84309     $1 = HEAP32[$rootnp >> 2] | 0;
   84310     $inc = $1 + 1 | 0;
   84311     HEAP32[$rootnp >> 2] = $inc;
   84312     label = 4;
   84313     break;
   84314    case 4:
   84315     return;
   84316   }
   84317 }
   84318 function _dot($p1, $p2) {
   84319   $p1 = $p1 | 0;
   84320   $p2 = $p2 | 0;
   84321   var tempParam = 0, __stackBase__ = 0;
   84322   __stackBase__ = STACKTOP;
   84323   tempParam = $p1;
   84324   $p1 = STACKTOP;
   84325   STACKTOP = STACKTOP + 16 | 0;
   84326   HEAP32[$p1 >> 2] = HEAP32[tempParam >> 2] | 0;
   84327   HEAP32[$p1 + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   84328   HEAP32[$p1 + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   84329   HEAP32[$p1 + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   84330   tempParam = $p2;
   84331   $p2 = STACKTOP;
   84332   STACKTOP = STACKTOP + 16 | 0;
   84333   HEAP32[$p2 >> 2] = HEAP32[tempParam >> 2] | 0;
   84334   HEAP32[$p2 + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   84335   HEAP32[$p2 + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   84336   HEAP32[$p2 + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   84337   STACKTOP = __stackBase__;
   84338   return +(+HEAPF64[$p1 >> 3] * +HEAPF64[$p2 >> 3] + +HEAPF64[$p1 + 8 >> 3] * +HEAPF64[$p2 + 8 >> 3]);
   84339 }
   84340 function _mkspline($inps, $inpn, $tnas, $ev0, $ev1, $sp0, $sv0, $sp1, $sv1) {
   84341   $inps = $inps | 0;
   84342   $inpn = $inpn | 0;
   84343   $tnas = $tnas | 0;
   84344   $ev0 = $ev0 | 0;
   84345   $ev1 = $ev1 | 0;
   84346   $sp0 = $sp0 | 0;
   84347   $sv0 = $sv0 | 0;
   84348   $sp1 = $sp1 | 0;
   84349   $sv1 = $sv1 | 0;
   84350   var $tmp = 0, $agg_tmp = 0, $agg_tmp51 = 0, $agg_tmp55 = 0, $tmp123 = 0, $tmp126 = 0, $cmp2 = 0, $sub = 0, $arrayidx56 = 0, $i_08 = 0, $c_sroa_3_07 = 0.0, $c_sroa_1_06 = 0.0, $c_sroa_0_05 = 0.0, $x_sroa_0_04 = 0.0, $x_sroa_1_03 = 0.0, $arrayidx20 = 0, $call = 0.0, $add = 0.0, $arrayidx31 = 0, $call32 = 0.0, $add35 = 0.0, $call46 = 0.0, $add49 = 0.0, $arrayidx50 = 0, $t = 0, $0 = 0.0, $call54 = 0.0, $1 = 0.0, $call59 = 0.0, $call64 = 0.0, $add66 = 0.0, $call70 = 0.0, $add72 = 0.0, $inc = 0, $cmp = 0, $c_sroa_3_0_lcssa = 0.0, $c_sroa_1_0_lcssa = 0.0, $c_sroa_0_0_lcssa = 0.0, $x_sroa_0_0_lcssa = 0.0, $x_sroa_1_0_lcssa = 0.0, $mul = 0.0, $mul81 = 0.0, $sub82 = 0.0, $mul86 = 0.0, $mul90 = 0.0, $sub91 = 0.0, $mul95 = 0.0, $mul99 = 0.0, $sub100 = 0.0, $cmp101 = 0, $sub102 = 0.0, $cond = 0.0, $cmp103 = 0, $div = 0.0, $div104 = 0.0, $scale0_0 = 0.0, $scale3_0 = 0.0, $sub108 = 0.0, $cond110 = 0.0, $cmp111 = 0, $cmp112 = 0, $or_cond = 0, $cmp114 = 0, $or_cond1 = 0, $sub117 = 0, $arrayidx118 = 0, $call119 = 0.0, $div120 = 0.0, $scale0_1 = 0.0, $scale3_1 = 0.0, $2 = 0, $3 = 0, $4 = 0, $5 = 0, $sub124 = 0, $arrayidx125 = 0, $6 = 0, $7 = 0, $8 = 0, $9 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   84351   __stackBase__ = STACKTOP;
   84352   STACKTOP = STACKTOP + 96 | 0;
   84353   tempParam = $ev0;
   84354   $ev0 = STACKTOP;
   84355   STACKTOP = STACKTOP + 16 | 0;
   84356   HEAP32[$ev0 >> 2] = HEAP32[tempParam >> 2] | 0;
   84357   HEAP32[$ev0 + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   84358   HEAP32[$ev0 + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   84359   HEAP32[$ev0 + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   84360   tempParam = $ev1;
   84361   $ev1 = STACKTOP;
   84362   STACKTOP = STACKTOP + 16 | 0;
   84363   HEAP32[$ev1 >> 2] = HEAP32[tempParam >> 2] | 0;
   84364   HEAP32[$ev1 + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   84365   HEAP32[$ev1 + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   84366   HEAP32[$ev1 + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   84367   label = 2;
   84368   while (1) switch (label | 0) {
   84369    case 2:
   84370     $tmp = __stackBase__ | 0;
   84371     $agg_tmp = __stackBase__ + 16 | 0;
   84372     $agg_tmp51 = __stackBase__ + 32 | 0;
   84373     $agg_tmp55 = __stackBase__ + 48 | 0;
   84374     $tmp123 = __stackBase__ + 64 | 0;
   84375     $tmp126 = __stackBase__ + 80 | 0;
   84376     $cmp2 = ($inpn | 0) > 0;
   84377     if ($cmp2) {
   84378       label = 3;
   84379       break;
   84380     } else {
   84381       $x_sroa_1_0_lcssa = 0.0;
   84382       $x_sroa_0_0_lcssa = 0.0;
   84383       $c_sroa_0_0_lcssa = 0.0;
   84384       $c_sroa_1_0_lcssa = 0.0;
   84385       $c_sroa_3_0_lcssa = 0.0;
   84386       label = 5;
   84387       break;
   84388     }
   84389    case 3:
   84390     $sub = $inpn - 1 | 0;
   84391     $arrayidx56 = $inps + ($sub << 4) | 0;
   84392     $x_sroa_1_03 = 0.0;
   84393     $x_sroa_0_04 = 0.0;
   84394     $c_sroa_0_05 = 0.0;
   84395     $c_sroa_1_06 = 0.0;
   84396     $c_sroa_3_07 = 0.0;
   84397     $i_08 = 0;
   84398     label = 4;
   84399     break;
   84400    case 4:
   84401     $arrayidx20 = $tnas + ($i_08 * 40 & -1) + 8 | 0;
   84402     $call = +_dot($arrayidx20, $arrayidx20);
   84403     $add = $c_sroa_0_05 + $call;
   84404     $arrayidx31 = $tnas + ($i_08 * 40 & -1) + 24 | 0;
   84405     $call32 = +_dot($arrayidx20, $arrayidx31);
   84406     $add35 = $c_sroa_1_06 + $call32;
   84407     $call46 = +_dot($arrayidx31, $arrayidx31);
   84408     $add49 = $c_sroa_3_07 + $call46;
   84409     $arrayidx50 = $inps + ($i_08 << 4) | 0;
   84410     $t = $tnas + ($i_08 * 40 & -1) | 0;
   84411     $0 = +HEAPF64[$t >> 3];
   84412     $call54 = +_B01($0);
   84413     _scale2744($agg_tmp51, $inps, $call54);
   84414     $1 = +HEAPF64[$t >> 3];
   84415     $call59 = +_B23($1);
   84416     _scale2744($agg_tmp55, $arrayidx56, $call59);
   84417     _add($agg_tmp, $agg_tmp51, $agg_tmp55);
   84418     _sub($tmp, $arrayidx50, $agg_tmp);
   84419     $call64 = +_dot($arrayidx20, $tmp);
   84420     $add66 = $x_sroa_0_04 + $call64;
   84421     $call70 = +_dot($arrayidx31, $tmp);
   84422     $add72 = $x_sroa_1_03 + $call70;
   84423     $inc = $i_08 + 1 | 0;
   84424     $cmp = ($inc | 0) < ($inpn | 0);
   84425     if ($cmp) {
   84426       $x_sroa_1_03 = $add72;
   84427       $x_sroa_0_04 = $add66;
   84428       $c_sroa_0_05 = $add;
   84429       $c_sroa_1_06 = $add35;
   84430       $c_sroa_3_07 = $add49;
   84431       $i_08 = $inc;
   84432       label = 4;
   84433       break;
   84434     } else {
   84435       $x_sroa_1_0_lcssa = $add72;
   84436       $x_sroa_0_0_lcssa = $add66;
   84437       $c_sroa_0_0_lcssa = $add;
   84438       $c_sroa_1_0_lcssa = $add35;
   84439       $c_sroa_3_0_lcssa = $add49;
   84440       label = 5;
   84441       break;
   84442     }
   84443    case 5:
   84444     $mul = $c_sroa_0_0_lcssa * $c_sroa_3_0_lcssa;
   84445     $mul81 = $c_sroa_1_0_lcssa * $c_sroa_1_0_lcssa;
   84446     $sub82 = $mul - $mul81;
   84447     $mul86 = $x_sroa_1_0_lcssa * $c_sroa_0_0_lcssa;
   84448     $mul90 = $x_sroa_0_0_lcssa * $c_sroa_1_0_lcssa;
   84449     $sub91 = $mul86 - $mul90;
   84450     $mul95 = $x_sroa_0_0_lcssa * $c_sroa_3_0_lcssa;
   84451     $mul99 = $x_sroa_1_0_lcssa * $c_sroa_1_0_lcssa;
   84452     $sub100 = $mul95 - $mul99;
   84453     $cmp101 = $sub82 >= 0.0;
   84454     if ($cmp101) {
   84455       $cond = $sub82;
   84456       label = 7;
   84457       break;
   84458     } else {
   84459       label = 6;
   84460       break;
   84461     }
   84462    case 6:
   84463     $sub102 = -0.0 - $sub82;
   84464     $cond = $sub102;
   84465     label = 7;
   84466     break;
   84467    case 7:
   84468     $cmp103 = $cond < 1.0e-6;
   84469     if ($cmp103) {
   84470       $scale3_0 = 0.0;
   84471       $scale0_0 = 0.0;
   84472       label = 9;
   84473       break;
   84474     } else {
   84475       label = 8;
   84476       break;
   84477     }
   84478    case 8:
   84479     $div = $sub100 / $sub82;
   84480     $div104 = $sub91 / $sub82;
   84481     $scale3_0 = $div104;
   84482     $scale0_0 = $div;
   84483     label = 9;
   84484     break;
   84485    case 9:
   84486     if ($cmp101) {
   84487       $cond110 = $sub82;
   84488       label = 11;
   84489       break;
   84490     } else {
   84491       label = 10;
   84492       break;
   84493     }
   84494    case 10:
   84495     $sub108 = -0.0 - $sub82;
   84496     $cond110 = $sub108;
   84497     label = 11;
   84498     break;
   84499    case 11:
   84500     $cmp111 = $cond110 >= 1.0e-6;
   84501     $cmp112 = $scale0_0 > 0.0;
   84502     $or_cond = $cmp111 & $cmp112;
   84503     $cmp114 = $scale3_0 > 0.0;
   84504     $or_cond1 = $or_cond & $cmp114;
   84505     if ($or_cond1) {
   84506       $scale3_1 = $scale3_0;
   84507       $scale0_1 = $scale0_0;
   84508       label = 13;
   84509       break;
   84510     } else {
   84511       label = 12;
   84512       break;
   84513     }
   84514    case 12:
   84515     $sub117 = $inpn - 1 | 0;
   84516     $arrayidx118 = $inps + ($sub117 << 4) | 0;
   84517     $call119 = +_dist($inps, $arrayidx118);
   84518     $div120 = $call119 / 3.0;
   84519     $scale3_1 = $div120;
   84520     $scale0_1 = $div120;
   84521     label = 13;
   84522     break;
   84523    case 13:
   84524     $2 = $sp0;
   84525     $3 = $inps;
   84526     HEAP32[$2 >> 2] = HEAP32[$3 >> 2] | 0;
   84527     HEAP32[$2 + 4 >> 2] = HEAP32[$3 + 4 >> 2] | 0;
   84528     HEAP32[$2 + 8 >> 2] = HEAP32[$3 + 8 >> 2] | 0;
   84529     HEAP32[$2 + 12 >> 2] = HEAP32[$3 + 12 >> 2] | 0;
   84530     _scale2744($tmp123, $ev0, $scale0_1);
   84531     $4 = $sv0;
   84532     $5 = $tmp123;
   84533     HEAP32[$4 >> 2] = HEAP32[$5 >> 2] | 0;
   84534     HEAP32[$4 + 4 >> 2] = HEAP32[$5 + 4 >> 2] | 0;
   84535     HEAP32[$4 + 8 >> 2] = HEAP32[$5 + 8 >> 2] | 0;
   84536     HEAP32[$4 + 12 >> 2] = HEAP32[$5 + 12 >> 2] | 0;
   84537     $sub124 = $inpn - 1 | 0;
   84538     $arrayidx125 = $inps + ($sub124 << 4) | 0;
   84539     $6 = $sp1;
   84540     $7 = $arrayidx125;
   84541     HEAP32[$6 >> 2] = HEAP32[$7 >> 2] | 0;
   84542     HEAP32[$6 + 4 >> 2] = HEAP32[$7 + 4 >> 2] | 0;
   84543     HEAP32[$6 + 8 >> 2] = HEAP32[$7 + 8 >> 2] | 0;
   84544     HEAP32[$6 + 12 >> 2] = HEAP32[$7 + 12 >> 2] | 0;
   84545     _scale2744($tmp126, $ev1, $scale3_1);
   84546     $8 = $sv1;
   84547     $9 = $tmp126;
   84548     HEAP32[$8 >> 2] = HEAP32[$9 >> 2] | 0;
   84549     HEAP32[$8 + 4 >> 2] = HEAP32[$9 + 4 >> 2] | 0;
   84550     HEAP32[$8 + 8 >> 2] = HEAP32[$9 + 8 >> 2] | 0;
   84551     HEAP32[$8 + 12 >> 2] = HEAP32[$9 + 12 >> 2] | 0;
   84552     STACKTOP = __stackBase__;
   84553     return;
   84554   }
   84555 }
   84556 function _splinefits($edges, $edgen, $pa, $va, $pb, $vb, $inps, $inpn) {
   84557   $edges = $edges | 0;
   84558   $edgen = $edgen | 0;
   84559   $pa = $pa | 0;
   84560   $va = $va | 0;
   84561   $pb = $pb | 0;
   84562   $vb = $vb | 0;
   84563   $inps = $inps | 0;
   84564   $inpn = $inpn | 0;
   84565   var $sps = 0, $cmp = 0, $x = 0, $0 = 0.0, $arrayidx = 0, $x1 = 0, $y = 0, $1 = 0.0, $y3 = 0, $x5 = 0, $2 = 0.0, $x7 = 0, $y9 = 0, $3 = 0.0, $y14 = 0, $x15 = 0, $4 = 0.0, $x16 = 0, $5 = 0.0, $x20 = 0, $y21 = 0, $6 = 0.0, $y22 = 0, $7 = 0.0, $y27 = 0, $8 = 0.0, $x30 = 0, $y33 = 0, $a_0 = 0.0, $b_0 = 0.0, $first_0 = 0, $mul = 0.0, $div = 0.0, $add = 0.0, $mul10 = 0.0, $div11 = 0.0, $add12 = 0.0, $mul17 = 0.0, $div18 = 0.0, $sub = 0.0, $mul23 = 0.0, $div24 = 0.0, $sub25 = 0.0, $call = 0.0, $call34 = 0.0, $sub35 = 0.0, $cmp36 = 0, $call38 = 0, $tobool39 = 0, $9 = 0, $add41 = 0, $10 = 0, $opl_promoted20 = 0, $x45 = 0, $11 = 0.0, $x47 = 0, $y49 = 0, $12 = 0.0, $inc = 0, $y51 = 0, $x45_1 = 0, $13 = 0.0, $x47_1 = 0, $y49_1 = 0, $14 = 0.0, $inc_1 = 0, $y51_1 = 0, $x45_2 = 0, $15 = 0.0, $x47_2 = 0, $y49_2 = 0, $16 = 0.0, $y51_2 = 0, $17 = 0, $cmp54 = 0, $cmp56 = 0, $or_cond = 0, $18 = 0, $add60 = 0, $19 = 0, $opl_promoted = 0, $x65 = 0, $20 = 0.0, $x67 = 0, $y69 = 0, $21 = 0.0, $inc70 = 0, $y72 = 0, $x65_1 = 0, $22 = 0.0, $x67_1 = 0, $y69_1 = 0, $23 = 0.0, $inc70_1 = 0, $y72_1 = 0, $x65_2 = 0, $24 = 0.0, $x67_2 = 0, $y69_2 = 0, $25 = 0.0, $y72_2 = 0, $26 = 0, $cmp78 = 0, $div80 = 0.0, $div81 = 0.0, $retval_0 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   84566   __stackBase__ = STACKTOP;
   84567   STACKTOP = STACKTOP + 64 | 0;
   84568   tempParam = $pa;
   84569   $pa = STACKTOP;
   84570   STACKTOP = STACKTOP + 16 | 0;
   84571   HEAP32[$pa >> 2] = HEAP32[tempParam >> 2] | 0;
   84572   HEAP32[$pa + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   84573   HEAP32[$pa + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   84574   HEAP32[$pa + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   84575   tempParam = $va;
   84576   $va = STACKTOP;
   84577   STACKTOP = STACKTOP + 16 | 0;
   84578   HEAP32[$va >> 2] = HEAP32[tempParam >> 2] | 0;
   84579   HEAP32[$va + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   84580   HEAP32[$va + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   84581   HEAP32[$va + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   84582   tempParam = $pb;
   84583   $pb = STACKTOP;
   84584   STACKTOP = STACKTOP + 16 | 0;
   84585   HEAP32[$pb >> 2] = HEAP32[tempParam >> 2] | 0;
   84586   HEAP32[$pb + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   84587   HEAP32[$pb + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   84588   HEAP32[$pb + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   84589   tempParam = $vb;
   84590   $vb = STACKTOP;
   84591   STACKTOP = STACKTOP + 16 | 0;
   84592   HEAP32[$vb >> 2] = HEAP32[tempParam >> 2] | 0;
   84593   HEAP32[$vb + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   84594   HEAP32[$vb + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   84595   HEAP32[$vb + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   84596   label = 2;
   84597   while (1) switch (label | 0) {
   84598    case 2:
   84599     $sps = __stackBase__ | 0;
   84600     $cmp = ($inpn | 0) == 2;
   84601     $x = $pa | 0;
   84602     $0 = +HEAPF64[$x >> 3];
   84603     $arrayidx = $sps | 0;
   84604     $x1 = $sps | 0;
   84605     $y = $pa + 8 | 0;
   84606     $1 = +HEAPF64[$y >> 3];
   84607     $y3 = $sps + 8 | 0;
   84608     $x5 = $va | 0;
   84609     $2 = +HEAPF64[$x5 >> 3];
   84610     $x7 = $sps + 16 | 0;
   84611     $y9 = $va + 8 | 0;
   84612     $3 = +HEAPF64[$y9 >> 3];
   84613     $y14 = $sps + 24 | 0;
   84614     $x15 = $pb | 0;
   84615     $4 = +HEAPF64[$x15 >> 3];
   84616     $x16 = $vb | 0;
   84617     $5 = +HEAPF64[$x16 >> 3];
   84618     $x20 = $sps + 32 | 0;
   84619     $y21 = $pb + 8 | 0;
   84620     $6 = +HEAPF64[$y21 >> 3];
   84621     $y22 = $vb + 8 | 0;
   84622     $7 = +HEAPF64[$y22 >> 3];
   84623     $y27 = $sps + 40 | 0;
   84624     $8 = +HEAPF64[$x15 >> 3];
   84625     $x30 = $sps + 48 | 0;
   84626     $y33 = $sps + 56 | 0;
   84627     $first_0 = 1;
   84628     $b_0 = 4.0;
   84629     $a_0 = 4.0;
   84630     label = 3;
   84631     break;
   84632    case 3:
   84633     HEAPF64[$x1 >> 3] = $0;
   84634     HEAPF64[$y3 >> 3] = $1;
   84635     $mul = $a_0 * $2;
   84636     $div = $mul / 3.0;
   84637     $add = $0 + $div;
   84638     HEAPF64[$x7 >> 3] = $add;
   84639     $mul10 = $a_0 * $3;
   84640     $div11 = $mul10 / 3.0;
   84641     $add12 = $1 + $div11;
   84642     HEAPF64[$y14 >> 3] = $add12;
   84643     $mul17 = $b_0 * $5;
   84644     $div18 = $mul17 / 3.0;
   84645     $sub = $4 - $div18;
   84646     HEAPF64[$x20 >> 3] = $sub;
   84647     $mul23 = $b_0 * $7;
   84648     $div24 = $mul23 / 3.0;
   84649     $sub25 = $6 - $div24;
   84650     HEAPF64[$y27 >> 3] = $sub25;
   84651     HEAPF64[$x30 >> 3] = $8;
   84652     HEAPF64[$y33 >> 3] = $6;
   84653     if ($first_0) {
   84654       label = 4;
   84655       break;
   84656     } else {
   84657       label = 5;
   84658       break;
   84659     }
   84660    case 4:
   84661     $call = +_dist_n($arrayidx, 4);
   84662     $call34 = +_dist_n($inps, $inpn);
   84663     $sub35 = $call34 + -.001;
   84664     $cmp36 = $call < $sub35;
   84665     if ($cmp36) {
   84666       $retval_0 = 0;
   84667       label = 12;
   84668       break;
   84669     } else {
   84670       label = 5;
   84671       break;
   84672     }
   84673    case 5:
   84674     $call38 = _splineisinside($edges, $edgen, $arrayidx) | 0;
   84675     $tobool39 = ($call38 | 0) == 0;
   84676     if ($tobool39) {
   84677       label = 7;
   84678       break;
   84679     } else {
   84680       label = 6;
   84681       break;
   84682     }
   84683    case 6:
   84684     $9 = HEAP32[2292] | 0;
   84685     $add41 = $9 + 4 | 0;
   84686     _growops($add41);
   84687     $10 = HEAP32[2286] | 0;
   84688     $opl_promoted20 = HEAP32[2292] | 0;
   84689     $x45 = $sps + 16 | 0;
   84690     $11 = +HEAPF64[$x45 >> 3];
   84691     $x47 = $10 + ($opl_promoted20 << 4) | 0;
   84692     HEAPF64[$x47 >> 3] = $11;
   84693     $y49 = $sps + 24 | 0;
   84694     $12 = +HEAPF64[$y49 >> 3];
   84695     $inc = $opl_promoted20 + 1 | 0;
   84696     $y51 = $10 + ($opl_promoted20 << 4) + 8 | 0;
   84697     HEAPF64[$y51 >> 3] = $12;
   84698     $x45_1 = $sps + 32 | 0;
   84699     $13 = +HEAPF64[$x45_1 >> 3];
   84700     $x47_1 = $10 + ($inc << 4) | 0;
   84701     HEAPF64[$x47_1 >> 3] = $13;
   84702     $y49_1 = $sps + 40 | 0;
   84703     $14 = +HEAPF64[$y49_1 >> 3];
   84704     $inc_1 = $opl_promoted20 + 2 | 0;
   84705     $y51_1 = $10 + ($inc << 4) + 8 | 0;
   84706     HEAPF64[$y51_1 >> 3] = $14;
   84707     $x45_2 = $sps + 48 | 0;
   84708     $15 = +HEAPF64[$x45_2 >> 3];
   84709     $x47_2 = $10 + ($inc_1 << 4) | 0;
   84710     HEAPF64[$x47_2 >> 3] = $15;
   84711     $y49_2 = $sps + 56 | 0;
   84712     $16 = +HEAPF64[$y49_2 >> 3];
   84713     $y51_2 = $10 + ($inc_1 << 4) + 8 | 0;
   84714     HEAPF64[$y51_2 >> 3] = $16;
   84715     $17 = $opl_promoted20 + 3 | 0;
   84716     HEAP32[2292] = $17;
   84717     $retval_0 = 1;
   84718     label = 12;
   84719     break;
   84720    case 7:
   84721     $cmp54 = $a_0 == 0.0;
   84722     $cmp56 = $b_0 == 0.0;
   84723     $or_cond = $cmp54 & $cmp56;
   84724     if ($or_cond) {
   84725       label = 8;
   84726       break;
   84727     } else {
   84728       label = 10;
   84729       break;
   84730     }
   84731    case 8:
   84732     if ($cmp) {
   84733       label = 9;
   84734       break;
   84735     } else {
   84736       $retval_0 = 0;
   84737       label = 12;
   84738       break;
   84739     }
   84740    case 9:
   84741     $18 = HEAP32[2292] | 0;
   84742     $add60 = $18 + 4 | 0;
   84743     _growops($add60);
   84744     $19 = HEAP32[2286] | 0;
   84745     $opl_promoted = HEAP32[2292] | 0;
   84746     $x65 = $sps + 16 | 0;
   84747     $20 = +HEAPF64[$x65 >> 3];
   84748     $x67 = $19 + ($opl_promoted << 4) | 0;
   84749     HEAPF64[$x67 >> 3] = $20;
   84750     $y69 = $sps + 24 | 0;
   84751     $21 = +HEAPF64[$y69 >> 3];
   84752     $inc70 = $opl_promoted + 1 | 0;
   84753     $y72 = $19 + ($opl_promoted << 4) + 8 | 0;
   84754     HEAPF64[$y72 >> 3] = $21;
   84755     $x65_1 = $sps + 32 | 0;
   84756     $22 = +HEAPF64[$x65_1 >> 3];
   84757     $x67_1 = $19 + ($inc70 << 4) | 0;
   84758     HEAPF64[$x67_1 >> 3] = $22;
   84759     $y69_1 = $sps + 40 | 0;
   84760     $23 = +HEAPF64[$y69_1 >> 3];
   84761     $inc70_1 = $opl_promoted + 2 | 0;
   84762     $y72_1 = $19 + ($inc70 << 4) + 8 | 0;
   84763     HEAPF64[$y72_1 >> 3] = $23;
   84764     $x65_2 = $sps + 48 | 0;
   84765     $24 = +HEAPF64[$x65_2 >> 3];
   84766     $x67_2 = $19 + ($inc70_1 << 4) | 0;
   84767     HEAPF64[$x67_2 >> 3] = $24;
   84768     $y69_2 = $sps + 56 | 0;
   84769     $25 = +HEAPF64[$y69_2 >> 3];
   84770     $y72_2 = $19 + ($inc70_1 << 4) + 8 | 0;
   84771     HEAPF64[$y72_2 >> 3] = $25;
   84772     $26 = $opl_promoted + 3 | 0;
   84773     HEAP32[2292] = $26;
   84774     $retval_0 = 1;
   84775     label = 12;
   84776     break;
   84777    case 10:
   84778     $cmp78 = $a_0 > .01;
   84779     if ($cmp78) {
   84780       label = 11;
   84781       break;
   84782     } else {
   84783       $first_0 = 0;
   84784       $b_0 = 0.0;
   84785       $a_0 = 0.0;
   84786       label = 3;
   84787       break;
   84788     }
   84789    case 11:
   84790     $div80 = $a_0 * .5;
   84791     $div81 = $b_0 * .5;
   84792     $first_0 = 0;
   84793     $b_0 = $div81;
   84794     $a_0 = $div80;
   84795     label = 3;
   84796     break;
   84797    case 12:
   84798     STACKTOP = __stackBase__;
   84799     return $retval_0 | 0;
   84800   }
   84801   return 0;
   84802 }
   84803 function _add($agg_result, $p1, $p2) {
   84804   $agg_result = $agg_result | 0;
   84805   $p1 = $p1 | 0;
   84806   $p2 = $p2 | 0;
   84807   var $x1 = 0, $y2 = 0, $4 = 0, $5 = 0, tempParam = 0, __stackBase__ = 0;
   84808   __stackBase__ = STACKTOP;
   84809   tempParam = $p1;
   84810   $p1 = STACKTOP;
   84811   STACKTOP = STACKTOP + 16 | 0;
   84812   HEAP32[$p1 >> 2] = HEAP32[tempParam >> 2] | 0;
   84813   HEAP32[$p1 + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   84814   HEAP32[$p1 + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   84815   HEAP32[$p1 + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   84816   tempParam = $p2;
   84817   $p2 = STACKTOP;
   84818   STACKTOP = STACKTOP + 16 | 0;
   84819   HEAP32[$p2 >> 2] = HEAP32[tempParam >> 2] | 0;
   84820   HEAP32[$p2 + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   84821   HEAP32[$p2 + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   84822   HEAP32[$p2 + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   84823   $x1 = $p1 | 0;
   84824   HEAPF64[$x1 >> 3] = +HEAPF64[$p2 >> 3] + +HEAPF64[$x1 >> 3];
   84825   $y2 = $p1 + 8 | 0;
   84826   HEAPF64[$y2 >> 3] = +HEAPF64[$p2 + 8 >> 3] + +HEAPF64[$y2 >> 3];
   84827   $4 = $agg_result;
   84828   $5 = $p1;
   84829   HEAP32[$4 >> 2] = HEAP32[$5 >> 2] | 0;
   84830   HEAP32[$4 + 4 >> 2] = HEAP32[$5 + 4 >> 2] | 0;
   84831   HEAP32[$4 + 8 >> 2] = HEAP32[$5 + 8 >> 2] | 0;
   84832   HEAP32[$4 + 12 >> 2] = HEAP32[$5 + 12 >> 2] | 0;
   84833   STACKTOP = __stackBase__;
   84834   return;
   84835 }
   84836 function _sub($agg_result, $p1, $p2) {
   84837   $agg_result = $agg_result | 0;
   84838   $p1 = $p1 | 0;
   84839   $p2 = $p2 | 0;
   84840   var $x1 = 0, $y2 = 0, $4 = 0, $5 = 0, tempParam = 0, __stackBase__ = 0;
   84841   __stackBase__ = STACKTOP;
   84842   tempParam = $p1;
   84843   $p1 = STACKTOP;
   84844   STACKTOP = STACKTOP + 16 | 0;
   84845   HEAP32[$p1 >> 2] = HEAP32[tempParam >> 2] | 0;
   84846   HEAP32[$p1 + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   84847   HEAP32[$p1 + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   84848   HEAP32[$p1 + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   84849   tempParam = $p2;
   84850   $p2 = STACKTOP;
   84851   STACKTOP = STACKTOP + 16 | 0;
   84852   HEAP32[$p2 >> 2] = HEAP32[tempParam >> 2] | 0;
   84853   HEAP32[$p2 + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   84854   HEAP32[$p2 + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   84855   HEAP32[$p2 + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   84856   $x1 = $p1 | 0;
   84857   HEAPF64[$x1 >> 3] = +HEAPF64[$x1 >> 3] - +HEAPF64[$p2 >> 3];
   84858   $y2 = $p1 + 8 | 0;
   84859   HEAPF64[$y2 >> 3] = +HEAPF64[$y2 >> 3] - +HEAPF64[$p2 + 8 >> 3];
   84860   $4 = $agg_result;
   84861   $5 = $p1;
   84862   HEAP32[$4 >> 2] = HEAP32[$5 >> 2] | 0;
   84863   HEAP32[$4 + 4 >> 2] = HEAP32[$5 + 4 >> 2] | 0;
   84864   HEAP32[$4 + 8 >> 2] = HEAP32[$5 + 8 >> 2] | 0;
   84865   HEAP32[$4 + 12 >> 2] = HEAP32[$5 + 12 >> 2] | 0;
   84866   STACKTOP = __stackBase__;
   84867   return;
   84868 }
   84869 function _dist_n($p, $n) {
   84870   $p = $p | 0;
   84871   $n = $n | 0;
   84872   var $cmp18 = 0, $rv_020 = 0.0, $i_019 = 0, $x = 0, $0 = 0.0, $sub = 0, $x2 = 0, $1 = 0.0, $sub3 = 0.0, $mul = 0.0, $y = 0, $2 = 0.0, $y13 = 0, $3 = 0.0, $sub14 = 0.0, $mul21 = 0.0, $add = 0.0, $call = 0.0, $add22 = 0.0, $inc = 0, $cmp = 0, $rv_0_lcssa = 0.0, label = 0;
   84873   label = 2;
   84874   while (1) switch (label | 0) {
   84875    case 2:
   84876     $cmp18 = ($n | 0) > 1;
   84877     if ($cmp18) {
   84878       $i_019 = 1;
   84879       $rv_020 = 0.0;
   84880       label = 3;
   84881       break;
   84882     } else {
   84883       $rv_0_lcssa = 0.0;
   84884       label = 4;
   84885       break;
   84886     }
   84887    case 3:
   84888     $x = $p + ($i_019 << 4) | 0;
   84889     $0 = +HEAPF64[$x >> 3];
   84890     $sub = $i_019 - 1 | 0;
   84891     $x2 = $p + ($sub << 4) | 0;
   84892     $1 = +HEAPF64[$x2 >> 3];
   84893     $sub3 = $0 - $1;
   84894     $mul = $sub3 * $sub3;
   84895     $y = $p + ($i_019 << 4) + 8 | 0;
   84896     $2 = +HEAPF64[$y >> 3];
   84897     $y13 = $p + ($sub << 4) + 8 | 0;
   84898     $3 = +HEAPF64[$y13 >> 3];
   84899     $sub14 = $2 - $3;
   84900     $mul21 = $sub14 * $sub14;
   84901     $add = $mul + $mul21;
   84902     $call = +Math_sqrt(+$add);
   84903     $add22 = $rv_020 + $call;
   84904     $inc = $i_019 + 1 | 0;
   84905     $cmp = ($inc | 0) < ($n | 0);
   84906     if ($cmp) {
   84907       $i_019 = $inc;
   84908       $rv_020 = $add22;
   84909       label = 3;
   84910       break;
   84911     } else {
   84912       $rv_0_lcssa = $add22;
   84913       label = 4;
   84914       break;
   84915     }
   84916    case 4:
   84917     return +$rv_0_lcssa;
   84918   }
   84919   return 0.0;
   84920 }
   84921 function _splineisinside($edges, $edgen, $sps) {
   84922   $edges = $edges | 0;
   84923   $edgen = $edgen | 0;
   84924   $sps = $sps | 0;
   84925   var $roots = 0, $lps = 0, $cmp41 = 0, $arrayidx = 0, $0 = 0, $arrayidx2 = 0, $1 = 0, $arraydecay4 = 0, $x = 0, $x33 = 0, $x36 = 0, $x40 = 0, $y = 0, $y47 = 0, $y51 = 0, $y55 = 0, $x61 = 0, $y70 = 0, $x82 = 0, $y91 = 0, $ei_042 = 0, $arrayidx1 = 0, $2 = 0, $b = 0, $3 = 0, $call = 0, $cmp5 = 0, $cmp738 = 0, $or_cond43 = 0, $4 = 0.0, $5 = 0.0, $6 = 0.0, $7 = 0.0, $rooti_039 = 0, $arrayidx9 = 0, $8 = 0.0, $cmp10 = 0, $cmp12 = 0, $or_cond = 0, $mul = 0.0, $mul16 = 0.0, $mul17 = 0.0, $mul18 = 0.0, $sub = 0.0, $mul19 = 0.0, $mul22 = 0.0, $mul24 = 0.0, $mul27 = 0.0, $mul29 = 0.0, $9 = 0.0, $mul31 = 0.0, $10 = 0.0, $mul34 = 0.0, $add = 0.0, $11 = 0.0, $mul37 = 0.0, $add38 = 0.0, $12 = 0.0, $mul41 = 0.0, $add42 = 0.0, $13 = 0.0, $mul45 = 0.0, $14 = 0.0, $mul48 = 0.0, $add49 = 0.0, $15 = 0.0, $mul52 = 0.0, $add53 = 0.0, $16 = 0.0, $mul56 = 0.0, $add57 = 0.0, $sub62 = 0.0, $mul67 = 0.0, $sub71 = 0.0, $mul76 = 0.0, $add77 = 0.0, $cmp78 = 0, $sub83 = 0.0, $mul88 = 0.0, $sub92 = 0.0, $mul97 = 0.0, $add98 = 0.0, $cmp99 = 0, $inc = 0, $cmp7 = 0, $inc103 = 0, $cmp = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   84926   __stackBase__ = STACKTOP;
   84927   STACKTOP = STACKTOP + 64 | 0;
   84928   label = 2;
   84929   while (1) switch (label | 0) {
   84930    case 2:
   84931     $roots = __stackBase__ | 0;
   84932     $lps = __stackBase__ + 32 | 0;
   84933     $cmp41 = ($edgen | 0) > 0;
   84934     if ($cmp41) {
   84935       label = 3;
   84936       break;
   84937     } else {
   84938       $retval_0 = 1;
   84939       label = 11;
   84940       break;
   84941     }
   84942    case 3:
   84943     $arrayidx = $lps | 0;
   84944     $0 = $lps;
   84945     $arrayidx2 = $lps + 16 | 0;
   84946     $1 = $arrayidx2;
   84947     $arraydecay4 = $roots | 0;
   84948     $x = $sps | 0;
   84949     $x33 = $sps + 16 | 0;
   84950     $x36 = $sps + 32 | 0;
   84951     $x40 = $sps + 48 | 0;
   84952     $y = $sps + 8 | 0;
   84953     $y47 = $sps + 24 | 0;
   84954     $y51 = $sps + 40 | 0;
   84955     $y55 = $sps + 56 | 0;
   84956     $x61 = $lps | 0;
   84957     $y70 = $lps + 8 | 0;
   84958     $x82 = $arrayidx2 | 0;
   84959     $y91 = $lps + 24 | 0;
   84960     $ei_042 = 0;
   84961     label = 4;
   84962     break;
   84963    case 4:
   84964     $arrayidx1 = $edges + ($ei_042 << 5) | 0;
   84965     $2 = $arrayidx1;
   84966     HEAP32[$0 >> 2] = HEAP32[$2 >> 2] | 0;
   84967     HEAP32[$0 + 4 >> 2] = HEAP32[$2 + 4 >> 2] | 0;
   84968     HEAP32[$0 + 8 >> 2] = HEAP32[$2 + 8 >> 2] | 0;
   84969     HEAP32[$0 + 12 >> 2] = HEAP32[$2 + 12 >> 2] | 0;
   84970     $b = $edges + ($ei_042 << 5) + 16 | 0;
   84971     $3 = $b;
   84972     HEAP32[$1 >> 2] = HEAP32[$3 >> 2] | 0;
   84973     HEAP32[$1 + 4 >> 2] = HEAP32[$3 + 4 >> 2] | 0;
   84974     HEAP32[$1 + 8 >> 2] = HEAP32[$3 + 8 >> 2] | 0;
   84975     HEAP32[$1 + 12 >> 2] = HEAP32[$3 + 12 >> 2] | 0;
   84976     $call = _splineintersectsline($sps, $arrayidx, $arraydecay4) | 0;
   84977     $cmp5 = ($call | 0) != 4;
   84978     $cmp738 = ($call | 0) > 0;
   84979     $or_cond43 = $cmp5 & $cmp738;
   84980     if ($or_cond43) {
   84981       label = 5;
   84982       break;
   84983     } else {
   84984       label = 10;
   84985       break;
   84986     }
   84987    case 5:
   84988     $4 = +HEAPF64[$x61 >> 3];
   84989     $5 = +HEAPF64[$y70 >> 3];
   84990     $6 = +HEAPF64[$x82 >> 3];
   84991     $7 = +HEAPF64[$y91 >> 3];
   84992     $rooti_039 = 0;
   84993     label = 6;
   84994     break;
   84995    case 6:
   84996     $arrayidx9 = $roots + ($rooti_039 << 3) | 0;
   84997     $8 = +HEAPF64[$arrayidx9 >> 3];
   84998     $cmp10 = $8 < 1.0e-6;
   84999     $cmp12 = $8 > .999999;
   85000     $or_cond = $cmp10 | $cmp12;
   85001     if ($or_cond) {
   85002       label = 9;
   85003       break;
   85004     } else {
   85005       label = 7;
   85006       break;
   85007     }
   85008    case 7:
   85009     $mul = $8 * $8;
   85010     $mul16 = $8 * $mul;
   85011     $mul17 = $8 * 3.0;
   85012     $mul18 = $8 * $mul17;
   85013     $sub = 1.0 - $8;
   85014     $mul19 = $sub * $mul18;
   85015     $mul22 = $mul17 * $sub;
   85016     $mul24 = $sub * $mul22;
   85017     $mul27 = $sub * $sub;
   85018     $mul29 = $sub * $mul27;
   85019     $9 = +HEAPF64[$x >> 3];
   85020     $mul31 = $mul29 * $9;
   85021     $10 = +HEAPF64[$x33 >> 3];
   85022     $mul34 = $mul24 * $10;
   85023     $add = $mul31 + $mul34;
   85024     $11 = +HEAPF64[$x36 >> 3];
   85025     $mul37 = $mul19 * $11;
   85026     $add38 = $add + $mul37;
   85027     $12 = +HEAPF64[$x40 >> 3];
   85028     $mul41 = $mul16 * $12;
   85029     $add42 = $add38 + $mul41;
   85030     $13 = +HEAPF64[$y >> 3];
   85031     $mul45 = $mul29 * $13;
   85032     $14 = +HEAPF64[$y47 >> 3];
   85033     $mul48 = $mul24 * $14;
   85034     $add49 = $mul45 + $mul48;
   85035     $15 = +HEAPF64[$y51 >> 3];
   85036     $mul52 = $mul19 * $15;
   85037     $add53 = $add49 + $mul52;
   85038     $16 = +HEAPF64[$y55 >> 3];
   85039     $mul56 = $mul16 * $16;
   85040     $add57 = $add53 + $mul56;
   85041     $sub62 = $add42 - $4;
   85042     $mul67 = $sub62 * $sub62;
   85043     $sub71 = $add57 - $5;
   85044     $mul76 = $sub71 * $sub71;
   85045     $add77 = $mul67 + $mul76;
   85046     $cmp78 = $add77 < .001;
   85047     if ($cmp78) {
   85048       label = 9;
   85049       break;
   85050     } else {
   85051       label = 8;
   85052       break;
   85053     }
   85054    case 8:
   85055     $sub83 = $add42 - $6;
   85056     $mul88 = $sub83 * $sub83;
   85057     $sub92 = $add57 - $7;
   85058     $mul97 = $sub92 * $sub92;
   85059     $add98 = $mul88 + $mul97;
   85060     $cmp99 = $add98 < .001;
   85061     if ($cmp99) {
   85062       label = 9;
   85063       break;
   85064     } else {
   85065       $retval_0 = 0;
   85066       label = 11;
   85067       break;
   85068     }
   85069    case 9:
   85070     $inc = $rooti_039 + 1 | 0;
   85071     $cmp7 = ($inc | 0) < ($call | 0);
   85072     if ($cmp7) {
   85073       $rooti_039 = $inc;
   85074       label = 6;
   85075       break;
   85076     } else {
   85077       label = 10;
   85078       break;
   85079     }
   85080    case 10:
   85081     $inc103 = $ei_042 + 1 | 0;
   85082     $cmp = ($inc103 | 0) < ($edgen | 0);
   85083     if ($cmp) {
   85084       $ei_042 = $inc103;
   85085       label = 4;
   85086       break;
   85087     } else {
   85088       $retval_0 = 1;
   85089       label = 11;
   85090       break;
   85091     }
   85092    case 11:
   85093     STACKTOP = __stackBase__;
   85094     return $retval_0 | 0;
   85095   }
   85096   return 0;
   85097 }
   85098 function _splineintersectsline($sps, $lps, $roots) {
   85099   $sps = $sps | 0;
   85100   $lps = $lps | 0;
   85101   $roots = $roots | 0;
   85102   var $scoeff = 0, $xroots = 0, $yroots = 0, $rootn = 0, $x = 0, $0 = 0.0, $x3 = 0, $1 = 0.0, $sub = 0.0, $y = 0, $2 = 0.0, $y10 = 0, $3 = 0.0, $sub13 = 0.0, $cmp = 0, $cmp17 = 0, $x20 = 0, $4 = 0.0, $x22 = 0, $5 = 0.0, $x24 = 0, $6 = 0.0, $x26 = 0, $7 = 0.0, $arraydecay = 0, $8 = 0.0, $sub29 = 0.0, $arraydecay31 = 0, $call = 0, $y33 = 0, $9 = 0.0, $y35 = 0, $10 = 0.0, $y37 = 0, $11 = 0.0, $y39 = 0, $12 = 0.0, $13 = 0.0, $sub43 = 0.0, $arraydecay45 = 0, $call46 = 0, $cmp47 = 0, $cmp49 = 0, $cmp5187 = 0, $j_088 = 0, $arrayidx52 = 0, $14 = 0.0, $inc = 0, $cmp51 = 0, $cmp5790 = 0, $cmp6892 = 0, $i_091 = 0, $arrayidx59 = 0, $15 = 0.0, $inc61 = 0, $cmp57 = 0, $i_196 = 0, $arrayidx70 = 0, $16 = 0.0, $j_193 = 0, $arrayidx71 = 0, $17 = 0.0, $cmp72 = 0, $inc77 = 0, $cmp68 = 0, $inc80 = 0, $cmp65 = 0, $18 = 0, $cmp100 = 0, $cmp10497 = 0, $y111 = 0, $y113 = 0, $y115 = 0, $y117 = 0, $arrayidx120 = 0, $arrayidx121 = 0, $arrayidx122 = 0, $i_298 = 0, $arrayidx106 = 0, $19 = 0.0, $cmp107 = 0, $cmp108 = 0, $or_cond = 0, $20 = 0.0, $21 = 0.0, $22 = 0.0, $23 = 0.0, $24 = 0.0, $25 = 0.0, $26 = 0.0, $27 = 0.0, $mul = 0.0, $add = 0.0, $mul123 = 0.0, $add124 = 0.0, $mul125 = 0.0, $add126 = 0.0, $sub128 = 0.0, $div = 0.0, $cmp130 = 0, $cmp132 = 0, $or_cond1 = 0, $inc137 = 0, $cmp104 = 0, $28 = 0, $div142 = 0.0, $y144 = 0, $29 = 0.0, $x146 = 0, $30 = 0.0, $mul147 = 0.0, $sub148 = 0.0, $y150 = 0, $31 = 0.0, $x152 = 0, $32 = 0.0, $mul153 = 0.0, $sub154 = 0.0, $y156 = 0, $33 = 0.0, $x158 = 0, $34 = 0.0, $mul159 = 0.0, $sub160 = 0.0, $y162 = 0, $35 = 0.0, $x164 = 0, $36 = 0.0, $mul165 = 0.0, $sub166 = 0.0, $arraydecay167 = 0, $mul169 = 0.0, $sub171 = 0.0, $37 = 0.0, $add173 = 0.0, $arraydecay175 = 0, $call176 = 0, $cmp177 = 0, $cmp18199 = 0, $arrayidx198 = 0, $arrayidx199 = 0, $arrayidx200 = 0, $i_3100 = 0, $arrayidx183 = 0, $38 = 0.0, $cmp184 = 0, $cmp186 = 0, $or_cond2 = 0, $39 = 0.0, $40 = 0.0, $41 = 0.0, $42 = 0.0, $43 = 0.0, $44 = 0.0, $45 = 0.0, $46 = 0.0, $mul201 = 0.0, $add202 = 0.0, $mul203 = 0.0, $add204 = 0.0, $mul205 = 0.0, $add206 = 0.0, $sub208 = 0.0, $div210 = 0.0, $cmp211 = 0, $cmp213 = 0, $or_cond3 = 0, $inc218 = 0, $cmp181 = 0, $47 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   85103   __stackBase__ = STACKTOP;
   85104   STACKTOP = STACKTOP + 88 | 0;
   85105   label = 2;
   85106   while (1) switch (label | 0) {
   85107    case 2:
   85108     $scoeff = __stackBase__ | 0;
   85109     $xroots = __stackBase__ + 32 | 0;
   85110     $yroots = __stackBase__ + 56 | 0;
   85111     $rootn = __stackBase__ + 80 | 0;
   85112     $x = $lps | 0;
   85113     $0 = +HEAPF64[$x >> 3];
   85114     $x3 = $lps + 16 | 0;
   85115     $1 = +HEAPF64[$x3 >> 3];
   85116     $sub = $1 - $0;
   85117     $y = $lps + 8 | 0;
   85118     $2 = +HEAPF64[$y >> 3];
   85119     $y10 = $lps + 24 | 0;
   85120     $3 = +HEAPF64[$y10 >> 3];
   85121     $sub13 = $3 - $2;
   85122     HEAP32[$rootn >> 2] = 0;
   85123     $cmp = $sub == 0.0;
   85124     if ($cmp) {
   85125       label = 3;
   85126       break;
   85127     } else {
   85128       label = 28;
   85129       break;
   85130     }
   85131    case 3:
   85132     $cmp17 = $sub13 == 0.0;
   85133     $x20 = $sps | 0;
   85134     $4 = +HEAPF64[$x20 >> 3];
   85135     $x22 = $sps + 16 | 0;
   85136     $5 = +HEAPF64[$x22 >> 3];
   85137     $x24 = $sps + 32 | 0;
   85138     $6 = +HEAPF64[$x24 >> 3];
   85139     $x26 = $sps + 48 | 0;
   85140     $7 = +HEAPF64[$x26 >> 3];
   85141     $arraydecay = $scoeff | 0;
   85142     _points2coeff($4, $5, $6, $7, $arraydecay);
   85143     $8 = +HEAPF64[$arraydecay >> 3];
   85144     $sub29 = $8 - $0;
   85145     HEAPF64[$arraydecay >> 3] = $sub29;
   85146     $arraydecay31 = $xroots | 0;
   85147     $call = _solve3($arraydecay, $arraydecay31) | 0;
   85148     if ($cmp17) {
   85149       label = 4;
   85150       break;
   85151     } else {
   85152       label = 20;
   85153       break;
   85154     }
   85155    case 4:
   85156     $y33 = $sps + 8 | 0;
   85157     $9 = +HEAPF64[$y33 >> 3];
   85158     $y35 = $sps + 24 | 0;
   85159     $10 = +HEAPF64[$y35 >> 3];
   85160     $y37 = $sps + 40 | 0;
   85161     $11 = +HEAPF64[$y37 >> 3];
   85162     $y39 = $sps + 56 | 0;
   85163     $12 = +HEAPF64[$y39 >> 3];
   85164     _points2coeff($9, $10, $11, $12, $arraydecay);
   85165     $13 = +HEAPF64[$arraydecay >> 3];
   85166     $sub43 = $13 - $2;
   85167     HEAPF64[$arraydecay >> 3] = $sub43;
   85168     $arraydecay45 = $yroots | 0;
   85169     $call46 = _solve3($arraydecay, $arraydecay45) | 0;
   85170     $cmp47 = ($call | 0) == 4;
   85171     $cmp49 = ($call46 | 0) == 4;
   85172     if ($cmp47) {
   85173       label = 5;
   85174       break;
   85175     } else {
   85176       label = 8;
   85177       break;
   85178     }
   85179    case 5:
   85180     if ($cmp49) {
   85181       $retval_0 = 4;
   85182       label = 36;
   85183       break;
   85184     } else {
   85185       label = 6;
   85186       break;
   85187     }
   85188    case 6:
   85189     $cmp5187 = ($call46 | 0) > 0;
   85190     if ($cmp5187) {
   85191       $j_088 = 0;
   85192       label = 7;
   85193       break;
   85194     } else {
   85195       label = 19;
   85196       break;
   85197     }
   85198    case 7:
   85199     $arrayidx52 = $yroots + ($j_088 << 3) | 0;
   85200     $14 = +HEAPF64[$arrayidx52 >> 3];
   85201     _addroot($14, $roots, $rootn);
   85202     $inc = $j_088 + 1 | 0;
   85203     $cmp51 = ($inc | 0) < ($call46 | 0);
   85204     if ($cmp51) {
   85205       $j_088 = $inc;
   85206       label = 7;
   85207       break;
   85208     } else {
   85209       label = 19;
   85210       break;
   85211     }
   85212    case 8:
   85213     $cmp5790 = ($call | 0) > 0;
   85214     if ($cmp49) {
   85215       label = 11;
   85216       break;
   85217     } else {
   85218       label = 9;
   85219       break;
   85220     }
   85221    case 9:
   85222     if ($cmp5790) {
   85223       label = 10;
   85224       break;
   85225     } else {
   85226       label = 19;
   85227       break;
   85228     }
   85229    case 10:
   85230     $cmp6892 = ($call46 | 0) > 0;
   85231     $i_196 = 0;
   85232     label = 13;
   85233     break;
   85234    case 11:
   85235     if ($cmp5790) {
   85236       $i_091 = 0;
   85237       label = 12;
   85238       break;
   85239     } else {
   85240       label = 19;
   85241       break;
   85242     }
   85243    case 12:
   85244     $arrayidx59 = $xroots + ($i_091 << 3) | 0;
   85245     $15 = +HEAPF64[$arrayidx59 >> 3];
   85246     _addroot($15, $roots, $rootn);
   85247     $inc61 = $i_091 + 1 | 0;
   85248     $cmp57 = ($inc61 | 0) < ($call | 0);
   85249     if ($cmp57) {
   85250       $i_091 = $inc61;
   85251       label = 12;
   85252       break;
   85253     } else {
   85254       label = 19;
   85255       break;
   85256     }
   85257    case 13:
   85258     if ($cmp6892) {
   85259       label = 14;
   85260       break;
   85261     } else {
   85262       label = 18;
   85263       break;
   85264     }
   85265    case 14:
   85266     $arrayidx70 = $xroots + ($i_196 << 3) | 0;
   85267     $16 = +HEAPF64[$arrayidx70 >> 3];
   85268     $j_193 = 0;
   85269     label = 15;
   85270     break;
   85271    case 15:
   85272     $arrayidx71 = $yroots + ($j_193 << 3) | 0;
   85273     $17 = +HEAPF64[$arrayidx71 >> 3];
   85274     $cmp72 = $16 == $17;
   85275     if ($cmp72) {
   85276       label = 16;
   85277       break;
   85278     } else {
   85279       label = 17;
   85280       break;
   85281     }
   85282    case 16:
   85283     _addroot($16, $roots, $rootn);
   85284     label = 17;
   85285     break;
   85286    case 17:
   85287     $inc77 = $j_193 + 1 | 0;
   85288     $cmp68 = ($inc77 | 0) < ($call46 | 0);
   85289     if ($cmp68) {
   85290       $j_193 = $inc77;
   85291       label = 15;
   85292       break;
   85293     } else {
   85294       label = 18;
   85295       break;
   85296     }
   85297    case 18:
   85298     $inc80 = $i_196 + 1 | 0;
   85299     $cmp65 = ($inc80 | 0) < ($call | 0);
   85300     if ($cmp65) {
   85301       $i_196 = $inc80;
   85302       label = 13;
   85303       break;
   85304     } else {
   85305       label = 19;
   85306       break;
   85307     }
   85308    case 19:
   85309     $18 = HEAP32[$rootn >> 2] | 0;
   85310     $retval_0 = $18;
   85311     label = 36;
   85312     break;
   85313    case 20:
   85314     $cmp100 = ($call | 0) == 4;
   85315     if ($cmp100) {
   85316       $retval_0 = 4;
   85317       label = 36;
   85318       break;
   85319     } else {
   85320       label = 21;
   85321       break;
   85322     }
   85323    case 21:
   85324     $cmp10497 = ($call | 0) > 0;
   85325     if ($cmp10497) {
   85326       label = 22;
   85327       break;
   85328     } else {
   85329       label = 27;
   85330       break;
   85331     }
   85332    case 22:
   85333     $y111 = $sps + 8 | 0;
   85334     $y113 = $sps + 24 | 0;
   85335     $y115 = $sps + 40 | 0;
   85336     $y117 = $sps + 56 | 0;
   85337     $arrayidx120 = $scoeff + 8 | 0;
   85338     $arrayidx121 = $scoeff + 16 | 0;
   85339     $arrayidx122 = $scoeff + 24 | 0;
   85340     $i_298 = 0;
   85341     label = 23;
   85342     break;
   85343    case 23:
   85344     $arrayidx106 = $xroots + ($i_298 << 3) | 0;
   85345     $19 = +HEAPF64[$arrayidx106 >> 3];
   85346     $cmp107 = $19 >= 0.0;
   85347     $cmp108 = $19 <= 1.0;
   85348     $or_cond = $cmp107 & $cmp108;
   85349     if ($or_cond) {
   85350       label = 24;
   85351       break;
   85352     } else {
   85353       label = 26;
   85354       break;
   85355     }
   85356    case 24:
   85357     $20 = +HEAPF64[$y111 >> 3];
   85358     $21 = +HEAPF64[$y113 >> 3];
   85359     $22 = +HEAPF64[$y115 >> 3];
   85360     $23 = +HEAPF64[$y117 >> 3];
   85361     _points2coeff($20, $21, $22, $23, $arraydecay);
   85362     $24 = +HEAPF64[$arraydecay >> 3];
   85363     $25 = +HEAPF64[$arrayidx120 >> 3];
   85364     $26 = +HEAPF64[$arrayidx121 >> 3];
   85365     $27 = +HEAPF64[$arrayidx122 >> 3];
   85366     $mul = $19 * $27;
   85367     $add = $26 + $mul;
   85368     $mul123 = $19 * $add;
   85369     $add124 = $25 + $mul123;
   85370     $mul125 = $19 * $add124;
   85371     $add126 = $24 + $mul125;
   85372     $sub128 = $add126 - $2;
   85373     $div = $sub128 / $sub13;
   85374     $cmp130 = $div >= 0.0;
   85375     $cmp132 = $div <= 1.0;
   85376     $or_cond1 = $cmp130 & $cmp132;
   85377     if ($or_cond1) {
   85378       label = 25;
   85379       break;
   85380     } else {
   85381       label = 26;
   85382       break;
   85383     }
   85384    case 25:
   85385     _addroot($19, $roots, $rootn);
   85386     label = 26;
   85387     break;
   85388    case 26:
   85389     $inc137 = $i_298 + 1 | 0;
   85390     $cmp104 = ($inc137 | 0) < ($call | 0);
   85391     if ($cmp104) {
   85392       $i_298 = $inc137;
   85393       label = 23;
   85394       break;
   85395     } else {
   85396       label = 27;
   85397       break;
   85398     }
   85399    case 27:
   85400     $28 = HEAP32[$rootn >> 2] | 0;
   85401     $retval_0 = $28;
   85402     label = 36;
   85403     break;
   85404    case 28:
   85405     $div142 = $sub13 / $sub;
   85406     $y144 = $sps + 8 | 0;
   85407     $29 = +HEAPF64[$y144 >> 3];
   85408     $x146 = $sps | 0;
   85409     $30 = +HEAPF64[$x146 >> 3];
   85410     $mul147 = $div142 * $30;
   85411     $sub148 = $29 - $mul147;
   85412     $y150 = $sps + 24 | 0;
   85413     $31 = +HEAPF64[$y150 >> 3];
   85414     $x152 = $sps + 16 | 0;
   85415     $32 = +HEAPF64[$x152 >> 3];
   85416     $mul153 = $div142 * $32;
   85417     $sub154 = $31 - $mul153;
   85418     $y156 = $sps + 40 | 0;
   85419     $33 = +HEAPF64[$y156 >> 3];
   85420     $x158 = $sps + 32 | 0;
   85421     $34 = +HEAPF64[$x158 >> 3];
   85422     $mul159 = $div142 * $34;
   85423     $sub160 = $33 - $mul159;
   85424     $y162 = $sps + 56 | 0;
   85425     $35 = +HEAPF64[$y162 >> 3];
   85426     $x164 = $sps + 48 | 0;
   85427     $36 = +HEAPF64[$x164 >> 3];
   85428     $mul165 = $div142 * $36;
   85429     $sub166 = $35 - $mul165;
   85430     $arraydecay167 = $scoeff | 0;
   85431     _points2coeff($sub148, $sub154, $sub160, $sub166, $arraydecay167);
   85432     $mul169 = $0 * $div142;
   85433     $sub171 = $mul169 - $2;
   85434     $37 = +HEAPF64[$arraydecay167 >> 3];
   85435     $add173 = $sub171 + $37;
   85436     HEAPF64[$arraydecay167 >> 3] = $add173;
   85437     $arraydecay175 = $xroots | 0;
   85438     $call176 = _solve3($arraydecay167, $arraydecay175) | 0;
   85439     $cmp177 = ($call176 | 0) == 4;
   85440     if ($cmp177) {
   85441       $retval_0 = 4;
   85442       label = 36;
   85443       break;
   85444     } else {
   85445       label = 29;
   85446       break;
   85447     }
   85448    case 29:
   85449     $cmp18199 = ($call176 | 0) > 0;
   85450     if ($cmp18199) {
   85451       label = 30;
   85452       break;
   85453     } else {
   85454       label = 35;
   85455       break;
   85456     }
   85457    case 30:
   85458     $arrayidx198 = $scoeff + 8 | 0;
   85459     $arrayidx199 = $scoeff + 16 | 0;
   85460     $arrayidx200 = $scoeff + 24 | 0;
   85461     $i_3100 = 0;
   85462     label = 31;
   85463     break;
   85464    case 31:
   85465     $arrayidx183 = $xroots + ($i_3100 << 3) | 0;
   85466     $38 = +HEAPF64[$arrayidx183 >> 3];
   85467     $cmp184 = $38 >= 0.0;
   85468     $cmp186 = $38 <= 1.0;
   85469     $or_cond2 = $cmp184 & $cmp186;
   85470     if ($or_cond2) {
   85471       label = 32;
   85472       break;
   85473     } else {
   85474       label = 34;
   85475       break;
   85476     }
   85477    case 32:
   85478     $39 = +HEAPF64[$x146 >> 3];
   85479     $40 = +HEAPF64[$x152 >> 3];
   85480     $41 = +HEAPF64[$x158 >> 3];
   85481     $42 = +HEAPF64[$x164 >> 3];
   85482     _points2coeff($39, $40, $41, $42, $arraydecay167);
   85483     $43 = +HEAPF64[$arraydecay167 >> 3];
   85484     $44 = +HEAPF64[$arrayidx198 >> 3];
   85485     $45 = +HEAPF64[$arrayidx199 >> 3];
   85486     $46 = +HEAPF64[$arrayidx200 >> 3];
   85487     $mul201 = $38 * $46;
   85488     $add202 = $45 + $mul201;
   85489     $mul203 = $38 * $add202;
   85490     $add204 = $44 + $mul203;
   85491     $mul205 = $38 * $add204;
   85492     $add206 = $43 + $mul205;
   85493     $sub208 = $add206 - $0;
   85494     $div210 = $sub208 / $sub;
   85495     $cmp211 = $div210 >= 0.0;
   85496     $cmp213 = $div210 <= 1.0;
   85497     $or_cond3 = $cmp211 & $cmp213;
   85498     if ($or_cond3) {
   85499       label = 33;
   85500       break;
   85501     } else {
   85502       label = 34;
   85503       break;
   85504     }
   85505    case 33:
   85506     _addroot($38, $roots, $rootn);
   85507     label = 34;
   85508     break;
   85509    case 34:
   85510     $inc218 = $i_3100 + 1 | 0;
   85511     $cmp181 = ($inc218 | 0) < ($call176 | 0);
   85512     if ($cmp181) {
   85513       $i_3100 = $inc218;
   85514       label = 31;
   85515       break;
   85516     } else {
   85517       label = 35;
   85518       break;
   85519     }
   85520    case 35:
   85521     $47 = HEAP32[$rootn >> 2] | 0;
   85522     $retval_0 = $47;
   85523     label = 36;
   85524     break;
   85525    case 36:
   85526     STACKTOP = __stackBase__;
   85527     return $retval_0 | 0;
   85528   }
   85529   return 0;
   85530 }
   85531 function _ccw($p1p, $p2p, $p3p) {
   85532   $p1p = $p1p | 0;
   85533   $p2p = $p2p | 0;
   85534   $p3p = $p3p | 0;
   85535   var $y = 0, $0 = 0.0, $y1 = 0, $1 = 0.0, $sub = 0.0, $x = 0, $2 = 0.0, $x2 = 0, $3 = 0.0, $sub3 = 0.0, $mul = 0.0, $y4 = 0, $4 = 0.0, $sub6 = 0.0, $x7 = 0, $5 = 0.0, $sub9 = 0.0, $mul10 = 0.0, $sub11 = 0.0, $cmp = 0, $cmp12 = 0, $cond = 0, $cond13 = 0, label = 0;
   85536   label = 2;
   85537   while (1) switch (label | 0) {
   85538    case 2:
   85539     $y = $p1p + 8 | 0;
   85540     $0 = +HEAPF64[$y >> 3];
   85541     $y1 = $p2p + 8 | 0;
   85542     $1 = +HEAPF64[$y1 >> 3];
   85543     $sub = $0 - $1;
   85544     $x = $p3p | 0;
   85545     $2 = +HEAPF64[$x >> 3];
   85546     $x2 = $p2p | 0;
   85547     $3 = +HEAPF64[$x2 >> 3];
   85548     $sub3 = $2 - $3;
   85549     $mul = $sub * $sub3;
   85550     $y4 = $p3p + 8 | 0;
   85551     $4 = +HEAPF64[$y4 >> 3];
   85552     $sub6 = $4 - $1;
   85553     $x7 = $p1p | 0;
   85554     $5 = +HEAPF64[$x7 >> 3];
   85555     $sub9 = $5 - $3;
   85556     $mul10 = $sub6 * $sub9;
   85557     $sub11 = $mul - $mul10;
   85558     $cmp = $sub11 > 0.0;
   85559     if ($cmp) {
   85560       $cond13 = 1;
   85561       label = 4;
   85562       break;
   85563     } else {
   85564       label = 3;
   85565       break;
   85566     }
   85567    case 3:
   85568     $cmp12 = $sub11 < 0.0;
   85569     $cond = $cmp12 ? 2 : 3;
   85570     $cond13 = $cond;
   85571     label = 4;
   85572     break;
   85573    case 4:
   85574     return $cond13 | 0;
   85575   }
   85576   return 0;
   85577 }
   85578 function _connecttris($tri1, $tri2) {
   85579   $tri1 = $tri1 | 0;
   85580   $tri2 = $tri2 | 0;
   85581   var $ei_025 = 0, $0 = 0, $arrayidx = 0, $arrayidx4 = 0, $pnl0p = 0, $1 = 0, $pp = 0, $2 = 0, $pnl0p8 = 0, $3 = 0, $pp9 = 0, $4 = 0, $cmp10 = 0, $pnl1p = 0, $5 = 0, $pp13 = 0, $6 = 0, $pnl1p16 = 0, $7 = 0, $pp17 = 0, $8 = 0, $cmp18 = 0, $9 = 0, $pp22 = 0, $10 = 0, $pnl1p25 = 0, $11 = 0, $pp26 = 0, $12 = 0, $cmp27 = 0, $pnl1p31 = 0, $13 = 0, $pp32 = 0, $14 = 0, $15 = 0, $pp36 = 0, $16 = 0, $cmp37 = 0, $rtp = 0, $rtp42 = 0, $17 = 0, $arrayidx_1 = 0, $arrayidx4_1 = 0, $pnl0p_1 = 0, $18 = 0, $pp_1 = 0, $19 = 0, $pnl0p8_1 = 0, $20 = 0, $pp9_1 = 0, $21 = 0, $cmp10_1 = 0, $pnl1p_1 = 0, $22 = 0, $pp13_1 = 0, $23 = 0, $pnl1p16_1 = 0, $24 = 0, $pp17_1 = 0, $25 = 0, $cmp18_1 = 0, $26 = 0, $pp22_1 = 0, $27 = 0, $pnl1p25_1 = 0, $28 = 0, $pp26_1 = 0, $29 = 0, $cmp27_1 = 0, $pnl1p31_1 = 0, $30 = 0, $pp32_1 = 0, $31 = 0, $32 = 0, $pp36_1 = 0, $33 = 0, $cmp37_1 = 0, $rtp_1 = 0, $rtp42_1 = 0, $34 = 0, $arrayidx_2 = 0, $arrayidx4_2 = 0, $pnl0p_2 = 0, $35 = 0, $pp_2 = 0, $36 = 0, $pnl0p8_2 = 0, $37 = 0, $pp9_2 = 0, $38 = 0, $cmp10_2 = 0, $pnl1p_2 = 0, $39 = 0, $pp13_2 = 0, $40 = 0, $pnl1p16_2 = 0, $41 = 0, $pp17_2 = 0, $42 = 0, $cmp18_2 = 0, $43 = 0, $pp22_2 = 0, $44 = 0, $pnl1p25_2 = 0, $45 = 0, $pp26_2 = 0, $46 = 0, $cmp27_2 = 0, $pnl1p31_2 = 0, $47 = 0, $pp32_2 = 0, $48 = 0, $49 = 0, $pp36_2 = 0, $50 = 0, $cmp37_2 = 0, $rtp_2 = 0, $rtp42_2 = 0, $inc44 = 0, $cmp = 0, label = 0;
   85582   label = 2;
   85583   while (1) switch (label | 0) {
   85584    case 2:
   85585     $ei_025 = 0;
   85586     label = 3;
   85587     break;
   85588    case 3:
   85589     $0 = HEAP32[230] | 0;
   85590     $arrayidx = $0 + ($tri1 * 52 & -1) | 0;
   85591     $arrayidx4 = $0 + ($tri2 * 52 & -1) | 0;
   85592     $pnl0p = $0 + ($tri1 * 52 & -1) + 4 + ($ei_025 << 4) | 0;
   85593     $1 = HEAP32[$pnl0p >> 2] | 0;
   85594     $pp = $1 | 0;
   85595     $2 = HEAP32[$pp >> 2] | 0;
   85596     $pnl0p8 = $0 + ($tri2 * 52 & -1) + 4 | 0;
   85597     $3 = HEAP32[$pnl0p8 >> 2] | 0;
   85598     $pp9 = $3 | 0;
   85599     $4 = HEAP32[$pp9 >> 2] | 0;
   85600     $cmp10 = ($2 | 0) == ($4 | 0);
   85601     if ($cmp10) {
   85602       label = 4;
   85603       break;
   85604     } else {
   85605       label = 5;
   85606       break;
   85607     }
   85608    case 4:
   85609     $pnl1p = $0 + ($tri1 * 52 & -1) + 4 + ($ei_025 << 4) + 4 | 0;
   85610     $5 = HEAP32[$pnl1p >> 2] | 0;
   85611     $pp13 = $5 | 0;
   85612     $6 = HEAP32[$pp13 >> 2] | 0;
   85613     $pnl1p16 = $0 + ($tri2 * 52 & -1) + 8 | 0;
   85614     $7 = HEAP32[$pnl1p16 >> 2] | 0;
   85615     $pp17 = $7 | 0;
   85616     $8 = HEAP32[$pp17 >> 2] | 0;
   85617     $cmp18 = ($6 | 0) == ($8 | 0);
   85618     if ($cmp18) {
   85619       label = 7;
   85620       break;
   85621     } else {
   85622       label = 5;
   85623       break;
   85624     }
   85625    case 5:
   85626     $9 = HEAP32[$pnl0p >> 2] | 0;
   85627     $pp22 = $9 | 0;
   85628     $10 = HEAP32[$pp22 >> 2] | 0;
   85629     $pnl1p25 = $0 + ($tri2 * 52 & -1) + 8 | 0;
   85630     $11 = HEAP32[$pnl1p25 >> 2] | 0;
   85631     $pp26 = $11 | 0;
   85632     $12 = HEAP32[$pp26 >> 2] | 0;
   85633     $cmp27 = ($10 | 0) == ($12 | 0);
   85634     if ($cmp27) {
   85635       label = 6;
   85636       break;
   85637     } else {
   85638       label = 8;
   85639       break;
   85640     }
   85641    case 6:
   85642     $pnl1p31 = $0 + ($tri1 * 52 & -1) + 4 + ($ei_025 << 4) + 4 | 0;
   85643     $13 = HEAP32[$pnl1p31 >> 2] | 0;
   85644     $pp32 = $13 | 0;
   85645     $14 = HEAP32[$pp32 >> 2] | 0;
   85646     $15 = HEAP32[$pnl0p8 >> 2] | 0;
   85647     $pp36 = $15 | 0;
   85648     $16 = HEAP32[$pp36 >> 2] | 0;
   85649     $cmp37 = ($14 | 0) == ($16 | 0);
   85650     if ($cmp37) {
   85651       label = 7;
   85652       break;
   85653     } else {
   85654       label = 8;
   85655       break;
   85656     }
   85657    case 7:
   85658     $rtp = $0 + ($tri1 * 52 & -1) + 4 + ($ei_025 << 4) + 12 | 0;
   85659     HEAP32[$rtp >> 2] = $arrayidx4;
   85660     $rtp42 = $0 + ($tri2 * 52 & -1) + 16 | 0;
   85661     HEAP32[$rtp42 >> 2] = $arrayidx;
   85662     label = 8;
   85663     break;
   85664    case 8:
   85665     $17 = HEAP32[230] | 0;
   85666     $arrayidx_1 = $17 + ($tri1 * 52 & -1) | 0;
   85667     $arrayidx4_1 = $17 + ($tri2 * 52 & -1) | 0;
   85668     $pnl0p_1 = $17 + ($tri1 * 52 & -1) + 4 + ($ei_025 << 4) | 0;
   85669     $18 = HEAP32[$pnl0p_1 >> 2] | 0;
   85670     $pp_1 = $18 | 0;
   85671     $19 = HEAP32[$pp_1 >> 2] | 0;
   85672     $pnl0p8_1 = $17 + ($tri2 * 52 & -1) + 20 | 0;
   85673     $20 = HEAP32[$pnl0p8_1 >> 2] | 0;
   85674     $pp9_1 = $20 | 0;
   85675     $21 = HEAP32[$pp9_1 >> 2] | 0;
   85676     $cmp10_1 = ($19 | 0) == ($21 | 0);
   85677     if ($cmp10_1) {
   85678       label = 10;
   85679       break;
   85680     } else {
   85681       label = 11;
   85682       break;
   85683     }
   85684    case 9:
   85685     return;
   85686    case 10:
   85687     $pnl1p_1 = $17 + ($tri1 * 52 & -1) + 4 + ($ei_025 << 4) + 4 | 0;
   85688     $22 = HEAP32[$pnl1p_1 >> 2] | 0;
   85689     $pp13_1 = $22 | 0;
   85690     $23 = HEAP32[$pp13_1 >> 2] | 0;
   85691     $pnl1p16_1 = $17 + ($tri2 * 52 & -1) + 24 | 0;
   85692     $24 = HEAP32[$pnl1p16_1 >> 2] | 0;
   85693     $pp17_1 = $24 | 0;
   85694     $25 = HEAP32[$pp17_1 >> 2] | 0;
   85695     $cmp18_1 = ($23 | 0) == ($25 | 0);
   85696     if ($cmp18_1) {
   85697       label = 13;
   85698       break;
   85699     } else {
   85700       label = 11;
   85701       break;
   85702     }
   85703    case 11:
   85704     $26 = HEAP32[$pnl0p_1 >> 2] | 0;
   85705     $pp22_1 = $26 | 0;
   85706     $27 = HEAP32[$pp22_1 >> 2] | 0;
   85707     $pnl1p25_1 = $17 + ($tri2 * 52 & -1) + 24 | 0;
   85708     $28 = HEAP32[$pnl1p25_1 >> 2] | 0;
   85709     $pp26_1 = $28 | 0;
   85710     $29 = HEAP32[$pp26_1 >> 2] | 0;
   85711     $cmp27_1 = ($27 | 0) == ($29 | 0);
   85712     if ($cmp27_1) {
   85713       label = 12;
   85714       break;
   85715     } else {
   85716       label = 14;
   85717       break;
   85718     }
   85719    case 12:
   85720     $pnl1p31_1 = $17 + ($tri1 * 52 & -1) + 4 + ($ei_025 << 4) + 4 | 0;
   85721     $30 = HEAP32[$pnl1p31_1 >> 2] | 0;
   85722     $pp32_1 = $30 | 0;
   85723     $31 = HEAP32[$pp32_1 >> 2] | 0;
   85724     $32 = HEAP32[$pnl0p8_1 >> 2] | 0;
   85725     $pp36_1 = $32 | 0;
   85726     $33 = HEAP32[$pp36_1 >> 2] | 0;
   85727     $cmp37_1 = ($31 | 0) == ($33 | 0);
   85728     if ($cmp37_1) {
   85729       label = 13;
   85730       break;
   85731     } else {
   85732       label = 14;
   85733       break;
   85734     }
   85735    case 13:
   85736     $rtp_1 = $17 + ($tri1 * 52 & -1) + 4 + ($ei_025 << 4) + 12 | 0;
   85737     HEAP32[$rtp_1 >> 2] = $arrayidx4_1;
   85738     $rtp42_1 = $17 + ($tri2 * 52 & -1) + 32 | 0;
   85739     HEAP32[$rtp42_1 >> 2] = $arrayidx_1;
   85740     label = 14;
   85741     break;
   85742    case 14:
   85743     $34 = HEAP32[230] | 0;
   85744     $arrayidx_2 = $34 + ($tri1 * 52 & -1) | 0;
   85745     $arrayidx4_2 = $34 + ($tri2 * 52 & -1) | 0;
   85746     $pnl0p_2 = $34 + ($tri1 * 52 & -1) + 4 + ($ei_025 << 4) | 0;
   85747     $35 = HEAP32[$pnl0p_2 >> 2] | 0;
   85748     $pp_2 = $35 | 0;
   85749     $36 = HEAP32[$pp_2 >> 2] | 0;
   85750     $pnl0p8_2 = $34 + ($tri2 * 52 & -1) + 36 | 0;
   85751     $37 = HEAP32[$pnl0p8_2 >> 2] | 0;
   85752     $pp9_2 = $37 | 0;
   85753     $38 = HEAP32[$pp9_2 >> 2] | 0;
   85754     $cmp10_2 = ($36 | 0) == ($38 | 0);
   85755     if ($cmp10_2) {
   85756       label = 15;
   85757       break;
   85758     } else {
   85759       label = 16;
   85760       break;
   85761     }
   85762    case 15:
   85763     $pnl1p_2 = $34 + ($tri1 * 52 & -1) + 4 + ($ei_025 << 4) + 4 | 0;
   85764     $39 = HEAP32[$pnl1p_2 >> 2] | 0;
   85765     $pp13_2 = $39 | 0;
   85766     $40 = HEAP32[$pp13_2 >> 2] | 0;
   85767     $pnl1p16_2 = $34 + ($tri2 * 52 & -1) + 40 | 0;
   85768     $41 = HEAP32[$pnl1p16_2 >> 2] | 0;
   85769     $pp17_2 = $41 | 0;
   85770     $42 = HEAP32[$pp17_2 >> 2] | 0;
   85771     $cmp18_2 = ($40 | 0) == ($42 | 0);
   85772     if ($cmp18_2) {
   85773       label = 18;
   85774       break;
   85775     } else {
   85776       label = 16;
   85777       break;
   85778     }
   85779    case 16:
   85780     $43 = HEAP32[$pnl0p_2 >> 2] | 0;
   85781     $pp22_2 = $43 | 0;
   85782     $44 = HEAP32[$pp22_2 >> 2] | 0;
   85783     $pnl1p25_2 = $34 + ($tri2 * 52 & -1) + 40 | 0;
   85784     $45 = HEAP32[$pnl1p25_2 >> 2] | 0;
   85785     $pp26_2 = $45 | 0;
   85786     $46 = HEAP32[$pp26_2 >> 2] | 0;
   85787     $cmp27_2 = ($44 | 0) == ($46 | 0);
   85788     if ($cmp27_2) {
   85789       label = 17;
   85790       break;
   85791     } else {
   85792       label = 19;
   85793       break;
   85794     }
   85795    case 17:
   85796     $pnl1p31_2 = $34 + ($tri1 * 52 & -1) + 4 + ($ei_025 << 4) + 4 | 0;
   85797     $47 = HEAP32[$pnl1p31_2 >> 2] | 0;
   85798     $pp32_2 = $47 | 0;
   85799     $48 = HEAP32[$pp32_2 >> 2] | 0;
   85800     $49 = HEAP32[$pnl0p8_2 >> 2] | 0;
   85801     $pp36_2 = $49 | 0;
   85802     $50 = HEAP32[$pp36_2 >> 2] | 0;
   85803     $cmp37_2 = ($48 | 0) == ($50 | 0);
   85804     if ($cmp37_2) {
   85805       label = 18;
   85806       break;
   85807     } else {
   85808       label = 19;
   85809       break;
   85810     }
   85811    case 18:
   85812     $rtp_2 = $34 + ($tri1 * 52 & -1) + 4 + ($ei_025 << 4) + 12 | 0;
   85813     HEAP32[$rtp_2 >> 2] = $arrayidx4_2;
   85814     $rtp42_2 = $34 + ($tri2 * 52 & -1) + 48 | 0;
   85815     HEAP32[$rtp42_2 >> 2] = $arrayidx_2;
   85816     label = 19;
   85817     break;
   85818    case 19:
   85819     $inc44 = $ei_025 + 1 | 0;
   85820     $cmp = ($inc44 | 0) < 3;
   85821     if ($cmp) {
   85822       $ei_025 = $inc44;
   85823       label = 3;
   85824       break;
   85825     } else {
   85826       label = 9;
   85827       break;
   85828     }
   85829   }
   85830 }
   85831 function _Pshortestpath($polyp, $eps, $output) {
   85832   $polyp = $polyp | 0;
   85833   $eps = $eps | 0;
   85834   $output = $output | 0;
   85835   var $p1 = 0, $p2 = 0, $p3 = 0, $epnls = 0, $pn = 0, $0 = 0, $1 = 0, $mul = 0, $2 = 0, $div = 0, $sub = 0, $3 = 0, $cmp154 = 0, $ps = 0, $4 = 0, $arrayidx8162 = 0, $5 = 0, $6 = 0, $7 = 0, $8 = 0, $9 = 0, $pi_0157 = 0, $minpi_0156 = 0, $minx_0155 = 0.0, $x = 0, $10 = 0.0, $cmp3 = 0, $minx_1 = 0.0, $minpi_1 = 0, $inc = 0, $cmp = 0, $arrayidx8 = 0, $11 = 0, $12 = 0, $cmp9 = 0, $13 = 0, $minpi_0_lcssa164 = 0, $_sink = 0, $sub11 = 0, $14 = 0, $arrayidx14 = 0, $15 = 0, $16 = 0, $17 = 0, $sub16 = 0, $cmp17 = 0, $add = 0, $_add = 0, $18 = 0, $arrayidx23 = 0, $19 = 0, $20 = 0, $x24 = 0, $21 = 0.0, $x25 = 0, $22 = 0.0, $cmp26 = 0, $x28 = 0, $23 = 0.0, $cmp29 = 0, $y = 0, $24 = 0.0, $y31 = 0, $25 = 0.0, $cmp32 = 0, $call = 0, $cmp33 = 0, $26 = 0, $cmp76150 = 0, $27 = 0, $cmp38146 = 0, $pi_1148_in = 0, $pi_1148 = 0, $28 = 0, $sub41 = 0, $cmp42 = 0, $29 = 0, $x46 = 0, $30 = 0.0, $x50 = 0, $31 = 0.0, $cmp51 = 0, $y55 = 0, $32 = 0.0, $y59 = 0, $33 = 0.0, $cmp60 = 0, $cmp38 = 0, $34 = 0, $arrayidx64 = 0, $35 = 0, $36 = 0, $pp = 0, $37 = 0, $38 = 0, $rem = 0, $39 = 0, $arrayidx67 = 0, $link = 0, $40 = 0, $41 = 0, $arrayidx69 = 0, $42 = 0, $arrayidx70 = 0, $43 = 0, $inc71 = 0, $pi_2151 = 0, $cmp78 = 0, $44 = 0, $x82 = 0, $45 = 0.0, $sub83 = 0, $x86 = 0, $46 = 0.0, $cmp87 = 0, $y91 = 0, $47 = 0.0, $y95 = 0, $48 = 0.0, $cmp96 = 0, $49 = 0, $arrayidx100 = 0, $50 = 0, $51 = 0, $pp102 = 0, $52 = 0, $53 = 0, $rem104 = 0, $54 = 0, $arrayidx105 = 0, $link107 = 0, $55 = 0, $56 = 0, $arrayidx108 = 0, $57 = 0, $arrayidx109 = 0, $58 = 0, $inc110 = 0, $inc112 = 0, $59 = 0, $cmp76 = 0, $60 = 0, $61 = 0, $62 = 0, $cmp116143 = 0, $63 = 0, $cmp116 = 0, $64 = 0, $trii_0144 = 0, $add118 = 0, $65 = 0, $cmp120141 = 0, $trij_0142 = 0, $inc123 = 0, $66 = 0, $cmp120 = 0, $trii_1 = 0, $cmp129 = 0, $call132 = 0, $tobool = 0, $inc136 = 0, $67 = 0, $cmp138 = 0, $arrayidx145 = 0, $68 = 0, $69 = 0, $call140 = 0, $trii_2 = 0, $cmp143 = 0, $call146 = 0, $tobool147 = 0, $inc151 = 0, $70 = 0, $cmp153 = 0, $71 = 0, $call155 = 0, $call157 = 0, $tobool158 = 0, $72 = 0, $call160 = 0, $pn161 = 0, $73 = 0, $74 = 0, $75 = 0, $76 = 0, $arrayidx164 = 0, $arrayidx165 = 0, $77 = 0, $78 = 0, $79 = 0, $ps166 = 0, $cmp168 = 0, $pn170 = 0, $80 = 0, $81 = 0, $82 = 0, $83 = 0, $arrayidx173 = 0, $arrayidx174 = 0, $84 = 0, $85 = 0, $86 = 0, $ps175 = 0, $arrayidx178 = 0, $pp179 = 0, $link181 = 0, $arrayidx182 = 0, $arrayidx183 = 0, $pp184 = 0, $link186 = 0, $87 = 0, $cmp188139 = 0, $trii_3140 = 0, $88 = 0, $mark = 0, $rtp = 0, $89 = 0, $tobool194 = 0, $mark199 = 0, $90 = 0, $cmp200 = 0, $rtp_1 = 0, $91 = 0, $tobool194_1 = 0, $92 = 0, $93 = 0, $arrayidx209 = 0, $94 = 0, $pp210 = 0, $95 = 0, $96 = 0, $arrayidx211 = 0, $97 = 0, $pp212 = 0, $98 = 0, $call213 = 0, $cmp214 = 0, $_arrayidx183 = 0, $arrayidx183_ = 0, $ei_0_lcssa_ph = 0, $add223 = 0, $rem224 = 0, $pnl1p = 0, $99 = 0, $pnl0p = 0, $100 = 0, $pp229 = 0, $101 = 0, $pp230 = 0, $102 = 0, $pnl1p233 = 0, $103 = 0, $pp234 = 0, $104 = 0, $call235 = 0, $cmp236 = 0, $_ = 0, $_130 = 0, $lpnlp_0 = 0, $rpnlp_0 = 0, $cmp253 = 0, $105 = 0, $106 = 0, $arrayidx256 = 0, $107 = 0, $cmp257 = 0, $108 = 0, $arrayidx259 = 0, $109 = 0, $cmp260 = 0, $call262 = 0, $110 = 0, $cmp263 = 0, $call267 = 0, $111 = 0, $cmp268 = 0, $rtp278 = 0, $112 = 0, $tobool279 = 0, $mark284 = 0, $113 = 0, $cmp285 = 0, $_lcssa160 = 0, $114 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $sub_ptr_div = 0, $115 = 0, $rtp278_1 = 0, $116 = 0, $tobool279_1 = 0, $pnlp_0135 = 0, $pi_3134 = 0, $inc298 = 0, $link300 = 0, $117 = 0, $tobool296 = 0, $pn302 = 0, $118 = 0, $119 = 0, $pi_4133 = 0, $pnlp_1132 = 0, $arrayidx308 = 0, $pp309 = 0, $120 = 0, $121 = 0, $122 = 0, $link312 = 0, $123 = 0, $pi_4 = 0, $tobool306 = 0, $124 = 0, $ps314 = 0, $retval_0 = 0, $mark199_1 = 0, $125 = 0, $cmp200_1 = 0, $rtp_2 = 0, $126 = 0, $tobool194_2 = 0, $mark199_2 = 0, $127 = 0, $cmp200_2 = 0, $mark284_1 = 0, $128 = 0, $cmp285_1 = 0, $rtp278_2 = 0, $129 = 0, $tobool279_2 = 0, $mark284_2 = 0, $130 = 0, $cmp285_2 = 0, label = 0, __stackBase__ = 0;
   85836   __stackBase__ = STACKTOP;
   85837   STACKTOP = STACKTOP + 64 | 0;
   85838   label = 2;
   85839   while (1) switch (label | 0) {
   85840    case 2:
   85841     $p1 = __stackBase__ | 0;
   85842     $p2 = __stackBase__ + 16 | 0;
   85843     $p3 = __stackBase__ + 32 | 0;
   85844     $epnls = __stackBase__ + 48 | 0;
   85845     $pn = $polyp + 4 | 0;
   85846     $0 = HEAP32[$pn >> 2] | 0;
   85847     _growpnls($0);
   85848     HEAP32[1794] = 0;
   85849     HEAP32[234] = 0;
   85850     $1 = HEAP32[$pn >> 2] | 0;
   85851     $mul = $1 << 1;
   85852     _growdq($mul);
   85853     $2 = HEAP32[5090] | 0;
   85854     $div = ($2 | 0) / 2 & -1;
   85855     HEAP32[5088] = $div;
   85856     $sub = $div - 1 | 0;
   85857     HEAP32[5086] = $sub;
   85858     $3 = HEAP32[$pn >> 2] | 0;
   85859     $cmp154 = ($3 | 0) > 0;
   85860     $ps = $polyp | 0;
   85861     $4 = HEAP32[$ps >> 2] | 0;
   85862     if ($cmp154) {
   85863       label = 4;
   85864       break;
   85865     } else {
   85866       label = 3;
   85867       break;
   85868     }
   85869    case 3:
   85870     $arrayidx8162 = $4 - 16 | 0;
   85871     $5 = $p2;
   85872     $6 = $arrayidx8162;
   85873     HEAP32[$5 >> 2] = HEAP32[$6 >> 2] | 0;
   85874     HEAP32[$5 + 4 >> 2] = HEAP32[$6 + 4 >> 2] | 0;
   85875     HEAP32[$5 + 8 >> 2] = HEAP32[$6 + 8 >> 2] | 0;
   85876     HEAP32[$5 + 12 >> 2] = HEAP32[$6 + 12 >> 2] | 0;
   85877     $_sink = -1;
   85878     $minpi_0_lcssa164 = -1;
   85879     label = 8;
   85880     break;
   85881    case 4:
   85882     $7 = HEAP32[$pn >> 2] | 0;
   85883     $8 = HEAP32[$ps >> 2] | 0;
   85884     $minx_0155 = +Infinity;
   85885     $minpi_0156 = -1;
   85886     $pi_0157 = 0;
   85887     $9 = $4;
   85888     label = 5;
   85889     break;
   85890    case 5:
   85891     $x = $9 + ($pi_0157 << 4) | 0;
   85892     $10 = +HEAPF64[$x >> 3];
   85893     $cmp3 = $minx_0155 > $10;
   85894     $minx_1 = $cmp3 ? $10 : $minx_0155;
   85895     $minpi_1 = $cmp3 ? $pi_0157 : $minpi_0156;
   85896     $inc = $pi_0157 + 1 | 0;
   85897     $cmp = ($inc | 0) < ($7 | 0);
   85898     if ($cmp) {
   85899       $minx_0155 = $minx_1;
   85900       $minpi_0156 = $minpi_1;
   85901       $pi_0157 = $inc;
   85902       $9 = $8;
   85903       label = 5;
   85904       break;
   85905     } else {
   85906       label = 6;
   85907       break;
   85908     }
   85909    case 6:
   85910     $arrayidx8 = $8 + ($minpi_1 << 4) | 0;
   85911     $11 = $p2;
   85912     $12 = $arrayidx8;
   85913     HEAP32[$11 >> 2] = HEAP32[$12 >> 2] | 0;
   85914     HEAP32[$11 + 4 >> 2] = HEAP32[$12 + 4 >> 2] | 0;
   85915     HEAP32[$11 + 8 >> 2] = HEAP32[$12 + 8 >> 2] | 0;
   85916     HEAP32[$11 + 12 >> 2] = HEAP32[$12 + 12 >> 2] | 0;
   85917     $cmp9 = ($minpi_1 | 0) == 0;
   85918     if ($cmp9) {
   85919       label = 7;
   85920       break;
   85921     } else {
   85922       $_sink = $minpi_1;
   85923       $minpi_0_lcssa164 = $minpi_1;
   85924       label = 8;
   85925       break;
   85926     }
   85927    case 7:
   85928     $13 = HEAP32[$pn >> 2] | 0;
   85929     $_sink = $13;
   85930     $minpi_0_lcssa164 = 0;
   85931     label = 8;
   85932     break;
   85933    case 8:
   85934     $sub11 = $_sink - 1 | 0;
   85935     $14 = HEAP32[$ps >> 2] | 0;
   85936     $arrayidx14 = $14 + ($sub11 << 4) | 0;
   85937     $15 = $p1;
   85938     $16 = $arrayidx14;
   85939     HEAP32[$15 >> 2] = HEAP32[$16 >> 2] | 0;
   85940     HEAP32[$15 + 4 >> 2] = HEAP32[$16 + 4 >> 2] | 0;
   85941     HEAP32[$15 + 8 >> 2] = HEAP32[$16 + 8 >> 2] | 0;
   85942     HEAP32[$15 + 12 >> 2] = HEAP32[$16 + 12 >> 2] | 0;
   85943     $17 = HEAP32[$pn >> 2] | 0;
   85944     $sub16 = $17 - 1 | 0;
   85945     $cmp17 = ($minpi_0_lcssa164 | 0) == ($sub16 | 0);
   85946     $add = $minpi_0_lcssa164 + 1 | 0;
   85947     $_add = $cmp17 ? 0 : $add;
   85948     $18 = HEAP32[$ps >> 2] | 0;
   85949     $arrayidx23 = $18 + ($_add << 4) | 0;
   85950     $19 = $p3;
   85951     $20 = $arrayidx23;
   85952     HEAP32[$19 >> 2] = HEAP32[$20 >> 2] | 0;
   85953     HEAP32[$19 + 4 >> 2] = HEAP32[$20 + 4 >> 2] | 0;
   85954     HEAP32[$19 + 8 >> 2] = HEAP32[$20 + 8 >> 2] | 0;
   85955     HEAP32[$19 + 12 >> 2] = HEAP32[$20 + 12 >> 2] | 0;
   85956     $x24 = $p1 | 0;
   85957     $21 = +HEAPF64[$x24 >> 3];
   85958     $x25 = $p2 | 0;
   85959     $22 = +HEAPF64[$x25 >> 3];
   85960     $cmp26 = $21 == $22;
   85961     if ($cmp26) {
   85962       label = 9;
   85963       break;
   85964     } else {
   85965       label = 11;
   85966       break;
   85967     }
   85968    case 9:
   85969     $x28 = $p3 | 0;
   85970     $23 = +HEAPF64[$x28 >> 3];
   85971     $cmp29 = $22 == $23;
   85972     if ($cmp29) {
   85973       label = 10;
   85974       break;
   85975     } else {
   85976       label = 11;
   85977       break;
   85978     }
   85979    case 10:
   85980     $y = $p3 + 8 | 0;
   85981     $24 = +HEAPF64[$y >> 3];
   85982     $y31 = $p2 + 8 | 0;
   85983     $25 = +HEAPF64[$y31 >> 3];
   85984     $cmp32 = $24 > $25;
   85985     if ($cmp32) {
   85986       label = 13;
   85987       break;
   85988     } else {
   85989       label = 11;
   85990       break;
   85991     }
   85992    case 11:
   85993     $call = _ccw($p1, $p2, $p3) | 0;
   85994     $cmp33 = ($call | 0) == 1;
   85995     if ($cmp33) {
   85996       label = 12;
   85997       break;
   85998     } else {
   85999       label = 13;
   86000       break;
   86001     }
   86002    case 12:
   86003     $26 = HEAP32[$pn >> 2] | 0;
   86004     $cmp76150 = ($26 | 0) > 0;
   86005     if ($cmp76150) {
   86006       $pi_2151 = 0;
   86007       label = 19;
   86008       break;
   86009     } else {
   86010       label = 24;
   86011       break;
   86012     }
   86013    case 13:
   86014     $27 = HEAP32[$pn >> 2] | 0;
   86015     $cmp38146 = ($27 | 0) > 0;
   86016     if ($cmp38146) {
   86017       $pi_1148_in = $27;
   86018       label = 14;
   86019       break;
   86020     } else {
   86021       label = 24;
   86022       break;
   86023     }
   86024    case 14:
   86025     $pi_1148 = $pi_1148_in - 1 | 0;
   86026     $28 = HEAP32[$pn >> 2] | 0;
   86027     $sub41 = $28 - 1 | 0;
   86028     $cmp42 = ($pi_1148 | 0) < ($sub41 | 0);
   86029     if ($cmp42) {
   86030       label = 15;
   86031       break;
   86032     } else {
   86033       label = 18;
   86034       break;
   86035     }
   86036    case 15:
   86037     $29 = HEAP32[$ps >> 2] | 0;
   86038     $x46 = $29 + ($pi_1148 << 4) | 0;
   86039     $30 = +HEAPF64[$x46 >> 3];
   86040     $x50 = $29 + ($pi_1148_in << 4) | 0;
   86041     $31 = +HEAPF64[$x50 >> 3];
   86042     $cmp51 = $30 == $31;
   86043     if ($cmp51) {
   86044       label = 16;
   86045       break;
   86046     } else {
   86047       label = 18;
   86048       break;
   86049     }
   86050    case 16:
   86051     $y55 = $29 + ($pi_1148 << 4) + 8 | 0;
   86052     $32 = +HEAPF64[$y55 >> 3];
   86053     $y59 = $29 + ($pi_1148_in << 4) + 8 | 0;
   86054     $33 = +HEAPF64[$y59 >> 3];
   86055     $cmp60 = $32 == $33;
   86056     if ($cmp60) {
   86057       label = 17;
   86058       break;
   86059     } else {
   86060       label = 18;
   86061       break;
   86062     }
   86063    case 17:
   86064     $cmp38 = ($pi_1148 | 0) > 0;
   86065     if ($cmp38) {
   86066       $pi_1148_in = $pi_1148;
   86067       label = 14;
   86068       break;
   86069     } else {
   86070       label = 24;
   86071       break;
   86072     }
   86073    case 18:
   86074     $34 = HEAP32[$ps >> 2] | 0;
   86075     $arrayidx64 = $34 + ($pi_1148 << 4) | 0;
   86076     $35 = HEAP32[1794] | 0;
   86077     $36 = HEAP32[1788] | 0;
   86078     $pp = $36 + ($35 << 3) | 0;
   86079     HEAP32[$pp >> 2] = $arrayidx64;
   86080     $37 = HEAP32[1794] | 0;
   86081     $38 = HEAP32[$pn >> 2] | 0;
   86082     $rem = ($37 | 0) % ($38 | 0);
   86083     $39 = HEAP32[1788] | 0;
   86084     $arrayidx67 = $39 + ($rem << 3) | 0;
   86085     $link = $39 + ($37 << 3) + 4 | 0;
   86086     HEAP32[$link >> 2] = $arrayidx67;
   86087     $40 = HEAP32[1794] | 0;
   86088     $41 = HEAP32[1788] | 0;
   86089     $arrayidx69 = $41 + ($40 << 3) | 0;
   86090     $42 = HEAP32[1790] | 0;
   86091     $arrayidx70 = $42 + ($40 << 2) | 0;
   86092     HEAP32[$arrayidx70 >> 2] = $arrayidx69;
   86093     $43 = HEAP32[1794] | 0;
   86094     $inc71 = $43 + 1 | 0;
   86095     HEAP32[1794] = $inc71;
   86096     label = 17;
   86097     break;
   86098    case 19:
   86099     $cmp78 = ($pi_2151 | 0) > 0;
   86100     if ($cmp78) {
   86101       label = 20;
   86102       break;
   86103     } else {
   86104       label = 22;
   86105       break;
   86106     }
   86107    case 20:
   86108     $44 = HEAP32[$ps >> 2] | 0;
   86109     $x82 = $44 + ($pi_2151 << 4) | 0;
   86110     $45 = +HEAPF64[$x82 >> 3];
   86111     $sub83 = $pi_2151 - 1 | 0;
   86112     $x86 = $44 + ($sub83 << 4) | 0;
   86113     $46 = +HEAPF64[$x86 >> 3];
   86114     $cmp87 = $45 == $46;
   86115     if ($cmp87) {
   86116       label = 21;
   86117       break;
   86118     } else {
   86119       label = 22;
   86120       break;
   86121     }
   86122    case 21:
   86123     $y91 = $44 + ($pi_2151 << 4) + 8 | 0;
   86124     $47 = +HEAPF64[$y91 >> 3];
   86125     $y95 = $44 + ($sub83 << 4) + 8 | 0;
   86126     $48 = +HEAPF64[$y95 >> 3];
   86127     $cmp96 = $47 == $48;
   86128     if ($cmp96) {
   86129       label = 23;
   86130       break;
   86131     } else {
   86132       label = 22;
   86133       break;
   86134     }
   86135    case 22:
   86136     $49 = HEAP32[$ps >> 2] | 0;
   86137     $arrayidx100 = $49 + ($pi_2151 << 4) | 0;
   86138     $50 = HEAP32[1794] | 0;
   86139     $51 = HEAP32[1788] | 0;
   86140     $pp102 = $51 + ($50 << 3) | 0;
   86141     HEAP32[$pp102 >> 2] = $arrayidx100;
   86142     $52 = HEAP32[1794] | 0;
   86143     $53 = HEAP32[$pn >> 2] | 0;
   86144     $rem104 = ($52 | 0) % ($53 | 0);
   86145     $54 = HEAP32[1788] | 0;
   86146     $arrayidx105 = $54 + ($rem104 << 3) | 0;
   86147     $link107 = $54 + ($52 << 3) + 4 | 0;
   86148     HEAP32[$link107 >> 2] = $arrayidx105;
   86149     $55 = HEAP32[1794] | 0;
   86150     $56 = HEAP32[1788] | 0;
   86151     $arrayidx108 = $56 + ($55 << 3) | 0;
   86152     $57 = HEAP32[1790] | 0;
   86153     $arrayidx109 = $57 + ($55 << 2) | 0;
   86154     HEAP32[$arrayidx109 >> 2] = $arrayidx108;
   86155     $58 = HEAP32[1794] | 0;
   86156     $inc110 = $58 + 1 | 0;
   86157     HEAP32[1794] = $inc110;
   86158     label = 23;
   86159     break;
   86160    case 23:
   86161     $inc112 = $pi_2151 + 1 | 0;
   86162     $59 = HEAP32[$pn >> 2] | 0;
   86163     $cmp76 = ($inc112 | 0) < ($59 | 0);
   86164     if ($cmp76) {
   86165       $pi_2151 = $inc112;
   86166       label = 19;
   86167       break;
   86168     } else {
   86169       label = 24;
   86170       break;
   86171     }
   86172    case 24:
   86173     $60 = HEAP32[1790] | 0;
   86174     $61 = HEAP32[1794] | 0;
   86175     _triangulate($60, $61);
   86176     $62 = HEAP32[234] | 0;
   86177     $cmp116143 = ($62 | 0) > 0;
   86178     if ($cmp116143) {
   86179       $trii_0144 = 0;
   86180       label = 27;
   86181       break;
   86182     } else {
   86183       label = 26;
   86184       break;
   86185     }
   86186    case 25:
   86187     $63 = HEAP32[234] | 0;
   86188     $cmp116 = ($add118 | 0) < ($63 | 0);
   86189     if ($cmp116) {
   86190       $trii_0144 = $add118;
   86191       label = 27;
   86192       break;
   86193     } else {
   86194       label = 26;
   86195       break;
   86196     }
   86197    case 26:
   86198     $64 = HEAP32[234] | 0;
   86199     $trii_1 = 0;
   86200     label = 29;
   86201     break;
   86202    case 27:
   86203     $add118 = $trii_0144 + 1 | 0;
   86204     $65 = HEAP32[234] | 0;
   86205     $cmp120141 = ($add118 | 0) < ($65 | 0);
   86206     if ($cmp120141) {
   86207       $trij_0142 = $add118;
   86208       label = 28;
   86209       break;
   86210     } else {
   86211       label = 25;
   86212       break;
   86213     }
   86214    case 28:
   86215     _connecttris($trii_0144, $trij_0142);
   86216     $inc123 = $trij_0142 + 1 | 0;
   86217     $66 = HEAP32[234] | 0;
   86218     $cmp120 = ($inc123 | 0) < ($66 | 0);
   86219     if ($cmp120) {
   86220       $trij_0142 = $inc123;
   86221       label = 28;
   86222       break;
   86223     } else {
   86224       label = 25;
   86225       break;
   86226     }
   86227    case 29:
   86228     $cmp129 = ($trii_1 | 0) < ($64 | 0);
   86229     if ($cmp129) {
   86230       label = 30;
   86231       break;
   86232     } else {
   86233       label = 31;
   86234       break;
   86235     }
   86236    case 30:
   86237     $call132 = _pointintri($trii_1, $eps) | 0;
   86238     $tobool = ($call132 | 0) == 0;
   86239     $inc136 = $trii_1 + 1 | 0;
   86240     if ($tobool) {
   86241       $trii_1 = $inc136;
   86242       label = 29;
   86243       break;
   86244     } else {
   86245       label = 31;
   86246       break;
   86247     }
   86248    case 31:
   86249     $67 = HEAP32[234] | 0;
   86250     $cmp138 = ($trii_1 | 0) == ($67 | 0);
   86251     if ($cmp138) {
   86252       label = 33;
   86253       break;
   86254     } else {
   86255       label = 32;
   86256       break;
   86257     }
   86258    case 32:
   86259     $arrayidx145 = $eps + 16 | 0;
   86260     $68 = HEAP32[234] | 0;
   86261     $trii_2 = 0;
   86262     label = 34;
   86263     break;
   86264    case 33:
   86265     $69 = HEAP32[_stderr >> 2] | 0;
   86266     $call140 = _fprintf($69 | 0, 107728, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = 142728, HEAP32[tempInt + 8 >> 2] = 183, HEAP32[tempInt + 16 >> 2] = 120496, tempInt) | 0) | 0;
   86267     $retval_0 = -1;
   86268     label = 64;
   86269     break;
   86270    case 34:
   86271     $cmp143 = ($trii_2 | 0) < ($68 | 0);
   86272     if ($cmp143) {
   86273       label = 35;
   86274       break;
   86275     } else {
   86276       label = 36;
   86277       break;
   86278     }
   86279    case 35:
   86280     $call146 = _pointintri($trii_2, $arrayidx145) | 0;
   86281     $tobool147 = ($call146 | 0) == 0;
   86282     $inc151 = $trii_2 + 1 | 0;
   86283     if ($tobool147) {
   86284       $trii_2 = $inc151;
   86285       label = 34;
   86286       break;
   86287     } else {
   86288       label = 36;
   86289       break;
   86290     }
   86291    case 36:
   86292     $70 = HEAP32[234] | 0;
   86293     $cmp153 = ($trii_2 | 0) == ($70 | 0);
   86294     if ($cmp153) {
   86295       label = 37;
   86296       break;
   86297     } else {
   86298       label = 38;
   86299       break;
   86300     }
   86301    case 37:
   86302     $71 = HEAP32[_stderr >> 2] | 0;
   86303     $call155 = _fprintf($71 | 0, 107728, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = 142728, HEAP32[tempInt + 8 >> 2] = 191, HEAP32[tempInt + 16 >> 2] = 114416, tempInt) | 0) | 0;
   86304     $retval_0 = -1;
   86305     label = 64;
   86306     break;
   86307    case 38:
   86308     $call157 = _marktripath($trii_1, $trii_2) | 0;
   86309     $tobool158 = ($call157 | 0) == 0;
   86310     if ($tobool158) {
   86311       label = 39;
   86312       break;
   86313     } else {
   86314       label = 40;
   86315       break;
   86316     }
   86317    case 39:
   86318     $72 = HEAP32[_stderr >> 2] | 0;
   86319     $call160 = _fprintf($72 | 0, 107728, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = 142728, HEAP32[tempInt + 8 >> 2] = 198, HEAP32[tempInt + 16 >> 2] = 112096, tempInt) | 0) | 0;
   86320     _growops13(2);
   86321     $pn161 = $output + 4 | 0;
   86322     HEAP32[$pn161 >> 2] = 2;
   86323     $73 = HEAP32[2284] | 0;
   86324     $74 = $73;
   86325     $75 = $eps;
   86326     HEAP32[$74 >> 2] = HEAP32[$75 >> 2] | 0;
   86327     HEAP32[$74 + 4 >> 2] = HEAP32[$75 + 4 >> 2] | 0;
   86328     HEAP32[$74 + 8 >> 2] = HEAP32[$75 + 8 >> 2] | 0;
   86329     HEAP32[$74 + 12 >> 2] = HEAP32[$75 + 12 >> 2] | 0;
   86330     $76 = HEAP32[2284] | 0;
   86331     $arrayidx164 = $76 + 16 | 0;
   86332     $arrayidx165 = $eps + 16 | 0;
   86333     $77 = $arrayidx164;
   86334     $78 = $arrayidx165;
   86335     HEAP32[$77 >> 2] = HEAP32[$78 >> 2] | 0;
   86336     HEAP32[$77 + 4 >> 2] = HEAP32[$78 + 4 >> 2] | 0;
   86337     HEAP32[$77 + 8 >> 2] = HEAP32[$78 + 8 >> 2] | 0;
   86338     HEAP32[$77 + 12 >> 2] = HEAP32[$78 + 12 >> 2] | 0;
   86339     $79 = HEAP32[2284] | 0;
   86340     $ps166 = $output | 0;
   86341     HEAP32[$ps166 >> 2] = $79;
   86342     $retval_0 = 0;
   86343     label = 64;
   86344     break;
   86345    case 40:
   86346     $cmp168 = ($trii_1 | 0) == ($trii_2 | 0);
   86347     if ($cmp168) {
   86348       label = 41;
   86349       break;
   86350     } else {
   86351       label = 42;
   86352       break;
   86353     }
   86354    case 41:
   86355     _growops13(2);
   86356     $pn170 = $output + 4 | 0;
   86357     HEAP32[$pn170 >> 2] = 2;
   86358     $80 = HEAP32[2284] | 0;
   86359     $81 = $80;
   86360     $82 = $eps;
   86361     HEAP32[$81 >> 2] = HEAP32[$82 >> 2] | 0;
   86362     HEAP32[$81 + 4 >> 2] = HEAP32[$82 + 4 >> 2] | 0;
   86363     HEAP32[$81 + 8 >> 2] = HEAP32[$82 + 8 >> 2] | 0;
   86364     HEAP32[$81 + 12 >> 2] = HEAP32[$82 + 12 >> 2] | 0;
   86365     $83 = HEAP32[2284] | 0;
   86366     $arrayidx173 = $83 + 16 | 0;
   86367     $arrayidx174 = $eps + 16 | 0;
   86368     $84 = $arrayidx173;
   86369     $85 = $arrayidx174;
   86370     HEAP32[$84 >> 2] = HEAP32[$85 >> 2] | 0;
   86371     HEAP32[$84 + 4 >> 2] = HEAP32[$85 + 4 >> 2] | 0;
   86372     HEAP32[$84 + 8 >> 2] = HEAP32[$85 + 8 >> 2] | 0;
   86373     HEAP32[$84 + 12 >> 2] = HEAP32[$85 + 12 >> 2] | 0;
   86374     $86 = HEAP32[2284] | 0;
   86375     $ps175 = $output | 0;
   86376     HEAP32[$ps175 >> 2] = $86;
   86377     $retval_0 = 0;
   86378     label = 64;
   86379     break;
   86380    case 42:
   86381     $arrayidx178 = $epnls | 0;
   86382     $pp179 = $epnls | 0;
   86383     HEAP32[$pp179 >> 2] = $eps;
   86384     $link181 = $epnls + 4 | 0;
   86385     HEAP32[$link181 >> 2] = 0;
   86386     $arrayidx182 = $eps + 16 | 0;
   86387     $arrayidx183 = $epnls + 8 | 0;
   86388     $pp184 = $arrayidx183 | 0;
   86389     HEAP32[$pp184 >> 2] = $arrayidx182;
   86390     $link186 = $epnls + 12 | 0;
   86391     HEAP32[$link186 >> 2] = 0;
   86392     _add2dq(1, $arrayidx178);
   86393     $87 = HEAP32[5088] | 0;
   86394     HEAP32[5084] = $87;
   86395     $cmp188139 = ($trii_1 | 0) == -1;
   86396     if ($cmp188139) {
   86397       $pi_3134 = 0;
   86398       $pnlp_0135 = $arrayidx183;
   86399       label = 60;
   86400       break;
   86401     } else {
   86402       $trii_3140 = $trii_1;
   86403       label = 43;
   86404       break;
   86405     }
   86406    case 43:
   86407     $88 = HEAP32[230] | 0;
   86408     $mark = $88 + ($trii_3140 * 52 & -1) | 0;
   86409     HEAP32[$mark >> 2] = 2;
   86410     $rtp = $88 + ($trii_3140 * 52 & -1) + 16 | 0;
   86411     $89 = HEAP32[$rtp >> 2] | 0;
   86412     $tobool194 = ($89 | 0) == 0;
   86413     if ($tobool194) {
   86414       label = 45;
   86415       break;
   86416     } else {
   86417       label = 44;
   86418       break;
   86419     }
   86420    case 44:
   86421     $mark199 = $89 | 0;
   86422     $90 = HEAP32[$mark199 >> 2] | 0;
   86423     $cmp200 = ($90 | 0) == 1;
   86424     if ($cmp200) {
   86425       $ei_0_lcssa_ph = 0;
   86426       label = 47;
   86427       break;
   86428     } else {
   86429       label = 45;
   86430       break;
   86431     }
   86432    case 45:
   86433     $rtp_1 = $88 + ($trii_3140 * 52 & -1) + 32 | 0;
   86434     $91 = HEAP32[$rtp_1 >> 2] | 0;
   86435     $tobool194_1 = ($91 | 0) == 0;
   86436     if ($tobool194_1) {
   86437       label = 66;
   86438       break;
   86439     } else {
   86440       label = 65;
   86441       break;
   86442     }
   86443    case 46:
   86444     $92 = HEAP32[5088] | 0;
   86445     $93 = HEAP32[5092] | 0;
   86446     $arrayidx209 = $93 + ($92 << 2) | 0;
   86447     $94 = HEAP32[$arrayidx209 >> 2] | 0;
   86448     $pp210 = $94 | 0;
   86449     $95 = HEAP32[$pp210 >> 2] | 0;
   86450     $96 = HEAP32[5086] | 0;
   86451     $arrayidx211 = $93 + ($96 << 2) | 0;
   86452     $97 = HEAP32[$arrayidx211 >> 2] | 0;
   86453     $pp212 = $97 | 0;
   86454     $98 = HEAP32[$pp212 >> 2] | 0;
   86455     $call213 = _ccw($arrayidx182, $95, $98) | 0;
   86456     $cmp214 = ($call213 | 0) == 1;
   86457     $_arrayidx183 = $cmp214 ? $97 : $arrayidx183;
   86458     $arrayidx183_ = $cmp214 ? $arrayidx183 : $97;
   86459     $rpnlp_0 = $arrayidx183_;
   86460     $lpnlp_0 = $_arrayidx183;
   86461     label = 48;
   86462     break;
   86463    case 47:
   86464     $add223 = $ei_0_lcssa_ph + 1 | 0;
   86465     $rem224 = ($add223 >>> 0) % 3;
   86466     $pnl1p = $88 + ($trii_3140 * 52 & -1) + 4 + ($rem224 << 4) + 4 | 0;
   86467     $99 = HEAP32[$pnl1p >> 2] | 0;
   86468     $pnl0p = $88 + ($trii_3140 * 52 & -1) + 4 + ($ei_0_lcssa_ph << 4) | 0;
   86469     $100 = HEAP32[$pnl0p >> 2] | 0;
   86470     $pp229 = $100 | 0;
   86471     $101 = HEAP32[$pp229 >> 2] | 0;
   86472     $pp230 = $99 | 0;
   86473     $102 = HEAP32[$pp230 >> 2] | 0;
   86474     $pnl1p233 = $88 + ($trii_3140 * 52 & -1) + 4 + ($ei_0_lcssa_ph << 4) + 4 | 0;
   86475     $103 = HEAP32[$pnl1p233 >> 2] | 0;
   86476     $pp234 = $103 | 0;
   86477     $104 = HEAP32[$pp234 >> 2] | 0;
   86478     $call235 = _ccw($101, $102, $104) | 0;
   86479     $cmp236 = ($call235 | 0) == 1;
   86480     $_ = $cmp236 ? $103 : $100;
   86481     $_130 = $cmp236 ? $100 : $103;
   86482     $rpnlp_0 = $_130;
   86483     $lpnlp_0 = $_;
   86484     label = 48;
   86485     break;
   86486    case 48:
   86487     $cmp253 = ($trii_3140 | 0) == ($trii_1 | 0);
   86488     if ($cmp253) {
   86489       label = 49;
   86490       break;
   86491     } else {
   86492       label = 50;
   86493       break;
   86494     }
   86495    case 49:
   86496     _add2dq(2, $lpnlp_0);
   86497     _add2dq(1, $rpnlp_0);
   86498     label = 56;
   86499     break;
   86500    case 50:
   86501     $105 = HEAP32[5088] | 0;
   86502     $106 = HEAP32[5092] | 0;
   86503     $arrayidx256 = $106 + ($105 << 2) | 0;
   86504     $107 = HEAP32[$arrayidx256 >> 2] | 0;
   86505     $cmp257 = ($107 | 0) == ($rpnlp_0 | 0);
   86506     if ($cmp257) {
   86507       label = 54;
   86508       break;
   86509     } else {
   86510       label = 51;
   86511       break;
   86512     }
   86513    case 51:
   86514     $108 = HEAP32[5086] | 0;
   86515     $arrayidx259 = $106 + ($108 << 2) | 0;
   86516     $109 = HEAP32[$arrayidx259 >> 2] | 0;
   86517     $cmp260 = ($109 | 0) == ($rpnlp_0 | 0);
   86518     if ($cmp260) {
   86519       label = 54;
   86520       break;
   86521     } else {
   86522       label = 52;
   86523       break;
   86524     }
   86525    case 52:
   86526     $call262 = _finddqsplit($rpnlp_0) | 0;
   86527     _splitdq(2, $call262);
   86528     _add2dq(1, $rpnlp_0);
   86529     $110 = HEAP32[5084] | 0;
   86530     $cmp263 = ($call262 | 0) > ($110 | 0);
   86531     if ($cmp263) {
   86532       label = 53;
   86533       break;
   86534     } else {
   86535       label = 56;
   86536       break;
   86537     }
   86538    case 53:
   86539     HEAP32[5084] = $call262;
   86540     label = 56;
   86541     break;
   86542    case 54:
   86543     $call267 = _finddqsplit($lpnlp_0) | 0;
   86544     _splitdq(1, $call267);
   86545     _add2dq(2, $lpnlp_0);
   86546     $111 = HEAP32[5084] | 0;
   86547     $cmp268 = ($call267 | 0) < ($111 | 0);
   86548     if ($cmp268) {
   86549       label = 55;
   86550       break;
   86551     } else {
   86552       label = 56;
   86553       break;
   86554     }
   86555    case 55:
   86556     HEAP32[5084] = $call267;
   86557     label = 56;
   86558     break;
   86559    case 56:
   86560     $rtp278 = $88 + ($trii_3140 * 52 & -1) + 16 | 0;
   86561     $112 = HEAP32[$rtp278 >> 2] | 0;
   86562     $tobool279 = ($112 | 0) == 0;
   86563     if ($tobool279) {
   86564       label = 59;
   86565       break;
   86566     } else {
   86567       label = 57;
   86568       break;
   86569     }
   86570    case 57:
   86571     $mark284 = $112 | 0;
   86572     $113 = HEAP32[$mark284 >> 2] | 0;
   86573     $cmp285 = ($113 | 0) == 1;
   86574     if ($cmp285) {
   86575       $_lcssa160 = $112;
   86576       label = 58;
   86577       break;
   86578     } else {
   86579       label = 59;
   86580       break;
   86581     }
   86582    case 58:
   86583     $114 = HEAP32[230] | 0;
   86584     $sub_ptr_lhs_cast = $_lcssa160;
   86585     $sub_ptr_rhs_cast = $114;
   86586     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   86587     $sub_ptr_div = ($sub_ptr_sub | 0) / 52 & -1;
   86588     $115 = ($sub_ptr_sub | 0) == -52;
   86589     if ($115) {
   86590       $pi_3134 = 0;
   86591       $pnlp_0135 = $arrayidx183;
   86592       label = 60;
   86593       break;
   86594     } else {
   86595       $trii_3140 = $sub_ptr_div;
   86596       label = 43;
   86597       break;
   86598     }
   86599    case 59:
   86600     $rtp278_1 = $88 + ($trii_3140 * 52 & -1) + 32 | 0;
   86601     $116 = HEAP32[$rtp278_1 >> 2] | 0;
   86602     $tobool279_1 = ($116 | 0) == 0;
   86603     if ($tobool279_1) {
   86604       label = 69;
   86605       break;
   86606     } else {
   86607       label = 68;
   86608       break;
   86609     }
   86610    case 60:
   86611     $inc298 = $pi_3134 + 1 | 0;
   86612     $link300 = $pnlp_0135 + 4 | 0;
   86613     $117 = HEAP32[$link300 >> 2] | 0;
   86614     $tobool296 = ($117 | 0) == 0;
   86615     if ($tobool296) {
   86616       label = 61;
   86617       break;
   86618     } else {
   86619       $pi_3134 = $inc298;
   86620       $pnlp_0135 = $117;
   86621       label = 60;
   86622       break;
   86623     }
   86624    case 61:
   86625     _growops13($inc298);
   86626     $pn302 = $output + 4 | 0;
   86627     HEAP32[$pn302 >> 2] = $inc298;
   86628     $118 = HEAP32[2284] | 0;
   86629     $pnlp_1132 = $arrayidx183;
   86630     $pi_4133 = $pi_3134;
   86631     $119 = $118;
   86632     label = 62;
   86633     break;
   86634    case 62:
   86635     $arrayidx308 = $119 + ($pi_4133 << 4) | 0;
   86636     $pp309 = $pnlp_1132 | 0;
   86637     $120 = HEAP32[$pp309 >> 2] | 0;
   86638     $121 = $arrayidx308;
   86639     $122 = $120;
   86640     HEAP32[$121 >> 2] = HEAP32[$122 >> 2] | 0;
   86641     HEAP32[$121 + 4 >> 2] = HEAP32[$122 + 4 >> 2] | 0;
   86642     HEAP32[$121 + 8 >> 2] = HEAP32[$122 + 8 >> 2] | 0;
   86643     HEAP32[$121 + 12 >> 2] = HEAP32[$122 + 12 >> 2] | 0;
   86644     $link312 = $pnlp_1132 + 4 | 0;
   86645     $123 = HEAP32[$link312 >> 2] | 0;
   86646     $pi_4 = $pi_4133 - 1 | 0;
   86647     $tobool306 = ($123 | 0) == 0;
   86648     $124 = HEAP32[2284] | 0;
   86649     if ($tobool306) {
   86650       label = 63;
   86651       break;
   86652     } else {
   86653       $pnlp_1132 = $123;
   86654       $pi_4133 = $pi_4;
   86655       $119 = $124;
   86656       label = 62;
   86657       break;
   86658     }
   86659    case 63:
   86660     $ps314 = $output | 0;
   86661     HEAP32[$ps314 >> 2] = $124;
   86662     $retval_0 = 0;
   86663     label = 64;
   86664     break;
   86665    case 64:
   86666     STACKTOP = __stackBase__;
   86667     return $retval_0 | 0;
   86668    case 65:
   86669     $mark199_1 = $91 | 0;
   86670     $125 = HEAP32[$mark199_1 >> 2] | 0;
   86671     $cmp200_1 = ($125 | 0) == 1;
   86672     if ($cmp200_1) {
   86673       $ei_0_lcssa_ph = 1;
   86674       label = 47;
   86675       break;
   86676     } else {
   86677       label = 66;
   86678       break;
   86679     }
   86680    case 66:
   86681     $rtp_2 = $88 + ($trii_3140 * 52 & -1) + 48 | 0;
   86682     $126 = HEAP32[$rtp_2 >> 2] | 0;
   86683     $tobool194_2 = ($126 | 0) == 0;
   86684     if ($tobool194_2) {
   86685       label = 46;
   86686       break;
   86687     } else {
   86688       label = 67;
   86689       break;
   86690     }
   86691    case 67:
   86692     $mark199_2 = $126 | 0;
   86693     $127 = HEAP32[$mark199_2 >> 2] | 0;
   86694     $cmp200_2 = ($127 | 0) == 1;
   86695     if ($cmp200_2) {
   86696       $ei_0_lcssa_ph = 2;
   86697       label = 47;
   86698       break;
   86699     } else {
   86700       label = 46;
   86701       break;
   86702     }
   86703    case 68:
   86704     $mark284_1 = $116 | 0;
   86705     $128 = HEAP32[$mark284_1 >> 2] | 0;
   86706     $cmp285_1 = ($128 | 0) == 1;
   86707     if ($cmp285_1) {
   86708       $_lcssa160 = $116;
   86709       label = 58;
   86710       break;
   86711     } else {
   86712       label = 69;
   86713       break;
   86714     }
   86715    case 69:
   86716     $rtp278_2 = $88 + ($trii_3140 * 52 & -1) + 48 | 0;
   86717     $129 = HEAP32[$rtp278_2 >> 2] | 0;
   86718     $tobool279_2 = ($129 | 0) == 0;
   86719     if ($tobool279_2) {
   86720       $pi_3134 = 0;
   86721       $pnlp_0135 = $arrayidx183;
   86722       label = 60;
   86723       break;
   86724     } else {
   86725       label = 70;
   86726       break;
   86727     }
   86728    case 70:
   86729     $mark284_2 = $129 | 0;
   86730     $130 = HEAP32[$mark284_2 >> 2] | 0;
   86731     $cmp285_2 = ($130 | 0) == 1;
   86732     if ($cmp285_2) {
   86733       $_lcssa160 = $129;
   86734       label = 58;
   86735       break;
   86736     } else {
   86737       $pi_3134 = 0;
   86738       $pnlp_0135 = $arrayidx183;
   86739       label = 60;
   86740       break;
   86741     }
   86742   }
   86743   return 0;
   86744 }
   86745 function _triangulate($pnlps, $pnln) {
   86746   $pnlps = $pnlps | 0;
   86747   $pnln = $pnln | 0;
   86748   var $cmp29 = 0, $cmp = 0, $pnln_tr30 = 0, $pnli_0 = 0, $cmp1 = 0, $add = 0, $rem = 0, $add2 = 0, $rem3 = 0, $call = 0, $tobool = 0, $arrayidx = 0, $0 = 0, $arrayidx5 = 0, $1 = 0, $arrayidx6 = 0, $2 = 0, $sub = 0, $cmp827 = 0, $pnli_128 = 0, $add10 = 0, $arrayidx11 = 0, $3 = 0, $arrayidx12 = 0, $cmp8 = 0, $4 = 0, $call17 = 0, $5 = 0, $arrayidx19 = 0, $6 = 0, $arrayidx20 = 0, $7 = 0, label = 0, __stackBase__ = 0;
   86749   __stackBase__ = STACKTOP;
   86750   label = 2;
   86751   while (1) switch (label | 0) {
   86752    case 2:
   86753     $cmp29 = ($pnln | 0) > 3;
   86754     if ($cmp29) {
   86755       $pnln_tr30 = $pnln;
   86756       label = 4;
   86757       break;
   86758     } else {
   86759       label = 10;
   86760       break;
   86761     }
   86762    case 3:
   86763     $cmp = ($sub | 0) > 3;
   86764     if ($cmp) {
   86765       $pnln_tr30 = $sub;
   86766       label = 4;
   86767       break;
   86768     } else {
   86769       label = 10;
   86770       break;
   86771     }
   86772    case 4:
   86773     $pnli_0 = 0;
   86774     label = 5;
   86775     break;
   86776    case 5:
   86777     $cmp1 = ($pnli_0 | 0) < ($pnln_tr30 | 0);
   86778     if ($cmp1) {
   86779       label = 6;
   86780       break;
   86781     } else {
   86782       label = 9;
   86783       break;
   86784     }
   86785    case 6:
   86786     $add = $pnli_0 + 1 | 0;
   86787     $rem = ($add | 0) % ($pnln_tr30 | 0);
   86788     $add2 = $pnli_0 + 2 | 0;
   86789     $rem3 = ($add2 | 0) % ($pnln_tr30 | 0);
   86790     $call = _isdiagonal($pnli_0, $rem3, $pnlps, $pnln_tr30) | 0;
   86791     $tobool = ($call | 0) == 0;
   86792     if ($tobool) {
   86793       $pnli_0 = $add;
   86794       label = 5;
   86795       break;
   86796     } else {
   86797       label = 7;
   86798       break;
   86799     }
   86800    case 7:
   86801     $arrayidx = $pnlps + ($pnli_0 << 2) | 0;
   86802     $0 = HEAP32[$arrayidx >> 2] | 0;
   86803     $arrayidx5 = $pnlps + ($rem << 2) | 0;
   86804     $1 = HEAP32[$arrayidx5 >> 2] | 0;
   86805     $arrayidx6 = $pnlps + ($rem3 << 2) | 0;
   86806     $2 = HEAP32[$arrayidx6 >> 2] | 0;
   86807     _loadtriangle($0, $1, $2);
   86808     $sub = $pnln_tr30 - 1 | 0;
   86809     $cmp827 = ($rem | 0) < ($sub | 0);
   86810     if ($cmp827) {
   86811       $pnli_128 = $rem;
   86812       label = 8;
   86813       break;
   86814     } else {
   86815       label = 3;
   86816       break;
   86817     }
   86818    case 8:
   86819     $add10 = $pnli_128 + 1 | 0;
   86820     $arrayidx11 = $pnlps + ($add10 << 2) | 0;
   86821     $3 = HEAP32[$arrayidx11 >> 2] | 0;
   86822     $arrayidx12 = $pnlps + ($pnli_128 << 2) | 0;
   86823     HEAP32[$arrayidx12 >> 2] = $3;
   86824     $cmp8 = ($add10 | 0) < ($sub | 0);
   86825     if ($cmp8) {
   86826       $pnli_128 = $add10;
   86827       label = 8;
   86828       break;
   86829     } else {
   86830       label = 3;
   86831       break;
   86832     }
   86833    case 9:
   86834     $4 = HEAP32[_stderr >> 2] | 0;
   86835     $call17 = _fprintf($4 | 0, 107728, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = 142728, HEAP32[tempInt + 8 >> 2] = 315, HEAP32[tempInt + 16 >> 2] = 152464, tempInt) | 0) | 0;
   86836     label = 11;
   86837     break;
   86838    case 10:
   86839     $5 = HEAP32[$pnlps >> 2] | 0;
   86840     $arrayidx19 = $pnlps + 4 | 0;
   86841     $6 = HEAP32[$arrayidx19 >> 2] | 0;
   86842     $arrayidx20 = $pnlps + 8 | 0;
   86843     $7 = HEAP32[$arrayidx20 >> 2] | 0;
   86844     _loadtriangle($5, $6, $7);
   86845     label = 11;
   86846     break;
   86847    case 11:
   86848     STACKTOP = __stackBase__;
   86849     return;
   86850   }
   86851 }
   86852 function _growpnls($newpnln) {
   86853   $newpnln = $newpnln | 0;
   86854   var $0 = 0, $cmp = 0, $1 = 0, $tobool = 0, $mul = 0, $call = 0, $2 = 0, $tobool2 = 0, $3 = 0, $call4 = 0, $mul6 = 0, $call7 = 0, $4 = 0, $tobool8 = 0, $5 = 0, $call10 = 0, $6 = 0, $mul12 = 0, $call13 = 0, $7 = 0, $tobool14 = 0, $8 = 0, $call16 = 0, $9 = 0, $10 = 0, $mul18 = 0, $call19 = 0, $11 = 0, $tobool20 = 0, $12 = 0, $call22 = 0, label = 0, __stackBase__ = 0;
   86855   __stackBase__ = STACKTOP;
   86856   label = 2;
   86857   while (1) switch (label | 0) {
   86858    case 2:
   86859     $0 = HEAP32[1792] | 0;
   86860     $cmp = ($0 | 0) < ($newpnln | 0);
   86861     if ($cmp) {
   86862       label = 3;
   86863       break;
   86864     } else {
   86865       label = 13;
   86866       break;
   86867     }
   86868    case 3:
   86869     $1 = HEAP32[1788] | 0;
   86870     $tobool = ($1 | 0) == 0;
   86871     if ($tobool) {
   86872       label = 4;
   86873       break;
   86874     } else {
   86875       label = 8;
   86876       break;
   86877     }
   86878    case 4:
   86879     $mul = $newpnln << 3;
   86880     $call = _malloc($mul) | 0;
   86881     $2 = $call;
   86882     HEAP32[1788] = $2;
   86883     $tobool2 = ($call | 0) == 0;
   86884     if ($tobool2) {
   86885       label = 5;
   86886       break;
   86887     } else {
   86888       label = 6;
   86889       break;
   86890     }
   86891    case 5:
   86892     $3 = HEAP32[_stderr >> 2] | 0;
   86893     $call4 = _fprintf($3 | 0, 107728, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = 142728, HEAP32[tempInt + 8 >> 2] = 514, HEAP32[tempInt + 16 >> 2] = 92152, tempInt) | 0) | 0;
   86894     _abort();
   86895    case 6:
   86896     $mul6 = $newpnln << 2;
   86897     $call7 = _malloc($mul6) | 0;
   86898     $4 = $call7;
   86899     HEAP32[1790] = $4;
   86900     $tobool8 = ($call7 | 0) == 0;
   86901     if ($tobool8) {
   86902       label = 7;
   86903       break;
   86904     } else {
   86905       label = 12;
   86906       break;
   86907     }
   86908    case 7:
   86909     $5 = HEAP32[_stderr >> 2] | 0;
   86910     $call10 = _fprintf($5 | 0, 107728, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = 142728, HEAP32[tempInt + 8 >> 2] = 518, HEAP32[tempInt + 16 >> 2] = 162288, tempInt) | 0) | 0;
   86911     _abort();
   86912    case 8:
   86913     $6 = $1;
   86914     $mul12 = $newpnln << 3;
   86915     $call13 = _realloc($6, $mul12) | 0;
   86916     $7 = $call13;
   86917     HEAP32[1788] = $7;
   86918     $tobool14 = ($call13 | 0) == 0;
   86919     if ($tobool14) {
   86920       label = 9;
   86921       break;
   86922     } else {
   86923       label = 10;
   86924       break;
   86925     }
   86926    case 9:
   86927     $8 = HEAP32[_stderr >> 2] | 0;
   86928     $call16 = _fprintf($8 | 0, 107728, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = 142728, HEAP32[tempInt + 8 >> 2] = 524, HEAP32[tempInt + 16 >> 2] = 158744, tempInt) | 0) | 0;
   86929     _abort();
   86930    case 10:
   86931     $9 = HEAP32[1790] | 0;
   86932     $10 = $9;
   86933     $mul18 = $newpnln << 2;
   86934     $call19 = _realloc($10, $mul18) | 0;
   86935     $11 = $call19;
   86936     HEAP32[1790] = $11;
   86937     $tobool20 = ($call19 | 0) == 0;
   86938     if ($tobool20) {
   86939       label = 11;
   86940       break;
   86941     } else {
   86942       label = 12;
   86943       break;
   86944     }
   86945    case 11:
   86946     $12 = HEAP32[_stderr >> 2] | 0;
   86947     $call22 = _fprintf($12 | 0, 107728, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = 142728, HEAP32[tempInt + 8 >> 2] = 530, HEAP32[tempInt + 16 >> 2] = 155264, tempInt) | 0) | 0;
   86948     _abort();
   86949    case 12:
   86950     HEAP32[1792] = $newpnln;
   86951     label = 13;
   86952     break;
   86953    case 13:
   86954     STACKTOP = __stackBase__;
   86955     return;
   86956   }
   86957 }
   86958 function _growdq($newdqn) {
   86959   $newdqn = $newdqn | 0;
   86960   var $0 = 0, $cmp = 0, $1 = 0, $tobool = 0, $mul = 0, $call = 0, $2 = 0, $tobool2 = 0, $3 = 0, $call4 = 0, $4 = 0, $mul6 = 0, $call7 = 0, $5 = 0, $tobool8 = 0, $6 = 0, $call10 = 0, label = 0, __stackBase__ = 0;
   86961   __stackBase__ = STACKTOP;
   86962   label = 2;
   86963   while (1) switch (label | 0) {
   86964    case 2:
   86965     $0 = HEAP32[5090] | 0;
   86966     $cmp = ($0 | 0) < ($newdqn | 0);
   86967     if ($cmp) {
   86968       label = 3;
   86969       break;
   86970     } else {
   86971       label = 9;
   86972       break;
   86973     }
   86974    case 3:
   86975     $1 = HEAP32[5092] | 0;
   86976     $tobool = ($1 | 0) == 0;
   86977     if ($tobool) {
   86978       label = 4;
   86979       break;
   86980     } else {
   86981       label = 6;
   86982       break;
   86983     }
   86984    case 4:
   86985     $mul = $newdqn << 2;
   86986     $call = _malloc($mul) | 0;
   86987     $2 = $call;
   86988     HEAP32[5092] = $2;
   86989     $tobool2 = ($call | 0) == 0;
   86990     if ($tobool2) {
   86991       label = 5;
   86992       break;
   86993     } else {
   86994       label = 8;
   86995       break;
   86996     }
   86997    case 5:
   86998     $3 = HEAP32[_stderr >> 2] | 0;
   86999     $call4 = _fprintf($3 | 0, 107728, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = 142728, HEAP32[tempInt + 8 >> 2] = 564, HEAP32[tempInt + 16 >> 2] = 99600, tempInt) | 0) | 0;
   87000     _abort();
   87001    case 6:
   87002     $4 = $1;
   87003     $mul6 = $newdqn << 2;
   87004     $call7 = _realloc($4, $mul6) | 0;
   87005     $5 = $call7;
   87006     HEAP32[5092] = $5;
   87007     $tobool8 = ($call7 | 0) == 0;
   87008     if ($tobool8) {
   87009       label = 7;
   87010       break;
   87011     } else {
   87012       label = 8;
   87013       break;
   87014     }
   87015    case 7:
   87016     $6 = HEAP32[_stderr >> 2] | 0;
   87017     $call10 = _fprintf($6 | 0, 107728, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = 142728, HEAP32[tempInt + 8 >> 2] = 571, HEAP32[tempInt + 16 >> 2] = 95608, tempInt) | 0) | 0;
   87018     _abort();
   87019    case 8:
   87020     HEAP32[5090] = $newdqn;
   87021     label = 9;
   87022     break;
   87023    case 9:
   87024     STACKTOP = __stackBase__;
   87025     return;
   87026   }
   87027 }
   87028 function _splitdq($side, $index) {
   87029   $side = $side | 0;
   87030   $index = $index | 0;
   87031   var $cmp = 0, label = 0;
   87032   label = 2;
   87033   while (1) switch (label | 0) {
   87034    case 2:
   87035     $cmp = ($side | 0) == 1;
   87036     if ($cmp) {
   87037       label = 3;
   87038       break;
   87039     } else {
   87040       label = 4;
   87041       break;
   87042     }
   87043    case 3:
   87044     HEAP32[5086] = $index;
   87045     label = 5;
   87046     break;
   87047    case 4:
   87048     HEAP32[5088] = $index;
   87049     label = 5;
   87050     break;
   87051    case 5:
   87052     return;
   87053   }
   87054 }
   87055 function _add2dq($side, $pnlp) {
   87056   $side = $side | 0;
   87057   $pnlp = $pnlp | 0;
   87058   var $cmp = 0, $0 = 0, $1 = 0, $sub = 0, $cmp1 = 0, $2 = 0, $arrayidx = 0, $3 = 0, $link = 0, $4 = 0, $dec = 0, $5 = 0, $arrayidx3 = 0, $6 = 0, $arrayidx7 = 0, $7 = 0, $link8 = 0, $8 = 0, $inc = 0, $9 = 0, $arrayidx10 = 0, label = 0;
   87059   label = 2;
   87060   while (1) switch (label | 0) {
   87061    case 2:
   87062     $cmp = ($side | 0) == 1;
   87063     $0 = HEAP32[5086] | 0;
   87064     $1 = HEAP32[5088] | 0;
   87065     $sub = $0 - $1 | 0;
   87066     $cmp1 = ($sub | 0) > -1;
   87067     if ($cmp) {
   87068       label = 3;
   87069       break;
   87070     } else {
   87071       label = 6;
   87072       break;
   87073     }
   87074    case 3:
   87075     if ($cmp1) {
   87076       label = 4;
   87077       break;
   87078     } else {
   87079       label = 5;
   87080       break;
   87081     }
   87082    case 4:
   87083     $2 = HEAP32[5092] | 0;
   87084     $arrayidx = $2 + ($1 << 2) | 0;
   87085     $3 = HEAP32[$arrayidx >> 2] | 0;
   87086     $link = $pnlp + 4 | 0;
   87087     HEAP32[$link >> 2] = $3;
   87088     label = 5;
   87089     break;
   87090    case 5:
   87091     $4 = HEAP32[5088] | 0;
   87092     $dec = $4 - 1 | 0;
   87093     HEAP32[5088] = $dec;
   87094     $5 = HEAP32[5092] | 0;
   87095     $arrayidx3 = $5 + ($dec << 2) | 0;
   87096     HEAP32[$arrayidx3 >> 2] = $pnlp;
   87097     label = 9;
   87098     break;
   87099    case 6:
   87100     if ($cmp1) {
   87101       label = 7;
   87102       break;
   87103     } else {
   87104       label = 8;
   87105       break;
   87106     }
   87107    case 7:
   87108     $6 = HEAP32[5092] | 0;
   87109     $arrayidx7 = $6 + ($0 << 2) | 0;
   87110     $7 = HEAP32[$arrayidx7 >> 2] | 0;
   87111     $link8 = $pnlp + 4 | 0;
   87112     HEAP32[$link8 >> 2] = $7;
   87113     label = 8;
   87114     break;
   87115    case 8:
   87116     $8 = HEAP32[5086] | 0;
   87117     $inc = $8 + 1 | 0;
   87118     HEAP32[5086] = $inc;
   87119     $9 = HEAP32[5092] | 0;
   87120     $arrayidx10 = $9 + ($inc << 2) | 0;
   87121     HEAP32[$arrayidx10 >> 2] = $pnlp;
   87122     label = 9;
   87123     break;
   87124    case 9:
   87125     return;
   87126   }
   87127 }
   87128 function _solve1($coeff, $roots) {
   87129   $coeff = $coeff | 0;
   87130   $roots = $roots | 0;
   87131   var $arrayidx = 0, $0 = 0.0, $1 = 0.0, $cmp = 0, $cmp2 = 0, $or_cond = 0, $cmp3 = 0, $cmp5 = 0, $or_cond1 = 0, $_ = 0, $sub = 0.0, $div = 0.0, $retval_0 = 0, label = 0;
   87132   label = 2;
   87133   while (1) switch (label | 0) {
   87134    case 2:
   87135     $arrayidx = $coeff + 8 | 0;
   87136     $0 = +HEAPF64[$arrayidx >> 3];
   87137     $1 = +HEAPF64[$coeff >> 3];
   87138     $cmp = $0 < 1.0e-7;
   87139     $cmp2 = $0 > -1.0e-7;
   87140     $or_cond = $cmp & $cmp2;
   87141     if ($or_cond) {
   87142       label = 3;
   87143       break;
   87144     } else {
   87145       label = 4;
   87146       break;
   87147     }
   87148    case 3:
   87149     $cmp3 = $1 < 1.0e-7;
   87150     $cmp5 = $1 > -1.0e-7;
   87151     $or_cond1 = $cmp3 & $cmp5;
   87152     $_ = $or_cond1 ? 4 : 0;
   87153     $retval_0 = $_;
   87154     label = 5;
   87155     break;
   87156    case 4:
   87157     $sub = -0.0 - $1;
   87158     $div = $sub / $0;
   87159     HEAPF64[$roots >> 3] = $div;
   87160     $retval_0 = 1;
   87161     label = 5;
   87162     break;
   87163    case 5:
   87164     return $retval_0 | 0;
   87165   }
   87166   return 0;
   87167 }
   87168 function _pointintri($trii, $pp) {
   87169   $trii = $trii | 0;
   87170   $pp = $pp | 0;
   87171   var $0 = 0, $pnl0p = 0, $1 = 0, $pp2 = 0, $2 = 0, $pnl1p = 0, $3 = 0, $pp6 = 0, $4 = 0, $call = 0, $not_cmp7 = 0, $inc = 0, $pnl0p_1 = 0, $5 = 0, $pp2_1 = 0, $6 = 0, $pnl1p_1 = 0, $7 = 0, $pp6_1 = 0, $8 = 0, $call_1 = 0, $not_cmp7_1 = 0, $inc_1 = 0, $sum_0_inc_1 = 0, $pnl0p_2 = 0, $9 = 0, $pp2_2 = 0, $10 = 0, $pnl1p_2 = 0, $11 = 0, $pp6_2 = 0, $12 = 0, $call_2 = 0, $not_cmp7_2 = 0, $inc_2 = 0, $sum_0_inc_2 = 0, $cmp9 = 0, $cmp10 = 0, $phitmp = 0, $13 = 0, label = 0;
   87172   label = 2;
   87173   while (1) switch (label | 0) {
   87174    case 2:
   87175     $0 = HEAP32[230] | 0;
   87176     $pnl0p = $0 + ($trii * 52 & -1) + 4 | 0;
   87177     $1 = HEAP32[$pnl0p >> 2] | 0;
   87178     $pp2 = $1 | 0;
   87179     $2 = HEAP32[$pp2 >> 2] | 0;
   87180     $pnl1p = $0 + ($trii * 52 & -1) + 8 | 0;
   87181     $3 = HEAP32[$pnl1p >> 2] | 0;
   87182     $pp6 = $3 | 0;
   87183     $4 = HEAP32[$pp6 >> 2] | 0;
   87184     $call = _ccw($2, $4, $pp) | 0;
   87185     $not_cmp7 = ($call | 0) != 2;
   87186     $inc = $not_cmp7 & 1;
   87187     $pnl0p_1 = $0 + ($trii * 52 & -1) + 20 | 0;
   87188     $5 = HEAP32[$pnl0p_1 >> 2] | 0;
   87189     $pp2_1 = $5 | 0;
   87190     $6 = HEAP32[$pp2_1 >> 2] | 0;
   87191     $pnl1p_1 = $0 + ($trii * 52 & -1) + 24 | 0;
   87192     $7 = HEAP32[$pnl1p_1 >> 2] | 0;
   87193     $pp6_1 = $7 | 0;
   87194     $8 = HEAP32[$pp6_1 >> 2] | 0;
   87195     $call_1 = _ccw($6, $8, $pp) | 0;
   87196     $not_cmp7_1 = ($call_1 | 0) != 2;
   87197     $inc_1 = $not_cmp7_1 & 1;
   87198     $sum_0_inc_1 = $inc_1 + $inc | 0;
   87199     $pnl0p_2 = $0 + ($trii * 52 & -1) + 36 | 0;
   87200     $9 = HEAP32[$pnl0p_2 >> 2] | 0;
   87201     $pp2_2 = $9 | 0;
   87202     $10 = HEAP32[$pp2_2 >> 2] | 0;
   87203     $pnl1p_2 = $0 + ($trii * 52 & -1) + 40 | 0;
   87204     $11 = HEAP32[$pnl1p_2 >> 2] | 0;
   87205     $pp6_2 = $11 | 0;
   87206     $12 = HEAP32[$pp6_2 >> 2] | 0;
   87207     $call_2 = _ccw($10, $12, $pp) | 0;
   87208     $not_cmp7_2 = ($call_2 | 0) != 2;
   87209     $inc_2 = $not_cmp7_2 & 1;
   87210     $sum_0_inc_2 = $inc_2 + $sum_0_inc_1 | 0;
   87211     $cmp9 = ($sum_0_inc_2 | 0) == 3;
   87212     if ($cmp9) {
   87213       $13 = 1;
   87214       label = 4;
   87215       break;
   87216     } else {
   87217       label = 3;
   87218       break;
   87219     }
   87220    case 3:
   87221     $cmp10 = ($sum_0_inc_2 | 0) == 0;
   87222     $phitmp = $cmp10 & 1;
   87223     $13 = $phitmp;
   87224     label = 4;
   87225     break;
   87226    case 4:
   87227     return $13 | 0;
   87228   }
   87229   return 0;
   87230 }
   87231 function _marktripath($trii, $trij) {
   87232   $trii = $trii | 0;
   87233   $trij = $trij | 0;
   87234   var $0 = 0, $mark = 0, $1 = 0, $tobool = 0, $cmp = 0, $2 = 0, $rtp = 0, $3 = 0, $tobool8 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $sub_ptr_div = 0, $call = 0, $tobool13 = 0, $4 = 0, $rtp_1 = 0, $5 = 0, $tobool8_1 = 0, $retval_0 = 0, $sub_ptr_lhs_cast_1 = 0, $sub_ptr_rhs_cast_1 = 0, $sub_ptr_sub_1 = 0, $sub_ptr_div_1 = 0, $call_1 = 0, $tobool13_1 = 0, $6 = 0, $rtp_2 = 0, $7 = 0, $tobool8_2 = 0, $sub_ptr_lhs_cast_2 = 0, $sub_ptr_rhs_cast_2 = 0, $sub_ptr_sub_2 = 0, $sub_ptr_div_2 = 0, $call_2 = 0, $tobool13_2 = 0, $8 = 0, $mark17 = 0, label = 0;
   87235   label = 2;
   87236   while (1) switch (label | 0) {
   87237    case 2:
   87238     $0 = HEAP32[230] | 0;
   87239     $mark = $0 + ($trii * 52 & -1) | 0;
   87240     $1 = HEAP32[$mark >> 2] | 0;
   87241     $tobool = ($1 | 0) == 0;
   87242     if ($tobool) {
   87243       label = 3;
   87244       break;
   87245     } else {
   87246       $retval_0 = 0;
   87247       label = 7;
   87248       break;
   87249     }
   87250    case 3:
   87251     HEAP32[$mark >> 2] = 1;
   87252     $cmp = ($trii | 0) == ($trij | 0);
   87253     if ($cmp) {
   87254       $retval_0 = 1;
   87255       label = 7;
   87256       break;
   87257     } else {
   87258       label = 4;
   87259       break;
   87260     }
   87261    case 4:
   87262     $2 = HEAP32[230] | 0;
   87263     $rtp = $2 + ($trii * 52 & -1) + 16 | 0;
   87264     $3 = HEAP32[$rtp >> 2] | 0;
   87265     $tobool8 = ($3 | 0) == 0;
   87266     if ($tobool8) {
   87267       label = 6;
   87268       break;
   87269     } else {
   87270       label = 5;
   87271       break;
   87272     }
   87273    case 5:
   87274     $sub_ptr_lhs_cast = $3;
   87275     $sub_ptr_rhs_cast = $2;
   87276     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   87277     $sub_ptr_div = ($sub_ptr_sub | 0) / 52 & -1;
   87278     $call = _marktripath($sub_ptr_div, $trij) | 0;
   87279     $tobool13 = ($call | 0) == 0;
   87280     if ($tobool13) {
   87281       label = 6;
   87282       break;
   87283     } else {
   87284       $retval_0 = 1;
   87285       label = 7;
   87286       break;
   87287     }
   87288    case 6:
   87289     $4 = HEAP32[230] | 0;
   87290     $rtp_1 = $4 + ($trii * 52 & -1) + 32 | 0;
   87291     $5 = HEAP32[$rtp_1 >> 2] | 0;
   87292     $tobool8_1 = ($5 | 0) == 0;
   87293     if ($tobool8_1) {
   87294       label = 9;
   87295       break;
   87296     } else {
   87297       label = 8;
   87298       break;
   87299     }
   87300    case 7:
   87301     return $retval_0 | 0;
   87302    case 8:
   87303     $sub_ptr_lhs_cast_1 = $5;
   87304     $sub_ptr_rhs_cast_1 = $4;
   87305     $sub_ptr_sub_1 = $sub_ptr_lhs_cast_1 - $sub_ptr_rhs_cast_1 | 0;
   87306     $sub_ptr_div_1 = ($sub_ptr_sub_1 | 0) / 52 & -1;
   87307     $call_1 = _marktripath($sub_ptr_div_1, $trij) | 0;
   87308     $tobool13_1 = ($call_1 | 0) == 0;
   87309     if ($tobool13_1) {
   87310       label = 9;
   87311       break;
   87312     } else {
   87313       $retval_0 = 1;
   87314       label = 7;
   87315       break;
   87316     }
   87317    case 9:
   87318     $6 = HEAP32[230] | 0;
   87319     $rtp_2 = $6 + ($trii * 52 & -1) + 48 | 0;
   87320     $7 = HEAP32[$rtp_2 >> 2] | 0;
   87321     $tobool8_2 = ($7 | 0) == 0;
   87322     if ($tobool8_2) {
   87323       label = 11;
   87324       break;
   87325     } else {
   87326       label = 10;
   87327       break;
   87328     }
   87329    case 10:
   87330     $sub_ptr_lhs_cast_2 = $7;
   87331     $sub_ptr_rhs_cast_2 = $6;
   87332     $sub_ptr_sub_2 = $sub_ptr_lhs_cast_2 - $sub_ptr_rhs_cast_2 | 0;
   87333     $sub_ptr_div_2 = ($sub_ptr_sub_2 | 0) / 52 & -1;
   87334     $call_2 = _marktripath($sub_ptr_div_2, $trij) | 0;
   87335     $tobool13_2 = ($call_2 | 0) == 0;
   87336     if ($tobool13_2) {
   87337       label = 11;
   87338       break;
   87339     } else {
   87340       $retval_0 = 1;
   87341       label = 7;
   87342       break;
   87343     }
   87344    case 11:
   87345     $8 = HEAP32[230] | 0;
   87346     $mark17 = $8 + ($trii * 52 & -1) | 0;
   87347     HEAP32[$mark17 >> 2] = 0;
   87348     $retval_0 = 0;
   87349     label = 7;
   87350     break;
   87351   }
   87352   return 0;
   87353 }
   87354 function _finddqsplit($pnlp) {
   87355   $pnlp = $pnlp | 0;
   87356   var $0 = 0, $1 = 0, $2 = 0, $pp3 = 0, $index_0 = 0, $cmp = 0, $add = 0, $arrayidx = 0, $3 = 0, $pp = 0, $4 = 0, $arrayidx1 = 0, $5 = 0, $pp2 = 0, $6 = 0, $7 = 0, $call = 0, $cmp4 = 0, $8 = 0, $9 = 0, $10 = 0, $pp12 = 0, $index_1 = 0, $cmp6 = 0, $sub = 0, $arrayidx8 = 0, $11 = 0, $pp9 = 0, $12 = 0, $arrayidx10 = 0, $13 = 0, $pp11 = 0, $14 = 0, $15 = 0, $call13 = 0, $cmp14 = 0, $retval_0 = 0, label = 0;
   87357   label = 2;
   87358   while (1) switch (label | 0) {
   87359    case 2:
   87360     $0 = HEAP32[5088] | 0;
   87361     $1 = HEAP32[5084] | 0;
   87362     $2 = HEAP32[5092] | 0;
   87363     $pp3 = $pnlp | 0;
   87364     $index_0 = $0;
   87365     label = 3;
   87366     break;
   87367    case 3:
   87368     $cmp = ($index_0 | 0) < ($1 | 0);
   87369     if ($cmp) {
   87370       label = 4;
   87371       break;
   87372     } else {
   87373       label = 5;
   87374       break;
   87375     }
   87376    case 4:
   87377     $add = $index_0 + 1 | 0;
   87378     $arrayidx = $2 + ($add << 2) | 0;
   87379     $3 = HEAP32[$arrayidx >> 2] | 0;
   87380     $pp = $3 | 0;
   87381     $4 = HEAP32[$pp >> 2] | 0;
   87382     $arrayidx1 = $2 + ($index_0 << 2) | 0;
   87383     $5 = HEAP32[$arrayidx1 >> 2] | 0;
   87384     $pp2 = $5 | 0;
   87385     $6 = HEAP32[$pp2 >> 2] | 0;
   87386     $7 = HEAP32[$pp3 >> 2] | 0;
   87387     $call = _ccw($4, $6, $7) | 0;
   87388     $cmp4 = ($call | 0) == 1;
   87389     if ($cmp4) {
   87390       $retval_0 = $index_0;
   87391       label = 8;
   87392       break;
   87393     } else {
   87394       $index_0 = $add;
   87395       label = 3;
   87396       break;
   87397     }
   87398    case 5:
   87399     $8 = HEAP32[5086] | 0;
   87400     $9 = HEAP32[5084] | 0;
   87401     $10 = HEAP32[5092] | 0;
   87402     $pp12 = $pnlp | 0;
   87403     $index_1 = $8;
   87404     label = 6;
   87405     break;
   87406    case 6:
   87407     $cmp6 = ($index_1 | 0) > ($9 | 0);
   87408     if ($cmp6) {
   87409       label = 7;
   87410       break;
   87411     } else {
   87412       $retval_0 = $9;
   87413       label = 8;
   87414       break;
   87415     }
   87416    case 7:
   87417     $sub = $index_1 - 1 | 0;
   87418     $arrayidx8 = $10 + ($sub << 2) | 0;
   87419     $11 = HEAP32[$arrayidx8 >> 2] | 0;
   87420     $pp9 = $11 | 0;
   87421     $12 = HEAP32[$pp9 >> 2] | 0;
   87422     $arrayidx10 = $10 + ($index_1 << 2) | 0;
   87423     $13 = HEAP32[$arrayidx10 >> 2] | 0;
   87424     $pp11 = $13 | 0;
   87425     $14 = HEAP32[$pp11 >> 2] | 0;
   87426     $15 = HEAP32[$pp12 >> 2] | 0;
   87427     $call13 = _ccw($12, $14, $15) | 0;
   87428     $cmp14 = ($call13 | 0) == 2;
   87429     if ($cmp14) {
   87430       $retval_0 = $index_1;
   87431       label = 8;
   87432       break;
   87433     } else {
   87434       $index_1 = $sub;
   87435       label = 6;
   87436       break;
   87437     }
   87438    case 8:
   87439     return $retval_0 | 0;
   87440   }
   87441   return 0;
   87442 }
   87443 function _isdiagonal($pnli, $pnlip2, $pnlps, $pnln) {
   87444   $pnli = $pnli | 0;
   87445   $pnlip2 = $pnlip2 | 0;
   87446   $pnlps = $pnlps | 0;
   87447   $pnln = $pnln | 0;
   87448   var $add = 0, $rem = 0, $add1 = 0, $sub = 0, $rem2 = 0, $arrayidx = 0, $0 = 0, $pp = 0, $1 = 0, $arrayidx3 = 0, $2 = 0, $pp4 = 0, $3 = 0, $arrayidx5 = 0, $4 = 0, $pp6 = 0, $5 = 0, $call = 0, $cmp = 0, $arrayidx9 = 0, $6 = 0, $pp10 = 0, $7 = 0, $call13 = 0, $cmp14 = 0, $call29 = 0, $cmp30 = 0, $call21 = 0, $cmp22 = 0, $cmp3341 = 0, $pnlj_042 = 0, $add35 = 0, $8 = 0, $tmp = 0, $cmp37 = 0, $cmp39 = 0, $or_cond = 0, $cmp42 = 0, $or_cond39 = 0, $cmp45 = 0, $or_cond40 = 0, $9 = 0, $pp49 = 0, $10 = 0, $11 = 0, $pp51 = 0, $12 = 0, $arrayidx52 = 0, $13 = 0, $pp53 = 0, $14 = 0, $arrayidx54 = 0, $15 = 0, $pp55 = 0, $16 = 0, $call56 = 0, $tobool57 = 0, $cmp33 = 0, $retval_0 = 0, label = 0;
   87449   label = 2;
   87450   while (1) switch (label | 0) {
   87451    case 2:
   87452     $add = $pnli + 1 | 0;
   87453     $rem = ($add | 0) % ($pnln | 0);
   87454     $add1 = $pnli - 1 | 0;
   87455     $sub = $add1 + $pnln | 0;
   87456     $rem2 = ($sub | 0) % ($pnln | 0);
   87457     $arrayidx = $pnlps + ($rem2 << 2) | 0;
   87458     $0 = HEAP32[$arrayidx >> 2] | 0;
   87459     $pp = $0 | 0;
   87460     $1 = HEAP32[$pp >> 2] | 0;
   87461     $arrayidx3 = $pnlps + ($pnli << 2) | 0;
   87462     $2 = HEAP32[$arrayidx3 >> 2] | 0;
   87463     $pp4 = $2 | 0;
   87464     $3 = HEAP32[$pp4 >> 2] | 0;
   87465     $arrayidx5 = $pnlps + ($rem << 2) | 0;
   87466     $4 = HEAP32[$arrayidx5 >> 2] | 0;
   87467     $pp6 = $4 | 0;
   87468     $5 = HEAP32[$pp6 >> 2] | 0;
   87469     $call = _ccw($1, $3, $5) | 0;
   87470     $cmp = ($call | 0) == 1;
   87471     $arrayidx9 = $pnlps + ($pnlip2 << 2) | 0;
   87472     $6 = HEAP32[$arrayidx9 >> 2] | 0;
   87473     $pp10 = $6 | 0;
   87474     $7 = HEAP32[$pp10 >> 2] | 0;
   87475     if ($cmp) {
   87476       label = 3;
   87477       break;
   87478     } else {
   87479       label = 4;
   87480       break;
   87481     }
   87482    case 3:
   87483     $call13 = _ccw($3, $7, $1) | 0;
   87484     $cmp14 = ($call13 | 0) == 1;
   87485     if ($cmp14) {
   87486       label = 5;
   87487       break;
   87488     } else {
   87489       $retval_0 = 0;
   87490       label = 10;
   87491       break;
   87492     }
   87493    case 4:
   87494     $call29 = _ccw($3, $7, $5) | 0;
   87495     $cmp30 = ($call29 | 0) == 2;
   87496     if ($cmp30) {
   87497       label = 6;
   87498       break;
   87499     } else {
   87500       $retval_0 = 0;
   87501       label = 10;
   87502       break;
   87503     }
   87504    case 5:
   87505     $call21 = _ccw($7, $3, $5) | 0;
   87506     $cmp22 = ($call21 | 0) == 1;
   87507     if ($cmp22) {
   87508       label = 6;
   87509       break;
   87510     } else {
   87511       $retval_0 = 0;
   87512       label = 10;
   87513       break;
   87514     }
   87515    case 6:
   87516     $cmp3341 = ($pnln | 0) > 0;
   87517     if ($cmp3341) {
   87518       $pnlj_042 = 0;
   87519       label = 7;
   87520       break;
   87521     } else {
   87522       $retval_0 = 1;
   87523       label = 10;
   87524       break;
   87525     }
   87526    case 7:
   87527     $add35 = $pnlj_042 + 1 | 0;
   87528     $8 = ($add35 | 0) == ($pnln | 0);
   87529     $tmp = $8 ? 0 : $add35;
   87530     $cmp37 = ($pnlj_042 | 0) == ($pnli | 0);
   87531     $cmp39 = ($tmp | 0) == ($pnli | 0);
   87532     $or_cond = $cmp37 | $cmp39;
   87533     $cmp42 = ($pnlj_042 | 0) == ($pnlip2 | 0);
   87534     $or_cond39 = $or_cond | $cmp42;
   87535     $cmp45 = ($tmp | 0) == ($pnlip2 | 0);
   87536     $or_cond40 = $or_cond39 | $cmp45;
   87537     if ($or_cond40) {
   87538       label = 9;
   87539       break;
   87540     } else {
   87541       label = 8;
   87542       break;
   87543     }
   87544    case 8:
   87545     $9 = HEAP32[$arrayidx3 >> 2] | 0;
   87546     $pp49 = $9 | 0;
   87547     $10 = HEAP32[$pp49 >> 2] | 0;
   87548     $11 = HEAP32[$arrayidx9 >> 2] | 0;
   87549     $pp51 = $11 | 0;
   87550     $12 = HEAP32[$pp51 >> 2] | 0;
   87551     $arrayidx52 = $pnlps + ($pnlj_042 << 2) | 0;
   87552     $13 = HEAP32[$arrayidx52 >> 2] | 0;
   87553     $pp53 = $13 | 0;
   87554     $14 = HEAP32[$pp53 >> 2] | 0;
   87555     $arrayidx54 = $pnlps + ($tmp << 2) | 0;
   87556     $15 = HEAP32[$arrayidx54 >> 2] | 0;
   87557     $pp55 = $15 | 0;
   87558     $16 = HEAP32[$pp55 >> 2] | 0;
   87559     $call56 = _intersects($10, $12, $14, $16) | 0;
   87560     $tobool57 = ($call56 | 0) == 0;
   87561     if ($tobool57) {
   87562       label = 9;
   87563       break;
   87564     } else {
   87565       $retval_0 = 0;
   87566       label = 10;
   87567       break;
   87568     }
   87569    case 9:
   87570     $cmp33 = ($add35 | 0) < ($pnln | 0);
   87571     if ($cmp33) {
   87572       $pnlj_042 = $add35;
   87573       label = 7;
   87574       break;
   87575     } else {
   87576       $retval_0 = 1;
   87577       label = 10;
   87578       break;
   87579     }
   87580    case 10:
   87581     return $retval_0 | 0;
   87582   }
   87583   return 0;
   87584 }
   87585 function _loadtriangle($pnlap, $pnlbp, $pnlcp) {
   87586   $pnlap = $pnlap | 0;
   87587   $pnlbp = $pnlbp | 0;
   87588   $pnlcp = $pnlcp | 0;
   87589   var $0 = 0, $1 = 0, $cmp = 0, $add = 0, $2 = 0, $inc = 0, $3 = 0, $arrayidx = 0, $mark = 0, $pnl0p = 0, $pnl1p = 0, $rtp = 0, $pnl0p8 = 0, $pnl1p11 = 0, $rtp14 = 0, $pnl0p17 = 0, $pnl1p20 = 0, $rtp23 = 0, $ltp = 0, $ltp_1 = 0, $ltp_2 = 0, label = 0;
   87590   label = 2;
   87591   while (1) switch (label | 0) {
   87592    case 2:
   87593     $0 = HEAP32[234] | 0;
   87594     $1 = HEAP32[232] | 0;
   87595     $cmp = ($0 | 0) < ($1 | 0);
   87596     if ($cmp) {
   87597       label = 4;
   87598       break;
   87599     } else {
   87600       label = 3;
   87601       break;
   87602     }
   87603    case 3:
   87604     $add = $1 + 20 | 0;
   87605     _growtris($add);
   87606     label = 4;
   87607     break;
   87608    case 4:
   87609     $2 = HEAP32[234] | 0;
   87610     $inc = $2 + 1 | 0;
   87611     HEAP32[234] = $inc;
   87612     $3 = HEAP32[230] | 0;
   87613     $arrayidx = $3 + ($2 * 52 & -1) | 0;
   87614     $mark = $arrayidx | 0;
   87615     HEAP32[$mark >> 2] = 0;
   87616     $pnl0p = $3 + ($2 * 52 & -1) + 4 | 0;
   87617     HEAP32[$pnl0p >> 2] = $pnlap;
   87618     $pnl1p = $3 + ($2 * 52 & -1) + 8 | 0;
   87619     HEAP32[$pnl1p >> 2] = $pnlbp;
   87620     $rtp = $3 + ($2 * 52 & -1) + 16 | 0;
   87621     HEAP32[$rtp >> 2] = 0;
   87622     $pnl0p8 = $3 + ($2 * 52 & -1) + 20 | 0;
   87623     HEAP32[$pnl0p8 >> 2] = $pnlbp;
   87624     $pnl1p11 = $3 + ($2 * 52 & -1) + 24 | 0;
   87625     HEAP32[$pnl1p11 >> 2] = $pnlcp;
   87626     $rtp14 = $3 + ($2 * 52 & -1) + 32 | 0;
   87627     HEAP32[$rtp14 >> 2] = 0;
   87628     $pnl0p17 = $3 + ($2 * 52 & -1) + 36 | 0;
   87629     HEAP32[$pnl0p17 >> 2] = $pnlcp;
   87630     $pnl1p20 = $3 + ($2 * 52 & -1) + 40 | 0;
   87631     HEAP32[$pnl1p20 >> 2] = $pnlap;
   87632     $rtp23 = $3 + ($2 * 52 & -1) + 48 | 0;
   87633     HEAP32[$rtp23 >> 2] = 0;
   87634     $ltp = $3 + ($2 * 52 & -1) + 12 | 0;
   87635     HEAP32[$ltp >> 2] = $arrayidx;
   87636     $ltp_1 = $3 + ($2 * 52 & -1) + 28 | 0;
   87637     HEAP32[$ltp_1 >> 2] = $arrayidx;
   87638     $ltp_2 = $3 + ($2 * 52 & -1) + 44 | 0;
   87639     HEAP32[$ltp_2 >> 2] = $arrayidx;
   87640     return;
   87641   }
   87642 }
   87643 function _intersects($pap, $pbp, $pcp, $pdp) {
   87644   $pap = $pap | 0;
   87645   $pbp = $pbp | 0;
   87646   $pcp = $pcp | 0;
   87647   $pdp = $pdp | 0;
   87648   var $call = 0, $cmp = 0, $call1 = 0, $cmp2 = 0, $call4 = 0, $cmp5 = 0, $call7 = 0, $cmp8 = 0, $call9 = 0, $tobool = 0, $call11 = 0, $tobool12 = 0, $call14 = 0, $tobool15 = 0, $call17 = 0, $not_tobool18 = 0, $_ = 0, $cmp21 = 0, $cmp23 = 0, $xor33 = 0, $cmp29 = 0, $cmp26 = 0, $xor3234 = 0, $xor32 = 0, $retval_0 = 0, label = 0;
   87649   label = 2;
   87650   while (1) switch (label | 0) {
   87651    case 2:
   87652     $call = _ccw($pap, $pbp, $pcp) | 0;
   87653     $cmp = ($call | 0) == 3;
   87654     if ($cmp) {
   87655       label = 6;
   87656       break;
   87657     } else {
   87658       label = 3;
   87659       break;
   87660     }
   87661    case 3:
   87662     $call1 = _ccw($pap, $pbp, $pdp) | 0;
   87663     $cmp2 = ($call1 | 0) == 3;
   87664     if ($cmp2) {
   87665       label = 6;
   87666       break;
   87667     } else {
   87668       label = 4;
   87669       break;
   87670     }
   87671    case 4:
   87672     $call4 = _ccw($pcp, $pdp, $pap) | 0;
   87673     $cmp5 = ($call4 | 0) == 3;
   87674     if ($cmp5) {
   87675       label = 6;
   87676       break;
   87677     } else {
   87678       label = 5;
   87679       break;
   87680     }
   87681    case 5:
   87682     $call7 = _ccw($pcp, $pdp, $pbp) | 0;
   87683     $cmp8 = ($call7 | 0) == 3;
   87684     if ($cmp8) {
   87685       label = 6;
   87686       break;
   87687     } else {
   87688       label = 10;
   87689       break;
   87690     }
   87691    case 6:
   87692     $call9 = _between($pap, $pbp, $pcp) | 0;
   87693     $tobool = ($call9 | 0) == 0;
   87694     if ($tobool) {
   87695       label = 7;
   87696       break;
   87697     } else {
   87698       $retval_0 = 1;
   87699       label = 12;
   87700       break;
   87701     }
   87702    case 7:
   87703     $call11 = _between($pap, $pbp, $pdp) | 0;
   87704     $tobool12 = ($call11 | 0) == 0;
   87705     if ($tobool12) {
   87706       label = 8;
   87707       break;
   87708     } else {
   87709       $retval_0 = 1;
   87710       label = 12;
   87711       break;
   87712     }
   87713    case 8:
   87714     $call14 = _between($pcp, $pdp, $pap) | 0;
   87715     $tobool15 = ($call14 | 0) == 0;
   87716     if ($tobool15) {
   87717       label = 9;
   87718       break;
   87719     } else {
   87720       $retval_0 = 1;
   87721       label = 12;
   87722       break;
   87723     }
   87724    case 9:
   87725     $call17 = _between($pcp, $pdp, $pbp) | 0;
   87726     $not_tobool18 = ($call17 | 0) != 0;
   87727     $_ = $not_tobool18 & 1;
   87728     return $_ | 0;
   87729    case 10:
   87730     $cmp21 = ($call | 0) == 1;
   87731     $cmp23 = ($call1 | 0) == 1;
   87732     $xor33 = $cmp21 ^ $cmp23;
   87733     if ($xor33) {
   87734       label = 11;
   87735       break;
   87736     } else {
   87737       $retval_0 = 0;
   87738       label = 12;
   87739       break;
   87740     }
   87741    case 11:
   87742     $cmp29 = ($call7 | 0) == 1;
   87743     $cmp26 = ($call4 | 0) == 1;
   87744     $xor3234 = $cmp26 ^ $cmp29;
   87745     $xor32 = $xor3234 & 1;
   87746     $retval_0 = $xor32;
   87747     label = 12;
   87748     break;
   87749    case 12:
   87750     return $retval_0 | 0;
   87751   }
   87752   return 0;
   87753 }
   87754 function _between($pap, $pbp, $pcp) {
   87755   $pap = $pap | 0;
   87756   $pbp = $pbp | 0;
   87757   $pcp = $pcp | 0;
   87758   var $x = 0, $0 = 0.0, $x1 = 0, $1 = 0.0, $sub = 0.0, $y = 0, $2 = 0.0, $y3 = 0, $3 = 0.0, $sub4 = 0.0, $x6 = 0, $4 = 0.0, $sub8 = 0.0, $y10 = 0, $5 = 0.0, $sub12 = 0.0, $call = 0, $cmp = 0, $mul = 0.0, $mul18 = 0.0, $add = 0.0, $cmp19 = 0, $mul22 = 0.0, $mul25 = 0.0, $add26 = 0.0, $mul29 = 0.0, $mul32 = 0.0, $add33 = 0.0, $cmp34 = 0, $phitmp = 0, $retval_0 = 0, label = 0;
   87759   label = 2;
   87760   while (1) switch (label | 0) {
   87761    case 2:
   87762     $x = $pbp | 0;
   87763     $0 = +HEAPF64[$x >> 3];
   87764     $x1 = $pap | 0;
   87765     $1 = +HEAPF64[$x1 >> 3];
   87766     $sub = $0 - $1;
   87767     $y = $pbp + 8 | 0;
   87768     $2 = +HEAPF64[$y >> 3];
   87769     $y3 = $pap + 8 | 0;
   87770     $3 = +HEAPF64[$y3 >> 3];
   87771     $sub4 = $2 - $3;
   87772     $x6 = $pcp | 0;
   87773     $4 = +HEAPF64[$x6 >> 3];
   87774     $sub8 = $4 - $1;
   87775     $y10 = $pcp + 8 | 0;
   87776     $5 = +HEAPF64[$y10 >> 3];
   87777     $sub12 = $5 - $3;
   87778     $call = _ccw($pap, $pbp, $pcp) | 0;
   87779     $cmp = ($call | 0) == 3;
   87780     if ($cmp) {
   87781       label = 3;
   87782       break;
   87783     } else {
   87784       $retval_0 = 0;
   87785       label = 5;
   87786       break;
   87787     }
   87788    case 3:
   87789     $mul = $sub * $sub8;
   87790     $mul18 = $sub4 * $sub12;
   87791     $add = $mul + $mul18;
   87792     $cmp19 = $add < 0.0;
   87793     if ($cmp19) {
   87794       $retval_0 = 0;
   87795       label = 5;
   87796       break;
   87797     } else {
   87798       label = 4;
   87799       break;
   87800     }
   87801    case 4:
   87802     $mul22 = $sub8 * $sub8;
   87803     $mul25 = $sub12 * $sub12;
   87804     $add26 = $mul22 + $mul25;
   87805     $mul29 = $sub * $sub;
   87806     $mul32 = $sub4 * $sub4;
   87807     $add33 = $mul29 + $mul32;
   87808     $cmp34 = $add26 <= $add33;
   87809     $phitmp = $cmp34 & 1;
   87810     $retval_0 = $phitmp;
   87811     label = 5;
   87812     break;
   87813    case 5:
   87814     return $retval_0 | 0;
   87815   }
   87816   return 0;
   87817 }
   87818 function _solve3($coeff, $roots) {
   87819   $coeff = $coeff | 0;
   87820   $roots = $roots | 0;
   87821   var $arrayidx = 0, $0 = 0.0, $cmp = 0, $cmp4 = 0, $or_cond = 0, $call = 0, $arrayidx2 = 0, $arrayidx1 = 0, $1 = 0.0, $2 = 0.0, $3 = 0.0, $mul = 0.0, $div = 0.0, $div5 = 0.0, $div6 = 0.0, $mul7 = 0.0, $mul8 = 0.0, $mul9 = 0.0, $mul10 = 0.0, $sub = 0.0, $add = 0.0, $div11 = 0.0, $sub12 = 0.0, $mul13 = 0.0, $mul14 = 0.0, $mul15 = 0.0, $mul16 = 0.0, $add17 = 0.0, $cmp18 = 0, $sub20 = 0.0, $add22 = 0.0, $call23 = 0.0, $mul24 = 0.0, $call26 = 0.0, $sub27 = 0.0, $call28 = 0.0, $cmp29 = 0, $sub30 = 0.0, $4 = 0.0, $mul31 = 0.0, $5 = 0.0, $cond = 0.0, $mul32 = 0.0, $div33 = 0.0, $call34 = 0.0, $mul35 = 0.0, $add37 = 0.0, $add38 = 0.0, $div39 = 0.0, $call40 = 0.0, $mul41 = 0.0, $arrayidx42 = 0, $sub43 = 0.0, $sub44 = 0.0, $div45 = 0.0, $call46 = 0.0, $mul47 = 0.0, $arrayidx48 = 0, $call49 = 0.0, $sub50 = 0.0, $mul51 = 0.0, $sub52 = 0.0, $sub53 = 0.0, $cmp54 = 0, $sub56 = 0.0, $6 = 0.0, $mul57 = 0.0, $7 = 0.0, $cond60 = 0.0, $cmp61 = 0, $sub63 = 0.0, $8 = 0.0, $mul64 = 0.0, $9 = 0.0, $cond67 = 0.0, $add68 = 0.0, $cmp70 = 0, $mul74 = 0.0, $arrayidx75 = 0, $arrayidx76 = 0, $rootn_0 = 0, $i_046 = 0, $arrayidx80 = 0, $10 = 0.0, $sub81 = 0.0, $inc = 0, $cmp79 = 0, $retval_0 = 0, label = 0;
   87822   label = 2;
   87823   while (1) switch (label | 0) {
   87824    case 2:
   87825     $arrayidx = $coeff + 24 | 0;
   87826     $0 = +HEAPF64[$arrayidx >> 3];
   87827     $cmp = $0 < 1.0e-7;
   87828     $cmp4 = $0 > -1.0e-7;
   87829     $or_cond = $cmp & $cmp4;
   87830     if ($or_cond) {
   87831       label = 3;
   87832       break;
   87833     } else {
   87834       label = 4;
   87835       break;
   87836     }
   87837    case 3:
   87838     $call = _solve2($coeff, $roots) | 0;
   87839     $retval_0 = $call;
   87840     label = 19;
   87841     break;
   87842    case 4:
   87843     $arrayidx2 = $coeff + 8 | 0;
   87844     $arrayidx1 = $coeff + 16 | 0;
   87845     $1 = +HEAPF64[$coeff >> 3];
   87846     $2 = +HEAPF64[$arrayidx2 >> 3];
   87847     $3 = +HEAPF64[$arrayidx1 >> 3];
   87848     $mul = $0 * 3.0;
   87849     $div = $3 / $mul;
   87850     $div5 = $2 / $0;
   87851     $div6 = $1 / $0;
   87852     $mul7 = $div * $div;
   87853     $mul8 = $div * 2.0;
   87854     $mul9 = $mul8 * $mul7;
   87855     $mul10 = $div * $div5;
   87856     $sub = $mul9 - $mul10;
   87857     $add = $div6 + $sub;
   87858     $div11 = $div5 / 3.0;
   87859     $sub12 = $div11 - $mul7;
   87860     $mul13 = $add * $add;
   87861     $mul14 = $sub12 * 4.0;
   87862     $mul15 = $sub12 * $mul14;
   87863     $mul16 = $sub12 * $mul15;
   87864     $add17 = $mul13 + $mul16;
   87865     $cmp18 = $add17 < 0.0;
   87866     if ($cmp18) {
   87867       label = 5;
   87868       break;
   87869     } else {
   87870       label = 9;
   87871       break;
   87872     }
   87873    case 5:
   87874     $sub20 = -0.0 - $add17;
   87875     $add22 = $mul13 - $add17;
   87876     $call23 = +Math_sqrt(+$add22);
   87877     $mul24 = $call23 * .5;
   87878     $call26 = +Math_sqrt(+$sub20);
   87879     $sub27 = -0.0 - $add;
   87880     $call28 = +Math_atan2(+$call26, +$sub27);
   87881     $cmp29 = $mul24 < 0.0;
   87882     if ($cmp29) {
   87883       label = 6;
   87884       break;
   87885     } else {
   87886       label = 7;
   87887       break;
   87888     }
   87889    case 6:
   87890     $sub30 = -0.0 - $mul24;
   87891     $4 = +Math_pow(+$sub30, .3333333333333333);
   87892     $mul31 = $4 * -1.0;
   87893     $cond = $mul31;
   87894     label = 8;
   87895     break;
   87896    case 7:
   87897     $5 = +Math_pow(+$mul24, .3333333333333333);
   87898     $cond = $5;
   87899     label = 8;
   87900     break;
   87901    case 8:
   87902     $mul32 = $cond * 2.0;
   87903     $div33 = $call28 / 3.0;
   87904     $call34 = +Math_cos(+$div33);
   87905     $mul35 = $mul32 * $call34;
   87906     HEAPF64[$roots >> 3] = $mul35;
   87907     $add37 = $call28 + 3.141592653589793;
   87908     $add38 = $add37 + 3.141592653589793;
   87909     $div39 = $add38 / 3.0;
   87910     $call40 = +Math_cos(+$div39);
   87911     $mul41 = $mul32 * $call40;
   87912     $arrayidx42 = $roots + 8 | 0;
   87913     HEAPF64[$arrayidx42 >> 3] = $mul41;
   87914     $sub43 = $call28 - 3.141592653589793;
   87915     $sub44 = $sub43 - 3.141592653589793;
   87916     $div45 = $sub44 / 3.0;
   87917     $call46 = +Math_cos(+$div45);
   87918     $mul47 = $mul32 * $call46;
   87919     $arrayidx48 = $roots + 16 | 0;
   87920     HEAPF64[$arrayidx48 >> 3] = $mul47;
   87921     $rootn_0 = 3;
   87922     label = 17;
   87923     break;
   87924    case 9:
   87925     $call49 = +Math_sqrt(+$add17);
   87926     $sub50 = $call49 - $add;
   87927     $mul51 = $sub50 * .5;
   87928     $sub52 = -0.0 - $add;
   87929     $sub53 = $sub52 - $mul51;
   87930     $cmp54 = $mul51 < 0.0;
   87931     if ($cmp54) {
   87932       label = 10;
   87933       break;
   87934     } else {
   87935       label = 11;
   87936       break;
   87937     }
   87938    case 10:
   87939     $sub56 = -0.0 - $mul51;
   87940     $6 = +Math_pow(+$sub56, .3333333333333333);
   87941     $mul57 = $6 * -1.0;
   87942     $cond60 = $mul57;
   87943     label = 12;
   87944     break;
   87945    case 11:
   87946     $7 = +Math_pow(+$mul51, .3333333333333333);
   87947     $cond60 = $7;
   87948     label = 12;
   87949     break;
   87950    case 12:
   87951     $cmp61 = $sub53 < 0.0;
   87952     if ($cmp61) {
   87953       label = 13;
   87954       break;
   87955     } else {
   87956       label = 14;
   87957       break;
   87958     }
   87959    case 13:
   87960     $sub63 = -0.0 - $sub53;
   87961     $8 = +Math_pow(+$sub63, .3333333333333333);
   87962     $mul64 = $8 * -1.0;
   87963     $cond67 = $mul64;
   87964     label = 15;
   87965     break;
   87966    case 14:
   87967     $9 = +Math_pow(+$sub53, .3333333333333333);
   87968     $cond67 = $9;
   87969     label = 15;
   87970     break;
   87971    case 15:
   87972     $add68 = $cond60 + $cond67;
   87973     HEAPF64[$roots >> 3] = $add68;
   87974     $cmp70 = $add17 > 0.0;
   87975     if ($cmp70) {
   87976       $rootn_0 = 1;
   87977       label = 17;
   87978       break;
   87979     } else {
   87980       label = 16;
   87981       break;
   87982     }
   87983    case 16:
   87984     $mul74 = $add68 * -.5;
   87985     $arrayidx75 = $roots + 16 | 0;
   87986     HEAPF64[$arrayidx75 >> 3] = $mul74;
   87987     $arrayidx76 = $roots + 8 | 0;
   87988     HEAPF64[$arrayidx76 >> 3] = $mul74;
   87989     $rootn_0 = 3;
   87990     label = 17;
   87991     break;
   87992    case 17:
   87993     $i_046 = 0;
   87994     label = 18;
   87995     break;
   87996    case 18:
   87997     $arrayidx80 = $roots + ($i_046 << 3) | 0;
   87998     $10 = +HEAPF64[$arrayidx80 >> 3];
   87999     $sub81 = $10 - $div;
   88000     HEAPF64[$arrayidx80 >> 3] = $sub81;
   88001     $inc = $i_046 + 1 | 0;
   88002     $cmp79 = ($inc | 0) < ($rootn_0 | 0);
   88003     if ($cmp79) {
   88004       $i_046 = $inc;
   88005       label = 18;
   88006       break;
   88007     } else {
   88008       $retval_0 = $rootn_0;
   88009       label = 19;
   88010       break;
   88011     }
   88012    case 19:
   88013     return $retval_0 | 0;
   88014   }
   88015   return 0;
   88016 }
   88017 function _solve2($coeff, $roots) {
   88018   $coeff = $coeff | 0;
   88019   $roots = $roots | 0;
   88020   var $arrayidx = 0, $0 = 0.0, $cmp = 0, $cmp3 = 0, $or_cond = 0, $call = 0, $arrayidx1 = 0, $1 = 0.0, $2 = 0.0, $mul = 0.0, $div = 0.0, $div4 = 0.0, $mul5 = 0.0, $sub = 0.0, $cmp6 = 0, $cmp8 = 0, $sub10 = 0.0, $call14 = 0.0, $add = 0.0, $mul16 = 0.0, $sub18 = 0.0, $arrayidx19 = 0, $retval_0 = 0, label = 0;
   88021   label = 2;
   88022   while (1) switch (label | 0) {
   88023    case 2:
   88024     $arrayidx = $coeff + 16 | 0;
   88025     $0 = +HEAPF64[$arrayidx >> 3];
   88026     $cmp = $0 < 1.0e-7;
   88027     $cmp3 = $0 > -1.0e-7;
   88028     $or_cond = $cmp & $cmp3;
   88029     if ($or_cond) {
   88030       label = 3;
   88031       break;
   88032     } else {
   88033       label = 4;
   88034       break;
   88035     }
   88036    case 3:
   88037     $call = _solve1($coeff, $roots) | 0;
   88038     $retval_0 = $call;
   88039     label = 8;
   88040     break;
   88041    case 4:
   88042     $arrayidx1 = $coeff + 8 | 0;
   88043     $1 = +HEAPF64[$coeff >> 3];
   88044     $2 = +HEAPF64[$arrayidx1 >> 3];
   88045     $mul = $0 * 2.0;
   88046     $div = $2 / $mul;
   88047     $div4 = $1 / $0;
   88048     $mul5 = $div * $div;
   88049     $sub = $mul5 - $div4;
   88050     $cmp6 = $sub < 0.0;
   88051     if ($cmp6) {
   88052       $retval_0 = 0;
   88053       label = 8;
   88054       break;
   88055     } else {
   88056       label = 5;
   88057       break;
   88058     }
   88059    case 5:
   88060     $cmp8 = $sub == 0.0;
   88061     if ($cmp8) {
   88062       label = 6;
   88063       break;
   88064     } else {
   88065       label = 7;
   88066       break;
   88067     }
   88068    case 6:
   88069     $sub10 = -0.0 - $div;
   88070     HEAPF64[$roots >> 3] = $sub10;
   88071     $retval_0 = 1;
   88072     label = 8;
   88073     break;
   88074    case 7:
   88075     $call14 = +Math_sqrt(+$sub);
   88076     $add = $call14 - $div;
   88077     HEAPF64[$roots >> 3] = $add;
   88078     $mul16 = $div * -2.0;
   88079     $sub18 = $mul16 - $add;
   88080     $arrayidx19 = $roots + 8 | 0;
   88081     HEAPF64[$arrayidx19 >> 3] = $sub18;
   88082     $retval_0 = 2;
   88083     label = 8;
   88084     break;
   88085    case 8:
   88086     return $retval_0 | 0;
   88087   }
   88088   return 0;
   88089 }
   88090 function _make_polyline($line, $sline) {
   88091   $line = $line | 0;
   88092   $sline = $sline | 0;
   88093   var $pn = 0, $0 = 0, $1 = 0, $add = 0, $2 = 0, $cmp = 0, $3 = 0, $tobool = 0, $4 = 0, $mul1 = 0, $call = 0, $mul2 = 0, $call3 = 0, $call_sink = 0, $5 = 0, $6 = 0, $arrayidx = 0, $ps = 0, $7 = 0, $8 = 0, $9 = 0, $10 = 0, $11 = 0, $sub918 = 0, $cmp1019 = 0, $12 = 0, $13 = 0, $14 = 0, $sub9 = 0, $15 = 0, $smax = 0, $16 = 0, $i_021 = 0, $j_020 = 0, $add11 = 0, $arrayidx12 = 0, $add13 = 0, $arrayidx14 = 0, $arrayidx15 = 0, $arrayidx17 = 0, $17 = 0, $18 = 0, $19 = 0, $20 = 0, $add18 = 0, $inc19 = 0, $cmp10 = 0, $21 = 0, $i_0_lcssa = 0, $j_0_lcssa = 0, $add20 = 0, $22 = 0, $arrayidx21 = 0, $arrayidx22 = 0, $23 = 0, $arrayidx24 = 0, $24 = 0, $25 = 0, $26 = 0, $pn25 = 0, $27 = 0, $ps26 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   88094   __stackBase__ = STACKTOP;
   88095   tempParam = $line;
   88096   $line = STACKTOP;
   88097   STACKTOP = STACKTOP + 8 | 0;
   88098   HEAP32[$line >> 2] = HEAP32[tempParam >> 2] | 0;
   88099   HEAP32[$line + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   88100   label = 2;
   88101   while (1) switch (label | 0) {
   88102    case 2:
   88103     $pn = $line + 4 | 0;
   88104     $0 = HEAP32[$pn >> 2] | 0;
   88105     $1 = $0 * 3 & -1;
   88106     $add = $1 - 2 | 0;
   88107     $2 = HEAP32[2860] | 0;
   88108     $cmp = ($add | 0) > ($2 | 0);
   88109     if ($cmp) {
   88110       label = 3;
   88111       break;
   88112     } else {
   88113       label = 7;
   88114       break;
   88115     }
   88116    case 3:
   88117     $3 = HEAP32[2862] | 0;
   88118     $tobool = ($3 | 0) == 0;
   88119     if ($tobool) {
   88120       label = 5;
   88121       break;
   88122     } else {
   88123       label = 4;
   88124       break;
   88125     }
   88126    case 4:
   88127     $4 = $3;
   88128     $mul1 = $add << 4;
   88129     $call = _realloc($4, $mul1) | 0;
   88130     $call_sink = $call;
   88131     label = 6;
   88132     break;
   88133    case 5:
   88134     $mul2 = $add << 4;
   88135     $call3 = _malloc($mul2) | 0;
   88136     $call_sink = $call3;
   88137     label = 6;
   88138     break;
   88139    case 6:
   88140     $5 = $call_sink;
   88141     HEAP32[2862] = $5;
   88142     HEAP32[2860] = $add;
   88143     label = 7;
   88144     break;
   88145    case 7:
   88146     $6 = HEAP32[2862] | 0;
   88147     $arrayidx = $6 + 16 | 0;
   88148     $ps = $line | 0;
   88149     $7 = HEAP32[$ps >> 2] | 0;
   88150     $8 = $6;
   88151     $9 = $7;
   88152     HEAP32[$8 >> 2] = HEAP32[$9 >> 2] | 0;
   88153     HEAP32[$8 + 4 >> 2] = HEAP32[$9 + 4 >> 2] | 0;
   88154     HEAP32[$8 + 8 >> 2] = HEAP32[$9 + 8 >> 2] | 0;
   88155     HEAP32[$8 + 12 >> 2] = HEAP32[$9 + 12 >> 2] | 0;
   88156     $10 = $arrayidx;
   88157     _memmove($10 | 0, $9 | 0, 16);
   88158     $11 = HEAP32[$pn >> 2] | 0;
   88159     $sub918 = $11 - 1 | 0;
   88160     $cmp1019 = ($sub918 | 0) > 1;
   88161     if ($cmp1019) {
   88162       label = 8;
   88163       break;
   88164     } else {
   88165       $j_0_lcssa = 2;
   88166       $i_0_lcssa = 1;
   88167       label = 11;
   88168       break;
   88169     }
   88170    case 8:
   88171     $12 = HEAP32[2862] | 0;
   88172     $13 = HEAP32[$ps >> 2] | 0;
   88173     $14 = HEAP32[$pn >> 2] | 0;
   88174     $sub9 = $14 - 1 | 0;
   88175     $15 = ($sub9 | 0) > 2;
   88176     $smax = $15 ? $sub9 : 2;
   88177     $16 = $smax * 3 & -1;
   88178     $j_020 = 2;
   88179     $i_021 = 1;
   88180     label = 9;
   88181     break;
   88182    case 9:
   88183     $add11 = $j_020 + 2 | 0;
   88184     $arrayidx12 = $12 + ($add11 << 4) | 0;
   88185     $add13 = $j_020 + 1 | 0;
   88186     $arrayidx14 = $12 + ($add13 << 4) | 0;
   88187     $arrayidx15 = $12 + ($j_020 << 4) | 0;
   88188     $arrayidx17 = $13 + ($i_021 << 4) | 0;
   88189     $17 = $arrayidx15;
   88190     $18 = $arrayidx17;
   88191     HEAP32[$17 >> 2] = HEAP32[$18 >> 2] | 0;
   88192     HEAP32[$17 + 4 >> 2] = HEAP32[$18 + 4 >> 2] | 0;
   88193     HEAP32[$17 + 8 >> 2] = HEAP32[$18 + 8 >> 2] | 0;
   88194     HEAP32[$17 + 12 >> 2] = HEAP32[$18 + 12 >> 2] | 0;
   88195     $19 = $arrayidx14;
   88196     _memmove($19 | 0, $18 | 0, 16);
   88197     $20 = $arrayidx12;
   88198     HEAP32[$20 >> 2] = HEAP32[$19 >> 2] | 0;
   88199     HEAP32[$20 + 4 >> 2] = HEAP32[$19 + 4 >> 2] | 0;
   88200     HEAP32[$20 + 8 >> 2] = HEAP32[$19 + 8 >> 2] | 0;
   88201     HEAP32[$20 + 12 >> 2] = HEAP32[$19 + 12 >> 2] | 0;
   88202     $add18 = $j_020 + 3 | 0;
   88203     $inc19 = $i_021 + 1 | 0;
   88204     $cmp10 = ($inc19 | 0) < ($sub9 | 0);
   88205     if ($cmp10) {
   88206       $j_020 = $add18;
   88207       $i_021 = $inc19;
   88208       label = 9;
   88209       break;
   88210     } else {
   88211       label = 10;
   88212       break;
   88213     }
   88214    case 10:
   88215     $21 = $16 - 1 | 0;
   88216     $j_0_lcssa = $21;
   88217     $i_0_lcssa = $smax;
   88218     label = 11;
   88219     break;
   88220    case 11:
   88221     $add20 = $j_0_lcssa + 1 | 0;
   88222     $22 = HEAP32[2862] | 0;
   88223     $arrayidx21 = $22 + ($add20 << 4) | 0;
   88224     $arrayidx22 = $22 + ($j_0_lcssa << 4) | 0;
   88225     $23 = HEAP32[$ps >> 2] | 0;
   88226     $arrayidx24 = $23 + ($i_0_lcssa << 4) | 0;
   88227     $24 = $arrayidx22;
   88228     $25 = $arrayidx24;
   88229     HEAP32[$24 >> 2] = HEAP32[$25 >> 2] | 0;
   88230     HEAP32[$24 + 4 >> 2] = HEAP32[$25 + 4 >> 2] | 0;
   88231     HEAP32[$24 + 8 >> 2] = HEAP32[$25 + 8 >> 2] | 0;
   88232     HEAP32[$24 + 12 >> 2] = HEAP32[$25 + 12 >> 2] | 0;
   88233     $26 = $arrayidx21;
   88234     _memmove($26 | 0, $25 | 0, 16);
   88235     $pn25 = $sline + 4 | 0;
   88236     HEAP32[$pn25 >> 2] = $add;
   88237     $27 = HEAP32[2862] | 0;
   88238     $ps26 = $sline | 0;
   88239     HEAP32[$ps26 >> 2] = $27;
   88240     STACKTOP = __stackBase__;
   88241     return;
   88242   }
   88243 }
   88244 function _agxbinit($xb, $hint, $init) {
   88245   $xb = $xb | 0;
   88246   $hint = $hint | 0;
   88247   $init = $init | 0;
   88248   var $tobool = 0, $buf = 0, $dyna = 0, $cmp = 0, $_hint = 0, $dyna2 = 0, $call = 0, $buf3 = 0, $hint_addr_1 = 0, $buf5 = 0, $0 = 0, $add_ptr = 0, $eptr = 0, $1 = 0, $ptr = 0, label = 0;
   88249   label = 2;
   88250   while (1) switch (label | 0) {
   88251    case 2:
   88252     $tobool = ($init | 0) == 0;
   88253     if ($tobool) {
   88254       label = 4;
   88255       break;
   88256     } else {
   88257       label = 3;
   88258       break;
   88259     }
   88260    case 3:
   88261     $buf = $xb | 0;
   88262     HEAP32[$buf >> 2] = $init;
   88263     $dyna = $xb + 12 | 0;
   88264     HEAP32[$dyna >> 2] = 0;
   88265     $hint_addr_1 = $hint;
   88266     label = 5;
   88267     break;
   88268    case 4:
   88269     $cmp = ($hint | 0) == 0;
   88270     $_hint = $cmp ? 1024 : $hint;
   88271     $dyna2 = $xb + 12 | 0;
   88272     HEAP32[$dyna2 >> 2] = 1;
   88273     $call = _malloc($_hint) | 0;
   88274     $buf3 = $xb | 0;
   88275     HEAP32[$buf3 >> 2] = $call;
   88276     $hint_addr_1 = $_hint;
   88277     label = 5;
   88278     break;
   88279    case 5:
   88280     $buf5 = $xb | 0;
   88281     $0 = HEAP32[$buf5 >> 2] | 0;
   88282     $add_ptr = $0 + $hint_addr_1 | 0;
   88283     $eptr = $xb + 8 | 0;
   88284     HEAP32[$eptr >> 2] = $add_ptr;
   88285     $1 = HEAP32[$buf5 >> 2] | 0;
   88286     $ptr = $xb + 4 | 0;
   88287     HEAP32[$ptr >> 2] = $1;
   88288     HEAP8[$1] = 0;
   88289     return;
   88290   }
   88291 }
   88292 function _agxbmore($xb, $ssz) {
   88293   $xb = $xb | 0;
   88294   $ssz = $ssz | 0;
   88295   var $eptr = 0, $0 = 0, $buf = 0, $1 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $mul = 0, $add = 0, $cmp = 0, $add_mul = 0, $ptr = 0, $2 = 0, $sub_ptr_lhs_cast3 = 0, $sub_ptr_sub5 = 0, $dyna = 0, $3 = 0, $tobool = 0, $call = 0, $call9 = 0, $4 = 0, $nbuf_0 = 0, $add_ptr = 0, $5 = 0, $add_ptr17 = 0, label = 0;
   88296   label = 2;
   88297   while (1) switch (label | 0) {
   88298    case 2:
   88299     $eptr = $xb + 8 | 0;
   88300     $0 = HEAP32[$eptr >> 2] | 0;
   88301     $buf = $xb | 0;
   88302     $1 = HEAP32[$buf >> 2] | 0;
   88303     $sub_ptr_lhs_cast = $0;
   88304     $sub_ptr_rhs_cast = $1;
   88305     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   88306     $mul = $sub_ptr_sub << 1;
   88307     $add = $sub_ptr_sub + $ssz | 0;
   88308     $cmp = $add >>> 0 > $mul >>> 0;
   88309     $add_mul = $cmp ? $add : $mul;
   88310     $ptr = $xb + 4 | 0;
   88311     $2 = HEAP32[$ptr >> 2] | 0;
   88312     $sub_ptr_lhs_cast3 = $2;
   88313     $sub_ptr_sub5 = $sub_ptr_lhs_cast3 - $sub_ptr_rhs_cast | 0;
   88314     $dyna = $xb + 12 | 0;
   88315     $3 = HEAP32[$dyna >> 2] | 0;
   88316     $tobool = ($3 | 0) == 0;
   88317     if ($tobool) {
   88318       label = 4;
   88319       break;
   88320     } else {
   88321       label = 3;
   88322       break;
   88323     }
   88324    case 3:
   88325     $call = _realloc($1, $add_mul) | 0;
   88326     $nbuf_0 = $call;
   88327     label = 5;
   88328     break;
   88329    case 4:
   88330     $call9 = _malloc($add_mul) | 0;
   88331     $4 = HEAP32[$buf >> 2] | 0;
   88332     _memcpy($call9 | 0, $4 | 0, $sub_ptr_sub5);
   88333     HEAP32[$dyna >> 2] = 1;
   88334     $nbuf_0 = $call9;
   88335     label = 5;
   88336     break;
   88337    case 5:
   88338     HEAP32[$buf >> 2] = $nbuf_0;
   88339     $add_ptr = $nbuf_0 + $sub_ptr_sub5 | 0;
   88340     HEAP32[$ptr >> 2] = $add_ptr;
   88341     $5 = HEAP32[$buf >> 2] | 0;
   88342     $add_ptr17 = $5 + $add_mul | 0;
   88343     HEAP32[$eptr >> 2] = $add_ptr17;
   88344     return 0;
   88345   }
   88346   return 0;
   88347 }
   88348 function _growops13($newopn) {
   88349   $newopn = $newopn | 0;
   88350   var $0 = 0, $cmp = 0, $1 = 0, $tobool = 0, $mul = 0, $call = 0, $2 = 0, $tobool2 = 0, $3 = 0, $call4 = 0, $4 = 0, $mul6 = 0, $call7 = 0, $5 = 0, $tobool8 = 0, $6 = 0, $call10 = 0, label = 0, __stackBase__ = 0;
   88351   __stackBase__ = STACKTOP;
   88352   label = 2;
   88353   while (1) switch (label | 0) {
   88354    case 2:
   88355     $0 = HEAP32[2288] | 0;
   88356     $cmp = ($0 | 0) < ($newopn | 0);
   88357     if ($cmp) {
   88358       label = 3;
   88359       break;
   88360     } else {
   88361       label = 9;
   88362       break;
   88363     }
   88364    case 3:
   88365     $1 = HEAP32[2284] | 0;
   88366     $tobool = ($1 | 0) == 0;
   88367     if ($tobool) {
   88368       label = 4;
   88369       break;
   88370     } else {
   88371       label = 6;
   88372       break;
   88373     }
   88374    case 4:
   88375     $mul = $newopn << 4;
   88376     $call = _malloc($mul) | 0;
   88377     $2 = $call;
   88378     HEAP32[2284] = $2;
   88379     $tobool2 = ($call | 0) == 0;
   88380     if ($tobool2) {
   88381       label = 5;
   88382       break;
   88383     } else {
   88384       label = 8;
   88385       break;
   88386     }
   88387    case 5:
   88388     $3 = HEAP32[_stderr >> 2] | 0;
   88389     $call4 = _fprintf($3 | 0, 107728, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = 142728, HEAP32[tempInt + 8 >> 2] = 584, HEAP32[tempInt + 16 >> 2] = 108664, tempInt) | 0) | 0;
   88390     _abort();
   88391    case 6:
   88392     $4 = $1;
   88393     $mul6 = $newopn << 4;
   88394     $call7 = _realloc($4, $mul6) | 0;
   88395     $5 = $call7;
   88396     HEAP32[2284] = $5;
   88397     $tobool8 = ($call7 | 0) == 0;
   88398     if ($tobool8) {
   88399       label = 7;
   88400       break;
   88401     } else {
   88402       label = 8;
   88403       break;
   88404     }
   88405    case 7:
   88406     $6 = HEAP32[_stderr >> 2] | 0;
   88407     $call10 = _fprintf($6 | 0, 107728, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = 142728, HEAP32[tempInt + 8 >> 2] = 590, HEAP32[tempInt + 16 >> 2] = 103784, tempInt) | 0) | 0;
   88408     _abort();
   88409    case 8:
   88410     HEAP32[2288] = $newopn;
   88411     label = 9;
   88412     break;
   88413    case 9:
   88414     STACKTOP = __stackBase__;
   88415     return;
   88416   }
   88417 }
   88418 function _growtris($newtrin) {
   88419   $newtrin = $newtrin | 0;
   88420   var $0 = 0, $cmp = 0, $1 = 0, $tobool = 0, $mul = 0, $call = 0, $2 = 0, $tobool2 = 0, $3 = 0, $call4 = 0, $4 = 0, $mul6 = 0, $call7 = 0, $5 = 0, $tobool8 = 0, $6 = 0, $call10 = 0, label = 0, __stackBase__ = 0;
   88421   __stackBase__ = STACKTOP;
   88422   label = 2;
   88423   while (1) switch (label | 0) {
   88424    case 2:
   88425     $0 = HEAP32[232] | 0;
   88426     $cmp = ($0 | 0) < ($newtrin | 0);
   88427     if ($cmp) {
   88428       label = 3;
   88429       break;
   88430     } else {
   88431       label = 9;
   88432       break;
   88433     }
   88434    case 3:
   88435     $1 = HEAP32[230] | 0;
   88436     $tobool = ($1 | 0) == 0;
   88437     if ($tobool) {
   88438       label = 4;
   88439       break;
   88440     } else {
   88441       label = 6;
   88442       break;
   88443     }
   88444    case 4:
   88445     $mul = $newtrin * 52 & -1;
   88446     $call = _malloc($mul) | 0;
   88447     $2 = $call;
   88448     HEAP32[230] = $2;
   88449     $tobool2 = ($call | 0) == 0;
   88450     if ($tobool2) {
   88451       label = 5;
   88452       break;
   88453     } else {
   88454       label = 8;
   88455       break;
   88456     }
   88457    case 5:
   88458     $3 = HEAP32[_stderr >> 2] | 0;
   88459     $call4 = _fprintf($3 | 0, 107728, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = 142728, HEAP32[tempInt + 8 >> 2] = 543, HEAP32[tempInt + 16 >> 2] = 148528, tempInt) | 0) | 0;
   88460     _abort();
   88461    case 6:
   88462     $4 = $1;
   88463     $mul6 = $newtrin * 52 & -1;
   88464     $call7 = _realloc($4, $mul6) | 0;
   88465     $5 = $call7;
   88466     HEAP32[230] = $5;
   88467     $tobool8 = ($call7 | 0) == 0;
   88468     if ($tobool8) {
   88469       label = 7;
   88470       break;
   88471     } else {
   88472       label = 8;
   88473       break;
   88474     }
   88475    case 7:
   88476     $6 = HEAP32[_stderr >> 2] | 0;
   88477     $call10 = _fprintf($6 | 0, 107728, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = 142728, HEAP32[tempInt + 8 >> 2] = 549, HEAP32[tempInt + 16 >> 2] = 145760, tempInt) | 0) | 0;
   88478     _abort();
   88479    case 8:
   88480     HEAP32[232] = $newtrin;
   88481     label = 9;
   88482     break;
   88483    case 9:
   88484     STACKTOP = __stackBase__;
   88485     return;
   88486   }
   88487 }
   88488 function _agxbpop($xb) {
   88489   $xb = $xb | 0;
   88490   var $ptr = 0, $0 = 0, $buf = 0, $1 = 0, $cmp = 0, $incdec_ptr = 0, $2 = 0, $conv = 0, $retval_0 = 0, label = 0;
   88491   label = 2;
   88492   while (1) switch (label | 0) {
   88493    case 2:
   88494     $ptr = $xb + 4 | 0;
   88495     $0 = HEAP32[$ptr >> 2] | 0;
   88496     $buf = $xb | 0;
   88497     $1 = HEAP32[$buf >> 2] | 0;
   88498     $cmp = $0 >>> 0 > $1 >>> 0;
   88499     if ($cmp) {
   88500       label = 3;
   88501       break;
   88502     } else {
   88503       $retval_0 = -1;
   88504       label = 4;
   88505       break;
   88506     }
   88507    case 3:
   88508     $incdec_ptr = $0 - 1 | 0;
   88509     HEAP32[$ptr >> 2] = $incdec_ptr;
   88510     $2 = HEAP8[$0] | 0;
   88511     $conv = $2 & 255;
   88512     $retval_0 = $conv;
   88513     label = 4;
   88514     break;
   88515    case 4:
   88516     return $retval_0 | 0;
   88517   }
   88518   return 0;
   88519 }
   88520 function _agdictof($obj) {
   88521   $obj = $obj | 0;
   88522   var $0 = 0, $1 = 0, $2 = 0, $bf_val_sext = 0, $univ = 0, $3 = 0, $4 = 0, $globattr = 0, $5 = 0, $graph = 0, $6 = 0, $7 = 0, $univ2 = 0, $8 = 0, $nodeattr = 0, $9 = 0, $tail = 0, $10 = 0, $11 = 0, $graph4 = 0, $12 = 0, $univ5 = 0, $13 = 0, $edgeattr = 0, $14 = 0, $d_0 = 0, label = 0;
   88523   label = 2;
   88524   while (1) switch (label | 0) {
   88525    case 2:
   88526     $0 = $obj;
   88527     $1 = HEAP32[$0 >> 2] | 0;
   88528     $2 = $1 << 28;
   88529     $bf_val_sext = $2 >> 28;
   88530     if (($bf_val_sext | 0) == 3) {
   88531       label = 3;
   88532       break;
   88533     } else if (($bf_val_sext | 0) == 1) {
   88534       label = 4;
   88535       break;
   88536     } else if (($bf_val_sext | 0) == 2) {
   88537       label = 5;
   88538       break;
   88539     } else {
   88540       $d_0 = 0;
   88541       label = 6;
   88542       break;
   88543     }
   88544    case 3:
   88545     $univ = $obj + 16 | 0;
   88546     $3 = $univ;
   88547     $4 = HEAP32[$3 >> 2] | 0;
   88548     $globattr = $4 + 12 | 0;
   88549     $5 = HEAP32[$globattr >> 2] | 0;
   88550     $d_0 = $5;
   88551     label = 6;
   88552     break;
   88553    case 4:
   88554     $graph = $obj + 20 | 0;
   88555     $6 = $graph;
   88556     $7 = HEAP32[$6 >> 2] | 0;
   88557     $univ2 = $7 + 16 | 0;
   88558     $8 = HEAP32[$univ2 >> 2] | 0;
   88559     $nodeattr = $8 + 4 | 0;
   88560     $9 = HEAP32[$nodeattr >> 2] | 0;
   88561     $d_0 = $9;
   88562     label = 6;
   88563     break;
   88564    case 5:
   88565     $tail = $obj + 16 | 0;
   88566     $10 = $tail;
   88567     $11 = HEAP32[$10 >> 2] | 0;
   88568     $graph4 = $11 + 20 | 0;
   88569     $12 = HEAP32[$graph4 >> 2] | 0;
   88570     $univ5 = $12 + 16 | 0;
   88571     $13 = HEAP32[$univ5 >> 2] | 0;
   88572     $edgeattr = $13 + 8 | 0;
   88573     $14 = HEAP32[$edgeattr >> 2] | 0;
   88574     $d_0 = $14;
   88575     label = 6;
   88576     break;
   88577    case 6:
   88578     return $d_0 | 0;
   88579   }
   88580   return 0;
   88581 }
   88582 function _agxget($obj, $index) {
   88583   $obj = $obj | 0;
   88584   $index = $index | 0;
   88585   var $cmp = 0, $attr = 0, $0 = 0, $1 = 0, $arrayidx = 0, $2 = 0, $retval_0 = 0, label = 0;
   88586   label = 2;
   88587   while (1) switch (label | 0) {
   88588    case 2:
   88589     $cmp = ($index | 0) > -1;
   88590     if ($cmp) {
   88591       label = 3;
   88592       break;
   88593     } else {
   88594       $retval_0 = 0;
   88595       label = 4;
   88596       break;
   88597     }
   88598    case 3:
   88599     $attr = $obj + 4 | 0;
   88600     $0 = $attr;
   88601     $1 = HEAP32[$0 >> 2] | 0;
   88602     $arrayidx = $1 + ($index << 2) | 0;
   88603     $2 = HEAP32[$arrayidx >> 2] | 0;
   88604     $retval_0 = $2;
   88605     label = 4;
   88606     break;
   88607    case 4:
   88608     return $retval_0 | 0;
   88609   }
   88610   return 0;
   88611 }
   88612 function _agxbput_n($xb, $s, $ssz) {
   88613   $xb = $xb | 0;
   88614   $s = $s | 0;
   88615   $ssz = $ssz | 0;
   88616   var $ptr = 0, $0 = 0, $add_ptr = 0, $eptr = 0, $1 = 0, $cmp = 0, $call = 0, $2 = 0, $3 = 0, $add_ptr3 = 0, label = 0;
   88617   label = 2;
   88618   while (1) switch (label | 0) {
   88619    case 2:
   88620     $ptr = $xb + 4 | 0;
   88621     $0 = HEAP32[$ptr >> 2] | 0;
   88622     $add_ptr = $0 + $ssz | 0;
   88623     $eptr = $xb + 8 | 0;
   88624     $1 = HEAP32[$eptr >> 2] | 0;
   88625     $cmp = $add_ptr >>> 0 > $1 >>> 0;
   88626     if ($cmp) {
   88627       label = 3;
   88628       break;
   88629     } else {
   88630       label = 4;
   88631       break;
   88632     }
   88633    case 3:
   88634     $call = _agxbmore($xb, $ssz) | 0;
   88635     label = 4;
   88636     break;
   88637    case 4:
   88638     $2 = HEAP32[$ptr >> 2] | 0;
   88639     _memcpy($2 | 0, $s | 0, $ssz);
   88640     $3 = HEAP32[$ptr >> 2] | 0;
   88641     $add_ptr3 = $3 + $ssz | 0;
   88642     HEAP32[$ptr >> 2] = $add_ptr3;
   88643     return $ssz | 0;
   88644   }
   88645   return 0;
   88646 }
   88647 function _agxbput($xb, $s) {
   88648   $xb = $xb | 0;
   88649   $s = $s | 0;
   88650   return _agxbput_n($xb, $s, _strlen($s | 0) | 0) | 0;
   88651 }
   88652 function _agxbfree($xb) {
   88653   $xb = $xb | 0;
   88654   var $dyna = 0, $0 = 0, $tobool = 0, $buf = 0, $1 = 0, label = 0;
   88655   label = 2;
   88656   while (1) switch (label | 0) {
   88657    case 2:
   88658     $dyna = $xb + 12 | 0;
   88659     $0 = HEAP32[$dyna >> 2] | 0;
   88660     $tobool = ($0 | 0) == 0;
   88661     if ($tobool) {
   88662       label = 4;
   88663       break;
   88664     } else {
   88665       label = 3;
   88666       break;
   88667     }
   88668    case 3:
   88669     $buf = $xb | 0;
   88670     $1 = HEAP32[$buf >> 2] | 0;
   88671     _free($1);
   88672     label = 4;
   88673     break;
   88674    case 4:
   88675     return;
   88676   }
   88677 }
   88678 function _agNEWsym($dict, $name, $value) {
   88679   $dict = $dict | 0;
   88680   $name = $name | 0;
   88681   $value = $value | 0;
   88682   var $call = 0, $0 = 0, $call1 = 0, $name2 = 0, $call3 = 0, $value4 = 0, $1 = 0, $2 = 0, $dict5 = 0, $3 = 0, $call6 = 0, $index = 0, $4 = 0, $list = 0, $5 = 0, $tobool = 0, $6 = 0, $add = 0, $mul = 0, $call8 = 0, $add9 = 0, $mul10 = 0, $call11 = 0, $call8_sink = 0, $7 = 0, $inc = 0, $arrayidx = 0, $8 = 0, $arrayidx16 = 0, $9 = 0, $searchf = 0, $10 = 0, $call19 = 0, label = 0;
   88683   label = 2;
   88684   while (1) switch (label | 0) {
   88685    case 2:
   88686     $call = _calloc(1, 16) | 0;
   88687     $0 = $call;
   88688     $call1 = _agstrdup($name) | 0;
   88689     $name2 = $call;
   88690     HEAP32[$name2 >> 2] = $call1;
   88691     $call3 = _agstrdup($value) | 0;
   88692     $value4 = $call + 4 | 0;
   88693     $1 = $value4;
   88694     HEAP32[$1 >> 2] = $call3;
   88695     $2 = $call + 12 | 0;
   88696     HEAP8[$2] = 1;
   88697     $dict5 = $dict + 4 | 0;
   88698     $3 = HEAP32[$dict5 >> 2] | 0;
   88699     $call6 = _dtsize($3) | 0;
   88700     $index = $call + 8 | 0;
   88701     $4 = $index;
   88702     HEAP32[$4 >> 2] = $call6;
   88703     $list = $dict + 8 | 0;
   88704     $5 = HEAP32[$list >> 2] | 0;
   88705     $tobool = ($5 | 0) == 0;
   88706     if ($tobool) {
   88707       label = 4;
   88708       break;
   88709     } else {
   88710       label = 3;
   88711       break;
   88712     }
   88713    case 3:
   88714     $6 = $5;
   88715     $add = $call6 << 2;
   88716     $mul = $add + 8 | 0;
   88717     $call8 = _realloc($6, $mul) | 0;
   88718     $call8_sink = $call8;
   88719     label = 5;
   88720     break;
   88721    case 4:
   88722     $add9 = $call6 << 2;
   88723     $mul10 = $add9 + 8 | 0;
   88724     $call11 = _malloc($mul10) | 0;
   88725     $call8_sink = $call11;
   88726     label = 5;
   88727     break;
   88728    case 5:
   88729     $7 = $call8_sink;
   88730     HEAP32[$list >> 2] = $7;
   88731     $inc = $call6 + 1 | 0;
   88732     $arrayidx = $7 + ($call6 << 2) | 0;
   88733     HEAP32[$arrayidx >> 2] = $0;
   88734     $8 = HEAP32[$list >> 2] | 0;
   88735     $arrayidx16 = $8 + ($inc << 2) | 0;
   88736     HEAP32[$arrayidx16 >> 2] = 0;
   88737     $9 = HEAP32[$dict5 >> 2] | 0;
   88738     $searchf = $9 | 0;
   88739     $10 = HEAP32[$searchf >> 2] | 0;
   88740     $call19 = FUNCTION_TABLE_iiii[$10 & 1023]($9, $call, 1) | 0;
   88741     return $0 | 0;
   88742   }
   88743   return 0;
   88744 }
   88745 function _agattr($obj, $name, $value) {
   88746   $obj = $obj | 0;
   88747   $name = $name | 0;
   88748   $value = $value | 0;
   88749   var $call = 0, $tobool = 0, $value1 = 0, $0 = 0, $call2 = 0, $tobool3 = 0, $call6 = 0, $call9 = 0, $call10 = 0, $rv_0 = 0, $isnew_0 = 0, $tobool12 = 0, $1 = 0, $2 = 0, $3 = 0, $bf_val_sext = 0, $4 = 0, $graph = 0, $5 = 0, $6 = 0, $head = 0, $7 = 0, $8 = 0, $graph16 = 0, $9 = 0, $retval_0 = 0, label = 0;
   88750   label = 2;
   88751   while (1) switch (label | 0) {
   88752    case 2:
   88753     $call = _agfindattr($obj, $name) | 0;
   88754     $tobool = ($call | 0) == 0;
   88755     if ($tobool) {
   88756       label = 5;
   88757       break;
   88758     } else {
   88759       label = 3;
   88760       break;
   88761     }
   88762    case 3:
   88763     $value1 = $call + 4 | 0;
   88764     $0 = HEAP32[$value1 >> 2] | 0;
   88765     $call2 = _strcmp($0 | 0, $value | 0) | 0;
   88766     $tobool3 = ($call2 | 0) == 0;
   88767     if ($tobool3) {
   88768       $retval_0 = $call;
   88769       label = 11;
   88770       break;
   88771     } else {
   88772       label = 4;
   88773       break;
   88774     }
   88775    case 4:
   88776     _agstrfree($0);
   88777     $call6 = _agstrdup($value) | 0;
   88778     HEAP32[$value1 >> 2] = $call6;
   88779     $isnew_0 = 0;
   88780     $rv_0 = $call;
   88781     label = 6;
   88782     break;
   88783    case 5:
   88784     $call9 = _agdictof($obj) | 0;
   88785     $call10 = _agNEWsym($call9, $name, $value) | 0;
   88786     $isnew_0 = 1;
   88787     $rv_0 = $call10;
   88788     label = 6;
   88789     break;
   88790    case 6:
   88791     $tobool12 = ($rv_0 | 0) == 0;
   88792     if ($tobool12) {
   88793       $retval_0 = 0;
   88794       label = 11;
   88795       break;
   88796     } else {
   88797       label = 7;
   88798       break;
   88799     }
   88800    case 7:
   88801     $1 = $obj;
   88802     $2 = HEAP32[$1 >> 2] | 0;
   88803     $3 = $2 << 28;
   88804     $bf_val_sext = $3 >> 28;
   88805     if (($bf_val_sext | 0) == 3) {
   88806       label = 8;
   88807       break;
   88808     } else if (($bf_val_sext | 0) == 1) {
   88809       label = 9;
   88810       break;
   88811     } else if (($bf_val_sext | 0) == 2) {
   88812       label = 10;
   88813       break;
   88814     } else {
   88815       $retval_0 = $rv_0;
   88816       label = 11;
   88817       break;
   88818     }
   88819    case 8:
   88820     $4 = $obj;
   88821     _add_graph_attr($4, $rv_0, $isnew_0);
   88822     $retval_0 = $rv_0;
   88823     label = 11;
   88824     break;
   88825    case 9:
   88826     $graph = $obj + 20 | 0;
   88827     $5 = $graph;
   88828     $6 = HEAP32[$5 >> 2] | 0;
   88829     _add_node_attr($6, $rv_0, $isnew_0);
   88830     $retval_0 = $rv_0;
   88831     label = 11;
   88832     break;
   88833    case 10:
   88834     $head = $obj + 12 | 0;
   88835     $7 = $head;
   88836     $8 = HEAP32[$7 >> 2] | 0;
   88837     $graph16 = $8 + 20 | 0;
   88838     $9 = HEAP32[$graph16 >> 2] | 0;
   88839     _add_edge_attr($9, $rv_0, $isnew_0);
   88840     $retval_0 = $rv_0;
   88841     label = 11;
   88842     break;
   88843    case 11:
   88844     return $retval_0 | 0;
   88845   }
   88846   return 0;
   88847 }
   88848 function _agfindattr($obj, $name) {
   88849   $obj = $obj | 0;
   88850   $name = $name | 0;
   88851   var $0 = 0;
   88852   $0 = HEAP32[(_agdictof($obj) | 0) + 4 >> 2] | 0;
   88853   return FUNCTION_TABLE_iiii[HEAP32[$0 >> 2] & 1023]($0, $name, 512) | 0;
   88854 }
   88855 function _add_graph_attr($g, $attr, $isnew) {
   88856   $g = $g | 0;
   88857   $attr = $attr | 0;
   88858   $isnew = $isnew | 0;
   88859   var $meta_node = 0, $0 = 0, $tobool = 0, $graph = 0, $1 = 0, $call = 0, $tobool27 = 0, $n_08 = 0, $2 = 0, $call3 = 0, $3 = 0, $4 = 0, $graph5 = 0, $5 = 0, $call6 = 0, $tobool2 = 0, $6 = 0, label = 0;
   88860   label = 2;
   88861   while (1) switch (label | 0) {
   88862    case 2:
   88863     $meta_node = $g + 36 | 0;
   88864     $0 = HEAP32[$meta_node >> 2] | 0;
   88865     $tobool = ($0 | 0) == 0;
   88866     if ($tobool) {
   88867       label = 5;
   88868       break;
   88869     } else {
   88870       label = 3;
   88871       break;
   88872     }
   88873    case 3:
   88874     $graph = $0 + 20 | 0;
   88875     $1 = HEAP32[$graph >> 2] | 0;
   88876     $call = _agfstnode($1) | 0;
   88877     $tobool27 = ($call | 0) == 0;
   88878     if ($tobool27) {
   88879       label = 6;
   88880       break;
   88881     } else {
   88882       $n_08 = $call;
   88883       label = 4;
   88884       break;
   88885     }
   88886    case 4:
   88887     $2 = $n_08;
   88888     $call3 = _agusergraph($2) | 0;
   88889     $3 = $call3 | 0;
   88890     _obj_init_attr($3, $attr, $isnew);
   88891     $4 = HEAP32[$meta_node >> 2] | 0;
   88892     $graph5 = $4 + 20 | 0;
   88893     $5 = HEAP32[$graph5 >> 2] | 0;
   88894     $call6 = _agnxtnode($5, $n_08) | 0;
   88895     $tobool2 = ($call6 | 0) == 0;
   88896     if ($tobool2) {
   88897       label = 6;
   88898       break;
   88899     } else {
   88900       $n_08 = $call6;
   88901       label = 4;
   88902       break;
   88903     }
   88904    case 5:
   88905     $6 = $g | 0;
   88906     _obj_init_attr($6, $attr, $isnew);
   88907     label = 6;
   88908     break;
   88909    case 6:
   88910     return;
   88911   }
   88912 }
   88913 function _add_node_attr($g, $attr, $isnew) {
   88914   $g = $g | 0;
   88915   $attr = $attr | 0;
   88916   $isnew = $isnew | 0;
   88917   var $call = 0, $tobool27 = 0, $n_028 = 0, $0 = 0, $call1 = 0, $tobool = 0, $meta_node = 0, $1 = 0, $tobool2 = 0, $graph = 0, $2 = 0, $call4 = 0, $tobool625 = 0, $n_126 = 0, $3 = 0, $call8 = 0, $proto9 = 0, $4 = 0, $tobool1122 = 0, $5 = 0, $proto_023 = 0, $n13 = 0, $6 = 0, $7 = 0, $prev = 0, $8 = 0, $tobool11 = 0, $9 = 0, $graph18 = 0, $10 = 0, $call19 = 0, $tobool6 = 0, $proto21 = 0, $proto_119 = 0, $tobool2320 = 0, $proto_121 = 0, $n25 = 0, $11 = 0, $12 = 0, $prev27 = 0, $proto_1 = 0, $tobool23 = 0, label = 0;
   88918   label = 2;
   88919   while (1) switch (label | 0) {
   88920    case 2:
   88921     $call = _agfstnode($g) | 0;
   88922     $tobool27 = ($call | 0) == 0;
   88923     if ($tobool27) {
   88924       label = 4;
   88925       break;
   88926     } else {
   88927       $n_028 = $call;
   88928       label = 3;
   88929       break;
   88930     }
   88931    case 3:
   88932     $0 = $n_028 | 0;
   88933     _obj_init_attr($0, $attr, $isnew);
   88934     $call1 = _agnxtnode($g, $n_028) | 0;
   88935     $tobool = ($call1 | 0) == 0;
   88936     if ($tobool) {
   88937       label = 4;
   88938       break;
   88939     } else {
   88940       $n_028 = $call1;
   88941       label = 3;
   88942       break;
   88943     }
   88944    case 4:
   88945     $meta_node = $g + 36 | 0;
   88946     $1 = HEAP32[$meta_node >> 2] | 0;
   88947     $tobool2 = ($1 | 0) == 0;
   88948     if ($tobool2) {
   88949       label = 10;
   88950       break;
   88951     } else {
   88952       label = 5;
   88953       break;
   88954     }
   88955    case 5:
   88956     $graph = $1 + 20 | 0;
   88957     $2 = HEAP32[$graph >> 2] | 0;
   88958     $call4 = _agfstnode($2) | 0;
   88959     $tobool625 = ($call4 | 0) == 0;
   88960     if ($tobool625) {
   88961       label = 12;
   88962       break;
   88963     } else {
   88964       $n_126 = $call4;
   88965       label = 6;
   88966       break;
   88967     }
   88968    case 6:
   88969     $3 = $n_126;
   88970     $call8 = _agusergraph($3) | 0;
   88971     $proto9 = $call8 + 40 | 0;
   88972     $4 = HEAP32[$proto9 >> 2] | 0;
   88973     $tobool1122 = ($4 | 0) == 0;
   88974     if ($tobool1122) {
   88975       label = 9;
   88976       break;
   88977     } else {
   88978       label = 7;
   88979       break;
   88980     }
   88981    case 7:
   88982     $5 = $4;
   88983     $proto_023 = $5;
   88984     label = 8;
   88985     break;
   88986    case 8:
   88987     $n13 = $proto_023 | 0;
   88988     $6 = HEAP32[$n13 >> 2] | 0;
   88989     $7 = $6 | 0;
   88990     _obj_init_attr($7, $attr, $isnew);
   88991     $prev = $proto_023 + 8 | 0;
   88992     $8 = HEAP32[$prev >> 2] | 0;
   88993     $tobool11 = ($8 | 0) == 0;
   88994     if ($tobool11) {
   88995       label = 9;
   88996       break;
   88997     } else {
   88998       $proto_023 = $8;
   88999       label = 8;
   89000       break;
   89001     }
   89002    case 9:
   89003     $9 = HEAP32[$meta_node >> 2] | 0;
   89004     $graph18 = $9 + 20 | 0;
   89005     $10 = HEAP32[$graph18 >> 2] | 0;
   89006     $call19 = _agnxtnode($10, $n_126) | 0;
   89007     $tobool6 = ($call19 | 0) == 0;
   89008     if ($tobool6) {
   89009       label = 12;
   89010       break;
   89011     } else {
   89012       $n_126 = $call19;
   89013       label = 6;
   89014       break;
   89015     }
   89016    case 10:
   89017     $proto21 = $g + 40 | 0;
   89018     $proto_119 = HEAP32[$proto21 >> 2] | 0;
   89019     $tobool2320 = ($proto_119 | 0) == 0;
   89020     if ($tobool2320) {
   89021       label = 12;
   89022       break;
   89023     } else {
   89024       $proto_121 = $proto_119;
   89025       label = 11;
   89026       break;
   89027     }
   89028    case 11:
   89029     $n25 = $proto_121 | 0;
   89030     $11 = HEAP32[$n25 >> 2] | 0;
   89031     $12 = $11 | 0;
   89032     _obj_init_attr($12, $attr, $isnew);
   89033     $prev27 = $proto_121 + 8 | 0;
   89034     $proto_1 = HEAP32[$prev27 >> 2] | 0;
   89035     $tobool23 = ($proto_1 | 0) == 0;
   89036     if ($tobool23) {
   89037       label = 12;
   89038       break;
   89039     } else {
   89040       $proto_121 = $proto_1;
   89041       label = 11;
   89042       break;
   89043     }
   89044    case 12:
   89045     return;
   89046   }
   89047 }
   89048 function _add_edge_attr($g, $attr, $isnew) {
   89049   $g = $g | 0;
   89050   $attr = $attr | 0;
   89051   $isnew = $isnew | 0;
   89052   var $call = 0, $tobool32 = 0, $n_033 = 0, $call1 = 0, $tobool330 = 0, $e_031 = 0, $0 = 0, $call5 = 0, $tobool3 = 0, $call7 = 0, $tobool = 0, $meta_node = 0, $1 = 0, $tobool9 = 0, $graph = 0, $2 = 0, $call11 = 0, $tobool1328 = 0, $n_129 = 0, $3 = 0, $call15 = 0, $proto16 = 0, $4 = 0, $tobool1825 = 0, $5 = 0, $proto_026 = 0, $e20 = 0, $6 = 0, $7 = 0, $prev = 0, $8 = 0, $tobool18 = 0, $9 = 0, $graph25 = 0, $10 = 0, $call26 = 0, $tobool13 = 0, $proto28 = 0, $proto_122 = 0, $tobool3023 = 0, $proto_124 = 0, $e32 = 0, $11 = 0, $12 = 0, $prev34 = 0, $proto_1 = 0, $tobool30 = 0, label = 0;
   89053   label = 2;
   89054   while (1) switch (label | 0) {
   89055    case 2:
   89056     $call = _agfstnode($g) | 0;
   89057     $tobool32 = ($call | 0) == 0;
   89058     if ($tobool32) {
   89059       label = 6;
   89060       break;
   89061     } else {
   89062       $n_033 = $call;
   89063       label = 3;
   89064       break;
   89065     }
   89066    case 3:
   89067     $call1 = _agfstout($g, $n_033) | 0;
   89068     $tobool330 = ($call1 | 0) == 0;
   89069     if ($tobool330) {
   89070       label = 5;
   89071       break;
   89072     } else {
   89073       $e_031 = $call1;
   89074       label = 4;
   89075       break;
   89076     }
   89077    case 4:
   89078     $0 = $e_031 | 0;
   89079     _obj_init_attr($0, $attr, $isnew);
   89080     $call5 = _agnxtout($g, $e_031) | 0;
   89081     $tobool3 = ($call5 | 0) == 0;
   89082     if ($tobool3) {
   89083       label = 5;
   89084       break;
   89085     } else {
   89086       $e_031 = $call5;
   89087       label = 4;
   89088       break;
   89089     }
   89090    case 5:
   89091     $call7 = _agnxtnode($g, $n_033) | 0;
   89092     $tobool = ($call7 | 0) == 0;
   89093     if ($tobool) {
   89094       label = 6;
   89095       break;
   89096     } else {
   89097       $n_033 = $call7;
   89098       label = 3;
   89099       break;
   89100     }
   89101    case 6:
   89102     $meta_node = $g + 36 | 0;
   89103     $1 = HEAP32[$meta_node >> 2] | 0;
   89104     $tobool9 = ($1 | 0) == 0;
   89105     if ($tobool9) {
   89106       label = 12;
   89107       break;
   89108     } else {
   89109       label = 7;
   89110       break;
   89111     }
   89112    case 7:
   89113     $graph = $1 + 20 | 0;
   89114     $2 = HEAP32[$graph >> 2] | 0;
   89115     $call11 = _agfstnode($2) | 0;
   89116     $tobool1328 = ($call11 | 0) == 0;
   89117     if ($tobool1328) {
   89118       label = 14;
   89119       break;
   89120     } else {
   89121       $n_129 = $call11;
   89122       label = 8;
   89123       break;
   89124     }
   89125    case 8:
   89126     $3 = $n_129;
   89127     $call15 = _agusergraph($3) | 0;
   89128     $proto16 = $call15 + 40 | 0;
   89129     $4 = HEAP32[$proto16 >> 2] | 0;
   89130     $tobool1825 = ($4 | 0) == 0;
   89131     if ($tobool1825) {
   89132       label = 11;
   89133       break;
   89134     } else {
   89135       label = 9;
   89136       break;
   89137     }
   89138    case 9:
   89139     $5 = $4;
   89140     $proto_026 = $5;
   89141     label = 10;
   89142     break;
   89143    case 10:
   89144     $e20 = $proto_026 + 4 | 0;
   89145     $6 = HEAP32[$e20 >> 2] | 0;
   89146     $7 = $6 | 0;
   89147     _obj_init_attr($7, $attr, $isnew);
   89148     $prev = $proto_026 + 8 | 0;
   89149     $8 = HEAP32[$prev >> 2] | 0;
   89150     $tobool18 = ($8 | 0) == 0;
   89151     if ($tobool18) {
   89152       label = 11;
   89153       break;
   89154     } else {
   89155       $proto_026 = $8;
   89156       label = 10;
   89157       break;
   89158     }
   89159    case 11:
   89160     $9 = HEAP32[$meta_node >> 2] | 0;
   89161     $graph25 = $9 + 20 | 0;
   89162     $10 = HEAP32[$graph25 >> 2] | 0;
   89163     $call26 = _agnxtnode($10, $n_129) | 0;
   89164     $tobool13 = ($call26 | 0) == 0;
   89165     if ($tobool13) {
   89166       label = 14;
   89167       break;
   89168     } else {
   89169       $n_129 = $call26;
   89170       label = 8;
   89171       break;
   89172     }
   89173    case 12:
   89174     $proto28 = $g + 40 | 0;
   89175     $proto_122 = HEAP32[$proto28 >> 2] | 0;
   89176     $tobool3023 = ($proto_122 | 0) == 0;
   89177     if ($tobool3023) {
   89178       label = 14;
   89179       break;
   89180     } else {
   89181       $proto_124 = $proto_122;
   89182       label = 13;
   89183       break;
   89184     }
   89185    case 13:
   89186     $e32 = $proto_124 + 4 | 0;
   89187     $11 = HEAP32[$e32 >> 2] | 0;
   89188     $12 = $11 | 0;
   89189     _obj_init_attr($12, $attr, $isnew);
   89190     $prev34 = $proto_124 + 8 | 0;
   89191     $proto_1 = HEAP32[$prev34 >> 2] | 0;
   89192     $tobool30 = ($proto_1 | 0) == 0;
   89193     if ($tobool30) {
   89194       label = 14;
   89195       break;
   89196     } else {
   89197       $proto_124 = $proto_1;
   89198       label = 13;
   89199       break;
   89200     }
   89201    case 14:
   89202     return;
   89203   }
   89204 }
   89205 function _agraphattr($g, $name, $value) {
   89206   $g = $g | 0;
   89207   $name = $name | 0;
   89208   $value = $value | 0;
   89209   var $cmp = 0, $0 = 0, $_g = 0, $root = 0, $1 = 0, $cmp1 = 0, $2 = 0, $call = 0, $retval_0 = 0, label = 0;
   89210   label = 2;
   89211   while (1) switch (label | 0) {
   89212    case 2:
   89213     $cmp = ($g | 0) == 0;
   89214     $0 = HEAP32[42137] | 0;
   89215     $_g = $cmp ? $0 : $g;
   89216     $root = $_g + 32 | 0;
   89217     $1 = HEAP32[$root >> 2] | 0;
   89218     $cmp1 = ($_g | 0) == ($1 | 0);
   89219     if ($cmp1) {
   89220       label = 3;
   89221       break;
   89222     } else {
   89223       $retval_0 = 0;
   89224       label = 4;
   89225       break;
   89226     }
   89227    case 3:
   89228     $2 = $_g | 0;
   89229     $call = _agattr($2, $name, $value) | 0;
   89230     $retval_0 = $call;
   89231     label = 4;
   89232     break;
   89233    case 4:
   89234     return $retval_0 | 0;
   89235   }
   89236   return 0;
   89237 }
   89238 function _agnodeattr($g, $name, $value) {
   89239   $g = $g | 0;
   89240   $name = $name | 0;
   89241   $value = $value | 0;
   89242   var $cmp = 0, $0 = 0, $_g = 0, $root = 0, $1 = 0, $cmp1 = 0, $proto = 0, $2 = 0, $n = 0, $3 = 0, $4 = 0, $call = 0, $retval_0 = 0, label = 0;
   89243   label = 2;
   89244   while (1) switch (label | 0) {
   89245    case 2:
   89246     $cmp = ($g | 0) == 0;
   89247     $0 = HEAP32[42137] | 0;
   89248     $_g = $cmp ? $0 : $g;
   89249     $root = $_g + 32 | 0;
   89250     $1 = HEAP32[$root >> 2] | 0;
   89251     $cmp1 = ($_g | 0) == ($1 | 0);
   89252     if ($cmp1) {
   89253       label = 3;
   89254       break;
   89255     } else {
   89256       $retval_0 = 0;
   89257       label = 4;
   89258       break;
   89259     }
   89260    case 3:
   89261     $proto = $_g + 40 | 0;
   89262     $2 = HEAP32[$proto >> 2] | 0;
   89263     $n = $2 | 0;
   89264     $3 = HEAP32[$n >> 2] | 0;
   89265     $4 = $3 | 0;
   89266     $call = _agattr($4, $name, $value) | 0;
   89267     $retval_0 = $call;
   89268     label = 4;
   89269     break;
   89270    case 4:
   89271     return $retval_0 | 0;
   89272   }
   89273   return 0;
   89274 }
   89275 function _agedgeattr($g, $name, $value) {
   89276   $g = $g | 0;
   89277   $name = $name | 0;
   89278   $value = $value | 0;
   89279   var $cmp = 0, $0 = 0, $_g = 0, $root = 0, $1 = 0, $cmp1 = 0, $proto = 0, $2 = 0, $e = 0, $3 = 0, $4 = 0, $call = 0, $retval_0 = 0, label = 0;
   89280   label = 2;
   89281   while (1) switch (label | 0) {
   89282    case 2:
   89283     $cmp = ($g | 0) == 0;
   89284     $0 = HEAP32[42137] | 0;
   89285     $_g = $cmp ? $0 : $g;
   89286     $root = $_g + 32 | 0;
   89287     $1 = HEAP32[$root >> 2] | 0;
   89288     $cmp1 = ($_g | 0) == ($1 | 0);
   89289     if ($cmp1) {
   89290       label = 3;
   89291       break;
   89292     } else {
   89293       $retval_0 = 0;
   89294       label = 4;
   89295       break;
   89296     }
   89297    case 3:
   89298     $proto = $_g + 40 | 0;
   89299     $2 = HEAP32[$proto >> 2] | 0;
   89300     $e = $2 + 4 | 0;
   89301     $3 = HEAP32[$e >> 2] | 0;
   89302     $4 = $3 | 0;
   89303     $call = _agattr($4, $name, $value) | 0;
   89304     $retval_0 = $call;
   89305     label = 4;
   89306     break;
   89307    case 4:
   89308     return $retval_0 | 0;
   89309   }
   89310   return 0;
   89311 }
   89312 function _agFREEdict($g, $dict) {
   89313   $g = $g | 0;
   89314   $dict = $dict | 0;
   89315   var $dict1 = 0, $0 = 0, $call = 0, $list = 0, $1 = 0, $tobool = 0, $2 = 0, $3 = 0, $tobool35 = 0, $4 = 0, $i_06 = 0, $inc = 0, $5 = 0, $6 = 0, $arrayidx = 0, $7 = 0, $tobool3 = 0, $_lcssa = 0, $8 = 0, $9 = 0, label = 0;
   89316   label = 2;
   89317   while (1) switch (label | 0) {
   89318    case 2:
   89319     $dict1 = $dict + 4 | 0;
   89320     $0 = HEAP32[$dict1 >> 2] | 0;
   89321     $call = _dtclose($0) | 0;
   89322     $list = $dict + 8 | 0;
   89323     $1 = HEAP32[$list >> 2] | 0;
   89324     $tobool = ($1 | 0) == 0;
   89325     if ($tobool) {
   89326       label = 6;
   89327       break;
   89328     } else {
   89329       label = 3;
   89330       break;
   89331     }
   89332    case 3:
   89333     $2 = HEAP32[$list >> 2] | 0;
   89334     $3 = HEAP32[$2 >> 2] | 0;
   89335     $tobool35 = ($3 | 0) == 0;
   89336     if ($tobool35) {
   89337       $_lcssa = $2;
   89338       label = 5;
   89339       break;
   89340     } else {
   89341       $i_06 = 0;
   89342       $4 = $3;
   89343       label = 4;
   89344       break;
   89345     }
   89346    case 4:
   89347     $inc = $i_06 + 1 | 0;
   89348     $5 = $4;
   89349     _agfreesym($5);
   89350     $6 = HEAP32[$list >> 2] | 0;
   89351     $arrayidx = $6 + ($inc << 2) | 0;
   89352     $7 = HEAP32[$arrayidx >> 2] | 0;
   89353     $tobool3 = ($7 | 0) == 0;
   89354     if ($tobool3) {
   89355       $_lcssa = $6;
   89356       label = 5;
   89357       break;
   89358     } else {
   89359       $i_06 = $inc;
   89360       $4 = $7;
   89361       label = 4;
   89362       break;
   89363     }
   89364    case 5:
   89365     $8 = $_lcssa;
   89366     _free($8);
   89367     label = 6;
   89368     break;
   89369    case 6:
   89370     $9 = $dict;
   89371     _free($9);
   89372     return;
   89373   }
   89374 }
   89375 function _agfreesym($ptr) {
   89376   $ptr = $ptr | 0;
   89377   _agstrfree(HEAP32[$ptr >> 2] | 0);
   89378   _agstrfree(HEAP32[$ptr + 4 >> 2] | 0);
   89379   _free($ptr);
   89380   return;
   89381 }
   89382 function _agNEWdict($name) {
   89383   $name = $name | 0;
   89384   var $call = 0;
   89385   $call = _calloc(1, 12) | 0;
   89386   HEAP32[$call >> 2] = $name;
   89387   HEAP32[$call + 4 >> 2] = _dtopen(89552, HEAP32[41974] | 0) | 0;
   89388   HEAP32[$call + 8 >> 2] = 0;
   89389   return $call | 0;
   89390 }
   89391 function _agcopydict($to_dict, $from_dict) {
   89392   $to_dict = $to_dict | 0;
   89393   $from_dict = $from_dict | 0;
   89394   var $dict = 0, $0 = 0, $call = 0, $cmp8 = 0, $list = 0, $i_09 = 0, $1 = 0, $arrayidx = 0, $2 = 0, $name = 0, $3 = 0, $value = 0, $4 = 0, $call1 = 0, $printed = 0, $5 = 0, $printed2 = 0, $fixed = 0, $6 = 0, $fixed3 = 0, $inc = 0, $cmp = 0, label = 0;
   89395   label = 2;
   89396   while (1) switch (label | 0) {
   89397    case 2:
   89398     $dict = $from_dict + 4 | 0;
   89399     $0 = HEAP32[$dict >> 2] | 0;
   89400     $call = _dtsize($0) | 0;
   89401     $cmp8 = ($call | 0) > 0;
   89402     if ($cmp8) {
   89403       label = 3;
   89404       break;
   89405     } else {
   89406       label = 5;
   89407       break;
   89408     }
   89409    case 3:
   89410     $list = $from_dict + 8 | 0;
   89411     $i_09 = 0;
   89412     label = 4;
   89413     break;
   89414    case 4:
   89415     $1 = HEAP32[$list >> 2] | 0;
   89416     $arrayidx = $1 + ($i_09 << 2) | 0;
   89417     $2 = HEAP32[$arrayidx >> 2] | 0;
   89418     $name = $2 | 0;
   89419     $3 = HEAP32[$name >> 2] | 0;
   89420     $value = $2 + 4 | 0;
   89421     $4 = HEAP32[$value >> 2] | 0;
   89422     $call1 = _agNEWsym($to_dict, $3, $4) | 0;
   89423     $printed = $2 + 12 | 0;
   89424     $5 = HEAP8[$printed] | 0;
   89425     $printed2 = $call1 + 12 | 0;
   89426     HEAP8[$printed2] = $5;
   89427     $fixed = $2 + 13 | 0;
   89428     $6 = HEAP8[$fixed] | 0;
   89429     $fixed3 = $call1 + 13 | 0;
   89430     HEAP8[$fixed3] = $6;
   89431     $inc = $i_09 + 1 | 0;
   89432     $cmp = ($inc | 0) < ($call | 0);
   89433     if ($cmp) {
   89434       $i_09 = $inc;
   89435       label = 4;
   89436       break;
   89437     } else {
   89438       label = 5;
   89439       break;
   89440     }
   89441    case 5:
   89442     return;
   89443   }
   89444 }
   89445 function _aginitlib($gs, $ns, $es) {
   89446   $gs = $gs | 0;
   89447   $ns = $ns | 0;
   89448   $es = $es | 0;
   89449   var $0 = 0, $cmp = 0, $1 = 0, $cmp1 = 0, $2 = 0, $cmp2 = 0, $or_cond = 0, $3 = 0, $cmp4 = 0, $or_cond4 = 0, $call = 0, label = 0, __stackBase__ = 0;
   89450   __stackBase__ = STACKTOP;
   89451   label = 2;
   89452   while (1) switch (label | 0) {
   89453    case 2:
   89454     $0 = HEAP32[42137] | 0;
   89455     $cmp = ($0 | 0) == 0;
   89456     if ($cmp) {
   89457       label = 3;
   89458       break;
   89459     } else {
   89460       label = 4;
   89461       break;
   89462     }
   89463    case 3:
   89464     HEAP32[42134] = $gs;
   89465     HEAP32[42135] = $ns;
   89466     HEAP32[42136] = $es;
   89467     HEAP8[168567] = 1;
   89468     _initproto();
   89469     label = 6;
   89470     break;
   89471    case 4:
   89472     $1 = HEAP32[42134] | 0;
   89473     $cmp1 = ($1 | 0) == ($gs | 0);
   89474     $2 = HEAP32[42135] | 0;
   89475     $cmp2 = ($2 | 0) == ($ns | 0);
   89476     $or_cond = $cmp1 & $cmp2;
   89477     $3 = HEAP32[42136] | 0;
   89478     $cmp4 = ($3 | 0) == ($es | 0);
   89479     $or_cond4 = $or_cond & $cmp4;
   89480     if ($or_cond4) {
   89481       label = 6;
   89482       break;
   89483     } else {
   89484       label = 5;
   89485       break;
   89486     }
   89487    case 5:
   89488     $call = _agerr(0, 120304, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0) | 0;
   89489     label = 6;
   89490     break;
   89491    case 6:
   89492     STACKTOP = __stackBase__;
   89493     return;
   89494   }
   89495 }
   89496 function _agget($obj, $attr) {
   89497   $obj = $obj | 0;
   89498   $attr = $attr | 0;
   89499   return _agxget($obj, _agindex($obj, $attr) | 0) | 0;
   89500 }
   89501 function _agindex($obj, $name) {
   89502   $obj = $obj | 0;
   89503   $name = $name | 0;
   89504   var $call = 0, $tobool = 0, $index = 0, $0 = 0, $rv_0 = 0, label = 0;
   89505   label = 2;
   89506   while (1) switch (label | 0) {
   89507    case 2:
   89508     $call = _agfindattr($obj, $name) | 0;
   89509     $tobool = ($call | 0) == 0;
   89510     if ($tobool) {
   89511       $rv_0 = -1;
   89512       label = 4;
   89513       break;
   89514     } else {
   89515       label = 3;
   89516       break;
   89517     }
   89518    case 3:
   89519     $index = $call + 8 | 0;
   89520     $0 = HEAP32[$index >> 2] | 0;
   89521     $rv_0 = $0;
   89522     label = 4;
   89523     break;
   89524    case 4:
   89525     return $rv_0 | 0;
   89526   }
   89527   return 0;
   89528 }
   89529 function _agset($obj, $attr, $value) {
   89530   $obj = $obj | 0;
   89531   $attr = $attr | 0;
   89532   $value = $value | 0;
   89533   return _agxset($obj, _agindex($obj, $attr) | 0, $value) | 0;
   89534 }
   89535 function _agxset($obj, $index, $buf) {
   89536   $obj = $obj | 0;
   89537   $index = $index | 0;
   89538   $buf = $buf | 0;
   89539   var $cmp = 0, $attr = 0, $0 = 0, $1 = 0, $arrayidx = 0, $2 = 0, $call = 0, $rem7 = 0, $shl = 0, $div = 0, $didset = 0, $3 = 0, $4 = 0, $arrayidx2 = 0, $5 = 0, $conv8 = 0, $or = 0, $conv3 = 0, $retval_0 = 0, label = 0;
   89540   label = 2;
   89541   while (1) switch (label | 0) {
   89542    case 2:
   89543     $cmp = ($index | 0) > -1;
   89544     if ($cmp) {
   89545       label = 3;
   89546       break;
   89547     } else {
   89548       $retval_0 = -1;
   89549       label = 4;
   89550       break;
   89551     }
   89552    case 3:
   89553     $attr = $obj + 4 | 0;
   89554     $0 = $attr;
   89555     $1 = HEAP32[$0 >> 2] | 0;
   89556     $arrayidx = $1 + ($index << 2) | 0;
   89557     $2 = HEAP32[$arrayidx >> 2] | 0;
   89558     _agstrfree($2);
   89559     $call = _agstrdup($buf) | 0;
   89560     HEAP32[$arrayidx >> 2] = $call;
   89561     $rem7 = $index & 7;
   89562     $shl = 1 << $rem7;
   89563     $div = ($index | 0) / 8 & -1;
   89564     $didset = $obj + 8 | 0;
   89565     $3 = $didset;
   89566     $4 = HEAP32[$3 >> 2] | 0;
   89567     $arrayidx2 = $4 + $div | 0;
   89568     $5 = HEAP8[$arrayidx2] | 0;
   89569     $conv8 = $5 & 255;
   89570     $or = $conv8 | $shl;
   89571     $conv3 = $or & 255;
   89572     HEAP8[$arrayidx2] = $conv3;
   89573     $retval_0 = 0;
   89574     label = 4;
   89575     break;
   89576    case 4:
   89577     return $retval_0 | 0;
   89578   }
   89579   return 0;
   89580 }
   89581 function _agsafeset($obj, $name, $value, $def) {
   89582   $obj = $obj | 0;
   89583   $name = $name | 0;
   89584   $value = $value | 0;
   89585   $def = $def | 0;
   89586   var $call = 0, $cmp = 0, $tobool = 0, $_def = 0, $0 = 0, $1 = 0, $2 = 0, $bf_val_sext = 0, $root = 0, $3 = 0, $4 = 0, $call2 = 0, $graph = 0, $5 = 0, $6 = 0, $call4 = 0, $head = 0, $7 = 0, $8 = 0, $graph6 = 0, $9 = 0, $call7 = 0, $a_0 = 0, $index = 0, $10 = 0, $call9 = 0, label = 0;
   89587   label = 2;
   89588   while (1) switch (label | 0) {
   89589    case 2:
   89590     $call = _agfindattr($obj, $name) | 0;
   89591     $cmp = ($call | 0) == 0;
   89592     if ($cmp) {
   89593       label = 3;
   89594       break;
   89595     } else {
   89596       $a_0 = $call;
   89597       label = 7;
   89598       break;
   89599     }
   89600    case 3:
   89601     $tobool = ($def | 0) == 0;
   89602     $_def = $tobool ? 153736 : $def;
   89603     $0 = $obj;
   89604     $1 = HEAP32[$0 >> 2] | 0;
   89605     $2 = $1 << 28;
   89606     $bf_val_sext = $2 >> 28;
   89607     if (($bf_val_sext | 0) == 3) {
   89608       label = 4;
   89609       break;
   89610     } else if (($bf_val_sext | 0) == 1) {
   89611       label = 5;
   89612       break;
   89613     } else if (($bf_val_sext | 0) == 2) {
   89614       label = 6;
   89615       break;
   89616     } else {
   89617       $a_0 = 0;
   89618       label = 7;
   89619       break;
   89620     }
   89621    case 4:
   89622     $root = $obj + 32 | 0;
   89623     $3 = $root;
   89624     $4 = HEAP32[$3 >> 2] | 0;
   89625     $call2 = _agraphattr($4, $name, $_def) | 0;
   89626     $a_0 = $call2;
   89627     label = 7;
   89628     break;
   89629    case 5:
   89630     $graph = $obj + 20 | 0;
   89631     $5 = $graph;
   89632     $6 = HEAP32[$5 >> 2] | 0;
   89633     $call4 = _agnodeattr($6, $name, $_def) | 0;
   89634     $a_0 = $call4;
   89635     label = 7;
   89636     break;
   89637    case 6:
   89638     $head = $obj + 12 | 0;
   89639     $7 = $head;
   89640     $8 = HEAP32[$7 >> 2] | 0;
   89641     $graph6 = $8 + 20 | 0;
   89642     $9 = HEAP32[$graph6 >> 2] | 0;
   89643     $call7 = _agedgeattr($9, $name, $_def) | 0;
   89644     $a_0 = $call7;
   89645     label = 7;
   89646     break;
   89647    case 7:
   89648     $index = $a_0 + 8 | 0;
   89649     $10 = HEAP32[$index >> 2] | 0;
   89650     $call9 = _agxset($obj, $10, $value) | 0;
   89651     return $call9 | 0;
   89652   }
   89653   return 0;
   89654 }
   89655 function _agcopyattr($oldobj, $newobj) {
   89656   $oldobj = $oldobj | 0;
   89657   $newobj = $newobj | 0;
   89658   var $0 = 0, $1 = 0, $2 = 0, $bf_val_sext = 0, $cmp = 0, $3 = 0, $4 = 0, $5 = 0, $bf_val_sext5 = 0, $cmp6 = 0, $call = 0, $list1 = 0, $6 = 0, $list_0_ph = 0, $r_0_ph = 0, $tobool = 0, $list_0 = 0, $incdec_ptr = 0, $7 = 0, $tobool8 = 0, $index = 0, $8 = 0, $cmp10 = 0, $name = 0, $9 = 0, $call14 = 0, $tobool15 = 0, $index18 = 0, $10 = 0, $index19 = 0, $11 = 0, $call20 = 0, $call21 = 0, $retval_0 = 0, label = 0;
   89659   label = 2;
   89660   while (1) switch (label | 0) {
   89661    case 2:
   89662     $0 = $oldobj;
   89663     $1 = HEAP32[$0 >> 2] | 0;
   89664     $2 = $1 << 28;
   89665     $bf_val_sext = $2 >> 28;
   89666     $cmp = ($bf_val_sext | 0) == 2;
   89667     $3 = $newobj;
   89668     $4 = HEAP32[$3 >> 2] | 0;
   89669     $5 = $4 << 28;
   89670     $bf_val_sext5 = $5 >> 28;
   89671     $cmp6 = ($bf_val_sext | 0) == ($bf_val_sext5 | 0);
   89672     if ($cmp6) {
   89673       label = 3;
   89674       break;
   89675     } else {
   89676       $retval_0 = 1;
   89677       label = 11;
   89678       break;
   89679     }
   89680    case 3:
   89681     $call = _agdictof($oldobj) | 0;
   89682     $list1 = $call + 8 | 0;
   89683     $6 = HEAP32[$list1 >> 2] | 0;
   89684     $r_0_ph = 0;
   89685     $list_0_ph = $6;
   89686     label = 4;
   89687     break;
   89688    case 4:
   89689     $tobool = ($r_0_ph | 0) == 0;
   89690     $list_0 = $list_0_ph;
   89691     label = 5;
   89692     break;
   89693    case 5:
   89694     if ($tobool) {
   89695       label = 6;
   89696       break;
   89697     } else {
   89698       $retval_0 = $r_0_ph;
   89699       label = 11;
   89700       break;
   89701     }
   89702    case 6:
   89703     $incdec_ptr = $list_0 + 4 | 0;
   89704     $7 = HEAP32[$list_0 >> 2] | 0;
   89705     $tobool8 = ($7 | 0) == 0;
   89706     if ($tobool8) {
   89707       $retval_0 = 0;
   89708       label = 11;
   89709       break;
   89710     } else {
   89711       label = 7;
   89712       break;
   89713     }
   89714    case 7:
   89715     if ($cmp) {
   89716       label = 8;
   89717       break;
   89718     } else {
   89719       label = 9;
   89720       break;
   89721     }
   89722    case 8:
   89723     $index = $7 + 8 | 0;
   89724     $8 = HEAP32[$index >> 2] | 0;
   89725     $cmp10 = ($8 | 0) == 0;
   89726     if ($cmp10) {
   89727       $list_0 = $incdec_ptr;
   89728       label = 5;
   89729       break;
   89730     } else {
   89731       label = 9;
   89732       break;
   89733     }
   89734    case 9:
   89735     $name = $7 | 0;
   89736     $9 = HEAP32[$name >> 2] | 0;
   89737     $call14 = _agfindattr($newobj, $9) | 0;
   89738     $tobool15 = ($call14 | 0) == 0;
   89739     if ($tobool15) {
   89740       $retval_0 = 1;
   89741       label = 11;
   89742       break;
   89743     } else {
   89744       label = 10;
   89745       break;
   89746     }
   89747    case 10:
   89748     $index18 = $call14 + 8 | 0;
   89749     $10 = HEAP32[$index18 >> 2] | 0;
   89750     $index19 = $7 + 8 | 0;
   89751     $11 = HEAP32[$index19 >> 2] | 0;
   89752     $call20 = _agxget($oldobj, $11) | 0;
   89753     $call21 = _agxset($newobj, $10, $call20) | 0;
   89754     $r_0_ph = $call21;
   89755     $list_0_ph = $incdec_ptr;
   89756     label = 4;
   89757     break;
   89758    case 11:
   89759     return $retval_0 | 0;
   89760   }
   89761   return 0;
   89762 }
   89763 function _obj_init_attr($obj, $attr, $isnew) {
   89764   $obj = $obj | 0;
   89765   $attr = $attr | 0;
   89766   $isnew = $isnew | 0;
   89767   var $index = 0, $0 = 0, $tobool = 0, $attr1 = 0, $1 = 0, $2 = 0, $tobool2 = 0, $3 = 0, $add = 0, $mul = 0, $call = 0, $add4 = 0, $mul5 = 0, $call6 = 0, $call_sink = 0, $4 = 0, $value = 0, $5 = 0, $call8 = 0, $6 = 0, $arrayidx = 0, $rem24 = 0, $cmp = 0, $didset = 0, $7 = 0, $8 = 0, $tobool11 = 0, $div18 = 0, $add19 = 0, $call16 = 0, $call21 = 0, $cond23 = 0, $arrayidx27 = 0, $div28 = 0, $didset29 = 0, $9 = 0, $10 = 0, $arrayidx30 = 0, $11 = 0, $conv = 0, $rem3123 = 0, $shl = 0, $and = 0, $cmp32 = 0, $attr35 = 0, $12 = 0, $13 = 0, $arrayidx36 = 0, $14 = 0, $value37 = 0, $15 = 0, $call38 = 0, $16 = 0, $arrayidx40 = 0, label = 0;
   89768   label = 2;
   89769   while (1) switch (label | 0) {
   89770    case 2:
   89771     $index = $attr + 8 | 0;
   89772     $0 = HEAP32[$index >> 2] | 0;
   89773     $tobool = ($isnew | 0) == 0;
   89774     if ($tobool) {
   89775       label = 11;
   89776       break;
   89777     } else {
   89778       label = 3;
   89779       break;
   89780     }
   89781    case 3:
   89782     $attr1 = $obj + 4 | 0;
   89783     $1 = $attr1;
   89784     $2 = HEAP32[$1 >> 2] | 0;
   89785     $tobool2 = ($2 | 0) == 0;
   89786     if ($tobool2) {
   89787       label = 5;
   89788       break;
   89789     } else {
   89790       label = 4;
   89791       break;
   89792     }
   89793    case 4:
   89794     $3 = $2;
   89795     $add = $0 << 2;
   89796     $mul = $add + 4 | 0;
   89797     $call = _realloc($3, $mul) | 0;
   89798     $call_sink = $call;
   89799     label = 6;
   89800     break;
   89801    case 5:
   89802     $add4 = $0 << 2;
   89803     $mul5 = $add4 + 4 | 0;
   89804     $call6 = _malloc($mul5) | 0;
   89805     $call_sink = $call6;
   89806     label = 6;
   89807     break;
   89808    case 6:
   89809     $4 = $call_sink;
   89810     HEAP32[$1 >> 2] = $4;
   89811     $value = $attr + 4 | 0;
   89812     $5 = HEAP32[$value >> 2] | 0;
   89813     $call8 = _agstrdup($5) | 0;
   89814     $6 = HEAP32[$1 >> 2] | 0;
   89815     $arrayidx = $6 + ($0 << 2) | 0;
   89816     HEAP32[$arrayidx >> 2] = $call8;
   89817     $rem24 = $0 & 7;
   89818     $cmp = ($rem24 | 0) == 0;
   89819     if ($cmp) {
   89820       label = 7;
   89821       break;
   89822     } else {
   89823       label = 13;
   89824       break;
   89825     }
   89826    case 7:
   89827     $didset = $obj + 8 | 0;
   89828     $7 = $didset;
   89829     $8 = HEAP32[$7 >> 2] | 0;
   89830     $tobool11 = ($8 | 0) == 0;
   89831     $div18 = ($0 | 0) / 8 & -1;
   89832     $add19 = $div18 + 1 | 0;
   89833     if ($tobool11) {
   89834       label = 9;
   89835       break;
   89836     } else {
   89837       label = 8;
   89838       break;
   89839     }
   89840    case 8:
   89841     $call16 = _realloc($8, $add19) | 0;
   89842     $cond23 = $call16;
   89843     label = 10;
   89844     break;
   89845    case 9:
   89846     $call21 = _malloc($add19) | 0;
   89847     $cond23 = $call21;
   89848     label = 10;
   89849     break;
   89850    case 10:
   89851     HEAP32[$7 >> 2] = $cond23;
   89852     $arrayidx27 = $cond23 + $div18 | 0;
   89853     HEAP8[$arrayidx27] = 0;
   89854     label = 13;
   89855     break;
   89856    case 11:
   89857     $div28 = ($0 | 0) / 8 & -1;
   89858     $didset29 = $obj + 8 | 0;
   89859     $9 = $didset29;
   89860     $10 = HEAP32[$9 >> 2] | 0;
   89861     $arrayidx30 = $10 + $div28 | 0;
   89862     $11 = HEAP8[$arrayidx30] | 0;
   89863     $conv = $11 << 24 >> 24;
   89864     $rem3123 = $0 & 7;
   89865     $shl = 1 << $rem3123;
   89866     $and = $conv & $shl;
   89867     $cmp32 = ($and | 0) == 0;
   89868     if ($cmp32) {
   89869       label = 12;
   89870       break;
   89871     } else {
   89872       label = 13;
   89873       break;
   89874     }
   89875    case 12:
   89876     $attr35 = $obj + 4 | 0;
   89877     $12 = $attr35;
   89878     $13 = HEAP32[$12 >> 2] | 0;
   89879     $arrayidx36 = $13 + ($0 << 2) | 0;
   89880     $14 = HEAP32[$arrayidx36 >> 2] | 0;
   89881     _agstrfree($14);
   89882     $value37 = $attr + 4 | 0;
   89883     $15 = HEAP32[$value37 >> 2] | 0;
   89884     $call38 = _agstrdup($15) | 0;
   89885     $16 = HEAP32[$12 >> 2] | 0;
   89886     $arrayidx40 = $16 + ($0 << 2) | 0;
   89887     HEAP32[$arrayidx40 >> 2] = $call38;
   89888     label = 13;
   89889     break;
   89890    case 13:
   89891     return;
   89892   }
   89893 }
   89894 function _initproto() {
   89895   var $call = 0, $0 = 0, $proto = 0, $1 = 0, $e = 0, $2 = 0, $3 = 0, $call1 = 0, $index = 0, $4 = 0, $cmp = 0, $5 = 0, $e3 = 0, $6 = 0, $7 = 0, $call4 = 0, $index5 = 0, $8 = 0, $cmp6 = 0, $printed = 0, $9 = 0, $e10 = 0, $10 = 0, $11 = 0, $call11 = 0, $index12 = 0, $12 = 0, $cmp13 = 0, $printed16 = 0, label = 0;
   89896   label = 2;
   89897   while (1) switch (label | 0) {
   89898    case 2:
   89899     $call = _agopen(126536, 0) | 0;
   89900     $0 = $call;
   89901     HEAP32[42137] = $0;
   89902     $proto = $call + 40 | 0;
   89903     $1 = HEAP32[$proto >> 2] | 0;
   89904     $e = $1 + 4 | 0;
   89905     $2 = HEAP32[$e >> 2] | 0;
   89906     $3 = $2 | 0;
   89907     $call1 = _agattr($3, 117288, 153736) | 0;
   89908     $index = $call1 + 8 | 0;
   89909     $4 = HEAP32[$index >> 2] | 0;
   89910     $cmp = ($4 | 0) == 0;
   89911     if ($cmp) {
   89912       label = 4;
   89913       break;
   89914     } else {
   89915       label = 3;
   89916       break;
   89917     }
   89918    case 3:
   89919     _abort();
   89920    case 4:
   89921     $5 = HEAP32[$proto >> 2] | 0;
   89922     $e3 = $5 + 4 | 0;
   89923     $6 = HEAP32[$e3 >> 2] | 0;
   89924     $7 = $6 | 0;
   89925     $call4 = _agattr($7, 112040, 153736) | 0;
   89926     $index5 = $call4 + 8 | 0;
   89927     $8 = HEAP32[$index5 >> 2] | 0;
   89928     $cmp6 = ($8 | 0) == 1;
   89929     if ($cmp6) {
   89930       label = 6;
   89931       break;
   89932     } else {
   89933       label = 5;
   89934       break;
   89935     }
   89936    case 5:
   89937     _abort();
   89938    case 6:
   89939     $printed = $call4 + 12 | 0;
   89940     HEAP8[$printed] = 0;
   89941     $9 = HEAP32[$proto >> 2] | 0;
   89942     $e10 = $9 + 4 | 0;
   89943     $10 = HEAP32[$e10 >> 2] | 0;
   89944     $11 = $10 | 0;
   89945     $call11 = _agattr($11, 107712, 153736) | 0;
   89946     $index12 = $call11 + 8 | 0;
   89947     $12 = HEAP32[$index12 >> 2] | 0;
   89948     $cmp13 = ($12 | 0) == 2;
   89949     if ($cmp13) {
   89950       label = 8;
   89951       break;
   89952     } else {
   89953       label = 7;
   89954       break;
   89955     }
   89956    case 7:
   89957     _abort();
   89958    case 8:
   89959     $printed16 = $call11 + 12 | 0;
   89960     HEAP8[$printed16] = 0;
   89961     return;
   89962   }
   89963 }
   89964 function _agcmpid($dict, $id0, $id1, $disc) {
   89965   $dict = $dict | 0;
   89966   $id0 = $id0 | 0;
   89967   $id1 = $id1 | 0;
   89968   $disc = $disc | 0;
   89969   return (HEAP32[$id0 >> 2] | 0) - (HEAP32[$id1 >> 2] | 0) | 0;
   89970 }
   89971 function _agfindedge($g, $tail, $head) {
   89972   $g = $g | 0;
   89973   $tail = $tail | 0;
   89974   $head = $head | 0;
   89975   var $call = 0, $cmp = 0, $0 = 0, $1 = 0, $and = 0, $tobool = 0, $call1 = 0, $e_0 = 0, label = 0;
   89976   label = 2;
   89977   while (1) switch (label | 0) {
   89978    case 2:
   89979     $call = _esearch($g, $tail, $head, 0) | 0;
   89980     $cmp = ($call | 0) == 0;
   89981     if ($cmp) {
   89982       label = 3;
   89983       break;
   89984     } else {
   89985       $e_0 = $call;
   89986       label = 5;
   89987       break;
   89988     }
   89989    case 3:
   89990     $0 = $g;
   89991     $1 = HEAP32[$0 >> 2] | 0;
   89992     $and = $1 & 16;
   89993     $tobool = ($and | 0) == 0;
   89994     if ($tobool) {
   89995       label = 4;
   89996       break;
   89997     } else {
   89998       $e_0 = 0;
   89999       label = 5;
   90000       break;
   90001     }
   90002    case 4:
   90003     $call1 = _esearch($g, $head, $tail, 0) | 0;
   90004     $e_0 = $call1;
   90005     label = 5;
   90006     break;
   90007    case 5:
   90008     return $e_0 | 0;
   90009   }
   90010   return 0;
   90011 }
   90012 function _esearch($g, $tail, $head, $usrkey) {
   90013   $g = $g | 0;
   90014   $tail = $tail | 0;
   90015   $head = $head | 0;
   90016   $usrkey = $usrkey | 0;
   90017   var $key = 0, $attr = 0, $arrayidx = 0, $tail1 = 0, $head2 = 0, $tobool = 0, $cond = 0, $attr3 = 0, $inedges = 0, $0 = 0, $searchf = 0, $1 = 0, $2 = 0, $call = 0, $3 = 0, $call9 = 0, $4 = 0, $tobool10 = 0, $tail11 = 0, $5 = 0, $6 = 0, $cmp = 0, $head12 = 0, $7 = 0, $8 = 0, $cmp13 = 0, $e_0 = 0, label = 0, __stackBase__ = 0;
   90018   __stackBase__ = STACKTOP;
   90019   STACKTOP = STACKTOP + 40 | 0;
   90020   label = 2;
   90021   while (1) switch (label | 0) {
   90022    case 2:
   90023     $key = __stackBase__ | 0;
   90024     $attr = __stackBase__ + 32 | 0;
   90025     $arrayidx = $attr | 0;
   90026     HEAP32[$arrayidx >> 2] = $usrkey;
   90027     $tail1 = $key + 16 | 0;
   90028     HEAP32[$tail1 >> 2] = $tail;
   90029     $head2 = $key + 12 | 0;
   90030     HEAP32[$head2 >> 2] = $head;
   90031     $tobool = ($usrkey | 0) != 0;
   90032     $cond = $tobool ? $arrayidx : 0;
   90033     $attr3 = $key + 4 | 0;
   90034     HEAP32[$attr3 >> 2] = $cond;
   90035     $inedges = $g + 24 | 0;
   90036     $0 = HEAP32[$inedges >> 2] | 0;
   90037     $searchf = $0 | 0;
   90038     $1 = HEAP32[$searchf >> 2] | 0;
   90039     $2 = $key | 0;
   90040     if ($tobool) {
   90041       label = 3;
   90042       break;
   90043     } else {
   90044       label = 4;
   90045       break;
   90046     }
   90047    case 3:
   90048     $call = FUNCTION_TABLE_iiii[$1 & 1023]($0, $2, 4) | 0;
   90049     $3 = $call;
   90050     $e_0 = $3;
   90051     label = 8;
   90052     break;
   90053    case 4:
   90054     $call9 = FUNCTION_TABLE_iiii[$1 & 1023]($0, $2, 8) | 0;
   90055     $4 = $call9;
   90056     $tobool10 = ($call9 | 0) == 0;
   90057     if ($tobool10) {
   90058       $e_0 = $4;
   90059       label = 8;
   90060       break;
   90061     } else {
   90062       label = 5;
   90063       break;
   90064     }
   90065    case 5:
   90066     $tail11 = $call9 + 16 | 0;
   90067     $5 = $tail11;
   90068     $6 = HEAP32[$5 >> 2] | 0;
   90069     $cmp = ($6 | 0) == ($tail | 0);
   90070     if ($cmp) {
   90071       label = 6;
   90072       break;
   90073     } else {
   90074       label = 7;
   90075       break;
   90076     }
   90077    case 6:
   90078     $head12 = $call9 + 12 | 0;
   90079     $7 = $head12;
   90080     $8 = HEAP32[$7 >> 2] | 0;
   90081     $cmp13 = ($8 | 0) == ($head | 0);
   90082     if ($cmp13) {
   90083       $e_0 = $4;
   90084       label = 8;
   90085       break;
   90086     } else {
   90087       label = 7;
   90088       break;
   90089     }
   90090    case 7:
   90091     $e_0 = 0;
   90092     label = 8;
   90093     break;
   90094    case 8:
   90095     STACKTOP = __stackBase__;
   90096     return $e_0 | 0;
   90097   }
   90098   return 0;
   90099 }
   90100 function _agINSedge($g, $e) {
   90101   $g = $g | 0;
   90102   $e = $e | 0;
   90103   var $0 = 0, $1 = 0, $_mask = 0, $cmp = 0, $2 = 0, $3 = 0, label = 0;
   90104   label = 2;
   90105   while (1) switch (label | 0) {
   90106    case 2:
   90107     $0 = $e;
   90108     $1 = HEAP32[$0 >> 2] | 0;
   90109     $_mask = $1 & 240;
   90110     $cmp = ($_mask | 0) == 16;
   90111     if ($cmp) {
   90112       label = 3;
   90113       break;
   90114     } else {
   90115       label = 4;
   90116       break;
   90117     }
   90118    case 3:
   90119     $2 = $1 & -241;
   90120     $3 = $2 | 32;
   90121     HEAP32[$0 >> 2] = $3;
   90122     label = 4;
   90123     break;
   90124    case 4:
   90125     _install_edge($g, $e);
   90126     return;
   90127   }
   90128 }
   90129 function _install_edge($g, $e) {
   90130   $g = $g | 0;
   90131   $e = $e | 0;
   90132   var $inedges = 0, $0 = 0, $searchf = 0, $1 = 0, $2 = 0, $call = 0, $tobool = 0, $tail = 0, $3 = 0, $head = 0, $4 = 0, $outedges = 0, $5 = 0, $searchf2 = 0, $6 = 0, $call4 = 0, $7 = 0, $searchf6 = 0, $8 = 0, $call8 = 0, $9 = 0, $searchf10 = 0, $10 = 0, $call12 = 0, $tobool13 = 0, $tail14 = 0, $11 = 0, $12 = 0, $13 = 0, $cmp = 0, $head17 = 0, $14 = 0, $15 = 0, $16 = 0, $cmp19 = 0, $17 = 0, $18 = 0, $_mask = 0, $cmp21 = 0, $19 = 0, $20 = 0, $21 = 0, $22 = 0, $and = 0, $cmp26 = 0, $meta_node = 0, $23 = 0, $graph = 0, $24 = 0, $call29 = 0, $tobool3030 = 0, $f_031 = 0, $tail31 = 0, $25 = 0, $26 = 0, $call32 = 0, $27 = 0, $call33 = 0, $tobool30 = 0, label = 0;
   90133   label = 2;
   90134   while (1) switch (label | 0) {
   90135    case 2:
   90136     $inedges = $g + 24 | 0;
   90137     $0 = HEAP32[$inedges >> 2] | 0;
   90138     $searchf = $0 | 0;
   90139     $1 = HEAP32[$searchf >> 2] | 0;
   90140     $2 = $e | 0;
   90141     $call = FUNCTION_TABLE_iiii[$1 & 1023]($0, $2, 4) | 0;
   90142     $tobool = ($call | 0) == 0;
   90143     if ($tobool) {
   90144       label = 3;
   90145       break;
   90146     } else {
   90147       label = 11;
   90148       break;
   90149     }
   90150    case 3:
   90151     $tail = $e + 16 | 0;
   90152     $3 = HEAP32[$tail >> 2] | 0;
   90153     _agINSnode($g, $3);
   90154     $head = $e + 12 | 0;
   90155     $4 = HEAP32[$head >> 2] | 0;
   90156     _agINSnode($g, $4);
   90157     $outedges = $g + 28 | 0;
   90158     $5 = HEAP32[$outedges >> 2] | 0;
   90159     $searchf2 = $5 | 0;
   90160     $6 = HEAP32[$searchf2 >> 2] | 0;
   90161     $call4 = FUNCTION_TABLE_iiii[$6 & 1023]($5, $2, 1) | 0;
   90162     $7 = HEAP32[$inedges >> 2] | 0;
   90163     $searchf6 = $7 | 0;
   90164     $8 = HEAP32[$searchf6 >> 2] | 0;
   90165     $call8 = FUNCTION_TABLE_iiii[$8 & 1023]($7, $2, 1) | 0;
   90166     $9 = HEAP32[$outedges >> 2] | 0;
   90167     $searchf10 = $9 | 0;
   90168     $10 = HEAP32[$searchf10 >> 2] | 0;
   90169     $call12 = FUNCTION_TABLE_iiii[$10 & 1023]($9, $2, 16) | 0;
   90170     $tobool13 = ($call12 | 0) == 0;
   90171     if ($tobool13) {
   90172       label = 8;
   90173       break;
   90174     } else {
   90175       label = 4;
   90176       break;
   90177     }
   90178    case 4:
   90179     $tail14 = $call12 + 16 | 0;
   90180     $11 = $tail14;
   90181     $12 = HEAP32[$11 >> 2] | 0;
   90182     $13 = HEAP32[$tail >> 2] | 0;
   90183     $cmp = ($12 | 0) == ($13 | 0);
   90184     if ($cmp) {
   90185       label = 5;
   90186       break;
   90187     } else {
   90188       label = 8;
   90189       break;
   90190     }
   90191    case 5:
   90192     $head17 = $call12 + 12 | 0;
   90193     $14 = $head17;
   90194     $15 = HEAP32[$14 >> 2] | 0;
   90195     $16 = HEAP32[$head >> 2] | 0;
   90196     $cmp19 = ($15 | 0) == ($16 | 0);
   90197     if ($cmp19) {
   90198       label = 6;
   90199       break;
   90200     } else {
   90201       label = 8;
   90202       break;
   90203     }
   90204    case 6:
   90205     $17 = $e;
   90206     $18 = HEAP32[$17 >> 2] | 0;
   90207     $_mask = $18 & 240;
   90208     $cmp21 = ($_mask | 0) == 0;
   90209     if ($cmp21) {
   90210       label = 7;
   90211       break;
   90212     } else {
   90213       label = 8;
   90214       break;
   90215     }
   90216    case 7:
   90217     $19 = $18 & -241;
   90218     $20 = $19 | 16;
   90219     HEAP32[$17 >> 2] = $20;
   90220     label = 8;
   90221     break;
   90222    case 8:
   90223     $21 = $g;
   90224     $22 = HEAP32[$21 >> 2] | 0;
   90225     $and = $22 & 64;
   90226     $cmp26 = ($and | 0) == 0;
   90227     if ($cmp26) {
   90228       label = 9;
   90229       break;
   90230     } else {
   90231       label = 11;
   90232       break;
   90233     }
   90234    case 9:
   90235     $meta_node = $g + 36 | 0;
   90236     $23 = HEAP32[$meta_node >> 2] | 0;
   90237     $graph = $23 + 20 | 0;
   90238     $24 = HEAP32[$graph >> 2] | 0;
   90239     $call29 = _agfstin($24, $23) | 0;
   90240     $tobool3030 = ($call29 | 0) == 0;
   90241     if ($tobool3030) {
   90242       label = 11;
   90243       break;
   90244     } else {
   90245       $f_031 = $call29;
   90246       label = 10;
   90247       break;
   90248     }
   90249    case 10:
   90250     $tail31 = $f_031 + 16 | 0;
   90251     $25 = HEAP32[$tail31 >> 2] | 0;
   90252     $26 = $25;
   90253     $call32 = _agusergraph($26) | 0;
   90254     $27 = $call32;
   90255     _install_edge($27, $e);
   90256     $call33 = _agnxtin($24, $f_031) | 0;
   90257     $tobool30 = ($call33 | 0) == 0;
   90258     if ($tobool30) {
   90259       label = 11;
   90260       break;
   90261     } else {
   90262       $f_031 = $call33;
   90263       label = 10;
   90264       break;
   90265     }
   90266    case 11:
   90267     return;
   90268   }
   90269 }
   90270 function _agfstedge($g, $n) {
   90271   $g = $g | 0;
   90272   $n = $n | 0;
   90273   var $cmp = 0, $cmp1 = 0, $or_cond = 0, $call = 0, $cmp2 = 0, $call4 = 0, $e_0 = 0, label = 0;
   90274   label = 2;
   90275   while (1) switch (label | 0) {
   90276    case 2:
   90277     $cmp = ($g | 0) == 0;
   90278     $cmp1 = ($n | 0) == 0;
   90279     $or_cond = $cmp | $cmp1;
   90280     if ($or_cond) {
   90281       $e_0 = 0;
   90282       label = 5;
   90283       break;
   90284     } else {
   90285       label = 3;
   90286       break;
   90287     }
   90288    case 3:
   90289     $call = _agfstout($g, $n) | 0;
   90290     $cmp2 = ($call | 0) == 0;
   90291     if ($cmp2) {
   90292       label = 4;
   90293       break;
   90294     } else {
   90295       $e_0 = $call;
   90296       label = 5;
   90297       break;
   90298     }
   90299    case 4:
   90300     $call4 = _agfstin($g, $n) | 0;
   90301     $e_0 = $call4;
   90302     label = 5;
   90303     break;
   90304    case 5:
   90305     return $e_0 | 0;
   90306   }
   90307   return 0;
   90308 }
   90309 function _agfstout($g, $n) {
   90310   $g = $g | 0;
   90311   $n = $n | 0;
   90312   var $key = 0, $cmp = 0, $cmp1 = 0, $or_cond = 0, $tail = 0, $head = 0, $attr = 0, $outedges = 0, $0 = 0, $searchf = 0, $1 = 0, $2 = 0, $call = 0, $3 = 0, $tobool = 0, $tail4 = 0, $4 = 0, $5 = 0, $cmp5 = 0, $_ = 0, $f_0 = 0, label = 0, __stackBase__ = 0;
   90313   __stackBase__ = STACKTOP;
   90314   STACKTOP = STACKTOP + 32 | 0;
   90315   label = 2;
   90316   while (1) switch (label | 0) {
   90317    case 2:
   90318     $key = __stackBase__ | 0;
   90319     $cmp = ($g | 0) == 0;
   90320     $cmp1 = ($n | 0) == 0;
   90321     $or_cond = $cmp | $cmp1;
   90322     if ($or_cond) {
   90323       $f_0 = 0;
   90324       label = 5;
   90325       break;
   90326     } else {
   90327       label = 3;
   90328       break;
   90329     }
   90330    case 3:
   90331     $tail = $key + 16 | 0;
   90332     HEAP32[$tail >> 2] = $n;
   90333     $head = $key + 12 | 0;
   90334     HEAP32[$head >> 2] = 0;
   90335     $attr = $key + 4 | 0;
   90336     HEAP32[$attr >> 2] = 0;
   90337     $outedges = $g + 28 | 0;
   90338     $0 = HEAP32[$outedges >> 2] | 0;
   90339     $searchf = $0 | 0;
   90340     $1 = HEAP32[$searchf >> 2] | 0;
   90341     $2 = $key | 0;
   90342     $call = FUNCTION_TABLE_iiii[$1 & 1023]($0, $2, 8) | 0;
   90343     $3 = $call;
   90344     $tobool = ($call | 0) == 0;
   90345     if ($tobool) {
   90346       $f_0 = $3;
   90347       label = 5;
   90348       break;
   90349     } else {
   90350       label = 4;
   90351       break;
   90352     }
   90353    case 4:
   90354     $tail4 = $call + 16 | 0;
   90355     $4 = $tail4;
   90356     $5 = HEAP32[$4 >> 2] | 0;
   90357     $cmp5 = ($5 | 0) == ($n | 0);
   90358     $_ = $cmp5 ? $3 : 0;
   90359     STACKTOP = __stackBase__;
   90360     return $_ | 0;
   90361    case 5:
   90362     STACKTOP = __stackBase__;
   90363     return $f_0 | 0;
   90364   }
   90365   return 0;
   90366 }
   90367 function _agfstin($g, $n) {
   90368   $g = $g | 0;
   90369   $n = $n | 0;
   90370   var $key = 0, $cmp = 0, $cmp1 = 0, $or_cond = 0, $head = 0, $tail = 0, $attr = 0, $inedges = 0, $0 = 0, $searchf = 0, $1 = 0, $2 = 0, $call = 0, $3 = 0, $tobool = 0, $head4 = 0, $4 = 0, $5 = 0, $cmp5 = 0, $_ = 0, $f_0 = 0, label = 0, __stackBase__ = 0;
   90371   __stackBase__ = STACKTOP;
   90372   STACKTOP = STACKTOP + 32 | 0;
   90373   label = 2;
   90374   while (1) switch (label | 0) {
   90375    case 2:
   90376     $key = __stackBase__ | 0;
   90377     $cmp = ($g | 0) == 0;
   90378     $cmp1 = ($n | 0) == 0;
   90379     $or_cond = $cmp | $cmp1;
   90380     if ($or_cond) {
   90381       $f_0 = 0;
   90382       label = 5;
   90383       break;
   90384     } else {
   90385       label = 3;
   90386       break;
   90387     }
   90388    case 3:
   90389     $head = $key + 12 | 0;
   90390     HEAP32[$head >> 2] = $n;
   90391     $tail = $key + 16 | 0;
   90392     HEAP32[$tail >> 2] = 0;
   90393     $attr = $key + 4 | 0;
   90394     HEAP32[$attr >> 2] = 0;
   90395     $inedges = $g + 24 | 0;
   90396     $0 = HEAP32[$inedges >> 2] | 0;
   90397     $searchf = $0 | 0;
   90398     $1 = HEAP32[$searchf >> 2] | 0;
   90399     $2 = $key | 0;
   90400     $call = FUNCTION_TABLE_iiii[$1 & 1023]($0, $2, 8) | 0;
   90401     $3 = $call;
   90402     $tobool = ($call | 0) == 0;
   90403     if ($tobool) {
   90404       $f_0 = $3;
   90405       label = 5;
   90406       break;
   90407     } else {
   90408       label = 4;
   90409       break;
   90410     }
   90411    case 4:
   90412     $head4 = $call + 12 | 0;
   90413     $4 = $head4;
   90414     $5 = HEAP32[$4 >> 2] | 0;
   90415     $cmp5 = ($5 | 0) == ($n | 0);
   90416     $_ = $cmp5 ? $3 : 0;
   90417     STACKTOP = __stackBase__;
   90418     return $_ | 0;
   90419    case 5:
   90420     STACKTOP = __stackBase__;
   90421     return $f_0 | 0;
   90422   }
   90423   return 0;
   90424 }
   90425 function _agnxtedge($g, $e, $n) {
   90426   $g = $g | 0;
   90427   $e = $e | 0;
   90428   $n = $n | 0;
   90429   var $cmp = 0, $cmp1 = 0, $or_cond = 0, $cmp3 = 0, $or_cond38 = 0, $tail = 0, $0 = 0, $cmp4 = 0, $outedges = 0, $1 = 0, $searchf = 0, $2 = 0, $3 = 0, $call = 0, $cmp7 = 0, $4 = 0, $tail9 = 0, $5 = 0, $6 = 0, $cmp10 = 0, $call12 = 0, $tobool44 = 0, $inedges = 0, $f_045 = 0, $head = 0, $7 = 0, $tail14 = 0, $8 = 0, $cmp15 = 0, $cmp17 = 0, $or_cond39 = 0, $9 = 0, $searchf18 = 0, $10 = 0, $11 = 0, $call20 = 0, $12 = 0, $tobool = 0, $head21 = 0, $13 = 0, $cmp22 = 0, $inedges25 = 0, $14 = 0, $searchf26 = 0, $15 = 0, $16 = 0, $call28 = 0, $17 = 0, $f_1_ph = 0, $tobool3242 = 0, $inedges42 = 0, $f_143 = 0, $head34 = 0, $18 = 0, $tail35 = 0, $19 = 0, $cmp36 = 0, $cmp39 = 0, $or_cond40 = 0, $20 = 0, $searchf43 = 0, $21 = 0, $22 = 0, $call45 = 0, $23 = 0, $tobool32 = 0, $f_1_ = 0, $retval_0 = 0, label = 0;
   90430   label = 2;
   90431   while (1) switch (label | 0) {
   90432    case 2:
   90433     $cmp = ($g | 0) == 0;
   90434     $cmp1 = ($e | 0) == 0;
   90435     $or_cond = $cmp | $cmp1;
   90436     $cmp3 = ($n | 0) == 0;
   90437     $or_cond38 = $or_cond | $cmp3;
   90438     if ($or_cond38) {
   90439       $retval_0 = 0;
   90440       label = 17;
   90441       break;
   90442     } else {
   90443       label = 3;
   90444       break;
   90445     }
   90446    case 3:
   90447     $tail = $e + 16 | 0;
   90448     $0 = HEAP32[$tail >> 2] | 0;
   90449     $cmp4 = ($0 | 0) == ($n | 0);
   90450     if ($cmp4) {
   90451       label = 4;
   90452       break;
   90453     } else {
   90454       label = 10;
   90455       break;
   90456     }
   90457    case 4:
   90458     $outedges = $g + 28 | 0;
   90459     $1 = HEAP32[$outedges >> 2] | 0;
   90460     $searchf = $1 | 0;
   90461     $2 = HEAP32[$searchf >> 2] | 0;
   90462     $3 = $e | 0;
   90463     $call = FUNCTION_TABLE_iiii[$2 & 1023]($1, $3, 8) | 0;
   90464     $cmp7 = ($call | 0) == 0;
   90465     if ($cmp7) {
   90466       label = 6;
   90467       break;
   90468     } else {
   90469       label = 5;
   90470       break;
   90471     }
   90472    case 5:
   90473     $4 = $call;
   90474     $tail9 = $call + 16 | 0;
   90475     $5 = $tail9;
   90476     $6 = HEAP32[$5 >> 2] | 0;
   90477     $cmp10 = ($6 | 0) == ($n | 0);
   90478     if ($cmp10) {
   90479       $retval_0 = $4;
   90480       label = 17;
   90481       break;
   90482     } else {
   90483       label = 6;
   90484       break;
   90485     }
   90486    case 6:
   90487     $call12 = _agfstin($g, $n) | 0;
   90488     $tobool44 = ($call12 | 0) == 0;
   90489     if ($tobool44) {
   90490       $retval_0 = 0;
   90491       label = 17;
   90492       break;
   90493     } else {
   90494       label = 7;
   90495       break;
   90496     }
   90497    case 7:
   90498     $inedges = $g + 24 | 0;
   90499     $f_045 = $call12;
   90500     label = 8;
   90501     break;
   90502    case 8:
   90503     $head = $f_045 + 12 | 0;
   90504     $7 = HEAP32[$head >> 2] | 0;
   90505     $tail14 = $f_045 + 16 | 0;
   90506     $8 = HEAP32[$tail14 >> 2] | 0;
   90507     $cmp15 = ($7 | 0) == ($8 | 0);
   90508     $cmp17 = ($7 | 0) == ($n | 0);
   90509     $or_cond39 = $cmp15 & $cmp17;
   90510     if ($or_cond39) {
   90511       label = 9;
   90512       break;
   90513     } else {
   90514       $f_1_ph = $f_045;
   90515       label = 12;
   90516       break;
   90517     }
   90518    case 9:
   90519     $9 = HEAP32[$inedges >> 2] | 0;
   90520     $searchf18 = $9 | 0;
   90521     $10 = HEAP32[$searchf18 >> 2] | 0;
   90522     $11 = $f_045 | 0;
   90523     $call20 = FUNCTION_TABLE_iiii[$10 & 1023]($9, $11, 8) | 0;
   90524     $12 = $call20;
   90525     $tobool = ($call20 | 0) == 0;
   90526     if ($tobool) {
   90527       $retval_0 = 0;
   90528       label = 17;
   90529       break;
   90530     } else {
   90531       $f_045 = $12;
   90532       label = 8;
   90533       break;
   90534     }
   90535    case 10:
   90536     $head21 = $e + 12 | 0;
   90537     $13 = HEAP32[$head21 >> 2] | 0;
   90538     $cmp22 = ($13 | 0) == ($n | 0);
   90539     if ($cmp22) {
   90540       label = 11;
   90541       break;
   90542     } else {
   90543       $retval_0 = 0;
   90544       label = 17;
   90545       break;
   90546     }
   90547    case 11:
   90548     $inedges25 = $g + 24 | 0;
   90549     $14 = HEAP32[$inedges25 >> 2] | 0;
   90550     $searchf26 = $14 | 0;
   90551     $15 = HEAP32[$searchf26 >> 2] | 0;
   90552     $16 = $e | 0;
   90553     $call28 = FUNCTION_TABLE_iiii[$15 & 1023]($14, $16, 8) | 0;
   90554     $17 = $call28;
   90555     $f_1_ph = $17;
   90556     label = 12;
   90557     break;
   90558    case 12:
   90559     $tobool3242 = ($f_1_ph | 0) == 0;
   90560     if ($tobool3242) {
   90561       $retval_0 = 0;
   90562       label = 17;
   90563       break;
   90564     } else {
   90565       label = 13;
   90566       break;
   90567     }
   90568    case 13:
   90569     $inedges42 = $g + 24 | 0;
   90570     $f_143 = $f_1_ph;
   90571     label = 14;
   90572     break;
   90573    case 14:
   90574     $head34 = $f_143 + 12 | 0;
   90575     $18 = HEAP32[$head34 >> 2] | 0;
   90576     $tail35 = $f_143 + 16 | 0;
   90577     $19 = HEAP32[$tail35 >> 2] | 0;
   90578     $cmp36 = ($18 | 0) == ($19 | 0);
   90579     $cmp39 = ($18 | 0) == ($n | 0);
   90580     $or_cond40 = $cmp36 & $cmp39;
   90581     if ($or_cond40) {
   90582       label = 15;
   90583       break;
   90584     } else {
   90585       label = 16;
   90586       break;
   90587     }
   90588    case 15:
   90589     $20 = HEAP32[$inedges42 >> 2] | 0;
   90590     $searchf43 = $20 | 0;
   90591     $21 = HEAP32[$searchf43 >> 2] | 0;
   90592     $22 = $f_143 | 0;
   90593     $call45 = FUNCTION_TABLE_iiii[$21 & 1023]($20, $22, 8) | 0;
   90594     $23 = $call45;
   90595     $tobool32 = ($call45 | 0) == 0;
   90596     if ($tobool32) {
   90597       $retval_0 = 0;
   90598       label = 17;
   90599       break;
   90600     } else {
   90601       $f_143 = $23;
   90602       label = 14;
   90603       break;
   90604     }
   90605    case 16:
   90606     $f_1_ = $cmp39 ? $f_143 : 0;
   90607     return $f_1_ | 0;
   90608    case 17:
   90609     return $retval_0 | 0;
   90610   }
   90611   return 0;
   90612 }
   90613 function _agnxtout($g, $e) {
   90614   $g = $g | 0;
   90615   $e = $e | 0;
   90616   var $outedges = 0, $0 = 0, $searchf = 0, $1 = 0, $2 = 0, $call = 0, $3 = 0, $tobool = 0, $tail = 0, $4 = 0, $5 = 0, $tail2 = 0, $6 = 0, $cmp = 0, $_ = 0, label = 0;
   90617   label = 2;
   90618   while (1) switch (label | 0) {
   90619    case 2:
   90620     $outedges = $g + 28 | 0;
   90621     $0 = HEAP32[$outedges >> 2] | 0;
   90622     $searchf = $0 | 0;
   90623     $1 = HEAP32[$searchf >> 2] | 0;
   90624     $2 = $e | 0;
   90625     $call = FUNCTION_TABLE_iiii[$1 & 1023]($0, $2, 8) | 0;
   90626     $3 = $call;
   90627     $tobool = ($call | 0) == 0;
   90628     if ($tobool) {
   90629       label = 4;
   90630       break;
   90631     } else {
   90632       label = 3;
   90633       break;
   90634     }
   90635    case 3:
   90636     $tail = $call + 16 | 0;
   90637     $4 = $tail;
   90638     $5 = HEAP32[$4 >> 2] | 0;
   90639     $tail2 = $e + 16 | 0;
   90640     $6 = HEAP32[$tail2 >> 2] | 0;
   90641     $cmp = ($5 | 0) == ($6 | 0);
   90642     $_ = $cmp ? $3 : 0;
   90643     return $_ | 0;
   90644    case 4:
   90645     return $3 | 0;
   90646   }
   90647   return 0;
   90648 }
   90649 function _agnxtin($g, $e) {
   90650   $g = $g | 0;
   90651   $e = $e | 0;
   90652   var $inedges = 0, $0 = 0, $searchf = 0, $1 = 0, $2 = 0, $call = 0, $3 = 0, $tobool = 0, $head = 0, $4 = 0, $5 = 0, $head2 = 0, $6 = 0, $cmp = 0, $_ = 0, label = 0;
   90653   label = 2;
   90654   while (1) switch (label | 0) {
   90655    case 2:
   90656     $inedges = $g + 24 | 0;
   90657     $0 = HEAP32[$inedges >> 2] | 0;
   90658     $searchf = $0 | 0;
   90659     $1 = HEAP32[$searchf >> 2] | 0;
   90660     $2 = $e | 0;
   90661     $call = FUNCTION_TABLE_iiii[$1 & 1023]($0, $2, 8) | 0;
   90662     $3 = $call;
   90663     $tobool = ($call | 0) == 0;
   90664     if ($tobool) {
   90665       label = 4;
   90666       break;
   90667     } else {
   90668       label = 3;
   90669       break;
   90670     }
   90671    case 3:
   90672     $head = $call + 12 | 0;
   90673     $4 = $head;
   90674     $5 = HEAP32[$4 >> 2] | 0;
   90675     $head2 = $e + 12 | 0;
   90676     $6 = HEAP32[$head2 >> 2] | 0;
   90677     $cmp = ($5 | 0) == ($6 | 0);
   90678     $_ = $cmp ? $3 : 0;
   90679     return $_ | 0;
   90680    case 4:
   90681     return $3 | 0;
   90682   }
   90683   return 0;
   90684 }
   90685 function _agNEWedge($subg, $tail, $head, $proto) {
   90686   $subg = $subg | 0;
   90687   $tail = $tail | 0;
   90688   $head = $head | 0;
   90689   $proto = $proto | 0;
   90690   var $0 = 0, $call = 0, $1 = 0, $2 = 0, $3 = 0, $4 = 0, $5 = 0, $tail1 = 0, $6 = 0, $head2 = 0, $7 = 0, $univ = 0, $8 = 0, $max_edge_id = 0, $9 = 0, $inc = 0, $id = 0, $10 = 0, $11 = 0, $edgeattr = 0, $12 = 0, $dict = 0, $13 = 0, $call4 = 0, $tobool = 0, $attr7 = 0, $14 = 0, $didset8 = 0, $15 = 0, $call5 = 0, $16 = 0, $attr = 0, $17 = 0, $sub = 0, $div = 0, $call6 = 0, $didset = 0, $18 = 0, $cmp19 = 0, $tobool9 = 0, $attr15 = 0, $19 = 0, $attr10 = 0, $i_020 = 0, $20 = 0, $arrayidx = 0, $21 = 0, $edgeattr12 = 0, $22 = 0, $list = 0, $23 = 0, $arrayidx13 = 0, $24 = 0, $value = 0, $cond_in = 0, $cond = 0, $call14 = 0, $25 = 0, $arrayidx16 = 0, $inc17 = 0, $cmp = 0, label = 0;
   90691   label = 2;
   90692   while (1) switch (label | 0) {
   90693    case 2:
   90694     $0 = HEAP32[42136] | 0;
   90695     $call = _calloc(1, $0) | 0;
   90696     $1 = $call;
   90697     $2 = $call;
   90698     $3 = HEAP32[$2 >> 2] | 0;
   90699     $4 = $3 & -16;
   90700     $5 = $4 | 2;
   90701     HEAP32[$2 >> 2] = $5;
   90702     $tail1 = $call + 16 | 0;
   90703     $6 = $tail1;
   90704     HEAP32[$6 >> 2] = $tail;
   90705     $head2 = $call + 12 | 0;
   90706     $7 = $head2;
   90707     HEAP32[$7 >> 2] = $head;
   90708     $univ = $subg + 16 | 0;
   90709     $8 = HEAP32[$univ >> 2] | 0;
   90710     $max_edge_id = $8 + 20 | 0;
   90711     $9 = HEAP32[$max_edge_id >> 2] | 0;
   90712     $inc = $9 + 1 | 0;
   90713     HEAP32[$max_edge_id >> 2] = $inc;
   90714     $id = $call + 20 | 0;
   90715     $10 = $id;
   90716     HEAP32[$10 >> 2] = $9;
   90717     $11 = HEAP32[$univ >> 2] | 0;
   90718     $edgeattr = $11 + 8 | 0;
   90719     $12 = HEAP32[$edgeattr >> 2] | 0;
   90720     $dict = $12 + 4 | 0;
   90721     $13 = HEAP32[$dict >> 2] | 0;
   90722     $call4 = _dtsize($13) | 0;
   90723     $tobool = ($call4 | 0) == 0;
   90724     if ($tobool) {
   90725       label = 3;
   90726       break;
   90727     } else {
   90728       label = 4;
   90729       break;
   90730     }
   90731    case 3:
   90732     $attr7 = $call + 4 | 0;
   90733     $14 = $attr7;
   90734     HEAP32[$14 >> 2] = 0;
   90735     $didset8 = $call + 8 | 0;
   90736     $15 = $didset8;
   90737     HEAP32[$15 >> 2] = 0;
   90738     label = 10;
   90739     break;
   90740    case 4:
   90741     $call5 = _calloc($call4, 4) | 0;
   90742     $16 = $call5;
   90743     $attr = $call + 4 | 0;
   90744     $17 = $attr;
   90745     HEAP32[$17 >> 2] = $16;
   90746     $sub = $call4 + 7 | 0;
   90747     $div = ($sub | 0) / 8 & -1;
   90748     $call6 = _calloc($div, 1) | 0;
   90749     $didset = $call + 8 | 0;
   90750     $18 = $didset;
   90751     HEAP32[$18 >> 2] = $call6;
   90752     $cmp19 = ($call4 | 0) > 0;
   90753     if ($cmp19) {
   90754       label = 5;
   90755       break;
   90756     } else {
   90757       label = 10;
   90758       break;
   90759     }
   90760    case 5:
   90761     $tobool9 = ($proto | 0) == 0;
   90762     $attr15 = $call + 4 | 0;
   90763     $19 = $attr15;
   90764     $attr10 = $proto + 4 | 0;
   90765     $i_020 = 0;
   90766     label = 6;
   90767     break;
   90768    case 6:
   90769     if ($tobool9) {
   90770       label = 8;
   90771       break;
   90772     } else {
   90773       label = 7;
   90774       break;
   90775     }
   90776    case 7:
   90777     $20 = HEAP32[$attr10 >> 2] | 0;
   90778     $arrayidx = $20 + ($i_020 << 2) | 0;
   90779     $cond_in = $arrayidx;
   90780     label = 9;
   90781     break;
   90782    case 8:
   90783     $21 = HEAP32[$univ >> 2] | 0;
   90784     $edgeattr12 = $21 + 8 | 0;
   90785     $22 = HEAP32[$edgeattr12 >> 2] | 0;
   90786     $list = $22 + 8 | 0;
   90787     $23 = HEAP32[$list >> 2] | 0;
   90788     $arrayidx13 = $23 + ($i_020 << 2) | 0;
   90789     $24 = HEAP32[$arrayidx13 >> 2] | 0;
   90790     $value = $24 + 4 | 0;
   90791     $cond_in = $value;
   90792     label = 9;
   90793     break;
   90794    case 9:
   90795     $cond = HEAP32[$cond_in >> 2] | 0;
   90796     $call14 = _agstrdup($cond) | 0;
   90797     $25 = HEAP32[$19 >> 2] | 0;
   90798     $arrayidx16 = $25 + ($i_020 << 2) | 0;
   90799     HEAP32[$arrayidx16 >> 2] = $call14;
   90800     $inc17 = $i_020 + 1 | 0;
   90801     $cmp = ($inc17 | 0) < ($call4 | 0);
   90802     if ($cmp) {
   90803       $i_020 = $inc17;
   90804       label = 6;
   90805       break;
   90806     } else {
   90807       label = 10;
   90808       break;
   90809     }
   90810    case 10:
   90811     return $1 | 0;
   90812   }
   90813   return 0;
   90814 }
   90815 function _agedge($g, $tail, $head) {
   90816   $g = $g | 0;
   90817   $tail = $tail | 0;
   90818   $head = $head | 0;
   90819   var $key = 0, $proto = 0, $0 = 0, $e1 = 0, $1 = 0, $attr = 0, $2 = 0, $3 = 0, $head4 = 0, $4 = 0, $e6 = 0, $5 = 0, $tail7 = 0, $6 = 0, $7 = 0, $and = 0, $tobool = 0, $call = 0, $tobool8 = 0, $8 = 0, $and11 = 0, $tobool12 = 0, $call14 = 0, $tobool15 = 0, $e_048 = 0, $9 = 0, $tobool19 = 0, $call21 = 0, $tobool22 = 0, $10 = 0, $and26 = 0, $tobool27 = 0, $call29 = 0, $tobool31 = 0, $e_152 = 0, $arraydecay = 0, $11 = 0, $inc = 0, $call36 = 0, $12 = 0, $e39 = 0, $13 = 0, $attr40 = 0, $14 = 0, $printkey_054 = 0, $15 = 0, $e46 = 0, $16 = 0, $call47 = 0, $17 = 0, $n = 0, $18 = 0, $e50 = 0, $19 = 0, $tail51 = 0, $20 = 0, $e53 = 0, $21 = 0, $head54 = 0, $22 = 0, $23 = 0, $24 = 0, $25 = 0, $e_3 = 0, $26 = 0, $e57 = 0, $27 = 0, $attr58 = 0, $28 = 0, label = 0, __stackBase__ = 0;
   90820   __stackBase__ = STACKTOP;
   90821   STACKTOP = STACKTOP + 128 | 0;
   90822   label = 2;
   90823   while (1) switch (label | 0) {
   90824    case 2:
   90825     $key = __stackBase__ | 0;
   90826     $proto = $g + 40 | 0;
   90827     $0 = HEAP32[$proto >> 2] | 0;
   90828     $e1 = $0 + 4 | 0;
   90829     $1 = HEAP32[$e1 >> 2] | 0;
   90830     $attr = $1 + 4 | 0;
   90831     $2 = HEAP32[$attr >> 2] | 0;
   90832     $3 = HEAP32[$2 >> 2] | 0;
   90833     $head4 = $1 + 12 | 0;
   90834     HEAP32[$head4 >> 2] = $head;
   90835     $4 = HEAP32[$proto >> 2] | 0;
   90836     $e6 = $4 + 4 | 0;
   90837     $5 = HEAP32[$e6 >> 2] | 0;
   90838     $tail7 = $5 + 16 | 0;
   90839     HEAP32[$tail7 >> 2] = $tail;
   90840     $6 = $g;
   90841     $7 = HEAP32[$6 >> 2] | 0;
   90842     $and = $7 & 32;
   90843     $tobool = ($and | 0) == 0;
   90844     if ($tobool) {
   90845       label = 7;
   90846       break;
   90847     } else {
   90848       label = 3;
   90849       break;
   90850     }
   90851    case 3:
   90852     $call = _esearch($g, $tail, $head, 0) | 0;
   90853     $tobool8 = ($call | 0) == 0;
   90854     if ($tobool8) {
   90855       label = 4;
   90856       break;
   90857     } else {
   90858       $e_048 = $call;
   90859       label = 6;
   90860       break;
   90861     }
   90862    case 4:
   90863     $8 = HEAP32[$6 >> 2] | 0;
   90864     $and11 = $8 & 16;
   90865     $tobool12 = ($and11 | 0) == 0;
   90866     if ($tobool12) {
   90867       label = 5;
   90868       break;
   90869     } else {
   90870       $printkey_054 = 0;
   90871       label = 13;
   90872       break;
   90873     }
   90874    case 5:
   90875     $call14 = _esearch($g, $head, $tail, 0) | 0;
   90876     $tobool15 = ($call14 | 0) == 0;
   90877     if ($tobool15) {
   90878       $printkey_054 = 0;
   90879       label = 13;
   90880       break;
   90881     } else {
   90882       $e_048 = $call14;
   90883       label = 6;
   90884       break;
   90885     }
   90886    case 6:
   90887     _install_edge($g, $e_048);
   90888     $e_3 = $e_048;
   90889     label = 14;
   90890     break;
   90891    case 7:
   90892     $9 = HEAP8[$3] | 0;
   90893     $tobool19 = $9 << 24 >> 24 == 0;
   90894     if ($tobool19) {
   90895       label = 12;
   90896       break;
   90897     } else {
   90898       label = 8;
   90899       break;
   90900     }
   90901    case 8:
   90902     $call21 = _esearch($g, $tail, $head, $3) | 0;
   90903     $tobool22 = ($call21 | 0) == 0;
   90904     if ($tobool22) {
   90905       label = 9;
   90906       break;
   90907     } else {
   90908       $e_152 = $call21;
   90909       label = 11;
   90910       break;
   90911     }
   90912    case 9:
   90913     $10 = HEAP32[$6 >> 2] | 0;
   90914     $and26 = $10 & 16;
   90915     $tobool27 = ($and26 | 0) == 0;
   90916     if ($tobool27) {
   90917       label = 10;
   90918       break;
   90919     } else {
   90920       $printkey_054 = 32;
   90921       label = 13;
   90922       break;
   90923     }
   90924    case 10:
   90925     $call29 = _esearch($g, $head, $tail, $3) | 0;
   90926     $tobool31 = ($call29 | 0) == 0;
   90927     if ($tobool31) {
   90928       $printkey_054 = 32;
   90929       label = 13;
   90930       break;
   90931     } else {
   90932       $e_152 = $call29;
   90933       label = 11;
   90934       break;
   90935     }
   90936    case 11:
   90937     _agINSedge($g, $e_152);
   90938     $e_3 = $e_152;
   90939     label = 14;
   90940     break;
   90941    case 12:
   90942     $arraydecay = $key | 0;
   90943     $11 = HEAP32[22298] | 0;
   90944     $inc = $11 + 1 | 0;
   90945     HEAP32[22298] = $inc;
   90946     $call36 = _sprintf($arraydecay | 0, 103776, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $11, tempInt) | 0) | 0;
   90947     $12 = HEAP32[$proto >> 2] | 0;
   90948     $e39 = $12 + 4 | 0;
   90949     $13 = HEAP32[$e39 >> 2] | 0;
   90950     $attr40 = $13 + 4 | 0;
   90951     $14 = HEAP32[$attr40 >> 2] | 0;
   90952     HEAP32[$14 >> 2] = $arraydecay;
   90953     $printkey_054 = 0;
   90954     label = 13;
   90955     break;
   90956    case 13:
   90957     $15 = HEAP32[$proto >> 2] | 0;
   90958     $e46 = $15 + 4 | 0;
   90959     $16 = HEAP32[$e46 >> 2] | 0;
   90960     $call47 = _agNEWedge($g, $tail, $head, $16) | 0;
   90961     _install_edge($g, $call47);
   90962     $17 = HEAP32[$proto >> 2] | 0;
   90963     $n = $17 | 0;
   90964     $18 = HEAP32[$n >> 2] | 0;
   90965     $e50 = $17 + 4 | 0;
   90966     $19 = HEAP32[$e50 >> 2] | 0;
   90967     $tail51 = $19 + 16 | 0;
   90968     HEAP32[$tail51 >> 2] = $18;
   90969     $20 = HEAP32[$proto >> 2] | 0;
   90970     $e53 = $20 + 4 | 0;
   90971     $21 = HEAP32[$e53 >> 2] | 0;
   90972     $head54 = $21 + 12 | 0;
   90973     HEAP32[$head54 >> 2] = $18;
   90974     $22 = $call47;
   90975     $23 = HEAP32[$22 >> 2] | 0;
   90976     $24 = $23 & -241;
   90977     $25 = $24 | $printkey_054;
   90978     HEAP32[$22 >> 2] = $25;
   90979     $e_3 = $call47;
   90980     label = 14;
   90981     break;
   90982    case 14:
   90983     $26 = HEAP32[$proto >> 2] | 0;
   90984     $e57 = $26 + 4 | 0;
   90985     $27 = HEAP32[$e57 >> 2] | 0;
   90986     $attr58 = $27 + 4 | 0;
   90987     $28 = HEAP32[$attr58 >> 2] | 0;
   90988     HEAP32[$28 >> 2] = $3;
   90989     STACKTOP = __stackBase__;
   90990     return $e_3 | 0;
   90991   }
   90992   return 0;
   90993 }
   90994 function _agFREEedge($e) {
   90995   $e = $e | 0;
   90996   var $0 = 0, $1 = 0, $2 = 0, $3 = 0, $tail = 0, $4 = 0, $graph = 0, $5 = 0, $univ = 0, $6 = 0, $edgeattr = 0, $7 = 0, $dict1 = 0, $8 = 0, $call2 = 0, $cmp9 = 0, $attr = 0, $9 = 0, $10 = 0, $i_010 = 0, $arrayidx = 0, $11 = 0, $inc = 0, $cmp = 0, $12 = 0, $_lcssa = 0, $13 = 0, $didset = 0, $14 = 0, label = 0;
   90997   label = 2;
   90998   while (1) switch (label | 0) {
   90999    case 2:
   91000     $0 = $e | 0;
   91001     $1 = $e;
   91002     $2 = HEAP32[$1 >> 2] | 0;
   91003     $3 = $2 | 15;
   91004     HEAP32[$1 >> 2] = $3;
   91005     $tail = $e + 16 | 0;
   91006     $4 = HEAP32[$tail >> 2] | 0;
   91007     $graph = $4 + 20 | 0;
   91008     $5 = HEAP32[$graph >> 2] | 0;
   91009     $univ = $5 + 16 | 0;
   91010     $6 = HEAP32[$univ >> 2] | 0;
   91011     $edgeattr = $6 + 8 | 0;
   91012     $7 = HEAP32[$edgeattr >> 2] | 0;
   91013     $dict1 = $7 + 4 | 0;
   91014     $8 = HEAP32[$dict1 >> 2] | 0;
   91015     $call2 = _dtsize($8) | 0;
   91016     $cmp9 = ($call2 | 0) > 0;
   91017     $attr = $e + 4 | 0;
   91018     $9 = HEAP32[$attr >> 2] | 0;
   91019     if ($cmp9) {
   91020       $i_010 = 0;
   91021       $10 = $9;
   91022       label = 3;
   91023       break;
   91024     } else {
   91025       $_lcssa = $9;
   91026       label = 4;
   91027       break;
   91028     }
   91029    case 3:
   91030     $arrayidx = $10 + ($i_010 << 2) | 0;
   91031     $11 = HEAP32[$arrayidx >> 2] | 0;
   91032     _agstrfree($11);
   91033     $inc = $i_010 + 1 | 0;
   91034     $cmp = ($inc | 0) < ($call2 | 0);
   91035     $12 = HEAP32[$attr >> 2] | 0;
   91036     if ($cmp) {
   91037       $i_010 = $inc;
   91038       $10 = $12;
   91039       label = 3;
   91040       break;
   91041     } else {
   91042       $_lcssa = $12;
   91043       label = 4;
   91044       break;
   91045     }
   91046    case 4:
   91047     $13 = $_lcssa;
   91048     _free($13);
   91049     $didset = $e + 8 | 0;
   91050     $14 = HEAP32[$didset >> 2] | 0;
   91051     _free($14);
   91052     _free($0);
   91053     return;
   91054   }
   91055 }
   91056 function _agDELedge($g, $e) {
   91057   $g = $g | 0;
   91058   $e = $e | 0;
   91059   var $inedges = 0, $0 = 0, $searchf = 0, $1 = 0, $2 = 0, $call = 0, $cmp = 0, $call2 = 0, $3 = 0, $call4 = 0, $4 = 0, $5 = 0, $and = 0, $cmp5 = 0, $meta_node = 0, $6 = 0, $graph = 0, $7 = 0, $call8 = 0, $tobool24 = 0, $f_025 = 0, $head = 0, $8 = 0, $9 = 0, $call9 = 0, $inedges10 = 0, $10 = 0, $11 = 0, $searchf11 = 0, $12 = 0, $call13 = 0, $tobool14 = 0, $13 = 0, $call17 = 0, $tobool = 0, $14 = 0, $searchf20 = 0, $15 = 0, $call22 = 0, $outedges = 0, $16 = 0, $searchf23 = 0, $17 = 0, $call25 = 0, $root = 0, $18 = 0, $cmp26 = 0, label = 0, __stackBase__ = 0;
   91060   __stackBase__ = STACKTOP;
   91061   label = 2;
   91062   while (1) switch (label | 0) {
   91063    case 2:
   91064     $inedges = $g + 24 | 0;
   91065     $0 = HEAP32[$inedges >> 2] | 0;
   91066     $searchf = $0 | 0;
   91067     $1 = HEAP32[$searchf >> 2] | 0;
   91068     $2 = $e | 0;
   91069     $call = FUNCTION_TABLE_iiii[$1 & 1023]($0, $2, 4) | 0;
   91070     $cmp = ($call | 0) == 0;
   91071     if ($cmp) {
   91072       label = 3;
   91073       break;
   91074     } else {
   91075       label = 4;
   91076       break;
   91077     }
   91078    case 3:
   91079     $call2 = _agerr(1, 139192, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $e, tempInt) | 0) | 0;
   91080     $3 = HEAP32[$inedges >> 2] | 0;
   91081     $call4 = _dtwalk($3, 98, 0) | 0;
   91082     label = 11;
   91083     break;
   91084    case 4:
   91085     $4 = $g;
   91086     $5 = HEAP32[$4 >> 2] | 0;
   91087     $and = $5 & 64;
   91088     $cmp5 = ($and | 0) == 0;
   91089     if ($cmp5) {
   91090       label = 5;
   91091       break;
   91092     } else {
   91093       label = 9;
   91094       break;
   91095     }
   91096    case 5:
   91097     $meta_node = $g + 36 | 0;
   91098     $6 = HEAP32[$meta_node >> 2] | 0;
   91099     $graph = $6 + 20 | 0;
   91100     $7 = HEAP32[$graph >> 2] | 0;
   91101     $call8 = _agfstout($7, $6) | 0;
   91102     $tobool24 = ($call8 | 0) == 0;
   91103     if ($tobool24) {
   91104       label = 9;
   91105       break;
   91106     } else {
   91107       $f_025 = $call8;
   91108       label = 6;
   91109       break;
   91110     }
   91111    case 6:
   91112     $head = $f_025 + 12 | 0;
   91113     $8 = HEAP32[$head >> 2] | 0;
   91114     $9 = $8;
   91115     $call9 = _agusergraph($9) | 0;
   91116     $inedges10 = $call9 + 24 | 0;
   91117     $10 = HEAP32[$inedges10 >> 2] | 0;
   91118     $11 = $10;
   91119     $searchf11 = $10;
   91120     $12 = HEAP32[$searchf11 >> 2] | 0;
   91121     $call13 = FUNCTION_TABLE_iiii[$12 & 1023]($11, $2, 4) | 0;
   91122     $tobool14 = ($call13 | 0) == 0;
   91123     if ($tobool14) {
   91124       label = 8;
   91125       break;
   91126     } else {
   91127       label = 7;
   91128       break;
   91129     }
   91130    case 7:
   91131     $13 = $call9;
   91132     _agDELedge($13, $e);
   91133     label = 8;
   91134     break;
   91135    case 8:
   91136     $call17 = _agnxtout($7, $f_025) | 0;
   91137     $tobool = ($call17 | 0) == 0;
   91138     if ($tobool) {
   91139       label = 9;
   91140       break;
   91141     } else {
   91142       $f_025 = $call17;
   91143       label = 6;
   91144       break;
   91145     }
   91146    case 9:
   91147     $14 = HEAP32[$inedges >> 2] | 0;
   91148     $searchf20 = $14 | 0;
   91149     $15 = HEAP32[$searchf20 >> 2] | 0;
   91150     $call22 = FUNCTION_TABLE_iiii[$15 & 1023]($14, $2, 2) | 0;
   91151     $outedges = $g + 28 | 0;
   91152     $16 = HEAP32[$outedges >> 2] | 0;
   91153     $searchf23 = $16 | 0;
   91154     $17 = HEAP32[$searchf23 >> 2] | 0;
   91155     $call25 = FUNCTION_TABLE_iiii[$17 & 1023]($16, $2, 2) | 0;
   91156     $root = $g + 32 | 0;
   91157     $18 = HEAP32[$root >> 2] | 0;
   91158     $cmp26 = ($18 | 0) == ($g | 0);
   91159     if ($cmp26) {
   91160       label = 10;
   91161       break;
   91162     } else {
   91163       label = 11;
   91164       break;
   91165     }
   91166    case 10:
   91167     _agFREEedge($e);
   91168     label = 11;
   91169     break;
   91170    case 11:
   91171     STACKTOP = __stackBase__;
   91172     return;
   91173   }
   91174 }
   91175 function _printedge($d, $p, $ignored) {
   91176   $d = $d | 0;
   91177   $p = $p | 0;
   91178   $ignored = $ignored | 0;
   91179   var $2 = 0, $5 = 0, __stackBase__ = 0;
   91180   __stackBase__ = STACKTOP;
   91181   $2 = HEAP32[(HEAP32[$p + 16 >> 2] | 0) + 12 >> 2] | 0;
   91182   $5 = HEAP32[(HEAP32[$p + 12 >> 2] | 0) + 12 >> 2] | 0;
   91183   _agerr(3, 119832, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = $p, HEAP32[tempInt + 8 >> 2] = $2, HEAP32[tempInt + 16 >> 2] = $5, tempInt) | 0);
   91184   STACKTOP = __stackBase__;
   91185   return 0;
   91186 }
   91187 function _agcmpin($d, $e0, $e1, $disc) {
   91188   $d = $d | 0;
   91189   $e0 = $e0 | 0;
   91190   $e1 = $e1 | 0;
   91191   $disc = $disc | 0;
   91192   var $tail = 0, $0 = 0, $tobool = 0, $id = 0, $1 = 0, $cond = 0, $head = 0, $2 = 0, $tobool2 = 0, $id5 = 0, $3 = 0, $cond8 = 0, $tail9 = 0, $4 = 0, $tobool10 = 0, $id13 = 0, $5 = 0, $cond16 = 0, $head17 = 0, $6 = 0, $tobool18 = 0, $id21 = 0, $7 = 0, $cond24 = 0, $cmp = 0, $sub = 0, $cmp25 = 0, $sub27 = 0, $call = 0, $retval_0 = 0, label = 0;
   91193   label = 2;
   91194   while (1) switch (label | 0) {
   91195    case 2:
   91196     $tail = $e0 + 16 | 0;
   91197     $0 = HEAP32[$tail >> 2] | 0;
   91198     $tobool = ($0 | 0) == 0;
   91199     if ($tobool) {
   91200       $cond = -1;
   91201       label = 4;
   91202       break;
   91203     } else {
   91204       label = 3;
   91205       break;
   91206     }
   91207    case 3:
   91208     $id = $0 + 16 | 0;
   91209     $1 = HEAP32[$id >> 2] | 0;
   91210     $cond = $1;
   91211     label = 4;
   91212     break;
   91213    case 4:
   91214     $head = $e0 + 12 | 0;
   91215     $2 = HEAP32[$head >> 2] | 0;
   91216     $tobool2 = ($2 | 0) == 0;
   91217     if ($tobool2) {
   91218       $cond8 = -1;
   91219       label = 6;
   91220       break;
   91221     } else {
   91222       label = 5;
   91223       break;
   91224     }
   91225    case 5:
   91226     $id5 = $2 + 16 | 0;
   91227     $3 = HEAP32[$id5 >> 2] | 0;
   91228     $cond8 = $3;
   91229     label = 6;
   91230     break;
   91231    case 6:
   91232     $tail9 = $e1 + 16 | 0;
   91233     $4 = HEAP32[$tail9 >> 2] | 0;
   91234     $tobool10 = ($4 | 0) == 0;
   91235     if ($tobool10) {
   91236       $cond16 = -1;
   91237       label = 8;
   91238       break;
   91239     } else {
   91240       label = 7;
   91241       break;
   91242     }
   91243    case 7:
   91244     $id13 = $4 + 16 | 0;
   91245     $5 = HEAP32[$id13 >> 2] | 0;
   91246     $cond16 = $5;
   91247     label = 8;
   91248     break;
   91249    case 8:
   91250     $head17 = $e1 + 12 | 0;
   91251     $6 = HEAP32[$head17 >> 2] | 0;
   91252     $tobool18 = ($6 | 0) == 0;
   91253     if ($tobool18) {
   91254       $cond24 = -1;
   91255       label = 10;
   91256       break;
   91257     } else {
   91258       label = 9;
   91259       break;
   91260     }
   91261    case 9:
   91262     $id21 = $6 + 16 | 0;
   91263     $7 = HEAP32[$id21 >> 2] | 0;
   91264     $cond24 = $7;
   91265     label = 10;
   91266     break;
   91267    case 10:
   91268     $cmp = ($cond8 | 0) == ($cond24 | 0);
   91269     if ($cmp) {
   91270       label = 12;
   91271       break;
   91272     } else {
   91273       label = 11;
   91274       break;
   91275     }
   91276    case 11:
   91277     $sub = $cond8 - $cond24 | 0;
   91278     $retval_0 = $sub;
   91279     label = 15;
   91280     break;
   91281    case 12:
   91282     $cmp25 = ($cond | 0) == ($cond16 | 0);
   91283     if ($cmp25) {
   91284       label = 14;
   91285       break;
   91286     } else {
   91287       label = 13;
   91288       break;
   91289     }
   91290    case 13:
   91291     $sub27 = $cond - $cond16 | 0;
   91292     $retval_0 = $sub27;
   91293     label = 15;
   91294     break;
   91295    case 14:
   91296     $call = _keycmp($e0, $e1) | 0;
   91297     $retval_0 = $call;
   91298     label = 15;
   91299     break;
   91300    case 15:
   91301     return $retval_0 | 0;
   91302   }
   91303   return 0;
   91304 }
   91305 function _agcmpout($d, $e0, $e1, $disc) {
   91306   $d = $d | 0;
   91307   $e0 = $e0 | 0;
   91308   $e1 = $e1 | 0;
   91309   $disc = $disc | 0;
   91310   var $tail = 0, $0 = 0, $tobool = 0, $id = 0, $1 = 0, $cond = 0, $head = 0, $2 = 0, $tobool2 = 0, $id5 = 0, $3 = 0, $cond8 = 0, $tail9 = 0, $4 = 0, $tobool10 = 0, $id13 = 0, $5 = 0, $cond16 = 0, $head17 = 0, $6 = 0, $tobool18 = 0, $id21 = 0, $7 = 0, $cond24 = 0, $cmp = 0, $sub = 0, $cmp25 = 0, $sub27 = 0, $call = 0, $retval_0 = 0, label = 0;
   91311   label = 2;
   91312   while (1) switch (label | 0) {
   91313    case 2:
   91314     $tail = $e0 + 16 | 0;
   91315     $0 = HEAP32[$tail >> 2] | 0;
   91316     $tobool = ($0 | 0) == 0;
   91317     if ($tobool) {
   91318       $cond = -1;
   91319       label = 4;
   91320       break;
   91321     } else {
   91322       label = 3;
   91323       break;
   91324     }
   91325    case 3:
   91326     $id = $0 + 16 | 0;
   91327     $1 = HEAP32[$id >> 2] | 0;
   91328     $cond = $1;
   91329     label = 4;
   91330     break;
   91331    case 4:
   91332     $head = $e0 + 12 | 0;
   91333     $2 = HEAP32[$head >> 2] | 0;
   91334     $tobool2 = ($2 | 0) == 0;
   91335     if ($tobool2) {
   91336       $cond8 = -1;
   91337       label = 6;
   91338       break;
   91339     } else {
   91340       label = 5;
   91341       break;
   91342     }
   91343    case 5:
   91344     $id5 = $2 + 16 | 0;
   91345     $3 = HEAP32[$id5 >> 2] | 0;
   91346     $cond8 = $3;
   91347     label = 6;
   91348     break;
   91349    case 6:
   91350     $tail9 = $e1 + 16 | 0;
   91351     $4 = HEAP32[$tail9 >> 2] | 0;
   91352     $tobool10 = ($4 | 0) == 0;
   91353     if ($tobool10) {
   91354       $cond16 = -1;
   91355       label = 8;
   91356       break;
   91357     } else {
   91358       label = 7;
   91359       break;
   91360     }
   91361    case 7:
   91362     $id13 = $4 + 16 | 0;
   91363     $5 = HEAP32[$id13 >> 2] | 0;
   91364     $cond16 = $5;
   91365     label = 8;
   91366     break;
   91367    case 8:
   91368     $head17 = $e1 + 12 | 0;
   91369     $6 = HEAP32[$head17 >> 2] | 0;
   91370     $tobool18 = ($6 | 0) == 0;
   91371     if ($tobool18) {
   91372       $cond24 = -1;
   91373       label = 10;
   91374       break;
   91375     } else {
   91376       label = 9;
   91377       break;
   91378     }
   91379    case 9:
   91380     $id21 = $6 + 16 | 0;
   91381     $7 = HEAP32[$id21 >> 2] | 0;
   91382     $cond24 = $7;
   91383     label = 10;
   91384     break;
   91385    case 10:
   91386     $cmp = ($cond | 0) == ($cond16 | 0);
   91387     if ($cmp) {
   91388       label = 12;
   91389       break;
   91390     } else {
   91391       label = 11;
   91392       break;
   91393     }
   91394    case 11:
   91395     $sub = $cond - $cond16 | 0;
   91396     $retval_0 = $sub;
   91397     label = 15;
   91398     break;
   91399    case 12:
   91400     $cmp25 = ($cond8 | 0) == ($cond24 | 0);
   91401     if ($cmp25) {
   91402       label = 14;
   91403       break;
   91404     } else {
   91405       label = 13;
   91406       break;
   91407     }
   91408    case 13:
   91409     $sub27 = $cond8 - $cond24 | 0;
   91410     $retval_0 = $sub27;
   91411     label = 15;
   91412     break;
   91413    case 14:
   91414     $call = _keycmp($e0, $e1) | 0;
   91415     $retval_0 = $call;
   91416     label = 15;
   91417     break;
   91418    case 15:
   91419     return $retval_0 | 0;
   91420   }
   91421   return 0;
   91422 }
   91423 function _agusergraph($n) {
   91424   $n = $n | 0;
   91425   var $graph = 0, $0 = 0, $meta_node = 0, $1 = 0, $tobool = 0, $attr = 0, $2 = 0, $3 = 0, $4 = 0, $cond = 0, label = 0;
   91426   label = 2;
   91427   while (1) switch (label | 0) {
   91428    case 2:
   91429     $graph = $n + 20 | 0;
   91430     $0 = HEAP32[$graph >> 2] | 0;
   91431     $meta_node = $0 + 36 | 0;
   91432     $1 = HEAP32[$meta_node >> 2] | 0;
   91433     $tobool = ($1 | 0) == 0;
   91434     if ($tobool) {
   91435       label = 3;
   91436       break;
   91437     } else {
   91438       $cond = 0;
   91439       label = 4;
   91440       break;
   91441     }
   91442    case 3:
   91443     $attr = $n + 4 | 0;
   91444     $2 = HEAP32[$attr >> 2] | 0;
   91445     $3 = HEAP32[$2 >> 2] | 0;
   91446     $4 = $3;
   91447     $cond = $4;
   91448     label = 4;
   91449     break;
   91450    case 4:
   91451     return $cond | 0;
   91452   }
   91453   return 0;
   91454 }
   91455 function _keycmp($e0, $e1) {
   91456   $e0 = $e0 | 0;
   91457   $e1 = $e1 | 0;
   91458   var $attr = 0, $0 = 0, $tobool = 0, $1 = 0, $cond = 0, $attr2 = 0, $2 = 0, $tobool3 = 0, $3 = 0, $cond9 = 0, $cmp = 0, $tobool10 = 0, $cond11 = 0, $cmp12 = 0, $call = 0, $retval_0 = 0, label = 0;
   91459   label = 2;
   91460   while (1) switch (label | 0) {
   91461    case 2:
   91462     $attr = $e0 + 4 | 0;
   91463     $0 = HEAP32[$attr >> 2] | 0;
   91464     $tobool = ($0 | 0) == 0;
   91465     if ($tobool) {
   91466       $cond = 0;
   91467       label = 4;
   91468       break;
   91469     } else {
   91470       label = 3;
   91471       break;
   91472     }
   91473    case 3:
   91474     $1 = HEAP32[$0 >> 2] | 0;
   91475     $cond = $1;
   91476     label = 4;
   91477     break;
   91478    case 4:
   91479     $attr2 = $e1 + 4 | 0;
   91480     $2 = HEAP32[$attr2 >> 2] | 0;
   91481     $tobool3 = ($2 | 0) == 0;
   91482     if ($tobool3) {
   91483       $cond9 = 0;
   91484       label = 6;
   91485       break;
   91486     } else {
   91487       label = 5;
   91488       break;
   91489     }
   91490    case 5:
   91491     $3 = HEAP32[$2 >> 2] | 0;
   91492     $cond9 = $3;
   91493     label = 6;
   91494     break;
   91495    case 6:
   91496     $cmp = ($cond | 0) == 0;
   91497     if ($cmp) {
   91498       label = 7;
   91499       break;
   91500     } else {
   91501       label = 8;
   91502       break;
   91503     }
   91504    case 7:
   91505     $tobool10 = ($cond9 | 0) != 0;
   91506     $cond11 = $tobool10 << 31 >> 31;
   91507     $retval_0 = $cond11;
   91508     label = 10;
   91509     break;
   91510    case 8:
   91511     $cmp12 = ($cond9 | 0) == 0;
   91512     if ($cmp12) {
   91513       $retval_0 = 1;
   91514       label = 10;
   91515       break;
   91516     } else {
   91517       label = 9;
   91518       break;
   91519     }
   91520    case 9:
   91521     $call = _strcmp($cond | 0, $cond9 | 0) | 0;
   91522     $retval_0 = $call;
   91523     label = 10;
   91524     break;
   91525    case 10:
   91526     return $retval_0 | 0;
   91527   }
   91528   return 0;
   91529 }
   91530 function _agpushproto($g) {
   91531   $g = $g | 0;
   91532   _dup_proto($g, HEAP32[$g + 40 >> 2] | 0);
   91533   return;
   91534 }
   91535 function _dup_proto($g, $proto) {
   91536   $g = $g | 0;
   91537   $proto = $proto | 0;
   91538   var $call = 0, $0 = 0, $proto1 = 0, $1 = 0, $prev = 0, $2 = 0, $tobool = 0, $n2 = 0, $3 = 0, $e3 = 0, $4 = 0, $phitmp = 0, $phitmp11 = 0, $e_0 = 0, $n_0 = 0, $5 = 0, $call4 = 0, $6 = 0, $n5 = 0, $call8 = 0, $7 = 0, $e9 = 0, $8 = 0, label = 0;
   91539   label = 2;
   91540   while (1) switch (label | 0) {
   91541    case 2:
   91542     $call = _calloc(1, 12) | 0;
   91543     $0 = $call;
   91544     $proto1 = $g + 40 | 0;
   91545     $1 = HEAP32[$proto1 >> 2] | 0;
   91546     $prev = $call + 8 | 0;
   91547     $2 = $prev;
   91548     HEAP32[$2 >> 2] = $1;
   91549     $tobool = ($proto | 0) == 0;
   91550     if ($tobool) {
   91551       $n_0 = 0;
   91552       $e_0 = 0;
   91553       label = 4;
   91554       break;
   91555     } else {
   91556       label = 3;
   91557       break;
   91558     }
   91559    case 3:
   91560     $n2 = $proto | 0;
   91561     $3 = HEAP32[$n2 >> 2] | 0;
   91562     $e3 = $proto + 4 | 0;
   91563     $4 = HEAP32[$e3 >> 2] | 0;
   91564     $phitmp = $3;
   91565     $phitmp11 = $4;
   91566     $n_0 = $phitmp;
   91567     $e_0 = $phitmp11;
   91568     label = 4;
   91569     break;
   91570    case 4:
   91571     $5 = $g;
   91572     $call4 = _agNEWnode($5, 108144, $n_0) | 0;
   91573     $6 = $call4;
   91574     $n5 = $call;
   91575     HEAP32[$n5 >> 2] = $6;
   91576     $call8 = _agNEWedge($5, $call4, $call4, $e_0) | 0;
   91577     $7 = $call8;
   91578     $e9 = $call + 4 | 0;
   91579     $8 = $e9;
   91580     HEAP32[$8 >> 2] = $7;
   91581     HEAP32[$proto1 >> 2] = $0;
   91582     return;
   91583   }
   91584 }
   91585 function _agpopproto($g) {
   91586   $g = $g | 0;
   91587   var $proto = 0, $0 = 0, $cmp = 0, $prev = 0, $1 = 0, $n = 0, $2 = 0, $e = 0, $3 = 0, $head = 0, $4 = 0, $tail = 0, $5 = 0, $6 = 0, $7 = 0, $8 = 0, $9 = 0, label = 0;
   91588   label = 2;
   91589   while (1) switch (label | 0) {
   91590    case 2:
   91591     $proto = $g + 40 | 0;
   91592     $0 = HEAP32[$proto >> 2] | 0;
   91593     $cmp = ($0 | 0) == 0;
   91594     if ($cmp) {
   91595       label = 4;
   91596       break;
   91597     } else {
   91598       label = 3;
   91599       break;
   91600     }
   91601    case 3:
   91602     $prev = $0 + 8 | 0;
   91603     $1 = HEAP32[$prev >> 2] | 0;
   91604     HEAP32[$proto >> 2] = $1;
   91605     $n = $0 | 0;
   91606     $2 = HEAP32[$n >> 2] | 0;
   91607     $e = $0 + 4 | 0;
   91608     $3 = HEAP32[$e >> 2] | 0;
   91609     $head = $3 + 12 | 0;
   91610     HEAP32[$head >> 2] = $2;
   91611     $4 = HEAP32[$e >> 2] | 0;
   91612     $tail = $4 + 16 | 0;
   91613     HEAP32[$tail >> 2] = $2;
   91614     $5 = HEAP32[$e >> 2] | 0;
   91615     $6 = $5;
   91616     _agFREEedge($6);
   91617     $7 = HEAP32[$n >> 2] | 0;
   91618     $8 = $7;
   91619     _agFREEnode($8);
   91620     $9 = $0;
   91621     _free($9);
   91622     label = 4;
   91623     break;
   91624    case 4:
   91625     return;
   91626   }
   91627 }
   91628 function _agopen($name, $kind) {
   91629   $name = $name | 0;
   91630   $kind = $kind | 0;
   91631   var $call = 0, $call1 = 0, $tobool = 0, $tobool2 = 0, $or_cond = 0, $0 = 0, $call3 = 0, $call4 = 0, $1 = 0, $meta_node = 0, $2 = 0, $3 = 0, $4 = 0, $retval_0 = 0, label = 0;
   91632   label = 2;
   91633   while (1) switch (label | 0) {
   91634    case 2:
   91635     $call = _agNEWgraph($name, 0, $kind) | 0;
   91636     $call1 = _agNEWgraph($name, 0, 7) | 0;
   91637     $tobool = ($call | 0) == 0;
   91638     $tobool2 = ($call1 | 0) == 0;
   91639     $or_cond = $tobool | $tobool2;
   91640     if ($or_cond) {
   91641       $retval_0 = 0;
   91642       label = 4;
   91643       break;
   91644     } else {
   91645       label = 3;
   91646       break;
   91647     }
   91648    case 3:
   91649     $0 = $call1;
   91650     $call3 = _agnodeattr($0, 151800, 0) | 0;
   91651     $call4 = _agnode($0, $name) | 0;
   91652     $1 = $call4;
   91653     $meta_node = $call + 36 | 0;
   91654     HEAP32[$meta_node >> 2] = $1;
   91655     $2 = $call | 0;
   91656     $3 = $call4 + 4 | 0;
   91657     $4 = HEAP32[$3 >> 2] | 0;
   91658     HEAP32[$4 >> 2] = $2;
   91659     $retval_0 = $call;
   91660     label = 4;
   91661     break;
   91662    case 4:
   91663     return $retval_0 | 0;
   91664   }
   91665   return 0;
   91666 }
   91667 function _agNEWgraph($name, $parent, $kind) {
   91668   $name = $name | 0;
   91669   $parent = $parent | 0;
   91670   $kind = $kind | 0;
   91671   var $0 = 0, $cmp = 0, $call = 0, $1 = 0, $call2 = 0, $2 = 0, $3 = 0, $4 = 0, $5 = 0, $bf_value = 0, $6 = 0, $7 = 0, $8 = 0, $9 = 0, $10 = 0, $call3 = 0, $11 = 0, $nodes = 0, $12 = 0, $13 = 0, $14 = 0, $call4 = 0, $15 = 0, $inedges = 0, $16 = 0, $17 = 0, $18 = 0, $call5 = 0, $19 = 0, $outedges = 0, $20 = 0, $cmp6 = 0, $call9 = 0, $univ = 0, $21 = 0, $root = 0, $22 = 0, $23 = 0, $globattr = 0, $24 = 0, $dict = 0, $25 = 0, $26 = 0, $call11 = 0, $tobool = 0, $attr15 = 0, $27 = 0, $didset16 = 0, $28 = 0, $call13 = 0, $29 = 0, $attr = 0, $30 = 0, $sub = 0, $div = 0, $call14 = 0, $didset = 0, $31 = 0, $cmp1846 = 0, $attr22 = 0, $32 = 0, $i_047 = 0, $33 = 0, $34 = 0, $35 = 0, $arrayidx = 0, $36 = 0, $call21 = 0, $37 = 0, $arrayidx23 = 0, $inc = 0, $cmp18 = 0, $univ25 = 0, $38 = 0, $univ26 = 0, $39 = 0, $root27 = 0, $40 = 0, $root28 = 0, $41 = 0, $42 = 0, $globattr30 = 0, $43 = 0, $dict31 = 0, $44 = 0, $45 = 0, $call32 = 0, $tobool33 = 0, $attr43 = 0, $46 = 0, $didset44 = 0, $47 = 0, $call35 = 0, $48 = 0, $attr36 = 0, $49 = 0, $sub38 = 0, $div39 = 0, $call40 = 0, $didset41 = 0, $50 = 0, $cmp4749 = 0, $attr50 = 0, $attr53 = 0, $51 = 0, $i_150 = 0, $52 = 0, $arrayidx51 = 0, $53 = 0, $call52 = 0, $54 = 0, $arrayidx54 = 0, $inc56 = 0, $cmp47 = 0, $meta_node = 0, $55 = 0, $call59 = 0, $name60 = 0, $56 = 0, $proto = 0, $57 = 0, $proto63 = 0, $58 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   91672   __stackBase__ = STACKTOP;
   91673   label = 2;
   91674   while (1) switch (label | 0) {
   91675    case 2:
   91676     $0 = HEAP8[168567] | 0;
   91677     $cmp = $0 << 24 >> 24 == 0;
   91678     if ($cmp) {
   91679       label = 3;
   91680       break;
   91681     } else {
   91682       label = 4;
   91683       break;
   91684     }
   91685    case 3:
   91686     $call = _agerr(1, 158152, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0) | 0;
   91687     $retval_0 = 0;
   91688     label = 18;
   91689     break;
   91690    case 4:
   91691     $1 = HEAP32[42134] | 0;
   91692     $call2 = _calloc(1, $1) | 0;
   91693     $2 = $call2;
   91694     $3 = $call2;
   91695     $4 = HEAP32[$3 >> 2] | 0;
   91696     $5 = $4 & -256;
   91697     $bf_value = $kind << 4;
   91698     $6 = $bf_value & 240;
   91699     $7 = $6 | $5;
   91700     $8 = $7 | 3;
   91701     HEAP32[$3 >> 2] = $8;
   91702     $9 = HEAP32[41974] | 0;
   91703     $10 = $9;
   91704     $call3 = _dtopen(89472, $10) | 0;
   91705     $11 = $call3;
   91706     $nodes = $call2 + 20 | 0;
   91707     $12 = $nodes;
   91708     HEAP32[$12 >> 2] = $11;
   91709     $13 = HEAP32[41974] | 0;
   91710     $14 = $13;
   91711     $call4 = _dtopen(89592, $14) | 0;
   91712     $15 = $call4;
   91713     $inedges = $call2 + 24 | 0;
   91714     $16 = $inedges;
   91715     HEAP32[$16 >> 2] = $15;
   91716     $17 = HEAP32[41974] | 0;
   91717     $18 = $17;
   91718     $call5 = _dtopen(89432, $18) | 0;
   91719     $19 = $call5;
   91720     $outedges = $call2 + 28 | 0;
   91721     $20 = $outedges;
   91722     HEAP32[$20 >> 2] = $19;
   91723     $cmp6 = ($parent | 0) == 0;
   91724     if ($cmp6) {
   91725       label = 5;
   91726       break;
   91727     } else {
   91728       label = 10;
   91729       break;
   91730     }
   91731    case 5:
   91732     $call9 = _agnewdata() | 0;
   91733     $univ = $call2 + 16 | 0;
   91734     $21 = $univ;
   91735     HEAP32[$21 >> 2] = $call9;
   91736     $root = $call2 + 32 | 0;
   91737     $22 = $root;
   91738     HEAP32[$22 >> 2] = $2;
   91739     $23 = HEAP32[$21 >> 2] | 0;
   91740     $globattr = $23 + 12 | 0;
   91741     $24 = HEAP32[$globattr >> 2] | 0;
   91742     $dict = $24 + 4 | 0;
   91743     $25 = HEAP32[$dict >> 2] | 0;
   91744     $26 = $25;
   91745     $call11 = _dtsize($26) | 0;
   91746     $tobool = ($call11 | 0) == 0;
   91747     if ($tobool) {
   91748       label = 6;
   91749       break;
   91750     } else {
   91751       label = 7;
   91752       break;
   91753     }
   91754    case 6:
   91755     $attr15 = $call2 + 4 | 0;
   91756     $27 = $attr15;
   91757     HEAP32[$27 >> 2] = 0;
   91758     $didset16 = $call2 + 8 | 0;
   91759     $28 = $didset16;
   91760     HEAP32[$28 >> 2] = 0;
   91761     label = 15;
   91762     break;
   91763    case 7:
   91764     $call13 = _calloc($call11, 4) | 0;
   91765     $29 = $call13;
   91766     $attr = $call2 + 4 | 0;
   91767     $30 = $attr;
   91768     HEAP32[$30 >> 2] = $29;
   91769     $sub = $call11 + 7 | 0;
   91770     $div = ($sub | 0) / 8 & -1;
   91771     $call14 = _calloc($div, 1) | 0;
   91772     $didset = $call2 + 8 | 0;
   91773     $31 = $didset;
   91774     HEAP32[$31 >> 2] = $call14;
   91775     $cmp1846 = ($call11 | 0) > 0;
   91776     if ($cmp1846) {
   91777       label = 8;
   91778       break;
   91779     } else {
   91780       label = 15;
   91781       break;
   91782     }
   91783    case 8:
   91784     $attr22 = $call2 + 4 | 0;
   91785     $32 = $attr22;
   91786     $i_047 = 0;
   91787     label = 9;
   91788     break;
   91789    case 9:
   91790     $33 = HEAP32[42137] | 0;
   91791     $34 = $33 + 4 | 0;
   91792     $35 = HEAP32[$34 >> 2] | 0;
   91793     $arrayidx = $35 + ($i_047 << 2) | 0;
   91794     $36 = HEAP32[$arrayidx >> 2] | 0;
   91795     $call21 = _agstrdup($36) | 0;
   91796     $37 = HEAP32[$32 >> 2] | 0;
   91797     $arrayidx23 = $37 + ($i_047 << 2) | 0;
   91798     HEAP32[$arrayidx23 >> 2] = $call21;
   91799     $inc = $i_047 + 1 | 0;
   91800     $cmp18 = ($inc | 0) < ($call11 | 0);
   91801     if ($cmp18) {
   91802       $i_047 = $inc;
   91803       label = 9;
   91804       break;
   91805     } else {
   91806       label = 15;
   91807       break;
   91808     }
   91809    case 10:
   91810     $univ25 = $parent + 16 | 0;
   91811     $38 = HEAP32[$univ25 >> 2] | 0;
   91812     $univ26 = $call2 + 16 | 0;
   91813     $39 = $univ26;
   91814     HEAP32[$39 >> 2] = $38;
   91815     $root27 = $parent + 32 | 0;
   91816     $40 = HEAP32[$root27 >> 2] | 0;
   91817     $root28 = $call2 + 32 | 0;
   91818     $41 = $root28;
   91819     HEAP32[$41 >> 2] = $40;
   91820     $42 = HEAP32[$univ25 >> 2] | 0;
   91821     $globattr30 = $42 + 12 | 0;
   91822     $43 = HEAP32[$globattr30 >> 2] | 0;
   91823     $dict31 = $43 + 4 | 0;
   91824     $44 = HEAP32[$dict31 >> 2] | 0;
   91825     $45 = $44;
   91826     $call32 = _dtsize($45) | 0;
   91827     $tobool33 = ($call32 | 0) == 0;
   91828     if ($tobool33) {
   91829       label = 11;
   91830       break;
   91831     } else {
   91832       label = 12;
   91833       break;
   91834     }
   91835    case 11:
   91836     $attr43 = $call2 + 4 | 0;
   91837     $46 = $attr43;
   91838     HEAP32[$46 >> 2] = 0;
   91839     $didset44 = $call2 + 8 | 0;
   91840     $47 = $didset44;
   91841     HEAP32[$47 >> 2] = 0;
   91842     label = 15;
   91843     break;
   91844    case 12:
   91845     $call35 = _calloc($call32, 4) | 0;
   91846     $48 = $call35;
   91847     $attr36 = $call2 + 4 | 0;
   91848     $49 = $attr36;
   91849     HEAP32[$49 >> 2] = $48;
   91850     $sub38 = $call32 + 7 | 0;
   91851     $div39 = ($sub38 | 0) / 8 & -1;
   91852     $call40 = _calloc($div39, 1) | 0;
   91853     $didset41 = $call2 + 8 | 0;
   91854     $50 = $didset41;
   91855     HEAP32[$50 >> 2] = $call40;
   91856     $cmp4749 = ($call32 | 0) > 0;
   91857     if ($cmp4749) {
   91858       label = 13;
   91859       break;
   91860     } else {
   91861       label = 15;
   91862       break;
   91863     }
   91864    case 13:
   91865     $attr50 = $parent + 4 | 0;
   91866     $attr53 = $call2 + 4 | 0;
   91867     $51 = $attr53;
   91868     $i_150 = 0;
   91869     label = 14;
   91870     break;
   91871    case 14:
   91872     $52 = HEAP32[$attr50 >> 2] | 0;
   91873     $arrayidx51 = $52 + ($i_150 << 2) | 0;
   91874     $53 = HEAP32[$arrayidx51 >> 2] | 0;
   91875     $call52 = _agstrdup($53) | 0;
   91876     $54 = HEAP32[$51 >> 2] | 0;
   91877     $arrayidx54 = $54 + ($i_150 << 2) | 0;
   91878     HEAP32[$arrayidx54 >> 2] = $call52;
   91879     $inc56 = $i_150 + 1 | 0;
   91880     $cmp47 = ($inc56 | 0) < ($call32 | 0);
   91881     if ($cmp47) {
   91882       $i_150 = $inc56;
   91883       label = 14;
   91884       break;
   91885     } else {
   91886       label = 15;
   91887       break;
   91888     }
   91889    case 15:
   91890     $meta_node = $call2 + 36 | 0;
   91891     $55 = $meta_node;
   91892     HEAP32[$55 >> 2] = 0;
   91893     $call59 = _agstrdup($name) | 0;
   91894     $name60 = $call2 + 12 | 0;
   91895     $56 = $name60;
   91896     HEAP32[$56 >> 2] = $call59;
   91897     $proto = $call2 + 40 | 0;
   91898     $57 = $proto;
   91899     HEAP32[$57 >> 2] = 0;
   91900     if ($cmp6) {
   91901       label = 17;
   91902       break;
   91903     } else {
   91904       label = 16;
   91905       break;
   91906     }
   91907    case 16:
   91908     $proto63 = $parent + 40 | 0;
   91909     $58 = HEAP32[$proto63 >> 2] | 0;
   91910     _dup_proto($2, $58);
   91911     $retval_0 = $2;
   91912     label = 18;
   91913     break;
   91914    case 17:
   91915     _agpushproto($2);
   91916     $retval_0 = $2;
   91917     label = 18;
   91918     break;
   91919    case 18:
   91920     STACKTOP = __stackBase__;
   91921     return $retval_0 | 0;
   91922   }
   91923   return 0;
   91924 }
   91925 function _agsubg($g, $name) {
   91926   $g = $g | 0;
   91927   $name = $name | 0;
   91928   var $meta_node = 0, $0 = 0, $graph = 0, $1 = 0, $2 = 0, $call = 0, $tobool = 0, $3 = 0, $call1 = 0, $4 = 0, $5 = 0, $6 = 0, $7 = 0, $bf_val_sext = 0, $call2 = 0, $tobool3 = 0, $call5 = 0, $8 = 0, $meta_node6 = 0, $9 = 0, $10 = 0, $11 = 0, $subg_0 = 0, $retval_0 = 0, label = 0;
   91929   label = 2;
   91930   while (1) switch (label | 0) {
   91931    case 2:
   91932     $meta_node = $g + 36 | 0;
   91933     $0 = HEAP32[$meta_node >> 2] | 0;
   91934     $graph = $0 + 20 | 0;
   91935     $1 = HEAP32[$graph >> 2] | 0;
   91936     $2 = $1;
   91937     $call = _agfindnode($2, $name) | 0;
   91938     $tobool = ($call | 0) == 0;
   91939     if ($tobool) {
   91940       label = 4;
   91941       break;
   91942     } else {
   91943       label = 3;
   91944       break;
   91945     }
   91946    case 3:
   91947     $3 = $call;
   91948     $call1 = _agusergraph($3) | 0;
   91949     $subg_0 = $call1;
   91950     label = 6;
   91951     break;
   91952    case 4:
   91953     $4 = $g;
   91954     $5 = HEAP32[$4 >> 2] | 0;
   91955     $6 = $5 >>> 4;
   91956     $7 = $6 << 28;
   91957     $bf_val_sext = $7 >> 28;
   91958     $call2 = _agNEWgraph($name, $g, $bf_val_sext) | 0;
   91959     $tobool3 = ($call2 | 0) == 0;
   91960     if ($tobool3) {
   91961       $retval_0 = 0;
   91962       label = 7;
   91963       break;
   91964     } else {
   91965       label = 5;
   91966       break;
   91967     }
   91968    case 5:
   91969     $call5 = _agnode($2, $name) | 0;
   91970     $8 = $call5;
   91971     $meta_node6 = $call2 + 36 | 0;
   91972     HEAP32[$meta_node6 >> 2] = $8;
   91973     $9 = $call2 | 0;
   91974     $10 = $call5 + 4 | 0;
   91975     $11 = HEAP32[$10 >> 2] | 0;
   91976     HEAP32[$11 >> 2] = $9;
   91977     $subg_0 = $call2;
   91978     label = 6;
   91979     break;
   91980    case 6:
   91981     _agINSgraph($g, $subg_0);
   91982     $retval_0 = $subg_0;
   91983     label = 7;
   91984     break;
   91985    case 7:
   91986     return $retval_0 | 0;
   91987   }
   91988   return 0;
   91989 }
   91990 function _agINSgraph($g, $subg) {
   91991   $g = $g | 0;
   91992   $subg = $subg | 0;
   91993   var $meta_node = 0, $0 = 0, $meta_node1 = 0, $1 = 0, $tobool = 0, $tobool2 = 0, $or_cond = 0, $call = 0, $cmp = 0, $graph = 0, $2 = 0, $3 = 0, $4 = 0, $5 = 0, $call4 = 0, label = 0;
   91994   label = 2;
   91995   while (1) switch (label | 0) {
   91996    case 2:
   91997     $meta_node = $g + 36 | 0;
   91998     $0 = HEAP32[$meta_node >> 2] | 0;
   91999     $meta_node1 = $subg + 36 | 0;
   92000     $1 = HEAP32[$meta_node1 >> 2] | 0;
   92001     $tobool = ($0 | 0) == 0;
   92002     $tobool2 = ($1 | 0) == 0;
   92003     $or_cond = $tobool | $tobool2;
   92004     if ($or_cond) {
   92005       label = 5;
   92006       break;
   92007     } else {
   92008       label = 3;
   92009       break;
   92010     }
   92011    case 3:
   92012     $call = _reach($1, $0) | 0;
   92013     $cmp = ($call | 0) == 0;
   92014     if ($cmp) {
   92015       label = 4;
   92016       break;
   92017     } else {
   92018       label = 5;
   92019       break;
   92020     }
   92021    case 4:
   92022     $graph = $0 + 20 | 0;
   92023     $2 = HEAP32[$graph >> 2] | 0;
   92024     $3 = $2;
   92025     $4 = $0;
   92026     $5 = $1;
   92027     $call4 = _agedge($3, $4, $5) | 0;
   92028     label = 5;
   92029     break;
   92030    case 5:
   92031     return;
   92032   }
   92033 }
   92034 function _agfindsubg($g, $name) {
   92035   $g = $g | 0;
   92036   $name = $name | 0;
   92037   var $meta_node = 0, $0 = 0, $tobool = 0, $graph = 0, $1 = 0, $2 = 0, $call = 0, $tobool2 = 0, $3 = 0, $call4 = 0, $retval_0 = 0, label = 0;
   92038   label = 2;
   92039   while (1) switch (label | 0) {
   92040    case 2:
   92041     $meta_node = $g + 36 | 0;
   92042     $0 = HEAP32[$meta_node >> 2] | 0;
   92043     $tobool = ($0 | 0) == 0;
   92044     if ($tobool) {
   92045       $retval_0 = 0;
   92046       label = 5;
   92047       break;
   92048     } else {
   92049       label = 3;
   92050       break;
   92051     }
   92052    case 3:
   92053     $graph = $0 + 20 | 0;
   92054     $1 = HEAP32[$graph >> 2] | 0;
   92055     $2 = $1;
   92056     $call = _agfindnode($2, $name) | 0;
   92057     $tobool2 = ($call | 0) == 0;
   92058     if ($tobool2) {
   92059       $retval_0 = 0;
   92060       label = 5;
   92061       break;
   92062     } else {
   92063       label = 4;
   92064       break;
   92065     }
   92066    case 4:
   92067     $3 = $call;
   92068     $call4 = _agusergraph($3) | 0;
   92069     $retval_0 = $call4;
   92070     label = 5;
   92071     break;
   92072    case 5:
   92073     return $retval_0 | 0;
   92074   }
   92075   return 0;
   92076 }
   92077 function _reach($from, $to) {
   92078   $from = $from | 0;
   92079   $to = $to | 0;
   92080   var $call = 0, $call1 = 0;
   92081   $call = _dtopen(89472, HEAP32[41974] | 0) | 0;
   92082   $call1 = _reach0($call, $from, $to) | 0;
   92083   _dtclose($call);
   92084   return $call1 | 0;
   92085 }
   92086 function _agclose($g) {
   92087   $g = $g | 0;
   92088   var $cmp = 0, $0 = 0, $1 = 0, $_mask = 0, $cmp1 = 0, $and = 0, $cmp4 = 0, $meta_node = 0, $2 = 0, $graph = 0, $3 = 0, $4 = 0, $5 = 0, $6 = 0, $call = 0, $flag_0_ph = 0, $e_0_in_ph = 0, $e_0_in = 0, $tobool = 0, $call7 = 0, $head = 0, $7 = 0, $call8 = 0, $call9 = 0, $cmp10 = 0, $8 = 0, $9 = 0, $call13 = 0, $tobool15 = 0, $meta_0_ph = 0, $proto = 0, $10 = 0, $tobool1746 = 0, $11 = 0, $tobool17 = 0, $univ = 0, $12 = 0, $globattr = 0, $13 = 0, $dict = 0, $14 = 0, $15 = 0, $call20 = 0, $cmp2244 = 0, $attr = 0, $i_045 = 0, $16 = 0, $arrayidx = 0, $17 = 0, $inc = 0, $cmp22 = 0, $attr27 = 0, $18 = 0, $tobool28 = 0, $19 = 0, $didset = 0, $20 = 0, $tobool32 = 0, $root = 0, $21 = 0, $cmp36 = 0, $22 = 0, $call38 = 0, $tobool4042 = 0, $n_0_in43 = 0, $call42 = 0, $tobool40 = 0, $meta_node47 = 0, $23 = 0, $graph48 = 0, $24 = 0, $meta_node52 = 0, $25 = 0, $26 = 0, $nodes = 0, $27 = 0, $28 = 0, $call55 = 0, $inedges = 0, $29 = 0, $30 = 0, $call56 = 0, $outedges = 0, $31 = 0, $32 = 0, $call57 = 0, $name = 0, $33 = 0, $34 = 0, $35 = 0, $36 = 0, label = 0;
   92089   label = 2;
   92090   while (1) switch (label | 0) {
   92091    case 2:
   92092     $cmp = ($g | 0) == 0;
   92093     if ($cmp) {
   92094       label = 31;
   92095       break;
   92096     } else {
   92097       label = 3;
   92098       break;
   92099     }
   92100    case 3:
   92101     $0 = $g;
   92102     $1 = HEAP32[$0 >> 2] | 0;
   92103     $_mask = $1 & 15;
   92104     $cmp1 = ($_mask | 0) == 3;
   92105     if ($cmp1) {
   92106       label = 4;
   92107       break;
   92108     } else {
   92109       label = 31;
   92110       break;
   92111     }
   92112    case 4:
   92113     $and = $1 & 64;
   92114     $cmp4 = ($and | 0) == 0;
   92115     if ($cmp4) {
   92116       label = 5;
   92117       break;
   92118     } else {
   92119       $meta_0_ph = 0;
   92120       label = 12;
   92121       break;
   92122     }
   92123    case 5:
   92124     $meta_node = $g + 36 | 0;
   92125     $2 = HEAP32[$meta_node >> 2] | 0;
   92126     $graph = $2 + 20 | 0;
   92127     $3 = HEAP32[$graph >> 2] | 0;
   92128     $4 = $3;
   92129     label = 6;
   92130     break;
   92131    case 6:
   92132     $5 = HEAP32[$meta_node >> 2] | 0;
   92133     $6 = $5;
   92134     $call = _agfstout($4, $6) | 0;
   92135     $e_0_in_ph = $call;
   92136     $flag_0_ph = 0;
   92137     label = 7;
   92138     break;
   92139    case 7:
   92140     $e_0_in = $e_0_in_ph;
   92141     label = 8;
   92142     break;
   92143    case 8:
   92144     $tobool = ($e_0_in | 0) == 0;
   92145     if ($tobool) {
   92146       label = 11;
   92147       break;
   92148     } else {
   92149       label = 9;
   92150       break;
   92151     }
   92152    case 9:
   92153     $call7 = _agnxtout($4, $e_0_in) | 0;
   92154     $head = $e_0_in + 12 | 0;
   92155     $7 = HEAP32[$head >> 2] | 0;
   92156     $call8 = _agfstin($4, $7) | 0;
   92157     $call9 = _agnxtin($4, $call8) | 0;
   92158     $cmp10 = ($call9 | 0) == 0;
   92159     if ($cmp10) {
   92160       label = 10;
   92161       break;
   92162     } else {
   92163       $e_0_in = $call7;
   92164       label = 8;
   92165       break;
   92166     }
   92167    case 10:
   92168     $8 = HEAP32[$head >> 2] | 0;
   92169     $9 = $8;
   92170     $call13 = _agusergraph($9) | 0;
   92171     _agclose($call13);
   92172     $e_0_in_ph = $call7;
   92173     $flag_0_ph = 1;
   92174     label = 7;
   92175     break;
   92176    case 11:
   92177     $tobool15 = ($flag_0_ph | 0) == 0;
   92178     if ($tobool15) {
   92179       $meta_0_ph = $3;
   92180       label = 12;
   92181       break;
   92182     } else {
   92183       label = 6;
   92184       break;
   92185     }
   92186    case 12:
   92187     $proto = $g + 40 | 0;
   92188     $10 = HEAP32[$proto >> 2] | 0;
   92189     $tobool1746 = ($10 | 0) == 0;
   92190     if ($tobool1746) {
   92191       label = 14;
   92192       break;
   92193     } else {
   92194       label = 13;
   92195       break;
   92196     }
   92197    case 13:
   92198     _agpopproto($g);
   92199     $11 = HEAP32[$proto >> 2] | 0;
   92200     $tobool17 = ($11 | 0) == 0;
   92201     if ($tobool17) {
   92202       label = 14;
   92203       break;
   92204     } else {
   92205       label = 13;
   92206       break;
   92207     }
   92208    case 14:
   92209     if ($cmp4) {
   92210       label = 15;
   92211       break;
   92212     } else {
   92213       label = 18;
   92214       break;
   92215     }
   92216    case 15:
   92217     $univ = $g + 16 | 0;
   92218     $12 = HEAP32[$univ >> 2] | 0;
   92219     $globattr = $12 + 12 | 0;
   92220     $13 = HEAP32[$globattr >> 2] | 0;
   92221     $dict = $13 + 4 | 0;
   92222     $14 = HEAP32[$dict >> 2] | 0;
   92223     $15 = $14;
   92224     $call20 = _dtsize($15) | 0;
   92225     $cmp2244 = ($call20 | 0) > 0;
   92226     if ($cmp2244) {
   92227       label = 16;
   92228       break;
   92229     } else {
   92230       label = 18;
   92231       break;
   92232     }
   92233    case 16:
   92234     $attr = $g + 4 | 0;
   92235     $i_045 = 0;
   92236     label = 17;
   92237     break;
   92238    case 17:
   92239     $16 = HEAP32[$attr >> 2] | 0;
   92240     $arrayidx = $16 + ($i_045 << 2) | 0;
   92241     $17 = HEAP32[$arrayidx >> 2] | 0;
   92242     _agstrfree($17);
   92243     $inc = $i_045 + 1 | 0;
   92244     $cmp22 = ($inc | 0) < ($call20 | 0);
   92245     if ($cmp22) {
   92246       $i_045 = $inc;
   92247       label = 17;
   92248       break;
   92249     } else {
   92250       label = 18;
   92251       break;
   92252     }
   92253    case 18:
   92254     $attr27 = $g + 4 | 0;
   92255     $18 = HEAP32[$attr27 >> 2] | 0;
   92256     $tobool28 = ($18 | 0) == 0;
   92257     if ($tobool28) {
   92258       label = 20;
   92259       break;
   92260     } else {
   92261       label = 19;
   92262       break;
   92263     }
   92264    case 19:
   92265     $19 = $18;
   92266     _free($19);
   92267     label = 20;
   92268     break;
   92269    case 20:
   92270     $didset = $g + 8 | 0;
   92271     $20 = HEAP32[$didset >> 2] | 0;
   92272     $tobool32 = ($20 | 0) == 0;
   92273     if ($tobool32) {
   92274       label = 22;
   92275       break;
   92276     } else {
   92277       label = 21;
   92278       break;
   92279     }
   92280    case 21:
   92281     _free($20);
   92282     label = 22;
   92283     break;
   92284    case 22:
   92285     $root = $g + 32 | 0;
   92286     $21 = HEAP32[$root >> 2] | 0;
   92287     $cmp36 = ($21 | 0) == ($g | 0);
   92288     if ($cmp36) {
   92289       label = 23;
   92290       break;
   92291     } else {
   92292       label = 28;
   92293       break;
   92294     }
   92295    case 23:
   92296     $22 = $g;
   92297     $call38 = _agfstnode($22) | 0;
   92298     $tobool4042 = ($call38 | 0) == 0;
   92299     if ($tobool4042) {
   92300       label = 25;
   92301       break;
   92302     } else {
   92303       $n_0_in43 = $call38;
   92304       label = 24;
   92305       break;
   92306     }
   92307    case 24:
   92308     $call42 = _agnxtnode($22, $n_0_in43) | 0;
   92309     _agDELnode($22, $n_0_in43);
   92310     $tobool40 = ($call42 | 0) == 0;
   92311     if ($tobool40) {
   92312       label = 25;
   92313       break;
   92314     } else {
   92315       $n_0_in43 = $call42;
   92316       label = 24;
   92317       break;
   92318     }
   92319    case 25:
   92320     if ($cmp4) {
   92321       label = 26;
   92322       break;
   92323     } else {
   92324       label = 27;
   92325       break;
   92326     }
   92327    case 26:
   92328     $meta_node47 = $g + 36 | 0;
   92329     $23 = HEAP32[$meta_node47 >> 2] | 0;
   92330     $graph48 = $23 + 20 | 0;
   92331     $24 = HEAP32[$graph48 >> 2] | 0;
   92332     _agclose($24);
   92333     label = 27;
   92334     break;
   92335    case 27:
   92336     _agfreedata($g);
   92337     label = 30;
   92338     break;
   92339    case 28:
   92340     if ($cmp4) {
   92341       label = 29;
   92342       break;
   92343     } else {
   92344       label = 30;
   92345       break;
   92346     }
   92347    case 29:
   92348     $meta_node52 = $g + 36 | 0;
   92349     $25 = HEAP32[$meta_node52 >> 2] | 0;
   92350     $26 = $25 | 0;
   92351     _agdelete($meta_0_ph, $26);
   92352     label = 30;
   92353     break;
   92354    case 30:
   92355     $nodes = $g + 20 | 0;
   92356     $27 = HEAP32[$nodes >> 2] | 0;
   92357     $28 = $27;
   92358     $call55 = _dtclose($28) | 0;
   92359     $inedges = $g + 24 | 0;
   92360     $29 = HEAP32[$inedges >> 2] | 0;
   92361     $30 = $29;
   92362     $call56 = _dtclose($30) | 0;
   92363     $outedges = $g + 28 | 0;
   92364     $31 = HEAP32[$outedges >> 2] | 0;
   92365     $32 = $31;
   92366     $call57 = _dtclose($32) | 0;
   92367     $name = $g + 12 | 0;
   92368     $33 = HEAP32[$name >> 2] | 0;
   92369     _agstrfree($33);
   92370     $34 = HEAP32[$0 >> 2] | 0;
   92371     $35 = $34 | 15;
   92372     HEAP32[$0 >> 2] = $35;
   92373     $36 = $g | 0;
   92374     _free($36);
   92375     label = 31;
   92376     break;
   92377    case 31:
   92378     return;
   92379   }
   92380 }
   92381 function _agfreedata($g) {
   92382   $g = $g | 0;
   92383   var $univ = 0, $2 = 0;
   92384   $univ = $g + 16 | 0;
   92385   $2 = $g;
   92386   _agFREEdict($2, HEAP32[(HEAP32[$univ >> 2] | 0) + 12 >> 2] | 0);
   92387   _agFREEdict($2, HEAP32[(HEAP32[$univ >> 2] | 0) + 4 >> 2] | 0);
   92388   _agFREEdict($2, HEAP32[(HEAP32[$univ >> 2] | 0) + 8 >> 2] | 0);
   92389   _dtclose(HEAP32[HEAP32[$univ >> 2] >> 2] | 0);
   92390   _free(HEAP32[$univ >> 2] | 0);
   92391   return;
   92392 }
   92393 function _agdelete($g, $obj) {
   92394   $g = $g | 0;
   92395   $obj = $obj | 0;
   92396   var $0 = 0, $1 = 0, $2 = 0, $bf_val_sext = 0, $3 = 0, $4 = 0, $5 = 0, $6 = 0, $7 = 0, label = 0;
   92397   label = 2;
   92398   while (1) switch (label | 0) {
   92399    case 2:
   92400     $0 = $obj;
   92401     $1 = HEAP32[$0 >> 2] | 0;
   92402     $2 = $1 << 28;
   92403     $bf_val_sext = $2 >> 28;
   92404     if (($bf_val_sext | 0) == 1) {
   92405       label = 3;
   92406       break;
   92407     } else if (($bf_val_sext | 0) == 2) {
   92408       label = 4;
   92409       break;
   92410     } else if (($bf_val_sext | 0) == 3) {
   92411       label = 5;
   92412       break;
   92413     } else {
   92414       label = 6;
   92415       break;
   92416     }
   92417    case 3:
   92418     $3 = $g;
   92419     $4 = $obj;
   92420     _agDELnode($3, $4);
   92421     label = 6;
   92422     break;
   92423    case 4:
   92424     $5 = $g;
   92425     $6 = $obj;
   92426     _agDELedge($5, $6);
   92427     label = 6;
   92428     break;
   92429    case 5:
   92430     $7 = $obj;
   92431     _agclose($7);
   92432     label = 6;
   92433     break;
   92434    case 6:
   92435     return;
   92436   }
   92437 }
   92438 function _agcontains($g, $obj) {
   92439   $g = $g | 0;
   92440   $obj = $obj | 0;
   92441   var $0 = 0, $1 = 0, $2 = 0, $bf_val_sext = 0, $id = 0, $3 = 0, $4 = 0, $5 = 0, $call = 0, $cmp = 0, $conv = 0, $inedges = 0, $6 = 0, $searchf = 0, $7 = 0, $call3 = 0, $cmp4 = 0, $conv5 = 0, $meta_node = 0, $8 = 0, $meta_node7 = 0, $9 = 0, $10 = 0, $call8 = 0, $retval_0 = 0, label = 0;
   92442   label = 2;
   92443   while (1) switch (label | 0) {
   92444    case 2:
   92445     $0 = $obj;
   92446     $1 = HEAP32[$0 >> 2] | 0;
   92447     $2 = $1 << 28;
   92448     $bf_val_sext = $2 >> 28;
   92449     if (($bf_val_sext | 0) == 1) {
   92450       label = 3;
   92451       break;
   92452     } else if (($bf_val_sext | 0) == 2) {
   92453       label = 4;
   92454       break;
   92455     } else if (($bf_val_sext | 0) == 3) {
   92456       label = 5;
   92457       break;
   92458     } else {
   92459       $retval_0 = 0;
   92460       label = 6;
   92461       break;
   92462     }
   92463    case 3:
   92464     $id = $obj + 16 | 0;
   92465     $3 = $id;
   92466     $4 = HEAP32[$3 >> 2] | 0;
   92467     $5 = $g;
   92468     $call = _agidnode($5, $4) | 0;
   92469     $cmp = ($call | 0) != 0;
   92470     $conv = $cmp & 1;
   92471     $retval_0 = $conv;
   92472     label = 6;
   92473     break;
   92474    case 4:
   92475     $inedges = $g + 24 | 0;
   92476     $6 = HEAP32[$inedges >> 2] | 0;
   92477     $searchf = $6 | 0;
   92478     $7 = HEAP32[$searchf >> 2] | 0;
   92479     $call3 = FUNCTION_TABLE_iiii[$7 & 1023]($6, $obj, 4) | 0;
   92480     $cmp4 = ($call3 | 0) != 0;
   92481     $conv5 = $cmp4 & 1;
   92482     $retval_0 = $conv5;
   92483     label = 6;
   92484     break;
   92485    case 5:
   92486     $meta_node = $g + 36 | 0;
   92487     $8 = HEAP32[$meta_node >> 2] | 0;
   92488     $meta_node7 = $obj + 36 | 0;
   92489     $9 = $meta_node7;
   92490     $10 = HEAP32[$9 >> 2] | 0;
   92491     $call8 = _reach($8, $10) | 0;
   92492     $retval_0 = $call8;
   92493     label = 6;
   92494     break;
   92495    case 6:
   92496     return $retval_0 | 0;
   92497   }
   92498   return 0;
   92499 }
   92500 function _aginsert($g, $obj) {
   92501   $g = $g | 0;
   92502   $obj = $obj | 0;
   92503   var $0 = 0, $1 = 0, $2 = 0, $bf_val_sext = 0, $3 = 0, $4 = 0, $5 = 0, $6 = 0, $7 = 0, label = 0;
   92504   label = 2;
   92505   while (1) switch (label | 0) {
   92506    case 2:
   92507     $0 = $obj;
   92508     $1 = HEAP32[$0 >> 2] | 0;
   92509     $2 = $1 << 28;
   92510     $bf_val_sext = $2 >> 28;
   92511     if (($bf_val_sext | 0) == 1) {
   92512       label = 3;
   92513       break;
   92514     } else if (($bf_val_sext | 0) == 2) {
   92515       label = 4;
   92516       break;
   92517     } else if (($bf_val_sext | 0) == 3) {
   92518       label = 5;
   92519       break;
   92520     } else {
   92521       label = 6;
   92522       break;
   92523     }
   92524    case 3:
   92525     $3 = $g;
   92526     $4 = $obj;
   92527     _agINSnode($3, $4);
   92528     label = 6;
   92529     break;
   92530    case 4:
   92531     $5 = $g;
   92532     $6 = $obj;
   92533     _agINSedge($5, $6);
   92534     label = 6;
   92535     break;
   92536    case 5:
   92537     $7 = $obj;
   92538     _agINSgraph($g, $7);
   92539     label = 6;
   92540     break;
   92541    case 6:
   92542     return;
   92543   }
   92544 }
   92545 function _agnnodes($g) {
   92546   $g = $g | 0;
   92547   return _dtsize(HEAP32[$g + 20 >> 2] | 0) | 0;
   92548 }
   92549 function _agnedges($g) {
   92550   $g = $g | 0;
   92551   return _dtsize(HEAP32[$g + 28 >> 2] | 0) | 0;
   92552 }
   92553 function _reach0($m, $from, $to) {
   92554   $m = $m | 0;
   92555   $from = $from | 0;
   92556   $to = $to | 0;
   92557   var $cmp = 0, $graph = 0, $0 = 0, $root = 0, $1 = 0, $2 = 0, $3 = 0, $4 = 0, $call = 0, $tobool = 0, $searchf = 0, $5 = 0, $6 = 0, $call3 = 0, $7 = 0, $8 = 0, $call5 = 0, $tobool616 = 0, $e_0_in17 = 0, $9 = 0, $head = 0, $10 = 0, $11 = 0, $call8 = 0, $cmp9 = 0, $12 = 0, $13 = 0, $call11 = 0, $tobool12 = 0, $14 = 0, $15 = 0, $call16 = 0, $tobool6 = 0, $retval_0 = 0, label = 0;
   92558   label = 2;
   92559   while (1) switch (label | 0) {
   92560    case 2:
   92561     $cmp = ($from | 0) == ($to | 0);
   92562     if ($cmp) {
   92563       $retval_0 = 1;
   92564       label = 8;
   92565       break;
   92566     } else {
   92567       label = 3;
   92568       break;
   92569     }
   92570    case 3:
   92571     $graph = $from + 20 | 0;
   92572     $0 = HEAP32[$graph >> 2] | 0;
   92573     $root = $0 + 32 | 0;
   92574     $1 = HEAP32[$root >> 2] | 0;
   92575     $2 = $1;
   92576     $3 = $from;
   92577     $4 = $to;
   92578     $call = _agfindedge($2, $3, $4) | 0;
   92579     $tobool = ($call | 0) == 0;
   92580     if ($tobool) {
   92581       label = 4;
   92582       break;
   92583     } else {
   92584       $retval_0 = 1;
   92585       label = 8;
   92586       break;
   92587     }
   92588    case 4:
   92589     $searchf = $m | 0;
   92590     $5 = HEAP32[$searchf >> 2] | 0;
   92591     $6 = $from | 0;
   92592     $call3 = FUNCTION_TABLE_iiii[$5 & 1023]($m, $6, 1) | 0;
   92593     $7 = HEAP32[$graph >> 2] | 0;
   92594     $8 = $7;
   92595     $call5 = _agfstout($8, $3) | 0;
   92596     $tobool616 = ($call5 | 0) == 0;
   92597     if ($tobool616) {
   92598       $retval_0 = 0;
   92599       label = 8;
   92600       break;
   92601     } else {
   92602       $e_0_in17 = $call5;
   92603       label = 5;
   92604       break;
   92605     }
   92606    case 5:
   92607     $9 = HEAP32[$searchf >> 2] | 0;
   92608     $head = $e_0_in17 + 12 | 0;
   92609     $10 = HEAP32[$head >> 2] | 0;
   92610     $11 = $10 | 0;
   92611     $call8 = FUNCTION_TABLE_iiii[$9 & 1023]($m, $11, 4) | 0;
   92612     $cmp9 = ($call8 | 0) == 0;
   92613     if ($cmp9) {
   92614       label = 6;
   92615       break;
   92616     } else {
   92617       label = 7;
   92618       break;
   92619     }
   92620    case 6:
   92621     $12 = HEAP32[$head >> 2] | 0;
   92622     $13 = $12;
   92623     $call11 = _reach0($m, $13, $to) | 0;
   92624     $tobool12 = ($call11 | 0) == 0;
   92625     if ($tobool12) {
   92626       label = 7;
   92627       break;
   92628     } else {
   92629       $retval_0 = 1;
   92630       label = 8;
   92631       break;
   92632     }
   92633    case 7:
   92634     $14 = HEAP32[$graph >> 2] | 0;
   92635     $15 = $14;
   92636     $call16 = _agnxtout($15, $e_0_in17) | 0;
   92637     $tobool6 = ($call16 | 0) == 0;
   92638     if ($tobool6) {
   92639       $retval_0 = 0;
   92640       label = 8;
   92641       break;
   92642     } else {
   92643       $e_0_in17 = $call16;
   92644       label = 5;
   92645       break;
   92646     }
   92647    case 8:
   92648     return $retval_0 | 0;
   92649   }
   92650   return 0;
   92651 }
   92652 function _agnewdata() {
   92653   var $call = 0, $0 = 0, $1 = 0, $2 = 0, $call1 = 0, $3 = 0, $node_dict = 0, $call2 = 0, $4 = 0, $globattr = 0, $5 = 0, $call3 = 0, $6 = 0, $nodeattr = 0, $7 = 0, $call4 = 0, $8 = 0, $edgeattr = 0, $9 = 0, $10 = 0, $tobool = 0, $11 = 0, $univ = 0, $12 = 0, $globattr6 = 0, $13 = 0, $14 = 0, $15 = 0, $16 = 0, $univ8 = 0, $17 = 0, $nodeattr9 = 0, $18 = 0, $19 = 0, $20 = 0, $21 = 0, $univ11 = 0, $22 = 0, $edgeattr12 = 0, $23 = 0, $24 = 0, label = 0;
   92654   label = 2;
   92655   while (1) switch (label | 0) {
   92656    case 2:
   92657     $call = _calloc(1, 24) | 0;
   92658     $0 = $call;
   92659     $1 = HEAP32[41974] | 0;
   92660     $2 = $1;
   92661     $call1 = _dtopen(89512, $2) | 0;
   92662     $3 = $call1;
   92663     $node_dict = $call;
   92664     HEAP32[$node_dict >> 2] = $3;
   92665     $call2 = _agNEWdict(129568) | 0;
   92666     $4 = $call2;
   92667     $globattr = $call + 12 | 0;
   92668     $5 = $globattr;
   92669     HEAP32[$5 >> 2] = $4;
   92670     $call3 = _agNEWdict(118008) | 0;
   92671     $6 = $call3;
   92672     $nodeattr = $call + 4 | 0;
   92673     $7 = $nodeattr;
   92674     HEAP32[$7 >> 2] = $6;
   92675     $call4 = _agNEWdict(112624) | 0;
   92676     $8 = $call4;
   92677     $edgeattr = $call + 8 | 0;
   92678     $9 = $edgeattr;
   92679     HEAP32[$9 >> 2] = $8;
   92680     $10 = HEAP32[42137] | 0;
   92681     $tobool = ($10 | 0) == 0;
   92682     if ($tobool) {
   92683       label = 4;
   92684       break;
   92685     } else {
   92686       label = 3;
   92687       break;
   92688     }
   92689    case 3:
   92690     $11 = HEAP32[$5 >> 2] | 0;
   92691     $univ = $10 + 16 | 0;
   92692     $12 = HEAP32[$univ >> 2] | 0;
   92693     $globattr6 = $12 + 12 | 0;
   92694     $13 = HEAP32[$globattr6 >> 2] | 0;
   92695     $14 = $11;
   92696     _agcopydict($14, $13);
   92697     $15 = HEAP32[$7 >> 2] | 0;
   92698     $16 = HEAP32[42137] | 0;
   92699     $univ8 = $16 + 16 | 0;
   92700     $17 = HEAP32[$univ8 >> 2] | 0;
   92701     $nodeattr9 = $17 + 4 | 0;
   92702     $18 = HEAP32[$nodeattr9 >> 2] | 0;
   92703     $19 = $15;
   92704     _agcopydict($19, $18);
   92705     $20 = HEAP32[$9 >> 2] | 0;
   92706     $21 = HEAP32[42137] | 0;
   92707     $univ11 = $21 + 16 | 0;
   92708     $22 = HEAP32[$univ11 >> 2] | 0;
   92709     $edgeattr12 = $22 + 8 | 0;
   92710     $23 = HEAP32[$edgeattr12 >> 2] | 0;
   92711     $24 = $20;
   92712     _agcopydict($24, $23);
   92713     label = 4;
   92714     break;
   92715    case 4:
   92716     return $0 | 0;
   92717   }
   92718   return 0;
   92719 }
   92720 function _agread($fp) {
   92721   $fp = $fp | 0;
   92722   _aglexinit($fp, 0);
   92723   _agparse();
   92724   return HEAP32[42138] | 0;
   92725 }
   92726 function _agmemread($cp) {
   92727   $cp = $cp | 0;
   92728   var $0 = 0;
   92729   $0 = HEAP32[42142] | 0;
   92730   HEAP32[42142] = 676;
   92731   _aglexinit($cp, 0);
   92732   _agparse();
   92733   HEAP32[42142] = $0;
   92734   return HEAP32[42138] | 0;
   92735 }
   92736 function _memgets($ubuf, $n, $mbuf) {
   92737   $ubuf = $ubuf | 0;
   92738   $n = $n | 0;
   92739   $mbuf = $mbuf | 0;
   92740   var $tobool = 0, $0 = 0, $sub = 0, $to_0 = 0, $i_0 = 0, $cmp = 0, $1 = 0, $2 = 0, $cmp1 = 0, $tobool4 = 0, $incdec_ptr = 0, $cmp9 = 0, $incdec_ptr12 = 0, $3 = 0, $incdec_ptr13 = 0, $inc = 0, $to_1 = 0, $clp_0 = 0, label = 0;
   92741   label = 2;
   92742   while (1) switch (label | 0) {
   92743    case 2:
   92744     $tobool = ($n | 0) == 0;
   92745     if ($tobool) {
   92746       label = 3;
   92747       break;
   92748     } else {
   92749       label = 4;
   92750       break;
   92751     }
   92752    case 3:
   92753     $0 = $mbuf;
   92754     HEAP32[2808] = $0;
   92755     label = 4;
   92756     break;
   92757    case 4:
   92758     $sub = $n - 1 | 0;
   92759     $i_0 = 0;
   92760     $to_0 = $ubuf;
   92761     label = 5;
   92762     break;
   92763    case 5:
   92764     $cmp = ($i_0 | 0) < ($sub | 0);
   92765     if ($cmp) {
   92766       label = 6;
   92767       break;
   92768     } else {
   92769       $clp_0 = $ubuf;
   92770       $to_1 = $to_0;
   92771       label = 11;
   92772       break;
   92773     }
   92774    case 6:
   92775     $1 = HEAP32[2808] | 0;
   92776     $2 = HEAP8[$1] | 0;
   92777     $cmp1 = $2 << 24 >> 24 == 0;
   92778     if ($cmp1) {
   92779       label = 7;
   92780       break;
   92781     } else {
   92782       label = 10;
   92783       break;
   92784     }
   92785    case 7:
   92786     $tobool4 = ($i_0 | 0) == 0;
   92787     if ($tobool4) {
   92788       label = 9;
   92789       break;
   92790     } else {
   92791       label = 8;
   92792       break;
   92793     }
   92794    case 8:
   92795     $incdec_ptr = $to_0 + 1 | 0;
   92796     HEAP8[$to_0] = 10;
   92797     $clp_0 = $ubuf;
   92798     $to_1 = $incdec_ptr;
   92799     label = 11;
   92800     break;
   92801    case 9:
   92802     HEAP32[2808] = 0;
   92803     $clp_0 = 0;
   92804     $to_1 = $to_0;
   92805     label = 11;
   92806     break;
   92807    case 10:
   92808     $cmp9 = $2 << 24 >> 24 == 10;
   92809     $incdec_ptr12 = $1 + 1 | 0;
   92810     HEAP32[2808] = $incdec_ptr12;
   92811     $3 = HEAP8[$1] | 0;
   92812     $incdec_ptr13 = $to_0 + 1 | 0;
   92813     HEAP8[$to_0] = $3;
   92814     $inc = $i_0 + 1 | 0;
   92815     if ($cmp9) {
   92816       $clp_0 = $ubuf;
   92817       $to_1 = $incdec_ptr13;
   92818       label = 11;
   92819       break;
   92820     } else {
   92821       $i_0 = $inc;
   92822       $to_0 = $incdec_ptr13;
   92823       label = 5;
   92824       break;
   92825     }
   92826    case 11:
   92827     HEAP8[$to_1] = 0;
   92828     return $clp_0 | 0;
   92829   }
   92830   return 0;
   92831 }
   92832 function _agstrcanon($arg, $buf) {
   92833   $arg = $arg | 0;
   92834   $buf = $buf | 0;
   92835   var $call = 0, $tobool = 0, $p_09 = 0, $0 = 0, $tobool110 = 0, $1 = 0, $p_012 = 0, $s_011 = 0, $incdec_ptr2 = 0, $p_0 = 0, $2 = 0, $tobool1 = 0, $p_0_lcssa = 0, $buf_pn_lcssa = 0, $incdec_ptr4 = 0, $call5 = 0, $retval_0 = 0, label = 0;
   92836   label = 2;
   92837   while (1) switch (label | 0) {
   92838    case 2:
   92839     $call = _aghtmlstr($arg) | 0;
   92840     $tobool = ($call | 0) == 0;
   92841     if ($tobool) {
   92842       label = 6;
   92843       break;
   92844     } else {
   92845       label = 3;
   92846       break;
   92847     }
   92848    case 3:
   92849     HEAP8[$buf] = 60;
   92850     $p_09 = $buf + 1 | 0;
   92851     $0 = HEAP8[$arg] | 0;
   92852     $tobool110 = $0 << 24 >> 24 == 0;
   92853     if ($tobool110) {
   92854       $buf_pn_lcssa = $buf;
   92855       $p_0_lcssa = $p_09;
   92856       label = 5;
   92857       break;
   92858     } else {
   92859       $s_011 = $arg;
   92860       $p_012 = $p_09;
   92861       $1 = $0;
   92862       label = 4;
   92863       break;
   92864     }
   92865    case 4:
   92866     $incdec_ptr2 = $s_011 + 1 | 0;
   92867     HEAP8[$p_012] = $1;
   92868     $p_0 = $p_012 + 1 | 0;
   92869     $2 = HEAP8[$incdec_ptr2] | 0;
   92870     $tobool1 = $2 << 24 >> 24 == 0;
   92871     if ($tobool1) {
   92872       $buf_pn_lcssa = $p_012;
   92873       $p_0_lcssa = $p_0;
   92874       label = 5;
   92875       break;
   92876     } else {
   92877       $s_011 = $incdec_ptr2;
   92878       $p_012 = $p_0;
   92879       $1 = $2;
   92880       label = 4;
   92881       break;
   92882     }
   92883    case 5:
   92884     $incdec_ptr4 = $buf_pn_lcssa + 2 | 0;
   92885     HEAP8[$p_0_lcssa] = 62;
   92886     HEAP8[$incdec_ptr4] = 0;
   92887     $retval_0 = $buf;
   92888     label = 7;
   92889     break;
   92890    case 6:
   92891     $call5 = __agstrcanon($arg, $buf) | 0;
   92892     $retval_0 = $call5;
   92893     label = 7;
   92894     break;
   92895    case 7:
   92896     return $retval_0 | 0;
   92897   }
   92898   return 0;
   92899 }
   92900 function _agsetiodisc($myfgets, $myfwrite, $myferror) {
   92901   $myfgets = $myfgets | 0;
   92902   $myfwrite = $myfwrite | 0;
   92903   $myferror = $myferror | 0;
   92904   var $tobool = 0, $tobool1 = 0, $tobool4 = 0, label = 0;
   92905   label = 2;
   92906   while (1) switch (label | 0) {
   92907    case 2:
   92908     $tobool = ($myfgets | 0) == 0;
   92909     if ($tobool) {
   92910       label = 4;
   92911       break;
   92912     } else {
   92913       label = 3;
   92914       break;
   92915     }
   92916    case 3:
   92917     HEAP32[42142] = $myfgets;
   92918     label = 4;
   92919     break;
   92920    case 4:
   92921     $tobool1 = ($myfwrite | 0) == 0;
   92922     if ($tobool1) {
   92923       label = 6;
   92924       break;
   92925     } else {
   92926       label = 5;
   92927       break;
   92928     }
   92929    case 5:
   92930     HEAP32[42143] = $myfwrite;
   92931     label = 6;
   92932     break;
   92933    case 6:
   92934     $tobool4 = ($myferror | 0) == 0;
   92935     if ($tobool4) {
   92936       label = 8;
   92937       break;
   92938     } else {
   92939       label = 7;
   92940       break;
   92941     }
   92942    case 7:
   92943     HEAP32[42144] = $myferror;
   92944     label = 8;
   92945     break;
   92946    case 8:
   92947     return;
   92948   }
   92949 }
   92950 function __agstrcanon($arg, $buf) {
   92951   $arg = $arg | 0;
   92952   $buf = $buf | 0;
   92953   var $cmp = 0, $0 = 0, $cmp1 = 0, $incdec_ptr = 0, $incdec_ptr3 = 0, $1 = 0, $call = 0, $tobool22 = 0, $s_0_ph = 0, $uc_0_ph = 0, $p_0_ph = 0, $cnt_0_ph = 0, $has_special_0_ph = 0, $backslash_pending_0_ph = 0, $s_0 = 0, $uc_0 = 0, $p_0 = 0, $cnt_0 = 0, $has_special_0 = 0, $incdec_ptr8 = 0, $conv4 = 0, $call10 = 0, $notlhs = 0, $notrhs = 0, $or_cond_not = 0, $cmp18 = 0, $or_cond37 = 0, $brmerge = 0, $_mux = 0, $call23 = 0, $tobool24 = 0, $_has_special_0 = 0, $p_1 = 0, $has_special_1 = 0, $incdec_ptr29 = 0, $incdec_ptr30 = 0, $2 = 0, $inc = 0, $conv31 = 0, $tobool32 = 0, $tobool32_not = 0, $or_cond38 = 0, $call36 = 0, $tobool37 = 0, $3 = 0, $conv40 = 0, $call41 = 0, $tobool42 = 0, $4 = 0, $cmp46 = 0, $call49 = 0, $tobool50 = 0, $call53 = 0, $tobool54 = 0, $incdec_ptr56 = 0, $incdec_ptr57 = 0, $rem36 = 0, $cmp62 = 0, $5 = 0, $call66 = 0, $tobool67 = 0, $6 = 0, $conv70 = 0, $call71 = 0, $tobool72 = 0, $7 = 0, $cmp76 = 0, $call79 = 0, $tobool80 = 0, $call83 = 0, $tobool84 = 0, $incdec_ptr86 = 0, $incdec_ptr87 = 0, $incdec_ptr92 = 0, $tobool93 = 0, $call96 = 0, $cmp97 = 0, $buf_arg = 0, $retval_0 = 0, label = 0;
   92954   label = 2;
   92955   while (1) switch (label | 0) {
   92956    case 2:
   92957     $cmp = ($arg | 0) == 0;
   92958     if ($cmp) {
   92959       $retval_0 = 142720;
   92960       label = 27;
   92961       break;
   92962     } else {
   92963       label = 3;
   92964       break;
   92965     }
   92966    case 3:
   92967     $0 = HEAP8[$arg] | 0;
   92968     $cmp1 = $0 << 24 >> 24 == 0;
   92969     if ($cmp1) {
   92970       $retval_0 = 142720;
   92971       label = 27;
   92972       break;
   92973     } else {
   92974       label = 4;
   92975       break;
   92976     }
   92977    case 4:
   92978     $incdec_ptr = $buf + 1 | 0;
   92979     HEAP8[$buf] = 34;
   92980     $incdec_ptr3 = $arg + 1 | 0;
   92981     $1 = HEAP8[$arg] | 0;
   92982     $call = __is_number_char($1) | 0;
   92983     $tobool22 = ($call | 0) == 0;
   92984     $backslash_pending_0_ph = 1;
   92985     $has_special_0_ph = 0;
   92986     $cnt_0_ph = 0;
   92987     $p_0_ph = $incdec_ptr;
   92988     $uc_0_ph = $1;
   92989     $s_0_ph = $incdec_ptr3;
   92990     label = 5;
   92991     break;
   92992    case 5:
   92993     $has_special_0 = $has_special_0_ph;
   92994     $cnt_0 = $cnt_0_ph;
   92995     $p_0 = $p_0_ph;
   92996     $uc_0 = $uc_0_ph;
   92997     $s_0 = $s_0_ph;
   92998     label = 6;
   92999     break;
   93000    case 6:
   93001     if (($uc_0 << 24 >> 24 | 0) == 34) {
   93002       label = 7;
   93003       break;
   93004     } else if (($uc_0 << 24 >> 24 | 0) == 0) {
   93005       label = 25;
   93006       break;
   93007     } else {
   93008       label = 8;
   93009       break;
   93010     }
   93011    case 7:
   93012     $incdec_ptr8 = $p_0 + 1 | 0;
   93013     HEAP8[$p_0] = 92;
   93014     $has_special_1 = 1;
   93015     $p_1 = $incdec_ptr8;
   93016     label = 10;
   93017     break;
   93018    case 8:
   93019     $conv4 = $uc_0 & 255;
   93020     $call10 = _isalnum($conv4 | 0) | 0;
   93021     $notlhs = ($call10 | 0) == 0;
   93022     $notrhs = $uc_0 << 24 >> 24 != 95;
   93023     $or_cond_not = $notrhs & $notlhs;
   93024     $cmp18 = $uc_0 << 24 >> 24 > -1;
   93025     $or_cond37 = $or_cond_not & $cmp18;
   93026     $brmerge = $or_cond37 | $tobool22;
   93027     $_mux = $or_cond37 ? 1 : $has_special_0;
   93028     if ($brmerge) {
   93029       $has_special_1 = $_mux;
   93030       $p_1 = $p_0;
   93031       label = 10;
   93032       break;
   93033     } else {
   93034       label = 9;
   93035       break;
   93036     }
   93037    case 9:
   93038     $call23 = __is_number_char($uc_0) | 0;
   93039     $tobool24 = ($call23 | 0) == 0;
   93040     $_has_special_0 = $tobool24 ? 1 : $has_special_0;
   93041     $has_special_1 = $_has_special_0;
   93042     $p_1 = $p_0;
   93043     label = 10;
   93044     break;
   93045    case 10:
   93046     $incdec_ptr29 = $p_1 + 1 | 0;
   93047     HEAP8[$p_1] = $uc_0;
   93048     $incdec_ptr30 = $s_0 + 1 | 0;
   93049     $2 = HEAP8[$s_0] | 0;
   93050     $inc = $cnt_0 + 1 | 0;
   93051     $conv31 = $2 & 255;
   93052     $tobool32 = $2 << 24 >> 24 != 0;
   93053     $tobool32_not = $tobool32 ^ 1;
   93054     $or_cond38 = $backslash_pending_0_ph | $tobool32_not;
   93055     if ($or_cond38) {
   93056       label = 17;
   93057       break;
   93058     } else {
   93059       label = 11;
   93060       break;
   93061     }
   93062    case 11:
   93063     $call36 = __is_number_char($uc_0) | 0;
   93064     $tobool37 = ($call36 | 0) == 0;
   93065     if ($tobool37) {
   93066       label = 12;
   93067       break;
   93068     } else {
   93069       label = 14;
   93070       break;
   93071     }
   93072    case 12:
   93073     $3 = HEAP8[$p_1] | 0;
   93074     $conv40 = $3 << 24 >> 24;
   93075     $call41 = _isalpha($conv40 | 0) | 0;
   93076     $tobool42 = ($call41 | 0) == 0;
   93077     if ($tobool42) {
   93078       label = 13;
   93079       break;
   93080     } else {
   93081       label = 14;
   93082       break;
   93083     }
   93084    case 13:
   93085     $4 = HEAP8[$p_1] | 0;
   93086     $cmp46 = $4 << 24 >> 24 == 92;
   93087     if ($cmp46) {
   93088       label = 14;
   93089       break;
   93090     } else {
   93091       label = 16;
   93092       break;
   93093     }
   93094    case 14:
   93095     $call49 = __is_number_char($2) | 0;
   93096     $tobool50 = ($call49 | 0) == 0;
   93097     if ($tobool50) {
   93098       label = 15;
   93099       break;
   93100     } else {
   93101       label = 17;
   93102       break;
   93103     }
   93104    case 15:
   93105     $call53 = _isalpha($conv31 | 0) | 0;
   93106     $tobool54 = ($call53 | 0) == 0;
   93107     if ($tobool54) {
   93108       label = 16;
   93109       break;
   93110     } else {
   93111       label = 17;
   93112       break;
   93113     }
   93114    case 16:
   93115     $incdec_ptr56 = $p_1 + 2 | 0;
   93116     HEAP8[$incdec_ptr29] = 92;
   93117     $incdec_ptr57 = $p_1 + 3 | 0;
   93118     HEAP8[$incdec_ptr56] = 10;
   93119     $backslash_pending_0_ph = 1;
   93120     $has_special_0_ph = 1;
   93121     $cnt_0_ph = $inc;
   93122     $p_0_ph = $incdec_ptr57;
   93123     $uc_0_ph = $2;
   93124     $s_0_ph = $incdec_ptr30;
   93125     label = 5;
   93126     break;
   93127    case 17:
   93128     if ($tobool32) {
   93129       label = 18;
   93130       break;
   93131     } else {
   93132       $has_special_0 = $has_special_1;
   93133       $cnt_0 = $inc;
   93134       $p_0 = $incdec_ptr29;
   93135       $uc_0 = 0;
   93136       $s_0 = $incdec_ptr30;
   93137       label = 6;
   93138       break;
   93139     }
   93140    case 18:
   93141     $rem36 = $inc & 127;
   93142     $cmp62 = ($rem36 | 0) == 0;
   93143     if ($cmp62) {
   93144       label = 19;
   93145       break;
   93146     } else {
   93147       $has_special_0 = $has_special_1;
   93148       $cnt_0 = $inc;
   93149       $p_0 = $incdec_ptr29;
   93150       $uc_0 = $2;
   93151       $s_0 = $incdec_ptr30;
   93152       label = 6;
   93153       break;
   93154     }
   93155    case 19:
   93156     $5 = HEAP8[$p_1] | 0;
   93157     $call66 = __is_number_char($5) | 0;
   93158     $tobool67 = ($call66 | 0) == 0;
   93159     if ($tobool67) {
   93160       label = 20;
   93161       break;
   93162     } else {
   93163       label = 22;
   93164       break;
   93165     }
   93166    case 20:
   93167     $6 = HEAP8[$p_1] | 0;
   93168     $conv70 = $6 << 24 >> 24;
   93169     $call71 = _isalpha($conv70 | 0) | 0;
   93170     $tobool72 = ($call71 | 0) == 0;
   93171     if ($tobool72) {
   93172       label = 21;
   93173       break;
   93174     } else {
   93175       label = 22;
   93176       break;
   93177     }
   93178    case 21:
   93179     $7 = HEAP8[$p_1] | 0;
   93180     $cmp76 = $7 << 24 >> 24 == 92;
   93181     if ($cmp76) {
   93182       label = 22;
   93183       break;
   93184     } else {
   93185       label = 24;
   93186       break;
   93187     }
   93188    case 22:
   93189     $call79 = __is_number_char($2) | 0;
   93190     $tobool80 = ($call79 | 0) == 0;
   93191     if ($tobool80) {
   93192       label = 23;
   93193       break;
   93194     } else {
   93195       $backslash_pending_0_ph = 0;
   93196       $has_special_0_ph = $has_special_1;
   93197       $cnt_0_ph = $inc;
   93198       $p_0_ph = $incdec_ptr29;
   93199       $uc_0_ph = $2;
   93200       $s_0_ph = $incdec_ptr30;
   93201       label = 5;
   93202       break;
   93203     }
   93204    case 23:
   93205     $call83 = _isalpha($conv31 | 0) | 0;
   93206     $tobool84 = ($call83 | 0) == 0;
   93207     if ($tobool84) {
   93208       label = 24;
   93209       break;
   93210     } else {
   93211       $backslash_pending_0_ph = 0;
   93212       $has_special_0_ph = $has_special_1;
   93213       $cnt_0_ph = $inc;
   93214       $p_0_ph = $incdec_ptr29;
   93215       $uc_0_ph = $2;
   93216       $s_0_ph = $incdec_ptr30;
   93217       label = 5;
   93218       break;
   93219     }
   93220    case 24:
   93221     $incdec_ptr86 = $p_1 + 2 | 0;
   93222     HEAP8[$incdec_ptr29] = 92;
   93223     $incdec_ptr87 = $p_1 + 3 | 0;
   93224     HEAP8[$incdec_ptr86] = 10;
   93225     $has_special_0 = 1;
   93226     $cnt_0 = $inc;
   93227     $p_0 = $incdec_ptr87;
   93228     $uc_0 = $2;
   93229     $s_0 = $incdec_ptr30;
   93230     label = 6;
   93231     break;
   93232    case 25:
   93233     $incdec_ptr92 = $p_0 + 1 | 0;
   93234     HEAP8[$p_0] = 34;
   93235     HEAP8[$incdec_ptr92] = 0;
   93236     $tobool93 = ($has_special_0 | 0) == 0;
   93237     if ($tobool93) {
   93238       label = 26;
   93239       break;
   93240     } else {
   93241       $retval_0 = $buf;
   93242       label = 27;
   93243       break;
   93244     }
   93245    case 26:
   93246     $call96 = _agtoken($arg) | 0;
   93247     $cmp97 = ($call96 | 0) > -1;
   93248     $buf_arg = $cmp97 ? $buf : $arg;
   93249     $retval_0 = $buf_arg;
   93250     label = 27;
   93251     break;
   93252    case 27:
   93253     return $retval_0 | 0;
   93254   }
   93255   return 0;
   93256 }
   93257 function _agputs($s, $fp) {
   93258   $s = $s | 0;
   93259   $fp = $fp | 0;
   93260   var $call = 0;
   93261   $call = _strlen($s | 0) | 0;
   93262   return ((FUNCTION_TABLE_iiiii[HEAP32[42143] & 1023]($s, 1, $call, $fp) | 0) == ($call | 0) ? 1 : -1) | 0;
   93263 }
   93264 function _agputc($c, $fp) {
   93265   $c = $c | 0;
   93266   $fp = $fp | 0;
   93267   var $cc = 0, $c_ = 0, __stackBase__ = 0;
   93268   __stackBase__ = STACKTOP;
   93269   STACKTOP = STACKTOP + 8 | 0;
   93270   $cc = __stackBase__ | 0;
   93271   HEAP8[$cc] = $c & 255;
   93272   $c_ = (FUNCTION_TABLE_iiiii[HEAP32[42143] & 1023]($cc, 1, 1, $fp) | 0) == 1 ? $c : -1;
   93273   STACKTOP = __stackBase__;
   93274   return $c_ | 0;
   93275 }
   93276 function _agcanonical($str) {
   93277   $str = $str | 0;
   93278   return _agstrcanon($str, _getoutputbuffer($str) | 0) | 0;
   93279 }
   93280 function _getoutputbuffer($str) {
   93281   $str = $str | 0;
   93282   var $call = 0, $mul = 0, $add = 0, $cmp = 0, $add_ = 0, $0 = 0, $cmp4 = 0, $1 = 0, $tobool = 0, $call6 = 0, $call7 = 0, $storemerge = 0, $2 = 0, label = 0;
   93283   label = 2;
   93284   while (1) switch (label | 0) {
   93285    case 2:
   93286     $call = _strlen($str | 0) | 0;
   93287     $mul = $call << 1;
   93288     $add = $mul + 2 | 0;
   93289     $cmp = $add >>> 0 > 1024;
   93290     $add_ = $cmp ? $add : 1024;
   93291     $0 = HEAP32[4072] | 0;
   93292     $cmp4 = ($add_ | 0) > ($0 | 0);
   93293     if ($cmp4) {
   93294       label = 3;
   93295       break;
   93296     } else {
   93297       label = 7;
   93298       break;
   93299     }
   93300    case 3:
   93301     $1 = HEAP32[4070] | 0;
   93302     $tobool = ($1 | 0) == 0;
   93303     if ($tobool) {
   93304       label = 5;
   93305       break;
   93306     } else {
   93307       label = 4;
   93308       break;
   93309     }
   93310    case 4:
   93311     $call6 = _realloc($1, $add_) | 0;
   93312     $storemerge = $call6;
   93313     label = 6;
   93314     break;
   93315    case 5:
   93316     $call7 = _malloc($add_) | 0;
   93317     $storemerge = $call7;
   93318     label = 6;
   93319     break;
   93320    case 6:
   93321     HEAP32[4070] = $storemerge;
   93322     HEAP32[4072] = $add_;
   93323     label = 7;
   93324     break;
   93325    case 7:
   93326     $2 = HEAP32[4070] | 0;
   93327     return $2 | 0;
   93328   }
   93329   return 0;
   93330 }
   93331 function _agwrnode($g, $fp, $n, $full, $indent) {
   93332   $g = $g | 0;
   93333   $fp = $fp | 0;
   93334   $n = $n | 0;
   93335   $full = $full | 0;
   93336   $indent = $indent | 0;
   93337   var $nprint = 0, $graph = 0, $0 = 0, $univ = 0, $1 = 0, $nodeattr = 0, $2 = 0, $tobool = 0, $dict = 0, $3 = 0, $call26 = 0, $cmp28 = 0, $list = 0, $4 = 0, $name19 = 0, $proto = 0, $i_030 = 0, $didwrite_029 = 0, $5 = 0, $arrayidx = 0, $6 = 0, $printed = 0, $7 = 0, $cmp1 = 0, $name = 0, $8 = 0, $call4 = 0, $9 = 0, $cmp6 = 0, $value = 0, $10 = 0, $11 = 0, $n9 = 0, $12 = 0, $13 = 0, $14 = 0, $call11 = 0, $defval_0 = 0, $call13 = 0, $tobool14 = 0, $cmp16 = 0, $15 = 0, $call20 = 0, $call21 = 0, $didwrite_1 = 0, $16 = 0, $didwrite_2 = 0, $inc = 0, $17 = 0, $call = 0, $cmp = 0, $tobool25 = 0, $18 = 0, $cmp27 = 0, $cond = 0, $call29 = 0, $call32 = 0, $cmp33 = 0, $call35 = 0, $cmp36 = 0, $name39 = 0, $19 = 0, $call40 = 0, $call41 = 0, $call42 = 0, label = 0, __stackBase__ = 0;
   93338   __stackBase__ = STACKTOP;
   93339   STACKTOP = STACKTOP + 8 | 0;
   93340   label = 2;
   93341   while (1) switch (label | 0) {
   93342    case 2:
   93343     $nprint = __stackBase__ | 0;
   93344     HEAP32[$nprint >> 2] = 0;
   93345     $graph = $n + 20 | 0;
   93346     $0 = HEAP32[$graph >> 2] | 0;
   93347     $univ = $0 + 16 | 0;
   93348     $1 = HEAP32[$univ >> 2] | 0;
   93349     $nodeattr = $1 + 4 | 0;
   93350     $2 = HEAP32[$nodeattr >> 2] | 0;
   93351     $tobool = ($full | 0) == 0;
   93352     if ($tobool) {
   93353       label = 16;
   93354       break;
   93355     } else {
   93356       label = 3;
   93357       break;
   93358     }
   93359    case 3:
   93360     $dict = $2 + 4 | 0;
   93361     $3 = HEAP32[$dict >> 2] | 0;
   93362     $call26 = _dtsize($3) | 0;
   93363     $cmp28 = ($call26 | 0) > 0;
   93364     if ($cmp28) {
   93365       label = 4;
   93366       break;
   93367     } else {
   93368       label = 16;
   93369       break;
   93370     }
   93371    case 4:
   93372     $list = $2 + 8 | 0;
   93373     $4 = $n | 0;
   93374     $name19 = $n + 12 | 0;
   93375     $proto = $g + 40 | 0;
   93376     $didwrite_029 = 0;
   93377     $i_030 = 0;
   93378     label = 5;
   93379     break;
   93380    case 5:
   93381     $5 = HEAP32[$list >> 2] | 0;
   93382     $arrayidx = $5 + ($i_030 << 2) | 0;
   93383     $6 = HEAP32[$arrayidx >> 2] | 0;
   93384     $printed = $6 + 12 | 0;
   93385     $7 = HEAP8[$printed] | 0;
   93386     $cmp1 = $7 << 24 >> 24 == 0;
   93387     if ($cmp1) {
   93388       $didwrite_2 = $didwrite_029;
   93389       label = 13;
   93390       break;
   93391     } else {
   93392       label = 6;
   93393       break;
   93394     }
   93395    case 6:
   93396     $name = $6 | 0;
   93397     $8 = HEAP32[$name >> 2] | 0;
   93398     $call4 = _agget($4, $8) | 0;
   93399     $9 = HEAP32[$graph >> 2] | 0;
   93400     $cmp6 = ($9 | 0) == ($g | 0);
   93401     if ($cmp6) {
   93402       label = 7;
   93403       break;
   93404     } else {
   93405       label = 8;
   93406       break;
   93407     }
   93408    case 7:
   93409     $value = $6 + 4 | 0;
   93410     $10 = HEAP32[$value >> 2] | 0;
   93411     $defval_0 = $10;
   93412     label = 9;
   93413     break;
   93414    case 8:
   93415     $11 = HEAP32[$proto >> 2] | 0;
   93416     $n9 = $11 | 0;
   93417     $12 = HEAP32[$n9 >> 2] | 0;
   93418     $13 = $12 | 0;
   93419     $14 = HEAP32[$name >> 2] | 0;
   93420     $call11 = _agget($13, $14) | 0;
   93421     $defval_0 = $call11;
   93422     label = 9;
   93423     break;
   93424    case 9:
   93425     $call13 = _strcmp($defval_0 | 0, $call4 | 0) | 0;
   93426     $tobool14 = ($call13 | 0) == 0;
   93427     if ($tobool14) {
   93428       $didwrite_2 = $didwrite_029;
   93429       label = 13;
   93430       break;
   93431     } else {
   93432       label = 10;
   93433       break;
   93434     }
   93435    case 10:
   93436     $cmp16 = ($didwrite_029 | 0) == 0;
   93437     if ($cmp16) {
   93438       label = 11;
   93439       break;
   93440     } else {
   93441       $didwrite_1 = $didwrite_029;
   93442       label = 12;
   93443       break;
   93444     }
   93445    case 11:
   93446     _tabover($fp, $indent);
   93447     $15 = HEAP32[$name19 >> 2] | 0;
   93448     $call20 = _agcanonical($15) | 0;
   93449     $call21 = _agputs($call20, $fp) | 0;
   93450     $didwrite_1 = 1;
   93451     label = 12;
   93452     break;
   93453    case 12:
   93454     $16 = HEAP32[$name >> 2] | 0;
   93455     _writeattr($fp, $nprint, $16, $call4);
   93456     $didwrite_2 = $didwrite_1;
   93457     label = 13;
   93458     break;
   93459    case 13:
   93460     $inc = $i_030 + 1 | 0;
   93461     $17 = HEAP32[$dict >> 2] | 0;
   93462     $call = _dtsize($17) | 0;
   93463     $cmp = ($inc | 0) < ($call | 0);
   93464     if ($cmp) {
   93465       $didwrite_029 = $didwrite_2;
   93466       $i_030 = $inc;
   93467       label = 5;
   93468       break;
   93469     } else {
   93470       label = 14;
   93471       break;
   93472     }
   93473    case 14:
   93474     $tobool25 = ($didwrite_2 | 0) == 0;
   93475     if ($tobool25) {
   93476       label = 16;
   93477       break;
   93478     } else {
   93479       label = 15;
   93480       break;
   93481     }
   93482    case 15:
   93483     $18 = HEAP32[$nprint >> 2] | 0;
   93484     $cmp27 = ($18 | 0) > 0;
   93485     $cond = $cmp27 ? 125344 : 154784;
   93486     $call29 = _agputs($cond, $fp) | 0;
   93487     label = 19;
   93488     break;
   93489    case 16:
   93490     $call32 = _agfstout($g, $n) | 0;
   93491     $cmp33 = ($call32 | 0) == 0;
   93492     if ($cmp33) {
   93493       label = 17;
   93494       break;
   93495     } else {
   93496       label = 19;
   93497       break;
   93498     }
   93499    case 17:
   93500     $call35 = _agfstin($g, $n) | 0;
   93501     $cmp36 = ($call35 | 0) == 0;
   93502     if ($cmp36) {
   93503       label = 18;
   93504       break;
   93505     } else {
   93506       label = 19;
   93507       break;
   93508     }
   93509    case 18:
   93510     _tabover($fp, $indent);
   93511     $name39 = $n + 12 | 0;
   93512     $19 = HEAP32[$name39 >> 2] | 0;
   93513     $call40 = _agcanonical($19) | 0;
   93514     $call41 = _agputs($call40, $fp) | 0;
   93515     $call42 = _agputs(154784, $fp) | 0;
   93516     label = 19;
   93517     break;
   93518    case 19:
   93519     STACKTOP = __stackBase__;
   93520     return;
   93521   }
   93522 }
   93523 function _tabover($fp, $tab) {
   93524   $fp = $fp | 0;
   93525   $tab = $tab | 0;
   93526   var $tobool1 = 0, $tab_addr_02 = 0, $dec = 0, $call = 0, $tobool = 0, label = 0;
   93527   label = 2;
   93528   while (1) switch (label | 0) {
   93529    case 2:
   93530     $tobool1 = ($tab | 0) == 0;
   93531     if ($tobool1) {
   93532       label = 4;
   93533       break;
   93534     } else {
   93535       $tab_addr_02 = $tab;
   93536       label = 3;
   93537       break;
   93538     }
   93539    case 3:
   93540     $dec = $tab_addr_02 - 1 | 0;
   93541     $call = _agputc(9, $fp) | 0;
   93542     $tobool = ($dec | 0) == 0;
   93543     if ($tobool) {
   93544       label = 4;
   93545       break;
   93546     } else {
   93547       $tab_addr_02 = $dec;
   93548       label = 3;
   93549       break;
   93550     }
   93551    case 4:
   93552     return;
   93553   }
   93554 }
   93555 function _writeattr($fp, $npp, $name, $val) {
   93556   $fp = $fp | 0;
   93557   $npp = $npp | 0;
   93558   $name = $name | 0;
   93559   $val = $val | 0;
   93560   var $0 = 0;
   93561   $0 = HEAP32[$npp >> 2] | 0;
   93562   HEAP32[$npp >> 2] = $0 + 1 | 0;
   93563   _agputs(($0 | 0) > 0 ? 145752 : 151712, $fp);
   93564   _agputs(_agcanonical($name) | 0, $fp);
   93565   _agputc(61, $fp);
   93566   _agputs(_agcanonical($val) | 0, $fp);
   93567   return;
   93568 }
   93569 function _agwredge($g, $fp, $e, $list_all) {
   93570   $g = $g | 0;
   93571   $fp = $fp | 0;
   93572   $e = $e | 0;
   93573   $list_all = $list_all | 0;
   93574   var $nprint = 0, $tail = 0, $0 = 0, $graph = 0, $1 = 0, $univ = 0, $2 = 0, $edgeattr = 0, $3 = 0, $attr = 0, $4 = 0, $tobool = 0, $arrayidx = 0, $5 = 0, $arrayidx3 = 0, $6 = 0, $hport_0 = 0, $tport_0 = 0, $7 = 0, $name = 0, $8 = 0, $9 = 0, $10 = 0, $and = 0, $tobool5 = 0, $cond = 0, $call = 0, $head = 0, $11 = 0, $name6 = 0, $12 = 0, $tobool7 = 0, $dict = 0, $13 = 0, $call925 = 0, $cmp26 = 0, $list = 0, $14 = 0, $15 = 0, $root = 0, $proto = 0, $i_027 = 0, $16 = 0, $arrayidx10 = 0, $17 = 0, $printed = 0, $18 = 0, $cmp11 = 0, $cmp13 = 0, $19 = 0, $_mask = 0, $cmp17 = 0, $name21 = 0, $20 = 0, $call22 = 0, $21 = 0, $cmp23 = 0, $value = 0, $22 = 0, $23 = 0, $e27 = 0, $24 = 0, $25 = 0, $26 = 0, $call29 = 0, $defval_0 = 0, $call31 = 0, $tobool32 = 0, $27 = 0, $inc = 0, $28 = 0, $call9 = 0, $cmp = 0, $29 = 0, $cmp37 = 0, $cond39 = 0, $call40 = 0, label = 0, __stackBase__ = 0;
   93575   __stackBase__ = STACKTOP;
   93576   STACKTOP = STACKTOP + 8 | 0;
   93577   label = 2;
   93578   while (1) switch (label | 0) {
   93579    case 2:
   93580     $nprint = __stackBase__ | 0;
   93581     HEAP32[$nprint >> 2] = 0;
   93582     $tail = $e + 16 | 0;
   93583     $0 = HEAP32[$tail >> 2] | 0;
   93584     $graph = $0 + 20 | 0;
   93585     $1 = HEAP32[$graph >> 2] | 0;
   93586     $univ = $1 + 16 | 0;
   93587     $2 = HEAP32[$univ >> 2] | 0;
   93588     $edgeattr = $2 + 8 | 0;
   93589     $3 = HEAP32[$edgeattr >> 2] | 0;
   93590     $attr = $e + 4 | 0;
   93591     $4 = HEAP32[$attr >> 2] | 0;
   93592     $tobool = ($4 | 0) == 0;
   93593     if ($tobool) {
   93594       $tport_0 = 127144;
   93595       $hport_0 = 127144;
   93596       label = 4;
   93597       break;
   93598     } else {
   93599       label = 3;
   93600       break;
   93601     }
   93602    case 3:
   93603     $arrayidx = $4 + 4 | 0;
   93604     $5 = HEAP32[$arrayidx >> 2] | 0;
   93605     $arrayidx3 = $4 + 8 | 0;
   93606     $6 = HEAP32[$arrayidx3 >> 2] | 0;
   93607     $tport_0 = $5;
   93608     $hport_0 = $6;
   93609     label = 4;
   93610     break;
   93611    case 4:
   93612     $7 = HEAP32[$tail >> 2] | 0;
   93613     $name = $7 + 12 | 0;
   93614     $8 = HEAP32[$name >> 2] | 0;
   93615     _writenodeandport2898($fp, $8, $tport_0);
   93616     $9 = $g;
   93617     $10 = HEAP32[$9 >> 2] | 0;
   93618     $and = $10 & 16;
   93619     $tobool5 = ($and | 0) != 0;
   93620     $cond = $tobool5 ? 117392 : 112128;
   93621     $call = _agputs($cond, $fp) | 0;
   93622     $head = $e + 12 | 0;
   93623     $11 = HEAP32[$head >> 2] | 0;
   93624     $name6 = $11 + 12 | 0;
   93625     $12 = HEAP32[$name6 >> 2] | 0;
   93626     _writenodeandport2898($fp, $12, $hport_0);
   93627     $tobool7 = ($list_all | 0) == 0;
   93628     if ($tobool7) {
   93629       label = 16;
   93630       break;
   93631     } else {
   93632       label = 5;
   93633       break;
   93634     }
   93635    case 5:
   93636     $dict = $3 + 4 | 0;
   93637     $13 = HEAP32[$dict >> 2] | 0;
   93638     $call925 = _dtsize($13) | 0;
   93639     $cmp26 = ($call925 | 0) > 0;
   93640     if ($cmp26) {
   93641       label = 6;
   93642       break;
   93643     } else {
   93644       label = 16;
   93645       break;
   93646     }
   93647    case 6:
   93648     $list = $3 + 8 | 0;
   93649     $14 = $e;
   93650     $15 = $e | 0;
   93651     $root = $g + 32 | 0;
   93652     $proto = $g + 40 | 0;
   93653     $i_027 = 0;
   93654     label = 7;
   93655     break;
   93656    case 7:
   93657     $16 = HEAP32[$list >> 2] | 0;
   93658     $arrayidx10 = $16 + ($i_027 << 2) | 0;
   93659     $17 = HEAP32[$arrayidx10 >> 2] | 0;
   93660     $printed = $17 + 12 | 0;
   93661     $18 = HEAP8[$printed] | 0;
   93662     $cmp11 = $18 << 24 >> 24 == 0;
   93663     if ($cmp11) {
   93664       label = 15;
   93665       break;
   93666     } else {
   93667       label = 8;
   93668       break;
   93669     }
   93670    case 8:
   93671     $cmp13 = ($i_027 | 0) == 0;
   93672     if ($cmp13) {
   93673       label = 9;
   93674       break;
   93675     } else {
   93676       label = 10;
   93677       break;
   93678     }
   93679    case 9:
   93680     $19 = HEAP32[$14 >> 2] | 0;
   93681     $_mask = $19 & 240;
   93682     $cmp17 = ($_mask | 0) == 32;
   93683     if ($cmp17) {
   93684       label = 10;
   93685       break;
   93686     } else {
   93687       label = 15;
   93688       break;
   93689     }
   93690    case 10:
   93691     $name21 = $17 | 0;
   93692     $20 = HEAP32[$name21 >> 2] | 0;
   93693     $call22 = _agget($15, $20) | 0;
   93694     $21 = HEAP32[$root >> 2] | 0;
   93695     $cmp23 = ($21 | 0) == ($g | 0);
   93696     if ($cmp23) {
   93697       label = 11;
   93698       break;
   93699     } else {
   93700       label = 12;
   93701       break;
   93702     }
   93703    case 11:
   93704     $value = $17 + 4 | 0;
   93705     $22 = HEAP32[$value >> 2] | 0;
   93706     $defval_0 = $22;
   93707     label = 13;
   93708     break;
   93709    case 12:
   93710     $23 = HEAP32[$proto >> 2] | 0;
   93711     $e27 = $23 + 4 | 0;
   93712     $24 = HEAP32[$e27 >> 2] | 0;
   93713     $25 = $24 | 0;
   93714     $26 = HEAP32[$name21 >> 2] | 0;
   93715     $call29 = _agget($25, $26) | 0;
   93716     $defval_0 = $call29;
   93717     label = 13;
   93718     break;
   93719    case 13:
   93720     $call31 = _strcmp($defval_0 | 0, $call22 | 0) | 0;
   93721     $tobool32 = ($call31 | 0) == 0;
   93722     if ($tobool32) {
   93723       label = 15;
   93724       break;
   93725     } else {
   93726       label = 14;
   93727       break;
   93728     }
   93729    case 14:
   93730     $27 = HEAP32[$name21 >> 2] | 0;
   93731     _writeattr($fp, $nprint, $27, $call22);
   93732     label = 15;
   93733     break;
   93734    case 15:
   93735     $inc = $i_027 + 1 | 0;
   93736     $28 = HEAP32[$dict >> 2] | 0;
   93737     $call9 = _dtsize($28) | 0;
   93738     $cmp = ($inc | 0) < ($call9 | 0);
   93739     if ($cmp) {
   93740       $i_027 = $inc;
   93741       label = 7;
   93742       break;
   93743     } else {
   93744       label = 16;
   93745       break;
   93746     }
   93747    case 16:
   93748     $29 = HEAP32[$nprint >> 2] | 0;
   93749     $cmp37 = ($29 | 0) > 0;
   93750     $cond39 = $cmp37 ? 125344 : 154784;
   93751     $call40 = _agputs($cond39, $fp) | 0;
   93752     STACKTOP = __stackBase__;
   93753     return;
   93754   }
   93755 }
   93756 function _writenodeandport2898($fp, $node, $port) {
   93757   $fp = $fp | 0;
   93758   $node = $node | 0;
   93759   $port = $port | 0;
   93760   var $call = 0, $call1 = 0, $tobool = 0, $0 = 0, $tobool2 = 0, $call3 = 0, $tobool4 = 0, $call6 = 0, $call7 = 0, $call8 = 0, $call9 = 0, $call10 = 0, $tobool11 = 0, $call13 = 0, $call14 = 0, $call15 = 0, $call16 = 0, $call17 = 0, $add_ptr = 0, $call19 = 0, $call20 = 0, $call21 = 0, $call23 = 0, $call24 = 0, $call25 = 0, $call26 = 0, label = 0;
   93761   label = 2;
   93762   while (1) switch (label | 0) {
   93763    case 2:
   93764     $call = _agcanonical($node) | 0;
   93765     $call1 = _agputs($call, $fp) | 0;
   93766     $tobool = ($port | 0) == 0;
   93767     if ($tobool) {
   93768       label = 9;
   93769       break;
   93770     } else {
   93771       label = 3;
   93772       break;
   93773     }
   93774    case 3:
   93775     $0 = HEAP8[$port] | 0;
   93776     $tobool2 = $0 << 24 >> 24 == 0;
   93777     if ($tobool2) {
   93778       label = 9;
   93779       break;
   93780     } else {
   93781       label = 4;
   93782       break;
   93783     }
   93784    case 4:
   93785     $call3 = _aghtmlstr($port) | 0;
   93786     $tobool4 = ($call3 | 0) == 0;
   93787     if ($tobool4) {
   93788       label = 6;
   93789       break;
   93790     } else {
   93791       label = 5;
   93792       break;
   93793     }
   93794    case 5:
   93795     $call6 = _agputc(58, $fp) | 0;
   93796     $call7 = _getoutputbuffer($port) | 0;
   93797     $call8 = _agstrcanon($port, $call7) | 0;
   93798     $call9 = _agputs($call8, $fp) | 0;
   93799     label = 9;
   93800     break;
   93801    case 6:
   93802     $call10 = _strchr($port | 0, 58) | 0;
   93803     $tobool11 = ($call10 | 0) == 0;
   93804     if ($tobool11) {
   93805       label = 8;
   93806       break;
   93807     } else {
   93808       label = 7;
   93809       break;
   93810     }
   93811    case 7:
   93812     HEAP8[$call10] = 0;
   93813     $call13 = _agputc(58, $fp) | 0;
   93814     $call14 = _getoutputbuffer($port) | 0;
   93815     $call15 = __agstrcanon($port, $call14) | 0;
   93816     $call16 = _agputs($call15, $fp) | 0;
   93817     $call17 = _agputc(58, $fp) | 0;
   93818     $add_ptr = $call10 + 1 | 0;
   93819     $call19 = _getoutputbuffer($add_ptr) | 0;
   93820     $call20 = __agstrcanon($add_ptr, $call19) | 0;
   93821     $call21 = _agputs($call20, $fp) | 0;
   93822     HEAP8[$call10] = 58;
   93823     label = 9;
   93824     break;
   93825    case 8:
   93826     $call23 = _agputc(58, $fp) | 0;
   93827     $call24 = _getoutputbuffer($port) | 0;
   93828     $call25 = __agstrcanon($port, $call24) | 0;
   93829     $call26 = _agputs($call25, $fp) | 0;
   93830     label = 9;
   93831     break;
   93832    case 9:
   93833     return;
   93834   }
   93835 }
   93836 function _agwrite($g, $fp) {
   93837   $g = $g | 0;
   93838   $fp = $fp | 0;
   93839   var $0 = 0, $cmp = 0, $1 = 0, $cmp1 = 0, $2 = 0, $3 = 0, $and = 0, $tobool = 0, $cond = 0, $call = 0, $4 = 0, $and6 = 0, $tobool7 = 0, $cond8 = 0, $call9 = 0, $name = 0, $5 = 0, $call10 = 0, $tobool11 = 0, $call13 = 0, $6 = 0, $call15 = 0, $call16 = 0, $call18 = 0, $univ = 0, $7 = 0, $globattr = 0, $8 = 0, $9 = 0, $nodeattr = 0, $10 = 0, $11 = 0, $edgeattr = 0, $12 = 0, $call21 = 0, $call22 = 0, $13 = 0, $call23 = 0, label = 0;
   93840   label = 2;
   93841   while (1) switch (label | 0) {
   93842    case 2:
   93843     $0 = HEAP32[42143] | 0;
   93844     $cmp = ($0 | 0) == 0;
   93845     if ($cmp) {
   93846       label = 3;
   93847       break;
   93848     } else {
   93849       label = 4;
   93850       break;
   93851     }
   93852    case 3:
   93853     HEAP32[42143] = 308;
   93854     label = 4;
   93855     break;
   93856    case 4:
   93857     $1 = HEAP32[42144] | 0;
   93858     $cmp1 = ($1 | 0) == 0;
   93859     if ($cmp1) {
   93860       label = 5;
   93861       break;
   93862     } else {
   93863       label = 6;
   93864       break;
   93865     }
   93866    case 5:
   93867     HEAP32[42144] = 74;
   93868     label = 6;
   93869     break;
   93870    case 6:
   93871     $2 = $g;
   93872     $3 = HEAP32[$2 >> 2] | 0;
   93873     $and = $3 & 32;
   93874     $tobool = ($and | 0) != 0;
   93875     $cond = $tobool ? 107680 : 127144;
   93876     $call = _agputs($cond, $fp) | 0;
   93877     $4 = HEAP32[$2 >> 2] | 0;
   93878     $and6 = $4 & 16;
   93879     $tobool7 = ($and6 | 0) != 0;
   93880     $cond8 = $tobool7 ? 103712 : 99592;
   93881     $call9 = _agputs($cond8, $fp) | 0;
   93882     $name = $g + 12 | 0;
   93883     $5 = HEAP32[$name >> 2] | 0;
   93884     $call10 = _strncmp($5 | 0, 95592, 10) | 0;
   93885     $tobool11 = ($call10 | 0) == 0;
   93886     if ($tobool11) {
   93887       label = 8;
   93888       break;
   93889     } else {
   93890       label = 7;
   93891       break;
   93892     }
   93893    case 7:
   93894     $call13 = _agputc(32, $fp) | 0;
   93895     $6 = HEAP32[$name >> 2] | 0;
   93896     $call15 = _agcanonical($6) | 0;
   93897     $call16 = _agputs($call15, $fp) | 0;
   93898     label = 8;
   93899     break;
   93900    case 8:
   93901     $call18 = _agputs(92144, $fp) | 0;
   93902     $univ = $g + 16 | 0;
   93903     $7 = HEAP32[$univ >> 2] | 0;
   93904     $globattr = $7 + 12 | 0;
   93905     $8 = HEAP32[$globattr >> 2] | 0;
   93906     _write_dict($8, $fp);
   93907     $9 = HEAP32[$univ >> 2] | 0;
   93908     $nodeattr = $9 + 4 | 0;
   93909     $10 = HEAP32[$nodeattr >> 2] | 0;
   93910     _write_dict($10, $fp);
   93911     $11 = HEAP32[$univ >> 2] | 0;
   93912     $edgeattr = $11 + 8 | 0;
   93913     $12 = HEAP32[$edgeattr >> 2] | 0;
   93914     _write_dict($12, $fp);
   93915     $call21 = _new_printdict_t($g) | 0;
   93916     _write_subg($g, $fp, 0, 0, $call21);
   93917     $call22 = _agputs(162280, $fp) | 0;
   93918     _free_printdict_t($call21);
   93919     $13 = HEAP32[42144] | 0;
   93920     $call23 = FUNCTION_TABLE_ii[$13 & 1023]($fp) | 0;
   93921     return $call23 | 0;
   93922   }
   93923   return 0;
   93924 }
   93925 function _write_dict($dict, $fp) {
   93926   $dict = $dict | 0;
   93927   $fp = $fp | 0;
   93928   var $dict1 = 0, $0 = 0, $call18 = 0, $cmp19 = 0, $list = 0, $name = 0, $i_021 = 0, $cnt_020 = 0, $1 = 0, $arrayidx = 0, $2 = 0, $value = 0, $3 = 0, $cmp2 = 0, $4 = 0, $phitmp15 = 0, $inc = 0, $cmp8 = 0, $call11 = 0, $5 = 0, $call12 = 0, $call13 = 0, $call14 = 0, $name15 = 0, $6 = 0, $call16 = 0, $call17 = 0, $7 = 0, $call19 = 0, $call20 = 0, $cnt_1 = 0, $inc22 = 0, $8 = 0, $call = 0, $cmp = 0, $cmp23 = 0, $call26 = 0, label = 0;
   93929   label = 2;
   93930   while (1) switch (label | 0) {
   93931    case 2:
   93932     $dict1 = $dict + 4 | 0;
   93933     $0 = HEAP32[$dict1 >> 2] | 0;
   93934     $call18 = _dtsize($0) | 0;
   93935     $cmp19 = ($call18 | 0) > 0;
   93936     if ($cmp19) {
   93937       label = 3;
   93938       break;
   93939     } else {
   93940       label = 13;
   93941       break;
   93942     }
   93943    case 3:
   93944     $list = $dict + 8 | 0;
   93945     $name = $dict | 0;
   93946     $cnt_020 = 0;
   93947     $i_021 = 0;
   93948     label = 4;
   93949     break;
   93950    case 4:
   93951     $1 = HEAP32[$list >> 2] | 0;
   93952     $arrayidx = $1 + ($i_021 << 2) | 0;
   93953     $2 = HEAP32[$arrayidx >> 2] | 0;
   93954     $value = $2 + 4 | 0;
   93955     $3 = HEAP32[$value >> 2] | 0;
   93956     $cmp2 = ($3 | 0) == 0;
   93957     if ($cmp2) {
   93958       $cnt_1 = $cnt_020;
   93959       label = 10;
   93960       break;
   93961     } else {
   93962       label = 5;
   93963       break;
   93964     }
   93965    case 5:
   93966     $4 = HEAP8[$3] | 0;
   93967     $phitmp15 = $4 << 24 >> 24 == 0;
   93968     if ($phitmp15) {
   93969       $cnt_1 = $cnt_020;
   93970       label = 10;
   93971       break;
   93972     } else {
   93973       label = 6;
   93974       break;
   93975     }
   93976    case 6:
   93977     $inc = $cnt_020 + 1 | 0;
   93978     $cmp8 = ($cnt_020 | 0) == 0;
   93979     if ($cmp8) {
   93980       label = 7;
   93981       break;
   93982     } else {
   93983       label = 8;
   93984       break;
   93985     }
   93986    case 7:
   93987     $call11 = _agputc(9, $fp) | 0;
   93988     $5 = HEAP32[$name >> 2] | 0;
   93989     $call12 = _agputs($5, $fp) | 0;
   93990     $call13 = _agputs(151712, $fp) | 0;
   93991     label = 9;
   93992     break;
   93993    case 8:
   93994     $call14 = _agputs(145752, $fp) | 0;
   93995     label = 9;
   93996     break;
   93997    case 9:
   93998     $name15 = $2 | 0;
   93999     $6 = HEAP32[$name15 >> 2] | 0;
   94000     $call16 = _agputs($6, $fp) | 0;
   94001     $call17 = _agputc(61, $fp) | 0;
   94002     $7 = HEAP32[$value >> 2] | 0;
   94003     $call19 = _agcanonical($7) | 0;
   94004     $call20 = _agputs($call19, $fp) | 0;
   94005     $cnt_1 = $inc;
   94006     label = 10;
   94007     break;
   94008    case 10:
   94009     $inc22 = $i_021 + 1 | 0;
   94010     $8 = HEAP32[$dict1 >> 2] | 0;
   94011     $call = _dtsize($8) | 0;
   94012     $cmp = ($inc22 | 0) < ($call | 0);
   94013     if ($cmp) {
   94014       $cnt_020 = $cnt_1;
   94015       $i_021 = $inc22;
   94016       label = 4;
   94017       break;
   94018     } else {
   94019       label = 11;
   94020       break;
   94021     }
   94022    case 11:
   94023     $cmp23 = ($cnt_1 | 0) > 0;
   94024     if ($cmp23) {
   94025       label = 12;
   94026       break;
   94027     } else {
   94028       label = 13;
   94029       break;
   94030     }
   94031    case 12:
   94032     $call26 = _agputs(125344, $fp) | 0;
   94033     label = 13;
   94034     break;
   94035    case 13:
   94036     return;
   94037   }
   94038 }
   94039 function _new_printdict_t($g) {
   94040   $g = $g | 0;
   94041   var $call = 0, $call1 = 0, $call3 = 0, $call7 = 0;
   94042   $call = _calloc(1, 20) | 0;
   94043   $call1 = _dtopen(89472, HEAP32[41974] | 0) | 0;
   94044   HEAP32[$call >> 2] = $call1;
   94045   _copydict(HEAP32[$g + 20 >> 2] | 0, $call1);
   94046   $call3 = _dtopen(89632, HEAP32[41974] | 0) | 0;
   94047   HEAP32[$call + 4 >> 2] = $call3;
   94048   _copydict(HEAP32[$g + 28 >> 2] | 0, $call3);
   94049   HEAP32[$call + 16 >> 2] = _dtopen(89472, HEAP32[41974] | 0) | 0;
   94050   HEAP32[$call + 12 >> 2] = _dtopen(89432, HEAP32[41974] | 0) | 0;
   94051   $call7 = _dtopen(89472, HEAP32[41974] | 0) | 0;
   94052   HEAP32[$call + 8 >> 2] = $call7;
   94053   _copydict(HEAP32[(HEAP32[(HEAP32[$g + 36 >> 2] | 0) + 20 >> 2] | 0) + 20 >> 2] | 0, $call7);
   94054   return $call | 0;
   94055 }
   94056 function _aglinenumber() {
   94057   return HEAP32[41638] | 0;
   94058 }
   94059 function __is_number_char($c) {
   94060   $c = $c | 0;
   94061   var $conv = 0, $isdigittmp = 0, $isdigit = 0, $c_off = 0, $switch = 0, $or_cond = 0, $cmp8 = 0, $phitmp = 0, $0 = 0, label = 0;
   94062   label = 2;
   94063   while (1) switch (label | 0) {
   94064    case 2:
   94065     $conv = $c << 24 >> 24;
   94066     $isdigittmp = $conv - 48 | 0;
   94067     $isdigit = $isdigittmp >>> 0 < 10;
   94068     $c_off = $c - 45 & 255;
   94069     $switch = ($c_off & 255) < 2;
   94070     $or_cond = $isdigit | $switch;
   94071     if ($or_cond) {
   94072       $0 = 1;
   94073       label = 4;
   94074       break;
   94075     } else {
   94076       label = 3;
   94077       break;
   94078     }
   94079    case 3:
   94080     $cmp8 = $c << 24 >> 24 == 43;
   94081     $phitmp = $cmp8 & 1;
   94082     $0 = $phitmp;
   94083     label = 4;
   94084     break;
   94085    case 4:
   94086     return $0 | 0;
   94087   }
   94088   return 0;
   94089 }
   94090 function _write_subg($g, $fp, $par, $indent, $state) {
   94091   $g = $g | 0;
   94092   $fp = $fp | 0;
   94093   $par = $par | 0;
   94094   $indent = $indent | 0;
   94095   $state = $state | 0;
   94096   var $tobool = 0, $inc = 0, $subgleft = 0, $0 = 0, $searchf = 0, $1 = 0, $meta_node = 0, $2 = 0, $3 = 0, $call = 0, $tobool2 = 0, $name = 0, $4 = 0, $call4 = 0, $tobool5 = 0, $call7 = 0, $5 = 0, $call9 = 0, $call10 = 0, $call11 = 0, $call12 = 0, $6 = 0, $7 = 0, $univ = 0, $8 = 0, $globattr = 0, $9 = 0, $root = 0, $10 = 0, $cmp = 0, $proto = 0, $11 = 0, $n15 = 0, $12 = 0, $e17 = 0, $13 = 0, $pn_0 = 0, $pe_0 = 0, $proto19 = 0, $14 = 0, $n20 = 0, $15 = 0, $16 = 0, $17 = 0, $18 = 0, $nodeattr = 0, $19 = 0, $20 = 0, $e23 = 0, $21 = 0, $22 = 0, $23 = 0, $24 = 0, $edgeattr = 0, $25 = 0, $26 = 0, $searchf26 = 0, $27 = 0, $28 = 0, $29 = 0, $call29 = 0, $call31 = 0, $name32 = 0, $30 = 0, $call33 = 0, $call34 = 0, $call35 = 0, $31 = 0, $univ39 = 0, $32 = 0, $globattr40 = 0, $33 = 0, $n_insubg = 0, $34 = 0, $e_insubg = 0, $35 = 0, $meta_node42 = 0, $36 = 0, $graph = 0, $37 = 0, $38 = 0, $39 = 0, $call43 = 0, $40 = 0, $41 = 0, $call45 = 0, $42 = 0, $call48 = 0, $tobool49113 = 0, $e_0114 = 0, $head = 0, $43 = 0, $44 = 0, $call50 = 0, $45 = 0, $call51 = 0, $tobool49 = 0, $call52 = 0, $tobool54111 = 0, $nodesleft = 0, $searchf74 = 0, $n_0112 = 0, $46 = 0, $searchf56 = 0, $47 = 0, $48 = 0, $call58 = 0, $tobool59 = 0, $49 = 0, $searchf62 = 0, $50 = 0, $call64 = 0, $51 = 0, $searchf67 = 0, $52 = 0, $call69 = 0, $cmp70 = 0, $53 = 0, $call75 = 0, $call77 = 0, $tobool54 = 0, $outedges = 0, $54 = 0, $call79 = 0, $55 = 0, $searchf81 = 0, $56 = 0, $call83 = 0, $tobool85108 = 0, $edgesleft = 0, $searchf105 = 0, $e_1110_in = 0, $e_1110 = 0, $57 = 0, $searchf87 = 0, $58 = 0, $call89 = 0, $tobool90 = 0, $59 = 0, $searchf93 = 0, $60 = 0, $call95 = 0, $61 = 0, $searchf98 = 0, $62 = 0, $call100 = 0, $cmp101 = 0, $63 = 0, $call106 = 0, $64 = 0, $searchf109 = 0, $65 = 0, $call111 = 0, $tobool85 = 0, $66 = 0, $call114 = 0, $67 = 0, $call116 = 0, $68 = 0, $call119 = 0, $cmp121 = 0, $call123 = 0, label = 0;
   94097   label = 2;
   94098   while (1) switch (label | 0) {
   94099    case 2:
   94100     $tobool = ($indent | 0) == 0;
   94101     $inc = $indent + 1 | 0;
   94102     if ($tobool) {
   94103       label = 11;
   94104       break;
   94105     } else {
   94106       label = 3;
   94107       break;
   94108     }
   94109    case 3:
   94110     _tabover($fp, $indent);
   94111     $subgleft = $state + 8 | 0;
   94112     $0 = HEAP32[$subgleft >> 2] | 0;
   94113     $searchf = $0 | 0;
   94114     $1 = HEAP32[$searchf >> 2] | 0;
   94115     $meta_node = $g + 36 | 0;
   94116     $2 = HEAP32[$meta_node >> 2] | 0;
   94117     $3 = $2 | 0;
   94118     $call = FUNCTION_TABLE_iiii[$1 & 1023]($0, $3, 4) | 0;
   94119     $tobool2 = ($call | 0) == 0;
   94120     if ($tobool2) {
   94121       label = 10;
   94122       break;
   94123     } else {
   94124       label = 4;
   94125       break;
   94126     }
   94127    case 4:
   94128     $name = $g + 12 | 0;
   94129     $4 = HEAP32[$name >> 2] | 0;
   94130     $call4 = _strncmp($4 | 0, 95592, 10) | 0;
   94131     $tobool5 = ($call4 | 0) == 0;
   94132     if ($tobool5) {
   94133       label = 6;
   94134       break;
   94135     } else {
   94136       label = 5;
   94137       break;
   94138     }
   94139    case 5:
   94140     $call7 = _agputs(158728, $fp) | 0;
   94141     $5 = HEAP32[$name >> 2] | 0;
   94142     $call9 = _agcanonical($5) | 0;
   94143     $call10 = _agputs($call9, $fp) | 0;
   94144     $call11 = _agputs(92144, $fp) | 0;
   94145     label = 7;
   94146     break;
   94147    case 6:
   94148     $call12 = _agputs(155256, $fp) | 0;
   94149     label = 7;
   94150     break;
   94151    case 7:
   94152     $6 = $g | 0;
   94153     $7 = $par | 0;
   94154     $univ = $g + 16 | 0;
   94155     $8 = HEAP32[$univ >> 2] | 0;
   94156     $globattr = $8 + 12 | 0;
   94157     $9 = HEAP32[$globattr >> 2] | 0;
   94158     _write_diffattr($fp, $inc, $6, $7, $9);
   94159     $root = $g + 32 | 0;
   94160     $10 = HEAP32[$root >> 2] | 0;
   94161     $cmp = ($10 | 0) == ($par | 0);
   94162     if ($cmp) {
   94163       $pe_0 = 0;
   94164       $pn_0 = 0;
   94165       label = 9;
   94166       break;
   94167     } else {
   94168       label = 8;
   94169       break;
   94170     }
   94171    case 8:
   94172     $proto = $par + 40 | 0;
   94173     $11 = HEAP32[$proto >> 2] | 0;
   94174     $n15 = $11 | 0;
   94175     $12 = HEAP32[$n15 >> 2] | 0;
   94176     $e17 = $11 + 4 | 0;
   94177     $13 = HEAP32[$e17 >> 2] | 0;
   94178     $pe_0 = $13;
   94179     $pn_0 = $12;
   94180     label = 9;
   94181     break;
   94182    case 9:
   94183     $proto19 = $g + 40 | 0;
   94184     $14 = HEAP32[$proto19 >> 2] | 0;
   94185     $n20 = $14 | 0;
   94186     $15 = HEAP32[$n20 >> 2] | 0;
   94187     $16 = $15 | 0;
   94188     $17 = $pn_0 | 0;
   94189     $18 = HEAP32[$univ >> 2] | 0;
   94190     $nodeattr = $18 + 4 | 0;
   94191     $19 = HEAP32[$nodeattr >> 2] | 0;
   94192     _write_diffattr($fp, $inc, $16, $17, $19);
   94193     $20 = HEAP32[$proto19 >> 2] | 0;
   94194     $e23 = $20 + 4 | 0;
   94195     $21 = HEAP32[$e23 >> 2] | 0;
   94196     $22 = $21 | 0;
   94197     $23 = $pe_0 | 0;
   94198     $24 = HEAP32[$univ >> 2] | 0;
   94199     $edgeattr = $24 + 8 | 0;
   94200     $25 = HEAP32[$edgeattr >> 2] | 0;
   94201     _write_diffattr($fp, $inc, $22, $23, $25);
   94202     $26 = HEAP32[$subgleft >> 2] | 0;
   94203     $searchf26 = $26 | 0;
   94204     $27 = HEAP32[$searchf26 >> 2] | 0;
   94205     $28 = HEAP32[$meta_node >> 2] | 0;
   94206     $29 = $28 | 0;
   94207     $call29 = FUNCTION_TABLE_iiii[$27 & 1023]($26, $29, 2) | 0;
   94208     label = 12;
   94209     break;
   94210    case 10:
   94211     $call31 = _agputs(158728, $fp) | 0;
   94212     $name32 = $g + 12 | 0;
   94213     $30 = HEAP32[$name32 >> 2] | 0;
   94214     $call33 = _agcanonical($30) | 0;
   94215     $call34 = _agputs($call33, $fp) | 0;
   94216     $call35 = _agputs(154784, $fp) | 0;
   94217     label = 30;
   94218     break;
   94219    case 11:
   94220     $31 = $g | 0;
   94221     $univ39 = $g + 16 | 0;
   94222     $32 = HEAP32[$univ39 >> 2] | 0;
   94223     $globattr40 = $32 + 12 | 0;
   94224     $33 = HEAP32[$globattr40 >> 2] | 0;
   94225     _write_diffattr($fp, $inc, $31, 0, $33);
   94226     label = 12;
   94227     break;
   94228    case 12:
   94229     $n_insubg = $state + 16 | 0;
   94230     $34 = HEAP32[$n_insubg >> 2] | 0;
   94231     $e_insubg = $state + 12 | 0;
   94232     $35 = HEAP32[$e_insubg >> 2] | 0;
   94233     $meta_node42 = $g + 36 | 0;
   94234     $36 = HEAP32[$meta_node42 >> 2] | 0;
   94235     $graph = $36 + 20 | 0;
   94236     $37 = HEAP32[$graph >> 2] | 0;
   94237     $38 = HEAP32[41974] | 0;
   94238     $39 = $38;
   94239     $call43 = _dtopen(89512, $39) | 0;
   94240     HEAP32[$n_insubg >> 2] = $call43;
   94241     $40 = HEAP32[41974] | 0;
   94242     $41 = $40;
   94243     $call45 = _dtopen(89432, $41) | 0;
   94244     HEAP32[$e_insubg >> 2] = $call45;
   94245     $42 = HEAP32[$meta_node42 >> 2] | 0;
   94246     $call48 = _agfstout($37, $42) | 0;
   94247     $tobool49113 = ($call48 | 0) == 0;
   94248     if ($tobool49113) {
   94249       label = 14;
   94250       break;
   94251     } else {
   94252       $e_0114 = $call48;
   94253       label = 13;
   94254       break;
   94255     }
   94256    case 13:
   94257     $head = $e_0114 + 12 | 0;
   94258     $43 = HEAP32[$head >> 2] | 0;
   94259     $44 = $43;
   94260     $call50 = _agusergraph($44) | 0;
   94261     $45 = $call50;
   94262     _write_subg($45, $fp, $g, $inc, $state);
   94263     $call51 = _agnxtout($37, $e_0114) | 0;
   94264     $tobool49 = ($call51 | 0) == 0;
   94265     if ($tobool49) {
   94266       label = 14;
   94267       break;
   94268     } else {
   94269       $e_0114 = $call51;
   94270       label = 13;
   94271       break;
   94272     }
   94273    case 14:
   94274     $call52 = _agfstnode($g) | 0;
   94275     $tobool54111 = ($call52 | 0) == 0;
   94276     if ($tobool54111) {
   94277       label = 21;
   94278       break;
   94279     } else {
   94280       label = 15;
   94281       break;
   94282     }
   94283    case 15:
   94284     $nodesleft = $state | 0;
   94285     $searchf74 = $34 | 0;
   94286     $n_0112 = $call52;
   94287     label = 16;
   94288     break;
   94289    case 16:
   94290     $46 = HEAP32[$nodesleft >> 2] | 0;
   94291     $searchf56 = $46 | 0;
   94292     $47 = HEAP32[$searchf56 >> 2] | 0;
   94293     $48 = $n_0112 | 0;
   94294     $call58 = FUNCTION_TABLE_iiii[$47 & 1023]($46, $48, 4) | 0;
   94295     $tobool59 = ($call58 | 0) == 0;
   94296     if ($tobool59) {
   94297       label = 18;
   94298       break;
   94299     } else {
   94300       label = 17;
   94301       break;
   94302     }
   94303    case 17:
   94304     _agwrnode($g, $fp, $n_0112, 1, $inc);
   94305     $49 = HEAP32[$nodesleft >> 2] | 0;
   94306     $searchf62 = $49 | 0;
   94307     $50 = HEAP32[$searchf62 >> 2] | 0;
   94308     $call64 = FUNCTION_TABLE_iiii[$50 & 1023]($49, $48, 2) | 0;
   94309     label = 20;
   94310     break;
   94311    case 18:
   94312     $51 = HEAP32[$n_insubg >> 2] | 0;
   94313     $searchf67 = $51 | 0;
   94314     $52 = HEAP32[$searchf67 >> 2] | 0;
   94315     $call69 = FUNCTION_TABLE_iiii[$52 & 1023]($51, $48, 4) | 0;
   94316     $cmp70 = ($call69 | 0) == 0;
   94317     if ($cmp70) {
   94318       label = 19;
   94319       break;
   94320     } else {
   94321       label = 20;
   94322       break;
   94323     }
   94324    case 19:
   94325     _agwrnode($g, $fp, $n_0112, 0, $inc);
   94326     label = 20;
   94327     break;
   94328    case 20:
   94329     $53 = HEAP32[$searchf74 >> 2] | 0;
   94330     $call75 = FUNCTION_TABLE_iiii[$53 & 1023]($34, $48, 1) | 0;
   94331     $call77 = _agnxtnode($g, $n_0112) | 0;
   94332     $tobool54 = ($call77 | 0) == 0;
   94333     if ($tobool54) {
   94334       label = 21;
   94335       break;
   94336     } else {
   94337       $n_0112 = $call77;
   94338       label = 16;
   94339       break;
   94340     }
   94341    case 21:
   94342     $outedges = $g + 28 | 0;
   94343     $54 = HEAP32[$outedges >> 2] | 0;
   94344     $call79 = _dtdisc($54, 89632, 0) | 0;
   94345     $55 = HEAP32[$outedges >> 2] | 0;
   94346     $searchf81 = $55 | 0;
   94347     $56 = HEAP32[$searchf81 >> 2] | 0;
   94348     $call83 = FUNCTION_TABLE_iiii[$56 & 1023]($55, 0, 128) | 0;
   94349     $tobool85108 = ($call83 | 0) == 0;
   94350     if ($tobool85108) {
   94351       label = 28;
   94352       break;
   94353     } else {
   94354       label = 22;
   94355       break;
   94356     }
   94357    case 22:
   94358     $edgesleft = $state + 4 | 0;
   94359     $searchf105 = $35 | 0;
   94360     $e_1110_in = $call83;
   94361     label = 23;
   94362     break;
   94363    case 23:
   94364     $e_1110 = $e_1110_in;
   94365     $57 = HEAP32[$edgesleft >> 2] | 0;
   94366     $searchf87 = $57 | 0;
   94367     $58 = HEAP32[$searchf87 >> 2] | 0;
   94368     $call89 = FUNCTION_TABLE_iiii[$58 & 1023]($57, $e_1110_in, 4) | 0;
   94369     $tobool90 = ($call89 | 0) == 0;
   94370     if ($tobool90) {
   94371       label = 25;
   94372       break;
   94373     } else {
   94374       label = 24;
   94375       break;
   94376     }
   94377    case 24:
   94378     _tabover($fp, $inc);
   94379     _agwredge($g, $fp, $e_1110, 1);
   94380     $59 = HEAP32[$edgesleft >> 2] | 0;
   94381     $searchf93 = $59 | 0;
   94382     $60 = HEAP32[$searchf93 >> 2] | 0;
   94383     $call95 = FUNCTION_TABLE_iiii[$60 & 1023]($59, $e_1110_in, 2) | 0;
   94384     label = 27;
   94385     break;
   94386    case 25:
   94387     $61 = HEAP32[$e_insubg >> 2] | 0;
   94388     $searchf98 = $61 | 0;
   94389     $62 = HEAP32[$searchf98 >> 2] | 0;
   94390     $call100 = FUNCTION_TABLE_iiii[$62 & 1023]($61, $e_1110_in, 4) | 0;
   94391     $cmp101 = ($call100 | 0) == 0;
   94392     if ($cmp101) {
   94393       label = 26;
   94394       break;
   94395     } else {
   94396       label = 27;
   94397       break;
   94398     }
   94399    case 26:
   94400     _tabover($fp, $inc);
   94401     _agwredge($g, $fp, $e_1110, 0);
   94402     label = 27;
   94403     break;
   94404    case 27:
   94405     $63 = HEAP32[$searchf105 >> 2] | 0;
   94406     $call106 = FUNCTION_TABLE_iiii[$63 & 1023]($35, $e_1110_in, 1) | 0;
   94407     $64 = HEAP32[$outedges >> 2] | 0;
   94408     $searchf109 = $64 | 0;
   94409     $65 = HEAP32[$searchf109 >> 2] | 0;
   94410     $call111 = FUNCTION_TABLE_iiii[$65 & 1023]($64, $e_1110_in, 8) | 0;
   94411     $tobool85 = ($call111 | 0) == 0;
   94412     if ($tobool85) {
   94413       label = 28;
   94414       break;
   94415     } else {
   94416       $e_1110_in = $call111;
   94417       label = 23;
   94418       break;
   94419     }
   94420    case 28:
   94421     $66 = HEAP32[$outedges >> 2] | 0;
   94422     $call114 = _dtdisc($66, 89432, 0) | 0;
   94423     $67 = HEAP32[$n_insubg >> 2] | 0;
   94424     $call116 = _dtclose($67) | 0;
   94425     HEAP32[$n_insubg >> 2] = $34;
   94426     $68 = HEAP32[$e_insubg >> 2] | 0;
   94427     $call119 = _dtclose($68) | 0;
   94428     HEAP32[$e_insubg >> 2] = $35;
   94429     $cmp121 = ($indent | 0) > 0;
   94430     if ($cmp121) {
   94431       label = 29;
   94432       break;
   94433     } else {
   94434       label = 30;
   94435       break;
   94436     }
   94437    case 29:
   94438     _tabover($fp, $indent);
   94439     $call123 = _agputs(162280, $fp) | 0;
   94440     label = 30;
   94441     break;
   94442    case 30:
   94443     return;
   94444   }
   94445 }
   94446 function _free_printdict_t($dict) {
   94447   $dict = $dict | 0;
   94448   _dtclose(HEAP32[$dict >> 2] | 0);
   94449   _dtclose(HEAP32[$dict + 16 >> 2] | 0);
   94450   _dtclose(HEAP32[$dict + 4 >> 2] | 0);
   94451   _dtclose(HEAP32[$dict + 12 >> 2] | 0);
   94452   _dtclose(HEAP32[$dict + 8 >> 2] | 0);
   94453   _free($dict);
   94454   return;
   94455 }
   94456 function _write_diffattr($fp, $indent, $obj, $par, $dict) {
   94457   $fp = $fp | 0;
   94458   $indent = $indent | 0;
   94459   $obj = $obj | 0;
   94460   $par = $par | 0;
   94461   $dict = $dict | 0;
   94462   var $dict1 = 0, $0 = 0, $call20 = 0, $cmp21 = 0, $list = 0, $tobool = 0, $name = 0, $add = 0, $cnt_023 = 0, $i_022 = 0, $1 = 0, $arrayidx = 0, $2 = 0, $printed = 0, $3 = 0, $cmp2 = 0, $index = 0, $4 = 0, $call4 = 0, $call7 = 0, $value = 0, $5 = 0, $q_0 = 0, $call9 = 0, $tobool10 = 0, $inc = 0, $cmp12 = 0, $6 = 0, $call15 = 0, $call16 = 0, $call18 = 0, $name20 = 0, $7 = 0, $call21 = 0, $call22 = 0, $call23 = 0, $call24 = 0, $call25 = 0, $cnt_1 = 0, $inc27 = 0, $8 = 0, $call = 0, $cmp = 0, $cmp28 = 0, $call31 = 0, label = 0;
   94463   label = 2;
   94464   while (1) switch (label | 0) {
   94465    case 2:
   94466     $dict1 = $dict + 4 | 0;
   94467     $0 = HEAP32[$dict1 >> 2] | 0;
   94468     $call20 = _dtsize($0) | 0;
   94469     $cmp21 = ($call20 | 0) > 0;
   94470     if ($cmp21) {
   94471       label = 3;
   94472       break;
   94473     } else {
   94474       label = 16;
   94475       break;
   94476     }
   94477    case 3:
   94478     $list = $dict + 8 | 0;
   94479     $tobool = ($par | 0) == 0;
   94480     $name = $dict | 0;
   94481     $add = $indent + 1 | 0;
   94482     $i_022 = 0;
   94483     $cnt_023 = 0;
   94484     label = 4;
   94485     break;
   94486    case 4:
   94487     $1 = HEAP32[$list >> 2] | 0;
   94488     $arrayidx = $1 + ($i_022 << 2) | 0;
   94489     $2 = HEAP32[$arrayidx >> 2] | 0;
   94490     $printed = $2 + 12 | 0;
   94491     $3 = HEAP8[$printed] | 0;
   94492     $cmp2 = $3 << 24 >> 24 == 0;
   94493     if ($cmp2) {
   94494       $cnt_1 = $cnt_023;
   94495       label = 13;
   94496       break;
   94497     } else {
   94498       label = 5;
   94499       break;
   94500     }
   94501    case 5:
   94502     $index = $2 + 8 | 0;
   94503     $4 = HEAP32[$index >> 2] | 0;
   94504     $call4 = _agxget($obj, $4) | 0;
   94505     if ($tobool) {
   94506       label = 7;
   94507       break;
   94508     } else {
   94509       label = 6;
   94510       break;
   94511     }
   94512    case 6:
   94513     $call7 = _agxget($par, $4) | 0;
   94514     $q_0 = $call7;
   94515     label = 8;
   94516     break;
   94517    case 7:
   94518     $value = $2 + 4 | 0;
   94519     $5 = HEAP32[$value >> 2] | 0;
   94520     $q_0 = $5;
   94521     label = 8;
   94522     break;
   94523    case 8:
   94524     $call9 = _strcmp($call4 | 0, $q_0 | 0) | 0;
   94525     $tobool10 = ($call9 | 0) == 0;
   94526     if ($tobool10) {
   94527       $cnt_1 = $cnt_023;
   94528       label = 13;
   94529       break;
   94530     } else {
   94531       label = 9;
   94532       break;
   94533     }
   94534    case 9:
   94535     $inc = $cnt_023 + 1 | 0;
   94536     $cmp12 = ($cnt_023 | 0) == 0;
   94537     if ($cmp12) {
   94538       label = 10;
   94539       break;
   94540     } else {
   94541       label = 11;
   94542       break;
   94543     }
   94544    case 10:
   94545     _tabover($fp, $indent);
   94546     $6 = HEAP32[$name >> 2] | 0;
   94547     $call15 = _agputs($6, $fp) | 0;
   94548     $call16 = _agputs(151712, $fp) | 0;
   94549     label = 12;
   94550     break;
   94551    case 11:
   94552     $call18 = _agputs(148520, $fp) | 0;
   94553     _tabover($fp, $add);
   94554     label = 12;
   94555     break;
   94556    case 12:
   94557     $name20 = $2 | 0;
   94558     $7 = HEAP32[$name20 >> 2] | 0;
   94559     $call21 = _agcanonical($7) | 0;
   94560     $call22 = _agputs($call21, $fp) | 0;
   94561     $call23 = _agputc(61, $fp) | 0;
   94562     $call24 = _agcanonical($call4) | 0;
   94563     $call25 = _agputs($call24, $fp) | 0;
   94564     $cnt_1 = $inc;
   94565     label = 13;
   94566     break;
   94567    case 13:
   94568     $inc27 = $i_022 + 1 | 0;
   94569     $8 = HEAP32[$dict1 >> 2] | 0;
   94570     $call = _dtsize($8) | 0;
   94571     $cmp = ($inc27 | 0) < ($call | 0);
   94572     if ($cmp) {
   94573       $i_022 = $inc27;
   94574       $cnt_023 = $cnt_1;
   94575       label = 4;
   94576       break;
   94577     } else {
   94578       label = 14;
   94579       break;
   94580     }
   94581    case 14:
   94582     $cmp28 = ($cnt_1 | 0) > 0;
   94583     if ($cmp28) {
   94584       label = 15;
   94585       break;
   94586     } else {
   94587       label = 16;
   94588       break;
   94589     }
   94590    case 15:
   94591     $call31 = _agputs(125344, $fp) | 0;
   94592     label = 16;
   94593     break;
   94594    case 16:
   94595     return;
   94596   }
   94597 }
   94598 function _copydict($from, $to) {
   94599   $from = $from | 0;
   94600   $to = $to | 0;
   94601   HEAP32[41984] = $to;
   94602   _dtwalk($from, 372, 0);
   94603   return;
   94604 }
   94605 function _copydictf($d, $a, $ignored) {
   94606   $d = $d | 0;
   94607   $a = $a | 0;
   94608   $ignored = $ignored | 0;
   94609   var $0 = 0;
   94610   $0 = HEAP32[41984] | 0;
   94611   FUNCTION_TABLE_iiii[HEAP32[$0 >> 2] & 1023]($0, $a, 1);
   94612   return 0;
   94613 }
   94614 function _aglexinit($fp, $mygets) {
   94615   $fp = $fp | 0;
   94616   $mygets = $mygets | 0;
   94617   var $tobool = 0, $_pr = 0, $cmp = 0, $0 = 0, $cmp3 = 0, $call = 0, $1 = 0, $call5 = 0, $2 = 0, $3 = 0, $call7 = 0, label = 0;
   94618   label = 2;
   94619   while (1) switch (label | 0) {
   94620    case 2:
   94621     HEAP32[41650] = $fp;
   94622     $tobool = ($mygets | 0) == 0;
   94623     if ($tobool) {
   94624       label = 4;
   94625       break;
   94626     } else {
   94627       label = 3;
   94628       break;
   94629     }
   94630    case 3:
   94631     HEAP32[42142] = $mygets;
   94632     label = 6;
   94633     break;
   94634    case 4:
   94635     $_pr = HEAP32[42142] | 0;
   94636     $cmp = ($_pr | 0) == 0;
   94637     if ($cmp) {
   94638       label = 5;
   94639       break;
   94640     } else {
   94641       label = 6;
   94642       break;
   94643     }
   94644    case 5:
   94645     HEAP32[42142] = 608;
   94646     label = 6;
   94647     break;
   94648    case 6:
   94649     HEAP32[41652] = 0;
   94650     $0 = HEAP32[42140] | 0;
   94651     $cmp3 = ($0 | 0) == 0;
   94652     if ($cmp3) {
   94653       label = 7;
   94654       break;
   94655     } else {
   94656       label = 8;
   94657       break;
   94658     }
   94659    case 7:
   94660     HEAP32[41640] = 1024;
   94661     $call = _calloc(1024, 1) | 0;
   94662     HEAP32[42140] = $call;
   94663     $1 = HEAP32[41640] | 0;
   94664     $call5 = _calloc($1, 1) | 0;
   94665     HEAP32[41342] = $call5;
   94666     label = 8;
   94667     break;
   94668    case 8:
   94669     $2 = HEAP32[42142] | 0;
   94670     $3 = HEAP32[42140] | 0;
   94671     $call7 = FUNCTION_TABLE_iiii[$2 & 1023]($3, 0, $fp) | 0;
   94672     HEAP16[84282] = 0;
   94673     return;
   94674   }
   94675 }
   94676 function _aglex() {
   94677   var $xb = 0, $htmlbuf = 0, $0 = 0, $tobool = 0, $_pr = 0, $1 = 0, $cmp = 0, $2 = 0, $cmp1 = 0, $call = 0, $cmp4 = 0, $_b = 0, $3 = 0, $conv9 = 0, $call10 = 0, $4 = 0, $cmp14 = 0, $5 = 0, $call16 = 0, $tobool17 = 0, $add_ptr = 0, $6 = 0, $call20 = 0, $7 = 0, $cmp23 = 0, $8 = 0, $call30 = 0, $call31 = 0, $arraydecay = 0, $9 = 0, $call38 = 0, $ptr = 0, $10 = 0, $eptr = 0, $11 = 0, $cmp39 = 0, $call41 = 0, $12 = 0, $buf = 0, $13 = 0, $call44 = 0, $14 = 0, $tobool46 = 0, $call48 = 0, $call49 = 0, $cmp50 = 0, $add_ptr54 = 0, $15 = 0, $call56 = 0, $tobool57 = 0, $call59 = 0, $16 = 0, $17 = 0, $conv60 = 0, $call61 = 0, $tobool62 = 0, $cmp65 = 0, $or_cond = 0, $18 = 0, $incdec_ptr68 = 0, $19 = 0, $conv69 = 0, $call71 = 0, $call74 = 0, $cmp75 = 0, $call78 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   94678   __stackBase__ = STACKTOP;
   94679   STACKTOP = STACKTOP + 1040 | 0;
   94680   label = 2;
   94681   while (1) switch (label | 0) {
   94682    case 2:
   94683     $xb = __stackBase__ | 0;
   94684     $htmlbuf = __stackBase__ + 16 | 0;
   94685     $0 = HEAP8[168566] | 0;
   94686     $tobool = $0 << 24 >> 24 == 0;
   94687     if ($tobool) {
   94688       label = 4;
   94689       break;
   94690     } else {
   94691       label = 3;
   94692       break;
   94693     }
   94694    case 3:
   94695     HEAP8[168566] = 0;
   94696     $retval_0 = -1;
   94697     label = 28;
   94698     break;
   94699    case 4:
   94700     $_pr = HEAP32[41652] | 0;
   94701     $1 = $_pr;
   94702     label = 5;
   94703     break;
   94704    case 5:
   94705     $cmp = ($1 | 0) == 0;
   94706     if ($cmp) {
   94707       label = 7;
   94708       break;
   94709     } else {
   94710       label = 6;
   94711       break;
   94712     }
   94713    case 6:
   94714     $2 = HEAP8[$1] | 0;
   94715     $cmp1 = $2 << 24 >> 24 == 0;
   94716     if ($cmp1) {
   94717       label = 7;
   94718       break;
   94719     } else {
   94720       label = 10;
   94721       break;
   94722     }
   94723    case 7:
   94724     $call = _lex_gets() | 0;
   94725     HEAP32[41652] = $call;
   94726     $cmp4 = ($call | 0) == 0;
   94727     if ($cmp4) {
   94728       label = 8;
   94729       break;
   94730     } else {
   94731       label = 10;
   94732       break;
   94733     }
   94734    case 8:
   94735     $_b = HEAP8[166992] | 0;
   94736     if ($_b) {
   94737       label = 9;
   94738       break;
   94739     } else {
   94740       $retval_0 = -1;
   94741       label = 28;
   94742       break;
   94743     }
   94744    case 9:
   94745     $3 = HEAP8[167960] | 0;
   94746     $conv9 = $3 & 255;
   94747     $call10 = _agerr(0, 125096, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $conv9, tempInt) | 0) | 0;
   94748     $retval_0 = -1;
   94749     label = 28;
   94750     break;
   94751    case 10:
   94752     $4 = HEAP32[41638] | 0;
   94753     $cmp14 = ($4 | 0) == 1;
   94754     if ($cmp14) {
   94755       label = 11;
   94756       break;
   94757     } else {
   94758       label = 13;
   94759       break;
   94760     }
   94761    case 11:
   94762     $5 = HEAP32[41652] | 0;
   94763     $call16 = _strncmp($5 | 0, 89152, 3) | 0;
   94764     $tobool17 = ($call16 | 0) == 0;
   94765     if ($tobool17) {
   94766       label = 12;
   94767       break;
   94768     } else {
   94769       label = 13;
   94770       break;
   94771     }
   94772    case 12:
   94773     $add_ptr = $5 + 3 | 0;
   94774     HEAP32[41652] = $add_ptr;
   94775     label = 13;
   94776     break;
   94777    case 13:
   94778     $6 = HEAP32[41652] | 0;
   94779     $call20 = _skip_wscomments($6) | 0;
   94780     HEAP32[41652] = $call20;
   94781     $7 = HEAP8[$call20] | 0;
   94782     $cmp23 = $7 << 24 >> 24 == 0;
   94783     if ($cmp23) {
   94784       $1 = $call20;
   94785       label = 5;
   94786       break;
   94787     } else {
   94788       label = 14;
   94789       break;
   94790     }
   94791    case 14:
   94792     $8 = HEAP32[41342] | 0;
   94793     if (($7 << 24 >> 24 | 0) == 34) {
   94794       label = 15;
   94795       break;
   94796     } else if (($7 << 24 >> 24 | 0) == 60) {
   94797       label = 16;
   94798       break;
   94799     } else {
   94800       label = 19;
   94801       break;
   94802     }
   94803    case 15:
   94804     $call30 = _quoted_string($call20, $8) | 0;
   94805     HEAP32[41652] = $call30;
   94806     $call31 = _agstrdup($8) | 0;
   94807     HEAP32[22286] = $call31;
   94808     $retval_0 = 265;
   94809     label = 28;
   94810     break;
   94811    case 16:
   94812     $arraydecay = $htmlbuf | 0;
   94813     _agxbinit($xb, 1024, $arraydecay);
   94814     $9 = HEAP32[41652] | 0;
   94815     $call38 = _html_string3314($9, $xb) | 0;
   94816     HEAP32[41652] = $call38;
   94817     $ptr = $xb + 4 | 0;
   94818     $10 = HEAP32[$ptr >> 2] | 0;
   94819     $eptr = $xb + 8 | 0;
   94820     $11 = HEAP32[$eptr >> 2] | 0;
   94821     $cmp39 = $10 >>> 0 < $11 >>> 0;
   94822     if ($cmp39) {
   94823       label = 18;
   94824       break;
   94825     } else {
   94826       label = 17;
   94827       break;
   94828     }
   94829    case 17:
   94830     $call41 = _agxbmore($xb, 1) | 0;
   94831     label = 18;
   94832     break;
   94833    case 18:
   94834     $12 = HEAP32[$ptr >> 2] | 0;
   94835     HEAP8[$12] = 0;
   94836     $buf = $xb | 0;
   94837     $13 = HEAP32[$buf >> 2] | 0;
   94838     HEAP32[$ptr >> 2] = $13;
   94839     $call44 = _agstrdup_html($13) | 0;
   94840     HEAP32[22286] = $call44;
   94841     _agxbfree($xb);
   94842     $retval_0 = 264;
   94843     label = 28;
   94844     break;
   94845    case 19:
   94846     $14 = HEAP32[42139] | 0;
   94847     $tobool46 = ($14 | 0) == 0;
   94848     if ($tobool46) {
   94849       label = 22;
   94850       break;
   94851     } else {
   94852       label = 20;
   94853       break;
   94854     }
   94855    case 20:
   94856     $call48 = _strlen($14 | 0) | 0;
   94857     $call49 = _strncmp($call20 | 0, $14 | 0, $call48 | 0) | 0;
   94858     $cmp50 = ($call49 | 0) == 0;
   94859     if ($cmp50) {
   94860       label = 21;
   94861       break;
   94862     } else {
   94863       label = 22;
   94864       break;
   94865     }
   94866    case 21:
   94867     $add_ptr54 = $call20 + $call48 | 0;
   94868     HEAP32[41652] = $add_ptr54;
   94869     $retval_0 = 263;
   94870     label = 28;
   94871     break;
   94872    case 22:
   94873     $15 = HEAP32[41652] | 0;
   94874     $call56 = _scan_num($15, $8) | 0;
   94875     $tobool57 = ($call56 | 0) == 0;
   94876     if ($tobool57) {
   94877       label = 24;
   94878       break;
   94879     } else {
   94880       label = 23;
   94881       break;
   94882     }
   94883    case 23:
   94884     HEAP32[41652] = $call56;
   94885     $call59 = _agstrdup($8) | 0;
   94886     HEAP32[22286] = $call59;
   94887     $retval_0 = 264;
   94888     label = 28;
   94889     break;
   94890    case 24:
   94891     $16 = HEAP32[41652] | 0;
   94892     $17 = HEAP8[$16] | 0;
   94893     $conv60 = $17 & 255;
   94894     $call61 = _ispunct($conv60 | 0) | 0;
   94895     $tobool62 = ($call61 | 0) == 0;
   94896     $cmp65 = $17 << 24 >> 24 == 95;
   94897     $or_cond = $tobool62 | $cmp65;
   94898     $18 = HEAP32[41652] | 0;
   94899     if ($or_cond) {
   94900       label = 26;
   94901       break;
   94902     } else {
   94903       label = 25;
   94904       break;
   94905     }
   94906    case 25:
   94907     $incdec_ptr68 = $18 + 1 | 0;
   94908     HEAP32[41652] = $incdec_ptr68;
   94909     $19 = HEAP8[$18] | 0;
   94910     $conv69 = $19 << 24 >> 24;
   94911     $retval_0 = $conv69;
   94912     label = 28;
   94913     break;
   94914    case 26:
   94915     $call71 = _scan_token($18, $8) | 0;
   94916     HEAP32[41652] = $call71;
   94917     $call74 = _agtoken($8) | 0;
   94918     $cmp75 = ($call74 | 0) == -1;
   94919     if ($cmp75) {
   94920       label = 27;
   94921       break;
   94922     } else {
   94923       $retval_0 = $call74;
   94924       label = 28;
   94925       break;
   94926     }
   94927    case 27:
   94928     $call78 = _agstrdup($8) | 0;
   94929     HEAP32[22286] = $call78;
   94930     $retval_0 = 264;
   94931     label = 28;
   94932     break;
   94933    case 28:
   94934     STACKTOP = __stackBase__;
   94935     return $retval_0 | 0;
   94936   }
   94937   return 0;
   94938 }
   94939 function _agtoken($p) {
   94940   $p = $p | 0;
   94941   var $0 = 0, $tobool8 = 0, $1 = 0, $2 = 0, $p_addr_09 = 0, $tobool1 = 0, $_ = 0, $cmp = 0, $conv6 = 0, $call = 0, $tobool7 = 0, $call10 = 0, $conv11 = 0, $call13 = 0, $tobool14 = 0, $c_0 = 0, $3 = 0, $idxprom = 0, $mask = 0, $4 = 0, $conv17 = 0, $sub = 0, $arrayidx18 = 0, $5 = 0, $and19 = 0, $tobool20 = 0, $trans_base = 0, $6 = 0, $i_0 = 0, $idxprom25 = 0, $c27 = 0, $7 = 0, $conv28 = 0, $cmp30 = 0, $inc = 0, $next_state = 0, $8 = 0, $incdec_ptr = 0, $9 = 0, $tobool = 0, $_pr10 = 0, $cmp40 = 0, $10 = 0, $conv39 = 0, $def = 0, $11 = 0, $conv46 = 0, $cond48 = 0, label = 0;
   94942   label = 2;
   94943   while (1) switch (label | 0) {
   94944    case 2:
   94945     HEAP16[82692] = 0;
   94946     $0 = HEAP8[$p] | 0;
   94947     $tobool8 = $0 << 24 >> 24 == 0;
   94948     if ($tobool8) {
   94949       $10 = 0;
   94950       label = 15;
   94951       break;
   94952     } else {
   94953       $p_addr_09 = $p;
   94954       $2 = $0;
   94955       $1 = 0;
   94956       label = 3;
   94957       break;
   94958     }
   94959    case 3:
   94960     $tobool1 = $2 << 24 >> 24 < 0;
   94961     $_ = $tobool1 ? 127 : $2;
   94962     $cmp = $1 << 16 >> 16 > -1;
   94963     if ($cmp) {
   94964       label = 4;
   94965       break;
   94966     } else {
   94967       label = 13;
   94968       break;
   94969     }
   94970    case 4:
   94971     $conv6 = $_ & 255;
   94972     $call = _isupper($conv6 | 0) | 0;
   94973     $tobool7 = ($call | 0) == 0;
   94974     if ($tobool7) {
   94975       label = 6;
   94976       break;
   94977     } else {
   94978       label = 5;
   94979       break;
   94980     }
   94981    case 5:
   94982     $call10 = _tolower($conv6 | 0) | 0;
   94983     $conv11 = $call10 & 255;
   94984     $c_0 = $conv11;
   94985     label = 8;
   94986     break;
   94987    case 6:
   94988     $call13 = _islower($conv6 | 0) | 0;
   94989     $tobool14 = ($call13 | 0) == 0;
   94990     if ($tobool14) {
   94991       label = 7;
   94992       break;
   94993     } else {
   94994       $c_0 = $_;
   94995       label = 8;
   94996       break;
   94997     }
   94998    case 7:
   94999     HEAP16[82692] = -1;
   95000     label = 13;
   95001     break;
   95002    case 8:
   95003     $3 = HEAP16[82692] | 0;
   95004     $idxprom = $3 << 16 >> 16;
   95005     $mask = 165068 + ($idxprom << 3) | 0;
   95006     $4 = HEAP32[$mask >> 2] | 0;
   95007     $conv17 = $c_0 & 255;
   95008     $sub = $conv17 - 97 | 0;
   95009     $arrayidx18 = 167976 + ($sub << 2) | 0;
   95010     $5 = HEAP32[$arrayidx18 >> 2] | 0;
   95011     $and19 = $5 & $4;
   95012     $tobool20 = ($and19 | 0) == 0;
   95013     if ($tobool20) {
   95014       label = 12;
   95015       break;
   95016     } else {
   95017       label = 9;
   95018       break;
   95019     }
   95020    case 9:
   95021     $trans_base = 165066 + ($idxprom << 3) | 0;
   95022     $6 = HEAP16[$trans_base >> 1] | 0;
   95023     $i_0 = $6;
   95024     label = 10;
   95025     break;
   95026    case 10:
   95027     $idxprom25 = $i_0 << 16 >> 16;
   95028     $c27 = 164928 + ($idxprom25 << 2) | 0;
   95029     $7 = HEAP16[$c27 >> 1] | 0;
   95030     $conv28 = $7 << 16 >> 16;
   95031     $cmp30 = ($conv28 | 0) == ($conv17 | 0);
   95032     $inc = $i_0 + 1 & 65535;
   95033     if ($cmp30) {
   95034       label = 11;
   95035       break;
   95036     } else {
   95037       $i_0 = $inc;
   95038       label = 10;
   95039       break;
   95040     }
   95041    case 11:
   95042     $next_state = 164930 + ($idxprom25 << 2) | 0;
   95043     $8 = HEAP16[$next_state >> 1] | 0;
   95044     HEAP16[82692] = $8;
   95045     label = 13;
   95046     break;
   95047    case 12:
   95048     HEAP16[82692] = -1;
   95049     label = 13;
   95050     break;
   95051    case 13:
   95052     $incdec_ptr = $p_addr_09 + 1 | 0;
   95053     $9 = HEAP8[$incdec_ptr] | 0;
   95054     $tobool = $9 << 24 >> 24 == 0;
   95055     $_pr10 = HEAP16[82692] | 0;
   95056     if ($tobool) {
   95057       label = 14;
   95058       break;
   95059     } else {
   95060       $p_addr_09 = $incdec_ptr;
   95061       $2 = $9;
   95062       $1 = $_pr10;
   95063       label = 3;
   95064       break;
   95065     }
   95066    case 14:
   95067     $cmp40 = $_pr10 << 16 >> 16 < 0;
   95068     if ($cmp40) {
   95069       $cond48 = -1;
   95070       label = 16;
   95071       break;
   95072     } else {
   95073       $10 = $_pr10;
   95074       label = 15;
   95075       break;
   95076     }
   95077    case 15:
   95078     $conv39 = $10 << 16 >> 16;
   95079     $def = 165064 + ($conv39 << 3) | 0;
   95080     $11 = HEAP16[$def >> 1] | 0;
   95081     $conv46 = $11 << 16 >> 16;
   95082     $cond48 = $conv46;
   95083     label = 16;
   95084     break;
   95085    case 16:
   95086     return $cond48 | 0;
   95087   }
   95088   return 0;
   95089 }
   95090 function _lex_gets() {
   95091   var $cnt = 0, $buf = 0, $arraydecay = 0, $curlen_0 = 0, $add = 0, $0 = 0, $cmp = 0, $add1 = 0, $1 = 0, $call = 0, $2 = 0, $3 = 0, $call2 = 0, $4 = 0, $5 = 0, $add_ptr_sum = 0, $add_ptr3 = 0, $6 = 0, $sub = 0, $sub4 = 0, $7 = 0, $call5 = 0, $cmp6 = 0, $call9 = 0, $sub10 = 0, $arrayidx = 0, $8 = 0, $cmp11 = 0, $9 = 0, $cmp16 = 0, $cmp18 = 0, $or_cond = 0, $add_ptr21 = 0, $call22 = 0, $cmp23 = 0, $add_ptr26 = 0, $add_ptr26_add_ptr21 = 0, $call28 = 0, $cmp29 = 0, $10 = 0, $inc = 0, $dec = 0, $cmp32 = 0, $11 = 0, $add_ptr35 = 0, $e_0 = 0, $12 = 0, $incdec_ptr = 0, $cmp40 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $13 = 0, $inc48 = 0, $sub49 = 0, $arrayidx50 = 0, $14 = 0, $cmp52 = 0, $len_0 = 0, $add59 = 0, $curlen_1 = 0, $len_1 = 0, $sub60 = 0, $arrayidx61 = 0, $15 = 0, $cmp63 = 0, $curlen_2 = 0, $cmp65 = 0, $16 = 0, $add_ptr68 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   95092   __stackBase__ = STACKTOP;
   95093   STACKTOP = STACKTOP + 16 | 0;
   95094   label = 2;
   95095   while (1) switch (label | 0) {
   95096    case 2:
   95097     $cnt = __stackBase__ | 0;
   95098     $buf = __stackBase__ + 8 | 0;
   95099     $arraydecay = $buf | 0;
   95100     $curlen_0 = 0;
   95101     label = 3;
   95102     break;
   95103    case 3:
   95104     $add = $curlen_0 + 128 | 0;
   95105     $0 = HEAP32[41640] | 0;
   95106     $cmp = ($add | 0) < ($0 | 0);
   95107     if ($cmp) {
   95108       label = 5;
   95109       break;
   95110     } else {
   95111       label = 4;
   95112       break;
   95113     }
   95114    case 4:
   95115     $add1 = $0 + 1024 | 0;
   95116     HEAP32[41640] = $add1;
   95117     $1 = HEAP32[42140] | 0;
   95118     $call = _realloc($1, $add1) | 0;
   95119     HEAP32[42140] = $call;
   95120     $2 = HEAP32[41342] | 0;
   95121     $3 = HEAP32[41640] | 0;
   95122     $call2 = _realloc($2, $3) | 0;
   95123     HEAP32[41342] = $call2;
   95124     label = 5;
   95125     break;
   95126    case 5:
   95127     $4 = HEAP32[42142] | 0;
   95128     $5 = HEAP32[42140] | 0;
   95129     $add_ptr_sum = $curlen_0 + 1 | 0;
   95130     $add_ptr3 = $5 + $add_ptr_sum | 0;
   95131     $6 = HEAP32[41640] | 0;
   95132     $sub = $curlen_0 ^ -1;
   95133     $sub4 = $6 + $sub | 0;
   95134     $7 = HEAP32[41650] | 0;
   95135     $call5 = FUNCTION_TABLE_iiii[$4 & 1023]($add_ptr3, $sub4, $7) | 0;
   95136     $cmp6 = ($call5 | 0) == 0;
   95137     if ($cmp6) {
   95138       $curlen_2 = $curlen_0;
   95139       label = 21;
   95140       break;
   95141     } else {
   95142       label = 6;
   95143       break;
   95144     }
   95145    case 6:
   95146     $call9 = _strlen($call5 | 0) | 0;
   95147     $sub10 = $call9 - 1 | 0;
   95148     $arrayidx = $call5 + $sub10 | 0;
   95149     $8 = HEAP8[$arrayidx] | 0;
   95150     $cmp11 = $8 << 24 >> 24 == 10;
   95151     if ($cmp11) {
   95152       label = 7;
   95153       break;
   95154     } else {
   95155       $len_0 = $call9;
   95156       label = 19;
   95157       break;
   95158     }
   95159    case 7:
   95160     $9 = HEAP8[$call5] | 0;
   95161     $cmp16 = $9 << 24 >> 24 == 35;
   95162     $cmp18 = ($curlen_0 | 0) == 0;
   95163     $or_cond = $cmp16 & $cmp18;
   95164     if ($or_cond) {
   95165       label = 8;
   95166       break;
   95167     } else {
   95168       label = 17;
   95169       break;
   95170     }
   95171    case 8:
   95172     $add_ptr21 = $call5 + 1 | 0;
   95173     $call22 = _strncmp($add_ptr21 | 0, 142152, 4) | 0;
   95174     $cmp23 = ($call22 | 0) == 0;
   95175     $add_ptr26 = $call5 + 5 | 0;
   95176     $add_ptr26_add_ptr21 = $cmp23 ? $add_ptr26 : $add_ptr21;
   95177     $call28 = _sscanf($add_ptr26_add_ptr21 | 0, 138608, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = 166552, HEAP32[tempInt + 8 >> 2] = $arraydecay, HEAP32[tempInt + 16 >> 2] = $cnt, tempInt) | 0) | 0;
   95178     $cmp29 = ($call28 | 0) < 1;
   95179     $10 = HEAP32[41638] | 0;
   95180     if ($cmp29) {
   95181       label = 9;
   95182       break;
   95183     } else {
   95184       label = 10;
   95185       break;
   95186     }
   95187    case 9:
   95188     $inc = $10 + 1 | 0;
   95189     HEAP32[41638] = $inc;
   95190     label = 16;
   95191     break;
   95192    case 10:
   95193     $dec = $10 - 1 | 0;
   95194     HEAP32[41638] = $dec;
   95195     $cmp32 = ($call28 | 0) > 1;
   95196     if ($cmp32) {
   95197       label = 11;
   95198       break;
   95199     } else {
   95200       label = 16;
   95201       break;
   95202     }
   95203    case 11:
   95204     $11 = HEAP32[$cnt >> 2] | 0;
   95205     $add_ptr35 = $add_ptr26_add_ptr21 + $11 | 0;
   95206     $e_0 = $add_ptr35;
   95207     label = 12;
   95208     break;
   95209    case 12:
   95210     $12 = HEAP8[$e_0] | 0;
   95211     if (($12 << 24 >> 24 | 0) == 34 | ($12 << 24 >> 24 | 0) == 0) {
   95212       label = 14;
   95213       break;
   95214     } else {
   95215       label = 13;
   95216       break;
   95217     }
   95218    case 13:
   95219     $incdec_ptr = $e_0 + 1 | 0;
   95220     $e_0 = $incdec_ptr;
   95221     label = 12;
   95222     break;
   95223    case 14:
   95224     $cmp40 = ($e_0 | 0) == ($add_ptr35 | 0);
   95225     if ($cmp40) {
   95226       label = 16;
   95227       break;
   95228     } else {
   95229       label = 15;
   95230       break;
   95231     }
   95232    case 15:
   95233     HEAP8[$e_0] = 0;
   95234     $sub_ptr_lhs_cast = $e_0;
   95235     $sub_ptr_rhs_cast = $add_ptr35;
   95236     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   95237     _storeFileName($add_ptr35, $sub_ptr_sub);
   95238     label = 16;
   95239     break;
   95240    case 16:
   95241     HEAP8[$call5] = 0;
   95242     $len_1 = 1;
   95243     $curlen_1 = $curlen_0;
   95244     label = 20;
   95245     break;
   95246    case 17:
   95247     $13 = HEAP32[41638] | 0;
   95248     $inc48 = $13 + 1 | 0;
   95249     HEAP32[41638] = $inc48;
   95250     $sub49 = $call9 - 2 | 0;
   95251     $arrayidx50 = $call5 + $sub49 | 0;
   95252     $14 = HEAP8[$arrayidx50] | 0;
   95253     $cmp52 = $14 << 24 >> 24 == 92;
   95254     if ($cmp52) {
   95255       label = 18;
   95256       break;
   95257     } else {
   95258       $len_0 = $call9;
   95259       label = 19;
   95260       break;
   95261     }
   95262    case 18:
   95263     HEAP8[$arrayidx50] = 0;
   95264     $len_0 = $sub49;
   95265     label = 19;
   95266     break;
   95267    case 19:
   95268     $add59 = $len_0 + $curlen_0 | 0;
   95269     $len_1 = $len_0;
   95270     $curlen_1 = $add59;
   95271     label = 20;
   95272     break;
   95273    case 20:
   95274     $sub60 = $len_1 - 1 | 0;
   95275     $arrayidx61 = $call5 + $sub60 | 0;
   95276     $15 = HEAP8[$arrayidx61] | 0;
   95277     $cmp63 = $15 << 24 >> 24 == 10;
   95278     if ($cmp63) {
   95279       $curlen_2 = $curlen_1;
   95280       label = 21;
   95281       break;
   95282     } else {
   95283       $curlen_0 = $curlen_1;
   95284       label = 3;
   95285       break;
   95286     }
   95287    case 21:
   95288     $cmp65 = ($curlen_2 | 0) > 0;
   95289     if ($cmp65) {
   95290       label = 22;
   95291       break;
   95292     } else {
   95293       $retval_0 = 0;
   95294       label = 23;
   95295       break;
   95296     }
   95297    case 22:
   95298     $16 = HEAP32[42140] | 0;
   95299     $add_ptr68 = $16 + 1 | 0;
   95300     $retval_0 = $add_ptr68;
   95301     label = 23;
   95302     break;
   95303    case 23:
   95304     STACKTOP = __stackBase__;
   95305     return $retval_0 | 0;
   95306   }
   95307   return 0;
   95308 }
   95309 function _agerr($level, $fmt, varrp) {
   95310   $level = $level | 0;
   95311   $fmt = $fmt | 0;
   95312   varrp = varrp | 0;
   95313   var $args = 0, $arraydecay1 = 0, $call = 0, __stackBase__ = 0;
   95314   __stackBase__ = STACKTOP;
   95315   STACKTOP = STACKTOP + 16 | 0;
   95316   $args = __stackBase__ | 0;
   95317   $arraydecay1 = $args;
   95318   HEAP32[$arraydecay1 >> 2] = varrp;
   95319   HEAP32[$arraydecay1 + 4 >> 2] = 0;
   95320   $call = _agerr_va($level, $fmt, $args | 0) | 0;
   95321   STACKTOP = __stackBase__;
   95322   return $call | 0;
   95323 }
   95324 function _agerrors() {
   95325   var $0 = 0, $conv = 0;
   95326   $0 = HEAP32[22284] | 0;
   95327   $conv = HEAP16[84282] | 0;
   95328   return (($0 | 0) > ($conv | 0) ? $0 : $conv) | 0;
   95329 }
   95330 function _skip_wscomments($pp) {
   95331   $pp = $pp | 0;
   95332   var $p_0 = 0, $0 = 0, $cmp = 0, $conv = 0, $call = 0, $tobool = 0, $1 = 0, $conv3 = 0, $call4 = 0, $tobool5 = 0, $_b22 = 0, $_b = 0, $incdec_ptr = 0, $p_123 = 0, $2 = 0, $tobool11 = 0, $p_2 = 0, $3 = 0, $tobool17 = 0, $cmp21 = 0, $not_tobool17 = 0, $_cmp21 = 0, $incdec_ptr25 = 0, $p_1_be = 0, $arrayidx29 = 0, $4 = 0, $cmp31 = 0, $add_ptr = 0, $p_3 = 0, $5 = 0, $arrayidx42 = 0, $6 = 0, $p_4 = 0, $7 = 0, $tobool48 = 0, $incdec_ptr50 = 0, $8 = 0, $conv58 = 0, $add_ptr59 = 0, $conv38 = 0, $call67 = 0, $tobool68 = 0, $9 = 0, $conv69 = 0, $call70 = 0, $tobool71 = 0, $p_5 = 0, $10 = 0, $tobool76 = 0, $p_6 = 0, label = 0;
   95333   label = 2;
   95334   while (1) switch (label | 0) {
   95335    case 2:
   95336     $p_0 = $pp;
   95337     label = 3;
   95338     break;
   95339    case 3:
   95340     $0 = HEAP8[$p_0] | 0;
   95341     $cmp = $0 << 24 >> 24 == 0;
   95342     if ($cmp) {
   95343       label = 6;
   95344       break;
   95345     } else {
   95346       label = 4;
   95347       break;
   95348     }
   95349    case 4:
   95350     $conv = $0 & 255;
   95351     $call = _isspace($conv | 0) | 0;
   95352     $tobool = ($call | 0) == 0;
   95353     if ($tobool) {
   95354       label = 5;
   95355       break;
   95356     } else {
   95357       label = 8;
   95358       break;
   95359     }
   95360    case 5:
   95361     $1 = HEAP8[$p_0] | 0;
   95362     $conv3 = $1 & 255;
   95363     $call4 = _iscntrl($conv3 | 0) | 0;
   95364     $tobool5 = ($call4 | 0) == 0;
   95365     if ($tobool5) {
   95366       label = 6;
   95367       break;
   95368     } else {
   95369       label = 8;
   95370       break;
   95371     }
   95372    case 6:
   95373     $_b22 = HEAP8[166992] | 0;
   95374     if ($_b22) {
   95375       label = 7;
   95376       break;
   95377     } else {
   95378       $p_3 = $p_0;
   95379       label = 15;
   95380       break;
   95381     }
   95382    case 7:
   95383     $_b = HEAP8[166992] | 0;
   95384     $p_123 = $p_0;
   95385     label = 9;
   95386     break;
   95387    case 8:
   95388     $incdec_ptr = $p_0 + 1 | 0;
   95389     $p_0 = $incdec_ptr;
   95390     label = 3;
   95391     break;
   95392    case 9:
   95393     $2 = HEAP8[$p_123] | 0;
   95394     $tobool11 = $2 << 24 >> 24 == 0;
   95395     if ($tobool11) {
   95396       $p_3 = $p_123;
   95397       label = 15;
   95398       break;
   95399     } else {
   95400       $p_2 = $p_123;
   95401       label = 10;
   95402       break;
   95403     }
   95404    case 10:
   95405     $3 = HEAP8[$p_2] | 0;
   95406     $tobool17 = $3 << 24 >> 24 == 0;
   95407     $cmp21 = $3 << 24 >> 24 != 42;
   95408     $not_tobool17 = $tobool17 ^ 1;
   95409     $_cmp21 = $cmp21 & $not_tobool17;
   95410     $incdec_ptr25 = $p_2 + 1 | 0;
   95411     if ($_cmp21) {
   95412       $p_2 = $incdec_ptr25;
   95413       label = 10;
   95414       break;
   95415     } else {
   95416       label = 11;
   95417       break;
   95418     }
   95419    case 11:
   95420     if ($tobool17) {
   95421       $p_1_be = $p_2;
   95422       label = 12;
   95423       break;
   95424     } else {
   95425       label = 13;
   95426       break;
   95427     }
   95428    case 12:
   95429     if ($_b) {
   95430       $p_123 = $p_1_be;
   95431       label = 9;
   95432       break;
   95433     } else {
   95434       $p_3 = $p_1_be;
   95435       label = 15;
   95436       break;
   95437     }
   95438    case 13:
   95439     $arrayidx29 = $p_2 + 1 | 0;
   95440     $4 = HEAP8[$arrayidx29] | 0;
   95441     $cmp31 = $4 << 24 >> 24 == 47;
   95442     if ($cmp31) {
   95443       label = 14;
   95444       break;
   95445     } else {
   95446       $p_1_be = $arrayidx29;
   95447       label = 12;
   95448       break;
   95449     }
   95450    case 14:
   95451     HEAP8[166992] = 0;
   95452     $add_ptr = $p_2 + 2 | 0;
   95453     $p_3 = $add_ptr;
   95454     label = 15;
   95455     break;
   95456    case 15:
   95457     $5 = HEAP8[$p_3] | 0;
   95458     if (($5 << 24 >> 24 | 0) == 47) {
   95459       label = 16;
   95460       break;
   95461     } else if (($5 << 24 >> 24 | 0) == 0) {
   95462       $p_6 = $p_3;
   95463       label = 22;
   95464       break;
   95465     } else {
   95466       label = 19;
   95467       break;
   95468     }
   95469    case 16:
   95470     $arrayidx42 = $p_3 + 1 | 0;
   95471     $6 = HEAP8[$arrayidx42] | 0;
   95472     if (($6 << 24 >> 24 | 0) == 47) {
   95473       $p_4 = $p_3;
   95474       label = 17;
   95475       break;
   95476     } else if (($6 << 24 >> 24 | 0) == 42) {
   95477       label = 18;
   95478       break;
   95479     } else {
   95480       $p_6 = $p_3;
   95481       label = 22;
   95482       break;
   95483     }
   95484    case 17:
   95485     $7 = HEAP8[$p_4] | 0;
   95486     $tobool48 = $7 << 24 >> 24 == 0;
   95487     $incdec_ptr50 = $p_4 + 1 | 0;
   95488     if ($tobool48) {
   95489       $p_5 = $p_4;
   95490       label = 21;
   95491       break;
   95492     } else {
   95493       $p_4 = $incdec_ptr50;
   95494       label = 17;
   95495       break;
   95496     }
   95497    case 18:
   95498     HEAP8[166992] = 1;
   95499     $8 = HEAP32[41638] | 0;
   95500     $conv58 = $8 & 255;
   95501     HEAP8[167960] = $conv58;
   95502     $add_ptr59 = $p_3 + 2 | 0;
   95503     $p_5 = $add_ptr59;
   95504     label = 21;
   95505     break;
   95506    case 19:
   95507     $conv38 = $5 & 255;
   95508     $call67 = _isspace($conv38 | 0) | 0;
   95509     $tobool68 = ($call67 | 0) == 0;
   95510     if ($tobool68) {
   95511       label = 20;
   95512       break;
   95513     } else {
   95514       $p_5 = $p_3;
   95515       label = 21;
   95516       break;
   95517     }
   95518    case 20:
   95519     $9 = HEAP8[$p_3] | 0;
   95520     $conv69 = $9 & 255;
   95521     $call70 = _iscntrl($conv69 | 0) | 0;
   95522     $tobool71 = ($call70 | 0) == 0;
   95523     if ($tobool71) {
   95524       $p_6 = $p_3;
   95525       label = 22;
   95526       break;
   95527     } else {
   95528       $p_5 = $p_3;
   95529       label = 21;
   95530       break;
   95531     }
   95532    case 21:
   95533     $10 = HEAP8[$p_5] | 0;
   95534     $tobool76 = $10 << 24 >> 24 == 0;
   95535     if ($tobool76) {
   95536       $p_6 = $p_5;
   95537       label = 22;
   95538       break;
   95539     } else {
   95540       $p_0 = $p_5;
   95541       label = 3;
   95542       break;
   95543     }
   95544    case 22:
   95545     return $p_6 | 0;
   95546   }
   95547   return 0;
   95548 }
   95549 function _quoted_string($p, $token) {
   95550   $p = $p | 0;
   95551   $token = $token | 0;
   95552   var $0 = 0, $p_addr_013 = 0, $1 = 0, $tobool14 = 0, $cmp16 = 0, $not_tobool17 = 0, $2 = 0, $3 = 0, $p_addr_020 = 0, $q_019 = 0, $p_pn18 = 0, $cmp5 = 0, $add_ptr = 0, $4 = 0, $cmp9 = 0, $cmp15 = 0, $incdec_ptr19 = 0, $p_addr_1 = 0, $q_1 = 0, $5 = 0, $incdec_ptr23 = 0, $p_addr_0 = 0, $6 = 0, $tobool = 0, $cmp = 0, $not_tobool = 0, $7 = 0, $tobool_lcssa = 0, $p_addr_0_lcssa = 0, $q_0_lcssa = 0, $p_pn_lcssa = 0, $8 = 0, $tobool28 = 0, $cond = 0, $9 = 0, $call = 0, $incdec_ptr30 = 0, $p_addr_2 = 0, label = 0, __stackBase__ = 0;
   95553   __stackBase__ = STACKTOP;
   95554   label = 2;
   95555   while (1) switch (label | 0) {
   95556    case 2:
   95557     $0 = HEAP8[$p] | 0;
   95558     $p_addr_013 = $p + 1 | 0;
   95559     $1 = HEAP8[$p_addr_013] | 0;
   95560     $tobool14 = $1 << 24 >> 24 == 0;
   95561     $cmp16 = $1 << 24 >> 24 != $0 << 24 >> 24;
   95562     $not_tobool17 = $tobool14 ^ 1;
   95563     $2 = $cmp16 & $not_tobool17;
   95564     if ($2) {
   95565       $p_pn18 = $p;
   95566       $q_019 = $token;
   95567       $p_addr_020 = $p_addr_013;
   95568       $3 = $1;
   95569       label = 3;
   95570       break;
   95571     } else {
   95572       $p_pn_lcssa = $p;
   95573       $q_0_lcssa = $token;
   95574       $p_addr_0_lcssa = $p_addr_013;
   95575       $tobool_lcssa = $tobool14;
   95576       label = 8;
   95577       break;
   95578     }
   95579    case 3:
   95580     $cmp5 = $3 << 24 >> 24 == 92;
   95581     if ($cmp5) {
   95582       label = 4;
   95583       break;
   95584     } else {
   95585       $q_1 = $q_019;
   95586       $p_addr_1 = $p_addr_020;
   95587       label = 7;
   95588       break;
   95589     }
   95590    case 4:
   95591     $add_ptr = $p_pn18 + 2 | 0;
   95592     $4 = HEAP8[$add_ptr] | 0;
   95593     $cmp9 = $4 << 24 >> 24 == $0 << 24 >> 24;
   95594     if ($cmp9) {
   95595       $q_1 = $q_019;
   95596       $p_addr_1 = $add_ptr;
   95597       label = 7;
   95598       break;
   95599     } else {
   95600       label = 5;
   95601       break;
   95602     }
   95603    case 5:
   95604     $cmp15 = $4 << 24 >> 24 == 92;
   95605     if ($cmp15) {
   95606       label = 6;
   95607       break;
   95608     } else {
   95609       $q_1 = $q_019;
   95610       $p_addr_1 = $p_addr_020;
   95611       label = 7;
   95612       break;
   95613     }
   95614    case 6:
   95615     $incdec_ptr19 = $q_019 + 1 | 0;
   95616     HEAP8[$q_019] = $3;
   95617     $q_1 = $incdec_ptr19;
   95618     $p_addr_1 = $add_ptr;
   95619     label = 7;
   95620     break;
   95621    case 7:
   95622     $5 = HEAP8[$p_addr_1] | 0;
   95623     $incdec_ptr23 = $q_1 + 1 | 0;
   95624     HEAP8[$q_1] = $5;
   95625     $p_addr_0 = $p_addr_1 + 1 | 0;
   95626     $6 = HEAP8[$p_addr_0] | 0;
   95627     $tobool = $6 << 24 >> 24 == 0;
   95628     $cmp = $6 << 24 >> 24 != $0 << 24 >> 24;
   95629     $not_tobool = $tobool ^ 1;
   95630     $7 = $cmp & $not_tobool;
   95631     if ($7) {
   95632       $p_pn18 = $p_addr_1;
   95633       $q_019 = $incdec_ptr23;
   95634       $p_addr_020 = $p_addr_0;
   95635       $3 = $6;
   95636       label = 3;
   95637       break;
   95638     } else {
   95639       $p_pn_lcssa = $p_addr_1;
   95640       $q_0_lcssa = $incdec_ptr23;
   95641       $p_addr_0_lcssa = $p_addr_0;
   95642       $tobool_lcssa = $tobool;
   95643       label = 8;
   95644       break;
   95645     }
   95646    case 8:
   95647     if ($tobool_lcssa) {
   95648       label = 9;
   95649       break;
   95650     } else {
   95651       label = 10;
   95652       break;
   95653     }
   95654    case 9:
   95655     $8 = HEAP32[41740] | 0;
   95656     $tobool28 = ($8 | 0) != 0;
   95657     $cond = $tobool28 ? $8 : 111640;
   95658     $9 = HEAP32[41638] | 0;
   95659     $call = _agerr(0, 145192, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $cond, HEAP32[tempInt + 8 >> 2] = $9, tempInt) | 0) | 0;
   95660     $p_addr_2 = $p_addr_0_lcssa;
   95661     label = 11;
   95662     break;
   95663    case 10:
   95664     $incdec_ptr30 = $p_pn_lcssa + 2 | 0;
   95665     $p_addr_2 = $incdec_ptr30;
   95666     label = 11;
   95667     break;
   95668    case 11:
   95669     HEAP8[$q_0_lcssa] = 0;
   95670     STACKTOP = __stackBase__;
   95671     return $p_addr_2 | 0;
   95672   }
   95673   return 0;
   95674 }
   95675 function _html_string3314($p, $token) {
   95676   $p = $p | 0;
   95677   $token = $token | 0;
   95678   var $call = 0;
   95679   HEAP8[165432] = HEAP32[41638] & 255;
   95680   $call = _html_pair($p + 1 | 0, $token) | 0;
   95681   return (($call | 0) == 0 ? 0 : $call + 1 | 0) | 0;
   95682 }
   95683 function _scan_num($p, $token) {
   95684   $p = $p | 0;
   95685   $token = $token | 0;
   95686   var $0 = 0, $cmp = 0, $incdec_ptr = 0, $incdec_ptr2 = 0, $z_0 = 0, $q_0 = 0, $1 = 0, $cmp4 = 0, $incdec_ptr7 = 0, $incdec_ptr8 = 0, $z_1_ph = 0, $saw_rp_0_ph = 0, $q_1_ph = 0, $2 = 0, $conv1040 = 0, $isdigittmp41 = 0, $isdigit42 = 0, $q_144 = 0, $z_143 = 0, $incdec_ptr11 = 0, $3 = 0, $incdec_ptr12 = 0, $4 = 0, $conv10 = 0, $isdigittmp = 0, $isdigit = 0, $q_1_lcssa = 0, $saw_digit_0_lcssa = 0, $z_1_lcssa = 0, $5 = 0, $cmp14 = 0, $cmp16 = 0, $or_cond = 0, $q_231 = 0, $z_232 = 0, $6 = 0, $conv2233 = 0, $isdigittmp2934 = 0, $isdigit3035 = 0, $z_237 = 0, $q_236 = 0, $7 = 0, $q_2 = 0, $z_2 = 0, $8 = 0, $conv22 = 0, $isdigittmp29 = 0, $isdigit30 = 0, $z_3 = 0, $q_3 = 0, $cond28 = 0, $z_351 = 0, $9 = 0, $tobool33 = 0, $conv32 = 0, $call36 = 0, $tobool37 = 0, $10 = 0, $cmp39 = 0, $z_3_pn = 0, $endp_0 = 0, $11 = 0, $tobool44 = 0, $conv43 = 0, $call46 = 0, $cmp49 = 0, $not_tobool47 = 0, $cmp49_ = 0, $12 = 0, $tobool54 = 0, $cond = 0, $13 = 0, $call55 = 0, $z_4 = 0, label = 0, __stackBase__ = 0;
   95687   __stackBase__ = STACKTOP;
   95688   label = 2;
   95689   while (1) switch (label | 0) {
   95690    case 2:
   95691     $0 = HEAP8[$p] | 0;
   95692     $cmp = $0 << 24 >> 24 == 45;
   95693     if ($cmp) {
   95694       label = 3;
   95695       break;
   95696     } else {
   95697       $q_0 = $token;
   95698       $z_0 = $p;
   95699       label = 4;
   95700       break;
   95701     }
   95702    case 3:
   95703     $incdec_ptr = $p + 1 | 0;
   95704     $incdec_ptr2 = $token + 1 | 0;
   95705     HEAP8[$token] = $0;
   95706     $q_0 = $incdec_ptr2;
   95707     $z_0 = $incdec_ptr;
   95708     label = 4;
   95709     break;
   95710    case 4:
   95711     $1 = HEAP8[$z_0] | 0;
   95712     $cmp4 = $1 << 24 >> 24 == 46;
   95713     if ($cmp4) {
   95714       label = 5;
   95715       break;
   95716     } else {
   95717       $q_1_ph = $q_0;
   95718       $saw_rp_0_ph = 0;
   95719       $z_1_ph = $z_0;
   95720       label = 6;
   95721       break;
   95722     }
   95723    case 5:
   95724     $incdec_ptr7 = $z_0 + 1 | 0;
   95725     $incdec_ptr8 = $q_0 + 1 | 0;
   95726     HEAP8[$q_0] = $1;
   95727     $q_1_ph = $incdec_ptr8;
   95728     $saw_rp_0_ph = 1;
   95729     $z_1_ph = $incdec_ptr7;
   95730     label = 6;
   95731     break;
   95732    case 6:
   95733     $2 = HEAP8[$z_1_ph] | 0;
   95734     $conv1040 = $2 & 255;
   95735     $isdigittmp41 = $conv1040 - 48 | 0;
   95736     $isdigit42 = $isdigittmp41 >>> 0 < 10;
   95737     if ($isdigit42) {
   95738       $z_143 = $z_1_ph;
   95739       $q_144 = $q_1_ph;
   95740       label = 7;
   95741       break;
   95742     } else {
   95743       $z_1_lcssa = $z_1_ph;
   95744       $saw_digit_0_lcssa = 0;
   95745       $q_1_lcssa = $q_1_ph;
   95746       label = 8;
   95747       break;
   95748     }
   95749    case 7:
   95750     $incdec_ptr11 = $z_143 + 1 | 0;
   95751     $3 = HEAP8[$z_143] | 0;
   95752     $incdec_ptr12 = $q_144 + 1 | 0;
   95753     HEAP8[$q_144] = $3;
   95754     $4 = HEAP8[$incdec_ptr11] | 0;
   95755     $conv10 = $4 & 255;
   95756     $isdigittmp = $conv10 - 48 | 0;
   95757     $isdigit = $isdigittmp >>> 0 < 10;
   95758     if ($isdigit) {
   95759       $z_143 = $incdec_ptr11;
   95760       $q_144 = $incdec_ptr12;
   95761       label = 7;
   95762       break;
   95763     } else {
   95764       $z_1_lcssa = $incdec_ptr11;
   95765       $saw_digit_0_lcssa = 1;
   95766       $q_1_lcssa = $incdec_ptr12;
   95767       label = 8;
   95768       break;
   95769     }
   95770    case 8:
   95771     $5 = HEAP8[$z_1_lcssa] | 0;
   95772     $cmp14 = $5 << 24 >> 24 == 46;
   95773     $cmp16 = ($saw_rp_0_ph | 0) == 0;
   95774     $or_cond = $cmp14 & $cmp16;
   95775     if ($or_cond) {
   95776       label = 9;
   95777       break;
   95778     } else {
   95779       $q_3 = $q_1_lcssa;
   95780       $z_3 = $z_1_lcssa;
   95781       label = 12;
   95782       break;
   95783     }
   95784    case 9:
   95785     HEAP8[$q_1_lcssa] = $5;
   95786     $q_231 = $q_1_lcssa + 1 | 0;
   95787     $z_232 = $z_1_lcssa + 1 | 0;
   95788     $6 = HEAP8[$z_232] | 0;
   95789     $conv2233 = $6 & 255;
   95790     $isdigittmp2934 = $conv2233 - 48 | 0;
   95791     $isdigit3035 = $isdigittmp2934 >>> 0 < 10;
   95792     if ($isdigit3035) {
   95793       $q_236 = $q_231;
   95794       $z_237 = $z_232;
   95795       label = 10;
   95796       break;
   95797     } else {
   95798       $q_3 = $q_231;
   95799       $z_3 = $z_232;
   95800       label = 12;
   95801       break;
   95802     }
   95803    case 10:
   95804     $7 = HEAP8[$z_237] | 0;
   95805     HEAP8[$q_236] = $7;
   95806     $q_2 = $q_236 + 1 | 0;
   95807     $z_2 = $z_237 + 1 | 0;
   95808     $8 = HEAP8[$z_2] | 0;
   95809     $conv22 = $8 & 255;
   95810     $isdigittmp29 = $conv22 - 48 | 0;
   95811     $isdigit30 = $isdigittmp29 >>> 0 < 10;
   95812     if ($isdigit30) {
   95813       $q_236 = $q_2;
   95814       $z_237 = $z_2;
   95815       label = 10;
   95816       break;
   95817     } else {
   95818       label = 11;
   95819       break;
   95820     }
   95821    case 11:
   95822     HEAP8[$q_2] = 0;
   95823     $z_351 = $z_2;
   95824     label = 13;
   95825     break;
   95826    case 12:
   95827     HEAP8[$q_3] = 0;
   95828     $cond28 = ($saw_digit_0_lcssa | 0) == 0;
   95829     if ($cond28) {
   95830       $z_4 = 0;
   95831       label = 19;
   95832       break;
   95833     } else {
   95834       $z_351 = $z_3;
   95835       label = 13;
   95836       break;
   95837     }
   95838    case 13:
   95839     $9 = HEAP8[$z_351] | 0;
   95840     $tobool33 = $9 << 24 >> 24 == 0;
   95841     if ($tobool33) {
   95842       $z_4 = $z_351;
   95843       label = 19;
   95844       break;
   95845     } else {
   95846       label = 14;
   95847       break;
   95848     }
   95849    case 14:
   95850     $conv32 = $9 & 255;
   95851     $call36 = _isalpha($conv32 | 0) | 0;
   95852     $tobool37 = ($call36 | 0) == 0;
   95853     if ($tobool37) {
   95854       label = 15;
   95855       break;
   95856     } else {
   95857       $z_3_pn = $z_351;
   95858       label = 16;
   95859       break;
   95860     }
   95861    case 15:
   95862     $10 = HEAP8[$z_351] | 0;
   95863     $cmp39 = $10 << 24 >> 24 == 95;
   95864     if ($cmp39) {
   95865       $z_3_pn = $z_351;
   95866       label = 16;
   95867       break;
   95868     } else {
   95869       $z_4 = $z_351;
   95870       label = 19;
   95871       break;
   95872     }
   95873    case 16:
   95874     $endp_0 = $z_3_pn + 1 | 0;
   95875     $11 = HEAP8[$endp_0] | 0;
   95876     $tobool44 = $11 << 24 >> 24 == 0;
   95877     if ($tobool44) {
   95878       label = 18;
   95879       break;
   95880     } else {
   95881       label = 17;
   95882       break;
   95883     }
   95884    case 17:
   95885     $conv43 = $11 & 255;
   95886     $call46 = _isalpha($conv43 | 0) | 0;
   95887     $cmp49 = $11 << 24 >> 24 == 95;
   95888     $not_tobool47 = ($call46 | 0) != 0;
   95889     $cmp49_ = $cmp49 | $not_tobool47;
   95890     if ($cmp49_) {
   95891       $z_3_pn = $endp_0;
   95892       label = 16;
   95893       break;
   95894     } else {
   95895       label = 18;
   95896       break;
   95897     }
   95898    case 18:
   95899     HEAP8[$endp_0] = 0;
   95900     $12 = HEAP32[41740] | 0;
   95901     $tobool54 = ($12 | 0) != 0;
   95902     $cond = $tobool54 ? $12 : 111640;
   95903     $13 = HEAP32[41638] | 0;
   95904     $call55 = _agerr(0, 151040, (tempInt = STACKTOP, STACKTOP = STACKTOP + 40 | 0, HEAP32[tempInt >> 2] = $cond, HEAP32[tempInt + 8 >> 2] = $13, HEAP32[tempInt + 16 >> 2] = $p, HEAP32[tempInt + 24 >> 2] = $token, HEAP32[tempInt + 32 >> 2] = $z_351, tempInt) | 0) | 0;
   95905     HEAP8[$endp_0] = $11;
   95906     $z_4 = $z_351;
   95907     label = 19;
   95908     break;
   95909    case 19:
   95910     STACKTOP = __stackBase__;
   95911     return $z_4 | 0;
   95912   }
   95913   return 0;
   95914 }
   95915 function _scan_token($p, $token) {
   95916   $p = $p | 0;
   95917   $token = $token | 0;
   95918   var $cmp = 0, $p_addr_0 = 0, $q_0 = 0, $0 = 0, $conv = 0, $call = 0, $tobool = 0, $1 = 0, $cmp2 = 0, $lnot = 0, $or_cond = 0, $incdec_ptr = 0, $2 = 0, $incdec_ptr7 = 0, $retval_0 = 0, label = 0;
   95919   label = 2;
   95920   while (1) switch (label | 0) {
   95921    case 2:
   95922     $cmp = ($p | 0) == 0;
   95923     if ($cmp) {
   95924       $retval_0 = 0;
   95925       label = 7;
   95926       break;
   95927     } else {
   95928       $q_0 = $token;
   95929       $p_addr_0 = $p;
   95930       label = 3;
   95931       break;
   95932     }
   95933    case 3:
   95934     $0 = HEAP8[$p_addr_0] | 0;
   95935     $conv = $0 & 255;
   95936     $call = _isalnum($conv | 0) | 0;
   95937     $tobool = ($call | 0) == 0;
   95938     if ($tobool) {
   95939       label = 4;
   95940       break;
   95941     } else {
   95942       label = 5;
   95943       break;
   95944     }
   95945    case 4:
   95946     $1 = HEAP8[$p_addr_0] | 0;
   95947     $cmp2 = $1 << 24 >> 24 == 95;
   95948     $lnot = $1 << 24 >> 24 < 0;
   95949     $or_cond = $cmp2 | $lnot;
   95950     if ($or_cond) {
   95951       label = 5;
   95952       break;
   95953     } else {
   95954       label = 6;
   95955       break;
   95956     }
   95957    case 5:
   95958     $incdec_ptr = $p_addr_0 + 1 | 0;
   95959     $2 = HEAP8[$p_addr_0] | 0;
   95960     $incdec_ptr7 = $q_0 + 1 | 0;
   95961     HEAP8[$q_0] = $2;
   95962     $q_0 = $incdec_ptr7;
   95963     $p_addr_0 = $incdec_ptr;
   95964     label = 3;
   95965     break;
   95966    case 6:
   95967     HEAP8[$q_0] = 0;
   95968     $retval_0 = $p_addr_0;
   95969     label = 7;
   95970     break;
   95971    case 7:
   95972     return $retval_0 | 0;
   95973   }
   95974   return 0;
   95975 }
   95976 function _agerror($msg) {
   95977   $msg = $msg | 0;
   95978   var $0 = 0, $inc = 0, $tobool = 0, $1 = 0, $tobool1 = 0, $cond = 0, $2 = 0, $call = 0, label = 0, __stackBase__ = 0;
   95979   __stackBase__ = STACKTOP;
   95980   label = 2;
   95981   while (1) switch (label | 0) {
   95982    case 2:
   95983     $0 = HEAP16[84282] | 0;
   95984     $inc = $0 + 1 & 65535;
   95985     HEAP16[84282] = $inc;
   95986     $tobool = $0 << 16 >> 16 == 0;
   95987     if ($tobool) {
   95988       label = 3;
   95989       break;
   95990     } else {
   95991       label = 4;
   95992       break;
   95993     }
   95994    case 3:
   95995     $1 = HEAP32[41740] | 0;
   95996     $tobool1 = ($1 | 0) != 0;
   95997     $cond = $tobool1 ? $1 : 111640;
   95998     $2 = HEAP32[41638] | 0;
   95999     $call = _agerr(1, 116856, (tempInt = STACKTOP, STACKTOP = STACKTOP + 32 | 0, HEAP32[tempInt >> 2] = $cond, HEAP32[tempInt + 8 >> 2] = $2, HEAP32[tempInt + 16 >> 2] = $msg, HEAP32[tempInt + 24 >> 2] = $2, tempInt) | 0) | 0;
   96000     _error_context2903();
   96001     label = 4;
   96002     break;
   96003    case 4:
   96004     STACKTOP = __stackBase__;
   96005     return;
   96006   }
   96007 }
   96008 function _error_context2903() {
   96009   var $0 = 0, $add_ptr = 0, $1 = 0, $cmp = 0, $call = 0, $2 = 0, $_pn = 0, $p_0 = 0, $cmp2 = 0, $3 = 0, $conv = 0, $call3 = 0, $lnot = 0, $4 = 0, $call7 = 0, $call9 = 0, $5 = 0, $6 = 0, $call10 = 0, $7 = 0, $call11 = 0, $8 = 0, $call12 = 0, label = 0, __stackBase__ = 0;
   96010   __stackBase__ = STACKTOP;
   96011   label = 2;
   96012   while (1) switch (label | 0) {
   96013    case 2:
   96014     $0 = HEAP32[42140] | 0;
   96015     $add_ptr = $0 + 1 | 0;
   96016     $1 = HEAP32[41652] | 0;
   96017     $cmp = ($1 | 0) == 0;
   96018     if ($cmp) {
   96019       label = 8;
   96020       break;
   96021     } else {
   96022       label = 3;
   96023       break;
   96024     }
   96025    case 3:
   96026     $call = _agerr(3, 161744, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0) | 0;
   96027     $2 = HEAP32[41652] | 0;
   96028     $_pn = $2;
   96029     label = 4;
   96030     break;
   96031    case 4:
   96032     $p_0 = $_pn - 1 | 0;
   96033     $cmp2 = $p_0 >>> 0 > $add_ptr >>> 0;
   96034     if ($cmp2) {
   96035       label = 5;
   96036       break;
   96037     } else {
   96038       label = 7;
   96039       break;
   96040     }
   96041    case 5:
   96042     $3 = HEAP8[$p_0] | 0;
   96043     $conv = $3 & 255;
   96044     $call3 = _isspace($conv | 0) | 0;
   96045     $lnot = ($call3 | 0) == 0;
   96046     if ($lnot) {
   96047       $_pn = $p_0;
   96048       label = 4;
   96049       break;
   96050     } else {
   96051       label = 6;
   96052       break;
   96053     }
   96054    case 6:
   96055     $4 = HEAP8[$p_0] | 0;
   96056     HEAP8[$p_0] = 0;
   96057     $call7 = _agerr(3, $add_ptr, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0) | 0;
   96058     HEAP8[$p_0] = $4;
   96059     label = 7;
   96060     break;
   96061    case 7:
   96062     $call9 = _agerr(3, 158208, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0) | 0;
   96063     $5 = HEAP32[41652] | 0;
   96064     $6 = HEAP8[$5] | 0;
   96065     HEAP8[$5] = 0;
   96066     $call10 = _agerr(3, $p_0, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0) | 0;
   96067     $7 = HEAP32[41652] | 0;
   96068     HEAP8[$7] = $6;
   96069     $call11 = _agerr(3, 154728, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0) | 0;
   96070     $8 = HEAP32[41652] | 0;
   96071     $call12 = _agerr(3, $8, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0) | 0;
   96072     label = 8;
   96073     break;
   96074    case 8:
   96075     STACKTOP = __stackBase__;
   96076     return;
   96077   }
   96078 }
   96079 function _agerr_va($level, $fmt, $args) {
   96080   $level = $level | 0;
   96081   $fmt = $fmt | 0;
   96082   $args = $args | 0;
   96083   var $cmp = 0, $0 = 0, $cmp1 = 0, $cond = 0, $cond5 = 0, $1 = 0, $cmp6 = 0, $cond10 = 0, $2 = 0, $cmp11 = 0, $3 = 0, $tobool = 0, $4 = 0, $cmp15 = 0, $cond16 = 0, $call = 0, $5 = 0, $call17 = 0, $6 = 0, $7 = 0, $tobool20 = 0, $call22 = 0, $tobool23 = 0, $8 = 0, $call29 = 0, $9 = 0, $call31 = 0, $10 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   96084   __stackBase__ = STACKTOP;
   96085   label = 2;
   96086   while (1) switch (label | 0) {
   96087    case 2:
   96088     $cmp = ($level | 0) == 3;
   96089     if ($cmp) {
   96090       label = 3;
   96091       break;
   96092     } else {
   96093       label = 4;
   96094       break;
   96095     }
   96096    case 3:
   96097     $0 = HEAP32[22294] | 0;
   96098     $cond5 = $0;
   96099     label = 5;
   96100     break;
   96101    case 4:
   96102     $cmp1 = ($level | 0) == 2;
   96103     $cond = $cmp1 ? 1 : $level;
   96104     $cond5 = $cond;
   96105     label = 5;
   96106     break;
   96107    case 5:
   96108     HEAP32[22294] = $cond5;
   96109     $1 = HEAP32[22284] | 0;
   96110     $cmp6 = $1 >>> 0 > $cond5 >>> 0;
   96111     $cond10 = $cmp6 ? $1 : $cond5;
   96112     HEAP32[22284] = $cond10;
   96113     $2 = HEAP32[22296] | 0;
   96114     $cmp11 = $cond5 >>> 0 < $2 >>> 0;
   96115     if ($cmp11) {
   96116       label = 11;
   96117       break;
   96118     } else {
   96119       label = 6;
   96120       break;
   96121     }
   96122    case 6:
   96123     $3 = HEAP32[228] | 0;
   96124     $tobool = ($3 | 0) == 0;
   96125     if ($tobool) {
   96126       label = 8;
   96127       break;
   96128     } else {
   96129       label = 7;
   96130       break;
   96131     }
   96132    case 7:
   96133     _userout($level, $fmt, $args);
   96134     $retval_0 = 0;
   96135     label = 16;
   96136     break;
   96137    case 8:
   96138     if ($cmp) {
   96139       label = 10;
   96140       break;
   96141     } else {
   96142       label = 9;
   96143       break;
   96144     }
   96145    case 9:
   96146     $4 = HEAP32[_stderr >> 2] | 0;
   96147     $cmp15 = ($level | 0) == 1;
   96148     $cond16 = $cmp15 ? 103384 : 99184;
   96149     $call = _fprintf($4 | 0, 107312, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $cond16, tempInt) | 0) | 0;
   96150     label = 10;
   96151     break;
   96152    case 10:
   96153     $5 = HEAP32[_stderr >> 2] | 0;
   96154     $call17 = _vfprintf($5 | 0, $fmt | 0, $args | 0) | 0;
   96155     $6 = $args;
   96156     $retval_0 = 0;
   96157     label = 16;
   96158     break;
   96159    case 11:
   96160     $7 = HEAP32[22292] | 0;
   96161     $tobool20 = ($7 | 0) == 0;
   96162     if ($tobool20) {
   96163       label = 12;
   96164       break;
   96165     } else {
   96166       label = 13;
   96167       break;
   96168     }
   96169    case 12:
   96170     $call22 = _tmpfile() | 0;
   96171     HEAP32[22292] = $call22;
   96172     $tobool23 = ($call22 | 0) == 0;
   96173     if ($tobool23) {
   96174       $retval_0 = 1;
   96175       label = 16;
   96176       break;
   96177     } else {
   96178       label = 13;
   96179       break;
   96180     }
   96181    case 13:
   96182     if ($cmp) {
   96183       label = 15;
   96184       break;
   96185     } else {
   96186       label = 14;
   96187       break;
   96188     }
   96189    case 14:
   96190     $8 = HEAP32[22292] | 0;
   96191     $call29 = _ftell($8 | 0) | 0;
   96192     HEAP32[22290] = $call29;
   96193     label = 15;
   96194     break;
   96195    case 15:
   96196     $9 = HEAP32[22292] | 0;
   96197     $call31 = _vfprintf($9 | 0, $fmt | 0, $args | 0) | 0;
   96198     $10 = $args;
   96199     $retval_0 = 0;
   96200     label = 16;
   96201     break;
   96202    case 16:
   96203     STACKTOP = __stackBase__;
   96204     return $retval_0 | 0;
   96205   }
   96206   return 0;
   96207 }
   96208 function _agerrorf($fmt, varrp) {
   96209   $fmt = $fmt | 0;
   96210   varrp = varrp | 0;
   96211   var $args = 0, $arraydecay1 = 0, __stackBase__ = 0;
   96212   __stackBase__ = STACKTOP;
   96213   STACKTOP = STACKTOP + 16 | 0;
   96214   $args = __stackBase__ | 0;
   96215   $arraydecay1 = $args;
   96216   HEAP32[$arraydecay1 >> 2] = varrp;
   96217   HEAP32[$arraydecay1 + 4 >> 2] = 0;
   96218   _agerr_va(1, $fmt, $args | 0);
   96219   STACKTOP = __stackBase__;
   96220   return;
   96221 }
   96222 function _userout($level, $fmt, $args) {
   96223   $level = $level | 0;
   96224   $fmt = $fmt | 0;
   96225   $args = $args | 0;
   96226   var $0 = 0, $tobool = 0, $1 = 0, $call = 0, $tobool1 = 0, $2 = 0, $3 = 0, $cmp = 0, $4 = 0, $cmp6 = 0, $cond = 0, $call7 = 0, $5 = 0, $call8 = 0, $6 = 0, $7 = 0, $call10 = 0, $cmp11 = 0, $8 = 0, $cmp12 = 0, $or_cond = 0, $9 = 0, $10 = 0, $call14 = 0, $11 = 0, $mul = 0, $add = 0, $cmp16 = 0, $mul_add = 0, $12 = 0, $call20 = 0, $cmp21 = 0, $13 = 0, $14 = 0, label = 0;
   96227   label = 2;
   96228   while (1) switch (label | 0) {
   96229    case 2:
   96230     $0 = HEAP32[226] | 0;
   96231     $tobool = ($0 | 0) == 0;
   96232     if ($tobool) {
   96233       label = 3;
   96234       break;
   96235     } else {
   96236       label = 5;
   96237       break;
   96238     }
   96239    case 3:
   96240     $1 = HEAP32[224] | 0;
   96241     $call = _malloc($1) | 0;
   96242     HEAP32[226] = $call;
   96243     $tobool1 = ($call | 0) == 0;
   96244     if ($tobool1) {
   96245       label = 4;
   96246       break;
   96247     } else {
   96248       label = 5;
   96249       break;
   96250     }
   96251    case 4:
   96252     $2 = HEAP32[_stderr >> 2] | 0;
   96253     $3 = _fwrite(95136, 35, 1, $2 | 0) | 0;
   96254     label = 11;
   96255     break;
   96256    case 5:
   96257     $cmp = ($level | 0) == 3;
   96258     if ($cmp) {
   96259       label = 7;
   96260       break;
   96261     } else {
   96262       label = 6;
   96263       break;
   96264     }
   96265    case 6:
   96266     $4 = HEAP32[228] | 0;
   96267     $cmp6 = ($level | 0) == 1;
   96268     $cond = $cmp6 ? 103384 : 99184;
   96269     $call7 = FUNCTION_TABLE_ii[$4 & 1023]($cond) | 0;
   96270     $5 = HEAP32[228] | 0;
   96271     $call8 = FUNCTION_TABLE_ii[$5 & 1023](91592) | 0;
   96272     label = 7;
   96273     break;
   96274    case 7:
   96275     $6 = HEAP32[226] | 0;
   96276     $7 = HEAP32[224] | 0;
   96277     $call10 = _vsnprintf($6 | 0, $7 | 0, $fmt | 0, $args | 0) | 0;
   96278     $cmp11 = ($call10 | 0) > -1;
   96279     $8 = HEAP32[224] | 0;
   96280     $cmp12 = ($call10 | 0) < ($8 | 0);
   96281     $or_cond = $cmp11 & $cmp12;
   96282     if ($or_cond) {
   96283       label = 8;
   96284       break;
   96285     } else {
   96286       label = 9;
   96287       break;
   96288     }
   96289    case 8:
   96290     $9 = HEAP32[228] | 0;
   96291     $10 = HEAP32[226] | 0;
   96292     $call14 = FUNCTION_TABLE_ii[$9 & 1023]($10) | 0;
   96293     $11 = $args;
   96294     label = 11;
   96295     break;
   96296    case 9:
   96297     $mul = $8 << 1;
   96298     $add = $call10 + 1 | 0;
   96299     $cmp16 = ($mul | 0) > ($add | 0);
   96300     $mul_add = $cmp16 ? $mul : $add;
   96301     HEAP32[224] = $mul_add;
   96302     $12 = HEAP32[226] | 0;
   96303     $call20 = _realloc($12, $mul_add) | 0;
   96304     $cmp21 = ($call20 | 0) == 0;
   96305     if ($cmp21) {
   96306       label = 10;
   96307       break;
   96308     } else {
   96309       label = 7;
   96310       break;
   96311     }
   96312    case 10:
   96313     $13 = HEAP32[_stderr >> 2] | 0;
   96314     $14 = _fwrite(95136, 35, 1, $13 | 0) | 0;
   96315     label = 11;
   96316     break;
   96317    case 11:
   96318     return;
   96319   }
   96320 }
   96321 function _html_pair($p, $tokp) {
   96322   $p = $p | 0;
   96323   $tokp = $tokp | 0;
   96324   var $ptr = 0, $eptr = 0, $p_addr_0_ph = 0, $depth_0_ph = 0, $p_addr_0 = 0, $0 = 0, $dec = 0, $cmp4 = 0, $inc = 0, $depth_1 = 0, $1 = 0, $2 = 0, $cmp13 = 0, $call = 0, $3 = 0, $incdec_ptr = 0, $incdec_ptr17 = 0, $call18 = 0, $cmp19 = 0, $4 = 0, $conv22 = 0, $5 = 0, $tobool23 = 0, $cond27 = 0, $call28 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   96325   __stackBase__ = STACKTOP;
   96326   label = 2;
   96327   while (1) switch (label | 0) {
   96328    case 2:
   96329     $ptr = $tokp + 4 | 0;
   96330     $eptr = $tokp + 8 | 0;
   96331     $depth_0_ph = 1;
   96332     $p_addr_0_ph = $p;
   96333     label = 3;
   96334     break;
   96335    case 3:
   96336     $p_addr_0 = $p_addr_0_ph;
   96337     label = 4;
   96338     break;
   96339    case 4:
   96340     $0 = HEAP8[$p_addr_0] | 0;
   96341     if (($0 << 24 >> 24 | 0) == 62) {
   96342       label = 5;
   96343       break;
   96344     } else if (($0 << 24 >> 24 | 0) == 60) {
   96345       label = 6;
   96346       break;
   96347     } else if (($0 << 24 >> 24 | 0) == 0) {
   96348       label = 10;
   96349       break;
   96350     } else {
   96351       $depth_1 = $depth_0_ph;
   96352       label = 7;
   96353       break;
   96354     }
   96355    case 5:
   96356     $dec = $depth_0_ph - 1 | 0;
   96357     $cmp4 = ($dec | 0) == 0;
   96358     if ($cmp4) {
   96359       $retval_0 = $p_addr_0;
   96360       label = 12;
   96361       break;
   96362     } else {
   96363       $depth_1 = $dec;
   96364       label = 7;
   96365       break;
   96366     }
   96367    case 6:
   96368     $inc = $depth_0_ph + 1 | 0;
   96369     $depth_1 = $inc;
   96370     label = 7;
   96371     break;
   96372    case 7:
   96373     $1 = HEAP32[$ptr >> 2] | 0;
   96374     $2 = HEAP32[$eptr >> 2] | 0;
   96375     $cmp13 = $1 >>> 0 < $2 >>> 0;
   96376     if ($cmp13) {
   96377       label = 9;
   96378       break;
   96379     } else {
   96380       label = 8;
   96381       break;
   96382     }
   96383    case 8:
   96384     $call = _agxbmore($tokp, 1) | 0;
   96385     label = 9;
   96386     break;
   96387    case 9:
   96388     $3 = HEAP32[$ptr >> 2] | 0;
   96389     $incdec_ptr = $3 + 1 | 0;
   96390     HEAP32[$ptr >> 2] = $incdec_ptr;
   96391     HEAP8[$3] = $0;
   96392     $incdec_ptr17 = $p_addr_0 + 1 | 0;
   96393     $depth_0_ph = $depth_1;
   96394     $p_addr_0_ph = $incdec_ptr17;
   96395     label = 3;
   96396     break;
   96397    case 10:
   96398     $call18 = _lex_gets() | 0;
   96399     $cmp19 = ($call18 | 0) == 0;
   96400     if ($cmp19) {
   96401       label = 11;
   96402       break;
   96403     } else {
   96404       $p_addr_0 = $call18;
   96405       label = 4;
   96406       break;
   96407     }
   96408    case 11:
   96409     $4 = HEAP8[165432] | 0;
   96410     $conv22 = $4 & 255;
   96411     $5 = HEAP32[41740] | 0;
   96412     $tobool23 = ($5 | 0) != 0;
   96413     $cond27 = $tobool23 ? $5 : 111640;
   96414     $call28 = _agerr(0, 147984, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $conv22, HEAP32[tempInt + 8 >> 2] = $cond27, tempInt) | 0) | 0;
   96415     $retval_0 = 0;
   96416     label = 12;
   96417     break;
   96418    case 12:
   96419     STACKTOP = __stackBase__;
   96420     return $retval_0 | 0;
   96421   }
   96422   return 0;
   96423 }
   96424 function _storeFileName($fname, $len) {
   96425   $fname = $fname | 0;
   96426   $len = $len | 0;
   96427   var $0 = 0, $cmp = 0, $tobool = 0, $1 = 0, $add = 0, $call = 0, $add2 = 0, $call3 = 0, $storemerge = 0, $2 = 0, $call5 = 0, $3 = 0, label = 0;
   96428   label = 2;
   96429   while (1) switch (label | 0) {
   96430    case 2:
   96431     $0 = HEAP32[1026] | 0;
   96432     $cmp = ($0 | 0) < ($len | 0);
   96433     if ($cmp) {
   96434       label = 3;
   96435       break;
   96436     } else {
   96437       label = 7;
   96438       break;
   96439     }
   96440    case 3:
   96441     $tobool = ($0 | 0) == 0;
   96442     if ($tobool) {
   96443       label = 5;
   96444       break;
   96445     } else {
   96446       label = 4;
   96447       break;
   96448     }
   96449    case 4:
   96450     $1 = HEAP32[1028] | 0;
   96451     $add = $len + 1 | 0;
   96452     $call = _realloc($1, $add) | 0;
   96453     $storemerge = $call;
   96454     label = 6;
   96455     break;
   96456    case 5:
   96457     $add2 = $len + 1 | 0;
   96458     $call3 = _malloc($add2) | 0;
   96459     $storemerge = $call3;
   96460     label = 6;
   96461     break;
   96462    case 6:
   96463     HEAP32[1028] = $storemerge;
   96464     HEAP32[1026] = $len;
   96465     label = 7;
   96466     break;
   96467    case 7:
   96468     $2 = HEAP32[1028] | 0;
   96469     $call5 = _strcpy($2 | 0, $fname | 0) | 0;
   96470     $3 = HEAP32[1028] | 0;
   96471     HEAP32[41740] = $3;
   96472     return;
   96473   }
   96474 }
   96475 function _agfindnode($g, $name) {
   96476   $g = $g | 0;
   96477   $name = $name | 0;
   96478   var $univ = 0, $0 = 0, $node_dict = 0, $1 = 0, $searchf = 0, $2 = 0, $call = 0, $3 = 0, $tobool = 0, $root = 0, $4 = 0, $cmp = 0, $nodes = 0, $5 = 0, $searchf3 = 0, $6 = 0, $call5 = 0, $7 = 0, $rv_0 = 0, label = 0;
   96479   label = 2;
   96480   while (1) switch (label | 0) {
   96481    case 2:
   96482     $univ = $g + 16 | 0;
   96483     $0 = HEAP32[$univ >> 2] | 0;
   96484     $node_dict = $0 | 0;
   96485     $1 = HEAP32[$node_dict >> 2] | 0;
   96486     $searchf = $1 | 0;
   96487     $2 = HEAP32[$searchf >> 2] | 0;
   96488     $call = FUNCTION_TABLE_iiii[$2 & 1023]($1, $name, 512) | 0;
   96489     $3 = $call;
   96490     $tobool = ($call | 0) == 0;
   96491     if ($tobool) {
   96492       $rv_0 = $3;
   96493       label = 5;
   96494       break;
   96495     } else {
   96496       label = 3;
   96497       break;
   96498     }
   96499    case 3:
   96500     $root = $g + 32 | 0;
   96501     $4 = HEAP32[$root >> 2] | 0;
   96502     $cmp = ($4 | 0) == ($g | 0);
   96503     if ($cmp) {
   96504       $rv_0 = $3;
   96505       label = 5;
   96506       break;
   96507     } else {
   96508       label = 4;
   96509       break;
   96510     }
   96511    case 4:
   96512     $nodes = $g + 20 | 0;
   96513     $5 = HEAP32[$nodes >> 2] | 0;
   96514     $searchf3 = $5 | 0;
   96515     $6 = HEAP32[$searchf3 >> 2] | 0;
   96516     $call5 = FUNCTION_TABLE_iiii[$6 & 1023]($5, $call, 4) | 0;
   96517     $7 = $call5;
   96518     $rv_0 = $7;
   96519     label = 5;
   96520     break;
   96521    case 5:
   96522     return $rv_0 | 0;
   96523   }
   96524   return 0;
   96525 }
   96526 function _agidnode($g, $index) {
   96527   $g = $g | 0;
   96528   $index = $index | 0;
   96529   var $index_addr = 0, $0 = 0, $3 = 0, __stackBase__ = 0;
   96530   __stackBase__ = STACKTOP;
   96531   STACKTOP = STACKTOP + 8 | 0;
   96532   $index_addr = __stackBase__ | 0;
   96533   HEAP32[$index_addr >> 2] = $index;
   96534   $0 = HEAP32[$g + 20 >> 2] | 0;
   96535   $3 = FUNCTION_TABLE_iiii[HEAP32[$0 >> 2] & 1023]($0, $index_addr, 512) | 0;
   96536   STACKTOP = __stackBase__;
   96537   return $3 | 0;
   96538 }
   96539 function _agnode($g, $name) {
   96540   $g = $g | 0;
   96541   $name = $name | 0;
   96542   var $root = 0, $0 = 0, $call = 0, $cmp = 0, $proto = 0, $1 = 0, $n1 = 0, $2 = 0, $call2 = 0, $univ = 0, $3 = 0, $node_dict = 0, $4 = 0, $searchf = 0, $5 = 0, $6 = 0, $call5 = 0, $n_0 = 0, label = 0;
   96543   label = 2;
   96544   while (1) switch (label | 0) {
   96545    case 2:
   96546     $root = $g + 32 | 0;
   96547     $0 = HEAP32[$root >> 2] | 0;
   96548     $call = _agfindnode($0, $name) | 0;
   96549     $cmp = ($call | 0) == 0;
   96550     if ($cmp) {
   96551       label = 3;
   96552       break;
   96553     } else {
   96554       $n_0 = $call;
   96555       label = 4;
   96556       break;
   96557     }
   96558    case 3:
   96559     $proto = $g + 40 | 0;
   96560     $1 = HEAP32[$proto >> 2] | 0;
   96561     $n1 = $1 | 0;
   96562     $2 = HEAP32[$n1 >> 2] | 0;
   96563     $call2 = _agNEWnode($g, $name, $2) | 0;
   96564     $univ = $g + 16 | 0;
   96565     $3 = HEAP32[$univ >> 2] | 0;
   96566     $node_dict = $3 | 0;
   96567     $4 = HEAP32[$node_dict >> 2] | 0;
   96568     $searchf = $4 | 0;
   96569     $5 = HEAP32[$searchf >> 2] | 0;
   96570     $6 = $call2 | 0;
   96571     $call5 = FUNCTION_TABLE_iiii[$5 & 1023]($4, $6, 1) | 0;
   96572     $n_0 = $call2;
   96573     label = 4;
   96574     break;
   96575    case 4:
   96576     _agINSnode($g, $n_0);
   96577     return $n_0 | 0;
   96578   }
   96579   return 0;
   96580 }
   96581 function _agNEWnode($subg, $name, $proto) {
   96582   $subg = $subg | 0;
   96583   $name = $name | 0;
   96584   $proto = $proto | 0;
   96585   var $0 = 0, $call = 0, $1 = 0, $2 = 0, $3 = 0, $4 = 0, $5 = 0, $call1 = 0, $name2 = 0, $6 = 0, $univ = 0, $7 = 0, $max_node_id = 0, $8 = 0, $inc = 0, $id = 0, $9 = 0, $root = 0, $10 = 0, $graph = 0, $11 = 0, $12 = 0, $nodeattr = 0, $13 = 0, $dict = 0, $14 = 0, $call4 = 0, $tobool = 0, $attr7 = 0, $15 = 0, $didset8 = 0, $16 = 0, $call5 = 0, $17 = 0, $attr = 0, $18 = 0, $sub = 0, $div = 0, $call6 = 0, $didset = 0, $19 = 0, $cmp20 = 0, $tobool9 = 0, $attr15 = 0, $20 = 0, $attr10 = 0, $i_021 = 0, $21 = 0, $arrayidx = 0, $22 = 0, $nodeattr12 = 0, $23 = 0, $list = 0, $24 = 0, $arrayidx13 = 0, $25 = 0, $value = 0, $cond_in = 0, $cond = 0, $call14 = 0, $26 = 0, $arrayidx16 = 0, $inc17 = 0, $cmp = 0, label = 0;
   96586   label = 2;
   96587   while (1) switch (label | 0) {
   96588    case 2:
   96589     $0 = HEAP32[42135] | 0;
   96590     $call = _calloc(1, $0) | 0;
   96591     $1 = $call;
   96592     $2 = $call;
   96593     $3 = HEAP32[$2 >> 2] | 0;
   96594     $4 = $3 & -16;
   96595     $5 = $4 | 1;
   96596     HEAP32[$2 >> 2] = $5;
   96597     $call1 = _agstrdup($name) | 0;
   96598     $name2 = $call + 12 | 0;
   96599     $6 = $name2;
   96600     HEAP32[$6 >> 2] = $call1;
   96601     $univ = $subg + 16 | 0;
   96602     $7 = HEAP32[$univ >> 2] | 0;
   96603     $max_node_id = $7 + 16 | 0;
   96604     $8 = HEAP32[$max_node_id >> 2] | 0;
   96605     $inc = $8 + 1 | 0;
   96606     HEAP32[$max_node_id >> 2] = $inc;
   96607     $id = $call + 16 | 0;
   96608     $9 = $id;
   96609     HEAP32[$9 >> 2] = $8;
   96610     $root = $subg + 32 | 0;
   96611     $10 = HEAP32[$root >> 2] | 0;
   96612     $graph = $call + 20 | 0;
   96613     $11 = $graph;
   96614     HEAP32[$11 >> 2] = $10;
   96615     $12 = HEAP32[$univ >> 2] | 0;
   96616     $nodeattr = $12 + 4 | 0;
   96617     $13 = HEAP32[$nodeattr >> 2] | 0;
   96618     $dict = $13 + 4 | 0;
   96619     $14 = HEAP32[$dict >> 2] | 0;
   96620     $call4 = _dtsize($14) | 0;
   96621     $tobool = ($call4 | 0) == 0;
   96622     if ($tobool) {
   96623       label = 3;
   96624       break;
   96625     } else {
   96626       label = 4;
   96627       break;
   96628     }
   96629    case 3:
   96630     $attr7 = $call + 4 | 0;
   96631     $15 = $attr7;
   96632     HEAP32[$15 >> 2] = 0;
   96633     $didset8 = $call + 8 | 0;
   96634     $16 = $didset8;
   96635     HEAP32[$16 >> 2] = 0;
   96636     label = 10;
   96637     break;
   96638    case 4:
   96639     $call5 = _calloc($call4, 4) | 0;
   96640     $17 = $call5;
   96641     $attr = $call + 4 | 0;
   96642     $18 = $attr;
   96643     HEAP32[$18 >> 2] = $17;
   96644     $sub = $call4 + 7 | 0;
   96645     $div = ($sub | 0) / 8 & -1;
   96646     $call6 = _calloc($div, 1) | 0;
   96647     $didset = $call + 8 | 0;
   96648     $19 = $didset;
   96649     HEAP32[$19 >> 2] = $call6;
   96650     $cmp20 = ($call4 | 0) > 0;
   96651     if ($cmp20) {
   96652       label = 5;
   96653       break;
   96654     } else {
   96655       label = 10;
   96656       break;
   96657     }
   96658    case 5:
   96659     $tobool9 = ($proto | 0) == 0;
   96660     $attr15 = $call + 4 | 0;
   96661     $20 = $attr15;
   96662     $attr10 = $proto + 4 | 0;
   96663     $i_021 = 0;
   96664     label = 6;
   96665     break;
   96666    case 6:
   96667     if ($tobool9) {
   96668       label = 8;
   96669       break;
   96670     } else {
   96671       label = 7;
   96672       break;
   96673     }
   96674    case 7:
   96675     $21 = HEAP32[$attr10 >> 2] | 0;
   96676     $arrayidx = $21 + ($i_021 << 2) | 0;
   96677     $cond_in = $arrayidx;
   96678     label = 9;
   96679     break;
   96680    case 8:
   96681     $22 = HEAP32[$univ >> 2] | 0;
   96682     $nodeattr12 = $22 + 4 | 0;
   96683     $23 = HEAP32[$nodeattr12 >> 2] | 0;
   96684     $list = $23 + 8 | 0;
   96685     $24 = HEAP32[$list >> 2] | 0;
   96686     $arrayidx13 = $24 + ($i_021 << 2) | 0;
   96687     $25 = HEAP32[$arrayidx13 >> 2] | 0;
   96688     $value = $25 + 4 | 0;
   96689     $cond_in = $value;
   96690     label = 9;
   96691     break;
   96692    case 9:
   96693     $cond = HEAP32[$cond_in >> 2] | 0;
   96694     $call14 = _agstrdup($cond) | 0;
   96695     $26 = HEAP32[$20 >> 2] | 0;
   96696     $arrayidx16 = $26 + ($i_021 << 2) | 0;
   96697     HEAP32[$arrayidx16 >> 2] = $call14;
   96698     $inc17 = $i_021 + 1 | 0;
   96699     $cmp = ($inc17 | 0) < ($call4 | 0);
   96700     if ($cmp) {
   96701       $i_021 = $inc17;
   96702       label = 6;
   96703       break;
   96704     } else {
   96705       label = 10;
   96706       break;
   96707     }
   96708    case 10:
   96709     return $1 | 0;
   96710   }
   96711   return 0;
   96712 }
   96713 function _agINSnode($g, $n) {
   96714   $g = $g | 0;
   96715   $n = $n | 0;
   96716   var $id = 0, $0 = 0, $call = 0, $tobool = 0, $nodes = 0, $1 = 0, $searchf = 0, $2 = 0, $3 = 0, $call2 = 0, $4 = 0, $5 = 0, $and = 0, $cmp = 0, $meta_node = 0, $6 = 0, $graph = 0, $7 = 0, $call5 = 0, $tobool612 = 0, $e_013 = 0, $tail = 0, $8 = 0, $9 = 0, $call7 = 0, $10 = 0, $call8 = 0, $tobool6 = 0, label = 0;
   96717   label = 2;
   96718   while (1) switch (label | 0) {
   96719    case 2:
   96720     $id = $n + 16 | 0;
   96721     $0 = HEAP32[$id >> 2] | 0;
   96722     $call = _agidnode($g, $0) | 0;
   96723     $tobool = ($call | 0) == 0;
   96724     if ($tobool) {
   96725       label = 3;
   96726       break;
   96727     } else {
   96728       label = 6;
   96729       break;
   96730     }
   96731    case 3:
   96732     $nodes = $g + 20 | 0;
   96733     $1 = HEAP32[$nodes >> 2] | 0;
   96734     $searchf = $1 | 0;
   96735     $2 = HEAP32[$searchf >> 2] | 0;
   96736     $3 = $n | 0;
   96737     $call2 = FUNCTION_TABLE_iiii[$2 & 1023]($1, $3, 1) | 0;
   96738     $4 = $g;
   96739     $5 = HEAP32[$4 >> 2] | 0;
   96740     $and = $5 & 64;
   96741     $cmp = ($and | 0) == 0;
   96742     if ($cmp) {
   96743       label = 4;
   96744       break;
   96745     } else {
   96746       label = 6;
   96747       break;
   96748     }
   96749    case 4:
   96750     $meta_node = $g + 36 | 0;
   96751     $6 = HEAP32[$meta_node >> 2] | 0;
   96752     $graph = $6 + 20 | 0;
   96753     $7 = HEAP32[$graph >> 2] | 0;
   96754     $call5 = _agfstin($7, $6) | 0;
   96755     $tobool612 = ($call5 | 0) == 0;
   96756     if ($tobool612) {
   96757       label = 6;
   96758       break;
   96759     } else {
   96760       $e_013 = $call5;
   96761       label = 5;
   96762       break;
   96763     }
   96764    case 5:
   96765     $tail = $e_013 + 16 | 0;
   96766     $8 = HEAP32[$tail >> 2] | 0;
   96767     $9 = $8;
   96768     $call7 = _agusergraph($9) | 0;
   96769     $10 = $call7;
   96770     _agINSnode($10, $n);
   96771     $call8 = _agnxtin($7, $e_013) | 0;
   96772     $tobool6 = ($call8 | 0) == 0;
   96773     if ($tobool6) {
   96774       label = 6;
   96775       break;
   96776     } else {
   96777       $e_013 = $call8;
   96778       label = 5;
   96779       break;
   96780     }
   96781    case 6:
   96782     return;
   96783   }
   96784 }
   96785 function _agDELnode($g, $n) {
   96786   $g = $g | 0;
   96787   $n = $n | 0;
   96788   var $call = 0, $tobool25 = 0, $e_026 = 0, $call1 = 0, $tobool = 0, $0 = 0, $1 = 0, $and = 0, $cmp = 0, $meta_node = 0, $2 = 0, $graph = 0, $3 = 0, $call3 = 0, $tobool523 = 0, $4 = 0, $e_124 = 0, $head = 0, $5 = 0, $6 = 0, $call7 = 0, $nodes = 0, $7 = 0, $8 = 0, $searchf = 0, $9 = 0, $call9 = 0, $tobool10 = 0, $10 = 0, $call13 = 0, $tobool5 = 0, $nodes16 = 0, $11 = 0, $searchf17 = 0, $12 = 0, $13 = 0, $call19 = 0, $root = 0, $14 = 0, $cmp20 = 0, label = 0;
   96789   label = 2;
   96790   while (1) switch (label | 0) {
   96791    case 2:
   96792     $call = _agfstedge($g, $n) | 0;
   96793     $tobool25 = ($call | 0) == 0;
   96794     if ($tobool25) {
   96795       label = 4;
   96796       break;
   96797     } else {
   96798       $e_026 = $call;
   96799       label = 3;
   96800       break;
   96801     }
   96802    case 3:
   96803     $call1 = _agnxtedge($g, $e_026, $n) | 0;
   96804     _agDELedge($g, $e_026);
   96805     $tobool = ($call1 | 0) == 0;
   96806     if ($tobool) {
   96807       label = 4;
   96808       break;
   96809     } else {
   96810       $e_026 = $call1;
   96811       label = 3;
   96812       break;
   96813     }
   96814    case 4:
   96815     $0 = $g;
   96816     $1 = HEAP32[$0 >> 2] | 0;
   96817     $and = $1 & 64;
   96818     $cmp = ($and | 0) == 0;
   96819     if ($cmp) {
   96820       label = 5;
   96821       break;
   96822     } else {
   96823       label = 10;
   96824       break;
   96825     }
   96826    case 5:
   96827     $meta_node = $g + 36 | 0;
   96828     $2 = HEAP32[$meta_node >> 2] | 0;
   96829     $graph = $2 + 20 | 0;
   96830     $3 = HEAP32[$graph >> 2] | 0;
   96831     $call3 = _agfstout($3, $2) | 0;
   96832     $tobool523 = ($call3 | 0) == 0;
   96833     if ($tobool523) {
   96834       label = 10;
   96835       break;
   96836     } else {
   96837       label = 6;
   96838       break;
   96839     }
   96840    case 6:
   96841     $4 = $n | 0;
   96842     $e_124 = $call3;
   96843     label = 7;
   96844     break;
   96845    case 7:
   96846     $head = $e_124 + 12 | 0;
   96847     $5 = HEAP32[$head >> 2] | 0;
   96848     $6 = $5;
   96849     $call7 = _agusergraph($6) | 0;
   96850     $nodes = $call7 + 20 | 0;
   96851     $7 = HEAP32[$nodes >> 2] | 0;
   96852     $8 = $7;
   96853     $searchf = $7;
   96854     $9 = HEAP32[$searchf >> 2] | 0;
   96855     $call9 = FUNCTION_TABLE_iiii[$9 & 1023]($8, $4, 4) | 0;
   96856     $tobool10 = ($call9 | 0) == 0;
   96857     if ($tobool10) {
   96858       label = 9;
   96859       break;
   96860     } else {
   96861       label = 8;
   96862       break;
   96863     }
   96864    case 8:
   96865     $10 = $call7;
   96866     _agDELnode($10, $n);
   96867     label = 9;
   96868     break;
   96869    case 9:
   96870     $call13 = _agnxtout($3, $e_124) | 0;
   96871     $tobool5 = ($call13 | 0) == 0;
   96872     if ($tobool5) {
   96873       label = 10;
   96874       break;
   96875     } else {
   96876       $e_124 = $call13;
   96877       label = 7;
   96878       break;
   96879     }
   96880    case 10:
   96881     $nodes16 = $g + 20 | 0;
   96882     $11 = HEAP32[$nodes16 >> 2] | 0;
   96883     $searchf17 = $11 | 0;
   96884     $12 = HEAP32[$searchf17 >> 2] | 0;
   96885     $13 = $n | 0;
   96886     $call19 = FUNCTION_TABLE_iiii[$12 & 1023]($11, $13, 2) | 0;
   96887     $root = $g + 32 | 0;
   96888     $14 = HEAP32[$root >> 2] | 0;
   96889     $cmp20 = ($14 | 0) == ($g | 0);
   96890     if ($cmp20) {
   96891       label = 11;
   96892       break;
   96893     } else {
   96894       label = 12;
   96895       break;
   96896     }
   96897    case 11:
   96898     _agFREEnode($n);
   96899     label = 12;
   96900     break;
   96901    case 12:
   96902     return;
   96903   }
   96904 }
   96905 function _agFREEnode($n) {
   96906   $n = $n | 0;
   96907   var $0 = 0, $graph = 0, $1 = 0, $univ = 0, $2 = 0, $node_dict = 0, $3 = 0, $searchf = 0, $4 = 0, $call4 = 0, $5 = 0, $6 = 0, $7 = 0, $name = 0, $8 = 0, $9 = 0, $10 = 0, $11 = 0, $and = 0, $cmp = 0, $univ7 = 0, $12 = 0, $nodeattr = 0, $13 = 0, $dict8 = 0, $14 = 0, $call9 = 0, $cmp1015 = 0, $attr = 0, $i_016 = 0, $15 = 0, $arrayidx = 0, $16 = 0, $inc = 0, $cmp10 = 0, $attr11 = 0, $17 = 0, $18 = 0, $didset = 0, $19 = 0, label = 0;
   96908   label = 2;
   96909   while (1) switch (label | 0) {
   96910    case 2:
   96911     $0 = $n | 0;
   96912     $graph = $n + 20 | 0;
   96913     $1 = HEAP32[$graph >> 2] | 0;
   96914     $univ = $1 + 16 | 0;
   96915     $2 = HEAP32[$univ >> 2] | 0;
   96916     $node_dict = $2 | 0;
   96917     $3 = HEAP32[$node_dict >> 2] | 0;
   96918     $searchf = $3 | 0;
   96919     $4 = HEAP32[$searchf >> 2] | 0;
   96920     $call4 = FUNCTION_TABLE_iiii[$4 & 1023]($3, $0, 2) | 0;
   96921     $5 = $n;
   96922     $6 = HEAP32[$5 >> 2] | 0;
   96923     $7 = $6 | 15;
   96924     HEAP32[$5 >> 2] = $7;
   96925     $name = $n + 12 | 0;
   96926     $8 = HEAP32[$name >> 2] | 0;
   96927     _agstrfree($8);
   96928     $9 = HEAP32[$graph >> 2] | 0;
   96929     $10 = $9;
   96930     $11 = HEAP32[$10 >> 2] | 0;
   96931     $and = $11 & 64;
   96932     $cmp = ($and | 0) == 0;
   96933     if ($cmp) {
   96934       label = 3;
   96935       break;
   96936     } else {
   96937       label = 6;
   96938       break;
   96939     }
   96940    case 3:
   96941     $univ7 = $9 + 16 | 0;
   96942     $12 = HEAP32[$univ7 >> 2] | 0;
   96943     $nodeattr = $12 + 4 | 0;
   96944     $13 = HEAP32[$nodeattr >> 2] | 0;
   96945     $dict8 = $13 + 4 | 0;
   96946     $14 = HEAP32[$dict8 >> 2] | 0;
   96947     $call9 = _dtsize($14) | 0;
   96948     $cmp1015 = ($call9 | 0) > 0;
   96949     if ($cmp1015) {
   96950       label = 4;
   96951       break;
   96952     } else {
   96953       label = 6;
   96954       break;
   96955     }
   96956    case 4:
   96957     $attr = $n + 4 | 0;
   96958     $i_016 = 0;
   96959     label = 5;
   96960     break;
   96961    case 5:
   96962     $15 = HEAP32[$attr >> 2] | 0;
   96963     $arrayidx = $15 + ($i_016 << 2) | 0;
   96964     $16 = HEAP32[$arrayidx >> 2] | 0;
   96965     _agstrfree($16);
   96966     $inc = $i_016 + 1 | 0;
   96967     $cmp10 = ($inc | 0) < ($call9 | 0);
   96968     if ($cmp10) {
   96969       $i_016 = $inc;
   96970       label = 5;
   96971       break;
   96972     } else {
   96973       label = 6;
   96974       break;
   96975     }
   96976    case 6:
   96977     $attr11 = $n + 4 | 0;
   96978     $17 = HEAP32[$attr11 >> 2] | 0;
   96979     $18 = $17;
   96980     _free($18);
   96981     $didset = $n + 8 | 0;
   96982     $19 = HEAP32[$didset >> 2] | 0;
   96983     _free($19);
   96984     _free($0);
   96985     return;
   96986   }
   96987 }
   96988 function _agfstnode($g) {
   96989   $g = $g | 0;
   96990   var $0 = 0;
   96991   $0 = HEAP32[$g + 20 >> 2] | 0;
   96992   return FUNCTION_TABLE_iiii[HEAP32[$0 >> 2] & 1023]($0, 0, 128) | 0;
   96993 }
   96994 function _agnxtnode($g, $n) {
   96995   $g = $g | 0;
   96996   $n = $n | 0;
   96997   var $0 = 0;
   96998   $0 = HEAP32[$g + 20 >> 2] | 0;
   96999   return FUNCTION_TABLE_iiii[HEAP32[$0 >> 2] & 1023]($0, $n | 0, 8) | 0;
   97000 }
   97001 function _aglstnode($g) {
   97002   $g = $g | 0;
   97003   var $0 = 0;
   97004   $0 = HEAP32[$g + 20 >> 2] | 0;
   97005   return FUNCTION_TABLE_iiii[HEAP32[$0 >> 2] & 1023]($0, 0, 256) | 0;
   97006 }
   97007 function _agprvnode($g, $n) {
   97008   $g = $g | 0;
   97009   $n = $n | 0;
   97010   var $0 = 0;
   97011   $0 = HEAP32[$g + 20 >> 2] | 0;
   97012   return FUNCTION_TABLE_iiii[HEAP32[$0 >> 2] & 1023]($0, $n | 0, 16) | 0;
   97013 }
   97014 function _agparse() {
   97015   var $agssa = 0, $agvsa = 0, $tmp = 0, $tmp211 = 0, $tmp215 = 0, $tmp218 = 0, $arraydecay = 0, $arraydecay1 = 0, $agval_sroa_0_0__idx28 = 0, $agval_sroa_1_4__idx39 = 0, $agval_sroa_0_0__idx26 = 0, $agval_sroa_1_4__idx37 = 0, $agval_sroa_0_0__idx24 = 0, $agval_sroa_1_4__idx35 = 0, $agval_sroa_0_0__idx22 = 0, $agval_sroa_1_4__idx33 = 0, $agvsp_0 = 0, $agssp_0 = 0, $agerrstatus_0 = 0, $agstate_0 = 0, $incdec_ptr = 0, $agstacksize_0 = 0, $agvsp_1 = 0, $agvs_0 = 0, $agssp_1 = 0, $agss_0 = 0, $agerrstatus_1 = 0, $agstate_1 = 0, $conv = 0, $add_ptr_sum = 0, $add_ptr2 = 0, $cmp = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $sub_ptr_div = 0, $add = 0, $cmp4 = 0, $mul = 0, $cmp7 = 0, $_mul = 0, $mul11 = 0, $add12 = 0, $call = 0, $tobool = 0, $0 = 0, $agss_alloc = 0, $1 = 0, $mul15 = 0, $mul17 = 0, $add18 = 0, $div = 0, $agvs_alloc = 0, $2 = 0, $3 = 0, $mul22 = 0, $cmp30 = 0, $add_ptr35 = 0, $add_ptr37 = 0, $add_ptr38_sum = 0, $cmp40 = 0, $agstacksize_2 = 0, $agvsp_2 = 0, $agvs_1 = 0, $agssp_2 = 0, $agss_1 = 0, $cmp45 = 0, $arrayidx = 0, $4 = 0, $conv49 = 0, $cmp50 = 0, $5 = 0, $cmp54 = 0, $call57 = 0, $6 = 0, $cmp59 = 0, $cmp62 = 0, $arrayidx64 = 0, $7 = 0, $conv65 = 0, $agtoken_2 = 0, $add67 = 0, $8 = 0, $arrayidx73 = 0, $9 = 0, $conv74 = 0, $cmp75 = 0, $arrayidx79 = 0, $10 = 0, $conv80 = 0, $cmp81 = 0, $cmp84 = 0, $sub = 0, $tobool92 = 0, $dec = 0, $agerrstatus_1_dec = 0, $incdec_ptr95 = 0, $11 = 0, $$etemp$0 = 0, $ld$1$0 = 0, $12$0 = 0, $ld$2$1 = 0, $12$1 = 0, $st$3$0 = 0, $st$4$1 = 0, $arrayidx96 = 0, $13 = 0, $conv97 = 0, $cmp98 = 0, $agn_0 = 0, $arrayidx102 = 0, $14 = 0, $conv103 = 0, $sub104 = 0, $agval_sroa_0_0__idx20 = 0, $agval_sroa_0_0_copyload21 = 0, $agval_sroa_1_4__idx31 = 0, $agval_sroa_1_4_copyload32 = 0, $str = 0, $15 = 0, $16 = 0, $17 = 0, $tobool111 = 0, $18 = 0, $str117 = 0, $19 = 0, $20 = 0, $proto = 0, $21 = 0, $n = 0, $22 = 0, $23 = 0, $proto128 = 0, $24 = 0, $e = 0, $25 = 0, $str131 = 0, $26 = 0, $str133 = 0, $27 = 0, $28 = 0, $29 = 0, $str140 = 0, $30 = 0, $31 = 0, $arrayidx148 = 0, $n149 = 0, $32 = 0, $33 = 0, $34 = 0, $str153 = 0, $35 = 0, $call154 = 0, $36 = 0, $37 = 0, $str160 = 0, $38 = 0, $str163 = 0, $39 = 0, $str165 = 0, $40 = 0, $call166 = 0, $41 = 0, $42 = 0, $obj174 = 0, $43 = 0, $44 = 0, $port178 = 0, $45 = 0, $obj181 = 0, $46 = 0, $subg = 0, $47 = 0, $proto183 = 0, $48 = 0, $e184 = 0, $49 = 0, $obj188 = 0, $50 = 0, $subg190 = 0, $51 = 0, $proto191 = 0, $52 = 0, $e192 = 0, $53 = 0, $obj196 = 0, $obj199 = 0, $obj202 = 0, $obj205 = 0, $agval_sroa_0_0_copyload29 = 0, $agval_sroa_1_4_copyload40 = 0, $agval_sroa_0_0_copyload27 = 0, $agval_sroa_1_4_copyload38 = 0, $agval_sroa_0_0_copyload25 = 0, $agval_sroa_1_4_copyload36 = 0, $agval_sroa_0_0_copyload23 = 0, $agval_sroa_1_4_copyload34 = 0, $54 = 0, $str223 = 0, $55 = 0, $56 = 0, $call224 = 0, $tobool225 = 0, $57 = 0, $58 = 0, $59 = 0, $60 = 0, $61 = 0, $call230 = 0, $subg221_0_in = 0, $subg221_0 = 0, $62 = 0, $str236 = 0, $63 = 0, $str240 = 0, $64 = 0, $str244 = 0, $65 = 0, $str248 = 0, $66 = 0, $str250 = 0, $67 = 0, $call251 = 0, $68 = 0, $69 = 0, $agval_sroa_1_0 = 0, $agval_sroa_0_0 = 0, $idx_neg = 0, $add_ptr259 = 0, $add_ptr257_sum = 0, $incdec_ptr260 = 0, $agval_sroa_0_0__idx = 0, $agval_sroa_1_4__idx30 = 0, $arrayidx261 = 0, $70 = 0, $conv262 = 0, $sub263 = 0, $arrayidx264 = 0, $71 = 0, $conv265 = 0, $72 = 0, $conv266 = 0, $add267 = 0, $73 = 0, $arrayidx273 = 0, $74 = 0, $conv274 = 0, $cmp276 = 0, $arrayidx279 = 0, $75 = 0, $conv280 = 0, $arrayidx283 = 0, $76 = 0, $conv284 = 0, $77 = 0, $inc = 0, $78 = 0, $cmp292 = 0, $cmp295 = 0, $agvsp_3 = 0, $agssp_3 = 0, $agstate_2 = 0, $arrayidx307 = 0, $79 = 0, $cmp309 = 0, $conv308 = 0, $add312 = 0, $80 = 0, $arrayidx319 = 0, $81 = 0, $cmp321 = 0, $arrayidx324 = 0, $82 = 0, $cmp326 = 0, $cmp332 = 0, $add_ptr338 = 0, $add_ptr339 = 0, $83 = 0, $conv340 = 0, $conv325 = 0, $incdec_ptr341 = 0, $84 = 0, $$etemp$5 = 0, $ld$6$0 = 0, $85$0 = 0, $ld$7$1 = 0, $85$1 = 0, $st$8$0 = 0, $st$9$1 = 0, $agresult_0 = 0, $agss_3 = 0, $cmp357 = 0, $86 = 0, label = 0, __stackBase__ = 0;
   97016   __stackBase__ = STACKTOP;
   97017   STACKTOP = STACKTOP + 2032 | 0;
   97018   label = 2;
   97019   while (1) switch (label | 0) {
   97020    case 2:
   97021     $agssa = __stackBase__ | 0;
   97022     $agvsa = __stackBase__ + 400 | 0;
   97023     $tmp = __stackBase__ + 2e3 | 0;
   97024     $tmp211 = __stackBase__ + 2008 | 0;
   97025     $tmp215 = __stackBase__ + 2016 | 0;
   97026     $tmp218 = __stackBase__ + 2024 | 0;
   97027     $arraydecay = $agssa | 0;
   97028     $arraydecay1 = $agvsa | 0;
   97029     HEAP32[22282] = 0;
   97030     HEAP32[22356] = -2;
   97031     $agval_sroa_0_0__idx28 = $tmp | 0;
   97032     $agval_sroa_1_4__idx39 = $tmp + 4 | 0;
   97033     $agval_sroa_0_0__idx26 = $tmp211 | 0;
   97034     $agval_sroa_1_4__idx37 = $tmp211 + 4 | 0;
   97035     $agval_sroa_0_0__idx24 = $tmp215 | 0;
   97036     $agval_sroa_1_4__idx35 = $tmp215 + 4 | 0;
   97037     $agval_sroa_0_0__idx22 = $tmp218 | 0;
   97038     $agval_sroa_1_4__idx33 = $tmp218 + 4 | 0;
   97039     $agstate_1 = 0;
   97040     $agerrstatus_1 = 0;
   97041     $agss_0 = $arraydecay;
   97042     $agssp_1 = $arraydecay;
   97043     $agvs_0 = $arraydecay1;
   97044     $agvsp_1 = $arraydecay1;
   97045     $agstacksize_0 = 200;
   97046     label = 4;
   97047     break;
   97048    case 3:
   97049     $incdec_ptr = $agssp_0 + 2 | 0;
   97050     $agstate_1 = $agstate_0;
   97051     $agerrstatus_1 = $agerrstatus_0;
   97052     $agss_0 = $agss_1;
   97053     $agssp_1 = $incdec_ptr;
   97054     $agvs_0 = $agvs_1;
   97055     $agvsp_1 = $agvsp_0;
   97056     $agstacksize_0 = $agstacksize_2;
   97057     label = 4;
   97058     break;
   97059    case 4:
   97060     $conv = $agstate_1 & 65535;
   97061     HEAP16[$agssp_1 >> 1] = $conv;
   97062     $add_ptr_sum = $agstacksize_0 - 1 | 0;
   97063     $add_ptr2 = $agss_0 + ($add_ptr_sum << 1) | 0;
   97064     $cmp = $add_ptr2 >>> 0 > $agssp_1 >>> 0;
   97065     if ($cmp) {
   97066       $agss_1 = $agss_0;
   97067       $agssp_2 = $agssp_1;
   97068       $agvs_1 = $agvs_0;
   97069       $agvsp_2 = $agvsp_1;
   97070       $agstacksize_2 = $agstacksize_0;
   97071       label = 10;
   97072       break;
   97073     } else {
   97074       label = 5;
   97075       break;
   97076     }
   97077    case 5:
   97078     $sub_ptr_lhs_cast = $agssp_1;
   97079     $sub_ptr_rhs_cast = $agss_0;
   97080     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   97081     $sub_ptr_div = $sub_ptr_sub >> 1;
   97082     $add = $sub_ptr_div + 1 | 0;
   97083     $cmp4 = $agstacksize_0 >>> 0 > 9999;
   97084     if ($cmp4) {
   97085       label = 92;
   97086       break;
   97087     } else {
   97088       label = 6;
   97089       break;
   97090     }
   97091    case 6:
   97092     $mul = $agstacksize_0 << 1;
   97093     $cmp7 = $mul >>> 0 > 1e4;
   97094     $_mul = $cmp7 ? 1e4 : $mul;
   97095     $mul11 = $_mul * 10 & -1;
   97096     $add12 = $mul11 + 7 | 0;
   97097     $call = _malloc($add12) | 0;
   97098     $tobool = ($call | 0) == 0;
   97099     if ($tobool) {
   97100       label = 92;
   97101       break;
   97102     } else {
   97103       label = 7;
   97104       break;
   97105     }
   97106    case 7:
   97107     $0 = $call;
   97108     $agss_alloc = $call;
   97109     $1 = $agss_0;
   97110     $mul15 = $add << 1;
   97111     _memcpy($call | 0, $1 | 0, $mul15);
   97112     $mul17 = $_mul << 1;
   97113     $add18 = $mul17 + 7 | 0;
   97114     $div = $add18 >>> 3;
   97115     $agvs_alloc = $0 + ($div << 3) | 0;
   97116     $2 = $agvs_alloc;
   97117     $3 = $agvs_0;
   97118     $mul22 = $add << 3;
   97119     _memcpy($2 | 0, $3 | 0, $mul22);
   97120     $cmp30 = ($agss_0 | 0) == ($arraydecay | 0);
   97121     if ($cmp30) {
   97122       label = 9;
   97123       break;
   97124     } else {
   97125       label = 8;
   97126       break;
   97127     }
   97128    case 8:
   97129     _free($1);
   97130     label = 9;
   97131     break;
   97132    case 9:
   97133     $add_ptr35 = $agss_alloc + ($sub_ptr_div << 1) | 0;
   97134     $add_ptr37 = $agvs_alloc + ($sub_ptr_div << 3) | 0;
   97135     $add_ptr38_sum = $_mul - 1 | 0;
   97136     $cmp40 = ($add_ptr38_sum | 0) > ($sub_ptr_div | 0);
   97137     if ($cmp40) {
   97138       $agss_1 = $agss_alloc;
   97139       $agssp_2 = $add_ptr35;
   97140       $agvs_1 = $agvs_alloc;
   97141       $agvsp_2 = $add_ptr37;
   97142       $agstacksize_2 = $_mul;
   97143       label = 10;
   97144       break;
   97145     } else {
   97146       $agss_3 = $agss_alloc;
   97147       $agresult_0 = 1;
   97148       label = 93;
   97149       break;
   97150     }
   97151    case 10:
   97152     $cmp45 = ($agstate_1 | 0) == 9;
   97153     if ($cmp45) {
   97154       $agss_3 = $agss_1;
   97155       $agresult_0 = 0;
   97156       label = 93;
   97157       break;
   97158     } else {
   97159       label = 11;
   97160       break;
   97161     }
   97162    case 11:
   97163     $arrayidx = $agstate_1 + 89032 | 0;
   97164     $4 = HEAP8[$arrayidx] | 0;
   97165     $conv49 = $4 << 24 >> 24;
   97166     $cmp50 = $4 << 24 >> 24 == -68;
   97167     if ($cmp50) {
   97168       label = 24;
   97169       break;
   97170     } else {
   97171       label = 12;
   97172       break;
   97173     }
   97174    case 12:
   97175     $5 = HEAP32[22356] | 0;
   97176     $cmp54 = ($5 | 0) == -2;
   97177     if ($cmp54) {
   97178       label = 13;
   97179       break;
   97180     } else {
   97181       $6 = $5;
   97182       label = 14;
   97183       break;
   97184     }
   97185    case 13:
   97186     $call57 = _aglex() | 0;
   97187     HEAP32[22356] = $call57;
   97188     $6 = $call57;
   97189     label = 14;
   97190     break;
   97191    case 14:
   97192     $cmp59 = ($6 | 0) < 1;
   97193     if ($cmp59) {
   97194       label = 15;
   97195       break;
   97196     } else {
   97197       label = 16;
   97198       break;
   97199     }
   97200    case 15:
   97201     HEAP32[22356] = 0;
   97202     $agtoken_2 = 0;
   97203     label = 18;
   97204     break;
   97205    case 16:
   97206     $cmp62 = $6 >>> 0 < 267;
   97207     if ($cmp62) {
   97208       label = 17;
   97209       break;
   97210     } else {
   97211       $agtoken_2 = 2;
   97212       label = 18;
   97213       break;
   97214     }
   97215    case 17:
   97216     $arrayidx64 = $6 + 88488 | 0;
   97217     $7 = HEAP8[$arrayidx64] | 0;
   97218     $conv65 = $7 & 255;
   97219     $agtoken_2 = $conv65;
   97220     label = 18;
   97221     break;
   97222    case 18:
   97223     $add67 = $agtoken_2 + $conv49 | 0;
   97224     $8 = $add67 >>> 0 > 80;
   97225     if ($8) {
   97226       label = 24;
   97227       break;
   97228     } else {
   97229       label = 19;
   97230       break;
   97231     }
   97232    case 19:
   97233     $arrayidx73 = $add67 + 89336 | 0;
   97234     $9 = HEAP8[$arrayidx73] | 0;
   97235     $conv74 = $9 << 24 >> 24;
   97236     $cmp75 = ($conv74 | 0) == ($agtoken_2 | 0);
   97237     if ($cmp75) {
   97238       label = 20;
   97239       break;
   97240     } else {
   97241       label = 24;
   97242       break;
   97243     }
   97244    case 20:
   97245     $arrayidx79 = $add67 + 88760 | 0;
   97246     $10 = HEAP8[$arrayidx79] | 0;
   97247     $conv80 = $10 << 24 >> 24;
   97248     $cmp81 = $10 << 24 >> 24 < 1;
   97249     if ($cmp81) {
   97250       label = 21;
   97251       break;
   97252     } else {
   97253       label = 23;
   97254       break;
   97255     }
   97256    case 21:
   97257     $cmp84 = $10 << 24 >> 24 == 0;
   97258     if ($cmp84) {
   97259       label = 80;
   97260       break;
   97261     } else {
   97262       label = 22;
   97263       break;
   97264     }
   97265    case 22:
   97266     $sub = -$conv80 | 0;
   97267     $agn_0 = $sub;
   97268     label = 25;
   97269     break;
   97270    case 23:
   97271     $tobool92 = ($agerrstatus_1 | 0) == 0;
   97272     $dec = $agerrstatus_1 - 1 | 0;
   97273     $agerrstatus_1_dec = $tobool92 ? 0 : $dec;
   97274     HEAP32[22356] = -2;
   97275     $incdec_ptr95 = $agvsp_2 + 8 | 0;
   97276     $11 = $incdec_ptr95;
   97277     $$etemp$0 = 89144;
   97278     $ld$1$0 = $$etemp$0 | 0;
   97279     $12$0 = HEAP32[$ld$1$0 >> 2] | 0;
   97280     $ld$2$1 = $$etemp$0 + 4 | 0;
   97281     $12$1 = HEAP32[$ld$2$1 >> 2] | 0;
   97282     $st$3$0 = $11 | 0;
   97283     HEAP32[$st$3$0 >> 2] = $12$0;
   97284     $st$4$1 = $11 + 4 | 0;
   97285     HEAP32[$st$4$1 >> 2] = $12$1;
   97286     $agstate_0 = $conv80;
   97287     $agerrstatus_0 = $agerrstatus_1_dec;
   97288     $agssp_0 = $agssp_2;
   97289     $agvsp_0 = $incdec_ptr95;
   97290     label = 3;
   97291     break;
   97292    case 24:
   97293     $arrayidx96 = $agstate_1 + 89240 | 0;
   97294     $13 = HEAP8[$arrayidx96] | 0;
   97295     $conv97 = $13 & 255;
   97296     $cmp98 = $13 << 24 >> 24 == 0;
   97297     if ($cmp98) {
   97298       label = 80;
   97299       break;
   97300     } else {
   97301       $agn_0 = $conv97;
   97302       label = 25;
   97303       break;
   97304     }
   97305    case 25:
   97306     $arrayidx102 = $agn_0 + 88848 | 0;
   97307     $14 = HEAP8[$arrayidx102] | 0;
   97308     $conv103 = $14 & 255;
   97309     $sub104 = 1 - $conv103 | 0;
   97310     $agval_sroa_0_0__idx20 = $agvsp_2 + ($sub104 << 3) | 0;
   97311     $agval_sroa_0_0_copyload21 = HEAP32[$agval_sroa_0_0__idx20 >> 2] | 0;
   97312     $agval_sroa_1_4__idx31 = $agvsp_2 + ($sub104 << 3) + 4 | 0;
   97313     $agval_sroa_1_4_copyload32 = HEAP32[$agval_sroa_1_4__idx31 >> 2] | 0;
   97314     if (($agn_0 | 0) == 2) {
   97315       label = 26;
   97316       break;
   97317     } else if (($agn_0 | 0) == 3) {
   97318       label = 27;
   97319       break;
   97320     } else if (($agn_0 | 0) == 4) {
   97321       label = 28;
   97322       break;
   97323     } else if (($agn_0 | 0) == 5) {
   97324       label = 31;
   97325       break;
   97326     } else if (($agn_0 | 0) == 6) {
   97327       label = 32;
   97328       break;
   97329     } else if (($agn_0 | 0) == 7) {
   97330       label = 33;
   97331       break;
   97332     } else if (($agn_0 | 0) == 8) {
   97333       label = 34;
   97334       break;
   97335     } else if (($agn_0 | 0) == 9) {
   97336       label = 35;
   97337       break;
   97338     } else if (($agn_0 | 0) == 10) {
   97339       label = 36;
   97340       break;
   97341     } else if (($agn_0 | 0) == 11) {
   97342       label = 37;
   97343       break;
   97344     } else if (($agn_0 | 0) == 12) {
   97345       label = 38;
   97346       break;
   97347     } else if (($agn_0 | 0) == 13) {
   97348       label = 39;
   97349       break;
   97350     } else if (($agn_0 | 0) == 14) {
   97351       label = 40;
   97352       break;
   97353     } else if (($agn_0 | 0) == 23) {
   97354       label = 41;
   97355       break;
   97356     } else if (($agn_0 | 0) == 25) {
   97357       label = 42;
   97358       break;
   97359     } else if (($agn_0 | 0) == 32) {
   97360       label = 43;
   97361       break;
   97362     } else if (($agn_0 | 0) == 37) {
   97363       label = 44;
   97364       break;
   97365     } else if (($agn_0 | 0) == 38) {
   97366       label = 45;
   97367       break;
   97368     } else if (($agn_0 | 0) == 39) {
   97369       label = 46;
   97370       break;
   97371     } else if (($agn_0 | 0) == 40) {
   97372       label = 47;
   97373       break;
   97374     } else if (($agn_0 | 0) == 42) {
   97375       label = 48;
   97376       break;
   97377     } else if (($agn_0 | 0) == 43) {
   97378       label = 49;
   97379       break;
   97380     } else if (($agn_0 | 0) == 44) {
   97381       label = 50;
   97382       break;
   97383     } else if (($agn_0 | 0) == 45) {
   97384       label = 51;
   97385       break;
   97386     } else if (($agn_0 | 0) == 46) {
   97387       label = 52;
   97388       break;
   97389     } else if (($agn_0 | 0) == 47) {
   97390       label = 53;
   97391       break;
   97392     } else if (($agn_0 | 0) == 48) {
   97393       label = 54;
   97394       break;
   97395     } else if (($agn_0 | 0) == 49) {
   97396       label = 55;
   97397       break;
   97398     } else if (($agn_0 | 0) == 50) {
   97399       label = 56;
   97400       break;
   97401     } else if (($agn_0 | 0) == 51) {
   97402       label = 57;
   97403       break;
   97404     } else if (($agn_0 | 0) == 52) {
   97405       label = 58;
   97406       break;
   97407     } else if (($agn_0 | 0) == 53) {
   97408       label = 59;
   97409       break;
   97410     } else if (($agn_0 | 0) == 55) {
   97411       label = 60;
   97412       break;
   97413     } else if (($agn_0 | 0) == 56) {
   97414       label = 61;
   97415       break;
   97416     } else if (($agn_0 | 0) == 58) {
   97417       label = 62;
   97418       break;
   97419     } else if (($agn_0 | 0) == 59) {
   97420       label = 63;
   97421       break;
   97422     } else if (($agn_0 | 0) == 60) {
   97423       label = 64;
   97424       break;
   97425     } else if (($agn_0 | 0) == 61) {
   97426       label = 65;
   97427       break;
   97428     } else if (($agn_0 | 0) == 62) {
   97429       label = 66;
   97430       break;
   97431     } else if (($agn_0 | 0) == 63) {
   97432       label = 67;
   97433       break;
   97434     } else if (($agn_0 | 0) == 64) {
   97435       label = 68;
   97436       break;
   97437     } else if (($agn_0 | 0) == 65) {
   97438       label = 72;
   97439       break;
   97440     } else if (($agn_0 | 0) == 66) {
   97441       label = 73;
   97442       break;
   97443     } else if (($agn_0 | 0) == 67) {
   97444       label = 74;
   97445       break;
   97446     } else if (($agn_0 | 0) == 68) {
   97447       label = 75;
   97448       break;
   97449     } else {
   97450       $agval_sroa_0_0 = $agval_sroa_0_0_copyload21;
   97451       $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97452       label = 76;
   97453       break;
   97454     }
   97455    case 26:
   97456     $str = $agvsp_2 | 0;
   97457     $15 = HEAP32[$str >> 2] | 0;
   97458     _begin_graph($15);
   97459     $16 = HEAP32[$str >> 2] | 0;
   97460     _agstrfree($16);
   97461     $agval_sroa_0_0 = $agval_sroa_0_0_copyload21;
   97462     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97463     label = 76;
   97464     break;
   97465    case 27:
   97466     HEAP8[168566] = 1;
   97467     _end_graph();
   97468     $agval_sroa_0_0 = $agval_sroa_0_0_copyload21;
   97469     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97470     label = 76;
   97471     break;
   97472    case 28:
   97473     $17 = HEAP32[42138] | 0;
   97474     $tobool111 = ($17 | 0) == 0;
   97475     if ($tobool111) {
   97476       label = 30;
   97477       break;
   97478     } else {
   97479       label = 29;
   97480       break;
   97481     }
   97482    case 29:
   97483     $18 = $17;
   97484     _agclose($18);
   97485     label = 30;
   97486     break;
   97487    case 30:
   97488     HEAP32[42138] = 0;
   97489     $agval_sroa_0_0 = $agval_sroa_0_0_copyload21;
   97490     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97491     label = 76;
   97492     break;
   97493    case 31:
   97494     HEAP32[42138] = 0;
   97495     $agval_sroa_0_0 = $agval_sroa_0_0_copyload21;
   97496     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97497     label = 76;
   97498     break;
   97499    case 32:
   97500     $str117 = $agvsp_2 | 0;
   97501     $19 = HEAP32[$str117 >> 2] | 0;
   97502     $agval_sroa_0_0 = $19;
   97503     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97504     label = 76;
   97505     break;
   97506    case 33:
   97507     $agval_sroa_0_0 = 0;
   97508     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97509     label = 76;
   97510     break;
   97511    case 34:
   97512     HEAP32[42132] = 0;
   97513     HEAP32[42139] = 98608;
   97514     $agval_sroa_0_0 = $agval_sroa_0_0_copyload21;
   97515     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97516     label = 76;
   97517     break;
   97518    case 35:
   97519     HEAP32[42132] = 2;
   97520     HEAP32[42139] = 98608;
   97521     $agval_sroa_0_0 = $agval_sroa_0_0_copyload21;
   97522     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97523     label = 76;
   97524     break;
   97525    case 36:
   97526     HEAP32[42132] = 1;
   97527     HEAP32[42139] = 138272;
   97528     $agval_sroa_0_0 = $agval_sroa_0_0_copyload21;
   97529     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97530     label = 76;
   97531     break;
   97532    case 37:
   97533     HEAP32[42132] = 3;
   97534     HEAP32[42139] = 138272;
   97535     $agval_sroa_0_0 = $agval_sroa_0_0_copyload21;
   97536     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97537     label = 76;
   97538     break;
   97539    case 38:
   97540     HEAP32[41982] = 3;
   97541     $agval_sroa_0_0 = $agval_sroa_0_0_copyload21;
   97542     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97543     label = 76;
   97544     break;
   97545    case 39:
   97546     HEAP32[41982] = 1;
   97547     $20 = HEAP32[41880] | 0;
   97548     $proto = $20 + 40 | 0;
   97549     $21 = HEAP32[$proto >> 2] | 0;
   97550     $n = $21 | 0;
   97551     $22 = HEAP32[$n >> 2] | 0;
   97552     HEAP32[41626] = $22;
   97553     $agval_sroa_0_0 = $agval_sroa_0_0_copyload21;
   97554     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97555     label = 76;
   97556     break;
   97557    case 40:
   97558     HEAP32[41982] = 2;
   97559     $23 = HEAP32[41880] | 0;
   97560     $proto128 = $23 + 40 | 0;
   97561     $24 = HEAP32[$proto128 >> 2] | 0;
   97562     $e = $24 + 4 | 0;
   97563     $25 = HEAP32[$e >> 2] | 0;
   97564     HEAP32[41972] = $25;
   97565     $agval_sroa_0_0 = $agval_sroa_0_0_copyload21;
   97566     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97567     label = 76;
   97568     break;
   97569    case 41:
   97570     $str131 = $agvsp_2 - 16 | 0;
   97571     $26 = HEAP32[$str131 >> 2] | 0;
   97572     $str133 = $agvsp_2 | 0;
   97573     $27 = HEAP32[$str133 >> 2] | 0;
   97574     _attr_set($26, $27);
   97575     $28 = HEAP32[$str131 >> 2] | 0;
   97576     _agstrfree($28);
   97577     $29 = HEAP32[$str133 >> 2] | 0;
   97578     _agstrfree($29);
   97579     $agval_sroa_0_0 = $agval_sroa_0_0_copyload21;
   97580     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97581     label = 76;
   97582     break;
   97583    case 42:
   97584     $str140 = $agvsp_2 | 0;
   97585     $30 = HEAP32[$str140 >> 2] | 0;
   97586     _attr_set($30, 120160);
   97587     $31 = HEAP32[$str140 >> 2] | 0;
   97588     _agstrfree($31);
   97589     $agval_sroa_0_0 = $agval_sroa_0_0_copyload21;
   97590     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97591     label = 76;
   97592     break;
   97593    case 43:
   97594     _agerror(114616);
   97595     $agval_sroa_0_0 = $agval_sroa_0_0_copyload21;
   97596     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97597     label = 76;
   97598     break;
   97599    case 44:
   97600     HEAP32[41982] = 3;
   97601     $agval_sroa_0_0 = $agval_sroa_0_0_copyload21;
   97602     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97603     label = 76;
   97604     break;
   97605    case 45:
   97606     HEAP32[41982] = 3;
   97607     $agval_sroa_0_0 = $agval_sroa_0_0_copyload21;
   97608     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97609     label = 76;
   97610     break;
   97611    case 46:
   97612     $arrayidx148 = $agvsp_2 - 8 | 0;
   97613     $n149 = $arrayidx148;
   97614     $32 = HEAP32[$n149 >> 2] | 0;
   97615     $33 = $32 | 0;
   97616     $34 = HEAP32[41542] | 0;
   97617     HEAP32[41542] = 0;
   97618     $agval_sroa_0_0 = $33;
   97619     $agval_sroa_1_0 = $34;
   97620     label = 76;
   97621     break;
   97622    case 47:
   97623     $str153 = $agvsp_2 | 0;
   97624     $35 = HEAP32[$str153 >> 2] | 0;
   97625     $call154 = _bind_node($35) | 0;
   97626     $36 = $call154 | 0;
   97627     $37 = HEAP32[$str153 >> 2] | 0;
   97628     _agstrfree($37);
   97629     $agval_sroa_0_0 = $36;
   97630     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97631     label = 76;
   97632     break;
   97633    case 48:
   97634     $str160 = $agvsp_2 | 0;
   97635     $38 = HEAP32[$str160 >> 2] | 0;
   97636     HEAP32[41542] = $38;
   97637     $agval_sroa_0_0 = $agval_sroa_0_0_copyload21;
   97638     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97639     label = 76;
   97640     break;
   97641    case 49:
   97642     $str163 = $agvsp_2 - 16 | 0;
   97643     $39 = HEAP32[$str163 >> 2] | 0;
   97644     $str165 = $agvsp_2 | 0;
   97645     $40 = HEAP32[$str165 >> 2] | 0;
   97646     $call166 = _concat3($39, $40) | 0;
   97647     HEAP32[41542] = $call166;
   97648     $41 = HEAP32[$str163 >> 2] | 0;
   97649     _agstrfree($41);
   97650     $42 = HEAP32[$str165 >> 2] | 0;
   97651     _agstrfree($42);
   97652     $agval_sroa_0_0 = $agval_sroa_0_0_copyload21;
   97653     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97654     label = 76;
   97655     break;
   97656    case 50:
   97657     HEAP32[41982] = 1;
   97658     $obj174 = $agvsp_2 | 0;
   97659     $43 = HEAP32[$obj174 >> 2] | 0;
   97660     $44 = $43;
   97661     HEAP32[41626] = $44;
   97662     $agval_sroa_0_0 = $agval_sroa_0_0_copyload21;
   97663     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97664     label = 76;
   97665     break;
   97666    case 51:
   97667     $port178 = $agvsp_2 - 16 + 4 | 0;
   97668     $45 = HEAP32[$port178 >> 2] | 0;
   97669     _agstrfree($45);
   97670     HEAP32[41982] = 3;
   97671     $agval_sroa_0_0 = $agval_sroa_0_0_copyload21;
   97672     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97673     label = 76;
   97674     break;
   97675    case 52:
   97676     $obj181 = $agvsp_2 | 0;
   97677     _begin_edgestmt($obj181);
   97678     $agval_sroa_0_0 = $agval_sroa_0_0_copyload21;
   97679     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97680     label = 76;
   97681     break;
   97682    case 53:
   97683     $46 = HEAP32[41524] | 0;
   97684     $subg = $46 | 0;
   97685     $47 = HEAP32[$subg >> 2] | 0;
   97686     $proto183 = $47 + 40 | 0;
   97687     $48 = HEAP32[$proto183 >> 2] | 0;
   97688     $e184 = $48 + 4 | 0;
   97689     $49 = HEAP32[$e184 >> 2] | 0;
   97690     HEAP32[41972] = $49;
   97691     HEAP32[41982] = 2;
   97692     $agval_sroa_0_0 = $agval_sroa_0_0_copyload21;
   97693     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97694     label = 76;
   97695     break;
   97696    case 54:
   97697     _end_edgestmt();
   97698     $agval_sroa_0_0 = $agval_sroa_0_0_copyload21;
   97699     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97700     label = 76;
   97701     break;
   97702    case 55:
   97703     $obj188 = $agvsp_2 | 0;
   97704     _begin_edgestmt($obj188);
   97705     $agval_sroa_0_0 = $agval_sroa_0_0_copyload21;
   97706     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97707     label = 76;
   97708     break;
   97709    case 56:
   97710     $50 = HEAP32[41524] | 0;
   97711     $subg190 = $50 | 0;
   97712     $51 = HEAP32[$subg190 >> 2] | 0;
   97713     $proto191 = $51 + 40 | 0;
   97714     $52 = HEAP32[$proto191 >> 2] | 0;
   97715     $e192 = $52 + 4 | 0;
   97716     $53 = HEAP32[$e192 >> 2] | 0;
   97717     HEAP32[41972] = $53;
   97718     HEAP32[41982] = 2;
   97719     $agval_sroa_0_0 = $agval_sroa_0_0_copyload21;
   97720     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97721     label = 76;
   97722     break;
   97723    case 57:
   97724     _end_edgestmt();
   97725     $agval_sroa_0_0 = $agval_sroa_0_0_copyload21;
   97726     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97727     label = 76;
   97728     break;
   97729    case 58:
   97730     $obj196 = $agvsp_2 | 0;
   97731     _mid_edgestmt($obj196);
   97732     $agval_sroa_0_0 = $agval_sroa_0_0_copyload21;
   97733     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97734     label = 76;
   97735     break;
   97736    case 59:
   97737     $obj199 = $agvsp_2 | 0;
   97738     _mid_edgestmt($obj199);
   97739     $agval_sroa_0_0 = $agval_sroa_0_0_copyload21;
   97740     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97741     label = 76;
   97742     break;
   97743    case 60:
   97744     $obj202 = $agvsp_2 | 0;
   97745     _mid_edgestmt($obj202);
   97746     $agval_sroa_0_0 = $agval_sroa_0_0_copyload21;
   97747     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97748     label = 76;
   97749     break;
   97750    case 61:
   97751     $obj205 = $agvsp_2 | 0;
   97752     _mid_edgestmt($obj205);
   97753     $agval_sroa_0_0 = $agval_sroa_0_0_copyload21;
   97754     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97755     label = 76;
   97756     break;
   97757    case 62:
   97758     _pop_gobj($tmp);
   97759     $agval_sroa_0_0_copyload29 = HEAP32[$agval_sroa_0_0__idx28 >> 2] | 0;
   97760     $agval_sroa_1_4_copyload40 = HEAP32[$agval_sroa_1_4__idx39 >> 2] | 0;
   97761     $agval_sroa_0_0 = $agval_sroa_0_0_copyload29;
   97762     $agval_sroa_1_0 = $agval_sroa_1_4_copyload40;
   97763     label = 76;
   97764     break;
   97765    case 63:
   97766     _anonsubg();
   97767     $agval_sroa_0_0 = $agval_sroa_0_0_copyload21;
   97768     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97769     label = 76;
   97770     break;
   97771    case 64:
   97772     _pop_gobj($tmp211);
   97773     $agval_sroa_0_0_copyload27 = HEAP32[$agval_sroa_0_0__idx26 >> 2] | 0;
   97774     $agval_sroa_1_4_copyload38 = HEAP32[$agval_sroa_1_4__idx37 >> 2] | 0;
   97775     $agval_sroa_0_0 = $agval_sroa_0_0_copyload27;
   97776     $agval_sroa_1_0 = $agval_sroa_1_4_copyload38;
   97777     label = 76;
   97778     break;
   97779    case 65:
   97780     _anonsubg();
   97781     $agval_sroa_0_0 = $agval_sroa_0_0_copyload21;
   97782     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97783     label = 76;
   97784     break;
   97785    case 66:
   97786     _pop_gobj($tmp215);
   97787     $agval_sroa_0_0_copyload25 = HEAP32[$agval_sroa_0_0__idx24 >> 2] | 0;
   97788     $agval_sroa_1_4_copyload36 = HEAP32[$agval_sroa_1_4__idx35 >> 2] | 0;
   97789     $agval_sroa_0_0 = $agval_sroa_0_0_copyload25;
   97790     $agval_sroa_1_0 = $agval_sroa_1_4_copyload36;
   97791     label = 76;
   97792     break;
   97793    case 67:
   97794     _subgraph_warn();
   97795     _pop_gobj($tmp218);
   97796     $agval_sroa_0_0_copyload23 = HEAP32[$agval_sroa_0_0__idx22 >> 2] | 0;
   97797     $agval_sroa_1_4_copyload34 = HEAP32[$agval_sroa_1_4__idx33 >> 2] | 0;
   97798     $agval_sroa_0_0 = $agval_sroa_0_0_copyload23;
   97799     $agval_sroa_1_0 = $agval_sroa_1_4_copyload34;
   97800     label = 76;
   97801     break;
   97802    case 68:
   97803     $54 = HEAP32[42138] | 0;
   97804     $str223 = $agvsp_2 | 0;
   97805     $55 = HEAP32[$str223 >> 2] | 0;
   97806     $56 = $54;
   97807     $call224 = _agfindsubg($56, $55) | 0;
   97808     $tobool225 = ($call224 | 0) == 0;
   97809     $57 = HEAP32[41880] | 0;
   97810     if ($tobool225) {
   97811       label = 70;
   97812       break;
   97813     } else {
   97814       label = 69;
   97815       break;
   97816     }
   97817    case 69:
   97818     $58 = $call224 | 0;
   97819     $59 = $57;
   97820     _aginsert($59, $58);
   97821     $subg221_0_in = $call224;
   97822     label = 71;
   97823     break;
   97824    case 70:
   97825     $60 = HEAP32[$str223 >> 2] | 0;
   97826     $61 = $57;
   97827     $call230 = _agsubg($61, $60) | 0;
   97828     $subg221_0_in = $call230;
   97829     label = 71;
   97830     break;
   97831    case 71:
   97832     $subg221_0 = $subg221_0_in;
   97833     _push_subg($subg221_0);
   97834     HEAP8[166984] = 0;
   97835     $62 = HEAP32[$str223 >> 2] | 0;
   97836     _agstrfree($62);
   97837     $agval_sroa_0_0 = $agval_sroa_0_0_copyload21;
   97838     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97839     label = 76;
   97840     break;
   97841    case 72:
   97842     $str236 = $agvsp_2 | 0;
   97843     $63 = HEAP32[$str236 >> 2] | 0;
   97844     $agval_sroa_0_0 = $63;
   97845     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97846     label = 76;
   97847     break;
   97848    case 73:
   97849     $str240 = $agvsp_2 | 0;
   97850     $64 = HEAP32[$str240 >> 2] | 0;
   97851     $agval_sroa_0_0 = $64;
   97852     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97853     label = 76;
   97854     break;
   97855    case 74:
   97856     $str244 = $agvsp_2 | 0;
   97857     $65 = HEAP32[$str244 >> 2] | 0;
   97858     $agval_sroa_0_0 = $65;
   97859     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97860     label = 76;
   97861     break;
   97862    case 75:
   97863     $str248 = $agvsp_2 - 16 | 0;
   97864     $66 = HEAP32[$str248 >> 2] | 0;
   97865     $str250 = $agvsp_2 | 0;
   97866     $67 = HEAP32[$str250 >> 2] | 0;
   97867     $call251 = _concat($66, $67) | 0;
   97868     $68 = HEAP32[$str248 >> 2] | 0;
   97869     _agstrfree($68);
   97870     $69 = HEAP32[$str250 >> 2] | 0;
   97871     _agstrfree($69);
   97872     $agval_sroa_0_0 = $call251;
   97873     $agval_sroa_1_0 = $agval_sroa_1_4_copyload32;
   97874     label = 76;
   97875     break;
   97876    case 76:
   97877     $idx_neg = -$conv103 | 0;
   97878     $add_ptr259 = $agssp_2 + ($idx_neg << 1) | 0;
   97879     $add_ptr257_sum = 1 - $conv103 | 0;
   97880     $incdec_ptr260 = $agvsp_2 + ($add_ptr257_sum << 3) | 0;
   97881     $agval_sroa_0_0__idx = $agvsp_2 + ($add_ptr257_sum << 3) | 0;
   97882     HEAP32[$agval_sroa_0_0__idx >> 2] = $agval_sroa_0_0;
   97883     $agval_sroa_1_4__idx30 = $agvsp_2 + ($add_ptr257_sum << 3) + 4 | 0;
   97884     HEAP32[$agval_sroa_1_4__idx30 >> 2] = $agval_sroa_1_0;
   97885     $arrayidx261 = $agn_0 + 88920 | 0;
   97886     $70 = HEAP8[$arrayidx261] | 0;
   97887     $conv262 = $70 & 255;
   97888     $sub263 = $conv262 - 21 | 0;
   97889     $arrayidx264 = $sub263 + 88992 | 0;
   97890     $71 = HEAP8[$arrayidx264] | 0;
   97891     $conv265 = $71 << 24 >> 24;
   97892     $72 = HEAP16[$add_ptr259 >> 1] | 0;
   97893     $conv266 = $72 << 16 >> 16;
   97894     $add267 = $conv266 + $conv265 | 0;
   97895     $73 = $add267 >>> 0 < 81;
   97896     if ($73) {
   97897       label = 77;
   97898       break;
   97899     } else {
   97900       label = 79;
   97901       break;
   97902     }
   97903    case 77:
   97904     $arrayidx273 = $add267 + 89336 | 0;
   97905     $74 = HEAP8[$arrayidx273] | 0;
   97906     $conv274 = $74 << 24 >> 24;
   97907     $cmp276 = ($conv274 | 0) == ($conv266 | 0);
   97908     if ($cmp276) {
   97909       label = 78;
   97910       break;
   97911     } else {
   97912       label = 79;
   97913       break;
   97914     }
   97915    case 78:
   97916     $arrayidx279 = $add267 + 88760 | 0;
   97917     $75 = HEAP8[$arrayidx279] | 0;
   97918     $conv280 = $75 << 24 >> 24;
   97919     $agstate_0 = $conv280;
   97920     $agerrstatus_0 = $agerrstatus_1;
   97921     $agssp_0 = $add_ptr259;
   97922     $agvsp_0 = $incdec_ptr260;
   97923     label = 3;
   97924     break;
   97925    case 79:
   97926     $arrayidx283 = $sub263 + 89200 | 0;
   97927     $76 = HEAP8[$arrayidx283] | 0;
   97928     $conv284 = $76 << 24 >> 24;
   97929     $agstate_0 = $conv284;
   97930     $agerrstatus_0 = $agerrstatus_1;
   97931     $agssp_0 = $add_ptr259;
   97932     $agvsp_0 = $incdec_ptr260;
   97933     label = 3;
   97934     break;
   97935    case 80:
   97936     if (($agerrstatus_1 | 0) == 0) {
   97937       label = 81;
   97938       break;
   97939     } else if (($agerrstatus_1 | 0) == 3) {
   97940       label = 82;
   97941       break;
   97942     } else {
   97943       $agstate_2 = $agstate_1;
   97944       $agssp_3 = $agssp_2;
   97945       $agvsp_3 = $agvsp_2;
   97946       label = 85;
   97947       break;
   97948     }
   97949    case 81:
   97950     $77 = HEAP32[22282] | 0;
   97951     $inc = $77 + 1 | 0;
   97952     HEAP32[22282] = $inc;
   97953     _agerror(105728);
   97954     $agstate_2 = $agstate_1;
   97955     $agssp_3 = $agssp_2;
   97956     $agvsp_3 = $agvsp_2;
   97957     label = 85;
   97958     break;
   97959    case 82:
   97960     $78 = HEAP32[22356] | 0;
   97961     $cmp292 = ($78 | 0) < 1;
   97962     if ($cmp292) {
   97963       label = 83;
   97964       break;
   97965     } else {
   97966       label = 84;
   97967       break;
   97968     }
   97969    case 83:
   97970     $cmp295 = ($78 | 0) == 0;
   97971     if ($cmp295) {
   97972       $agss_3 = $agss_1;
   97973       $agresult_0 = 1;
   97974       label = 93;
   97975       break;
   97976     } else {
   97977       $agstate_2 = $agstate_1;
   97978       $agssp_3 = $agssp_2;
   97979       $agvsp_3 = $agvsp_2;
   97980       label = 85;
   97981       break;
   97982     }
   97983    case 84:
   97984     HEAP32[22356] = -2;
   97985     $agstate_2 = $agstate_1;
   97986     $agssp_3 = $agssp_2;
   97987     $agvsp_3 = $agvsp_2;
   97988     label = 85;
   97989     break;
   97990    case 85:
   97991     $arrayidx307 = $agstate_2 + 89032 | 0;
   97992     $79 = HEAP8[$arrayidx307] | 0;
   97993     $cmp309 = $79 << 24 >> 24 == -68;
   97994     if ($cmp309) {
   97995       label = 89;
   97996       break;
   97997     } else {
   97998       label = 86;
   97999       break;
   98000     }
   98001    case 86:
   98002     $conv308 = $79 << 24 >> 24;
   98003     $add312 = $conv308 + 1 | 0;
   98004     $80 = $add312 >>> 0 < 81;
   98005     if ($80) {
   98006       label = 87;
   98007       break;
   98008     } else {
   98009       label = 89;
   98010       break;
   98011     }
   98012    case 87:
   98013     $arrayidx319 = $add312 + 89336 | 0;
   98014     $81 = HEAP8[$arrayidx319] | 0;
   98015     $cmp321 = $81 << 24 >> 24 == 1;
   98016     if ($cmp321) {
   98017       label = 88;
   98018       break;
   98019     } else {
   98020       label = 89;
   98021       break;
   98022     }
   98023    case 88:
   98024     $arrayidx324 = $add312 + 88760 | 0;
   98025     $82 = HEAP8[$arrayidx324] | 0;
   98026     $cmp326 = $82 << 24 >> 24 > 0;
   98027     if ($cmp326) {
   98028       label = 91;
   98029       break;
   98030     } else {
   98031       label = 89;
   98032       break;
   98033     }
   98034    case 89:
   98035     $cmp332 = ($agssp_3 | 0) == ($agss_1 | 0);
   98036     if ($cmp332) {
   98037       $agss_3 = $agss_1;
   98038       $agresult_0 = 1;
   98039       label = 93;
   98040       break;
   98041     } else {
   98042       label = 90;
   98043       break;
   98044     }
   98045    case 90:
   98046     $add_ptr338 = $agvsp_3 - 8 | 0;
   98047     $add_ptr339 = $agssp_3 - 2 | 0;
   98048     $83 = HEAP16[$add_ptr339 >> 1] | 0;
   98049     $conv340 = $83 << 16 >> 16;
   98050     $agstate_2 = $conv340;
   98051     $agssp_3 = $add_ptr339;
   98052     $agvsp_3 = $add_ptr338;
   98053     label = 85;
   98054     break;
   98055    case 91:
   98056     $conv325 = $82 << 24 >> 24;
   98057     $incdec_ptr341 = $agvsp_3 + 8 | 0;
   98058     $84 = $incdec_ptr341;
   98059     $$etemp$5 = 89144;
   98060     $ld$6$0 = $$etemp$5 | 0;
   98061     $85$0 = HEAP32[$ld$6$0 >> 2] | 0;
   98062     $ld$7$1 = $$etemp$5 + 4 | 0;
   98063     $85$1 = HEAP32[$ld$7$1 >> 2] | 0;
   98064     $st$8$0 = $84 | 0;
   98065     HEAP32[$st$8$0 >> 2] = $85$0;
   98066     $st$9$1 = $84 + 4 | 0;
   98067     HEAP32[$st$9$1 >> 2] = $85$1;
   98068     $agstate_0 = $conv325;
   98069     $agerrstatus_0 = 3;
   98070     $agssp_0 = $agssp_3;
   98071     $agvsp_0 = $incdec_ptr341;
   98072     label = 3;
   98073     break;
   98074    case 92:
   98075     _agerror(93720);
   98076     $agss_3 = $agss_0;
   98077     $agresult_0 = 2;
   98078     label = 93;
   98079     break;
   98080    case 93:
   98081     $cmp357 = ($agss_3 | 0) == ($arraydecay | 0);
   98082     if ($cmp357) {
   98083       label = 95;
   98084       break;
   98085     } else {
   98086       label = 94;
   98087       break;
   98088     }
   98089    case 94:
   98090     $86 = $agss_3;
   98091     _free($86);
   98092     label = 95;
   98093     break;
   98094    case 95:
   98095     STACKTOP = __stackBase__;
   98096     return $agresult_0 | 0;
   98097   }
   98098   return 0;
   98099 }
   98100 function _begin_graph($name) {
   98101   $name = $name | 0;
   98102   var $buf = 0, $tobool = 0, $arraydecay = 0, $name_addr_0 = 0, $0 = 0, $call = 0, $1 = 0, label = 0, __stackBase__ = 0;
   98103   __stackBase__ = STACKTOP;
   98104   STACKTOP = STACKTOP + 128 | 0;
   98105   label = 2;
   98106   while (1) switch (label | 0) {
   98107    case 2:
   98108     $buf = __stackBase__ | 0;
   98109     $tobool = ($name | 0) == 0;
   98110     if ($tobool) {
   98111       label = 3;
   98112       break;
   98113     } else {
   98114       $name_addr_0 = $name;
   98115       label = 4;
   98116       break;
   98117     }
   98118    case 3:
   98119     $arraydecay = $buf | 0;
   98120     _anonname($arraydecay);
   98121     $name_addr_0 = $arraydecay;
   98122     label = 4;
   98123     break;
   98124    case 4:
   98125     $0 = HEAP32[42132] | 0;
   98126     $call = _agopen($name_addr_0, $0) | 0;
   98127     $1 = $call;
   98128     HEAP32[42138] = $1;
   98129     HEAP32[41982] = 3;
   98130     _push_subg($1);
   98131     HEAP8[166984] = 1;
   98132     STACKTOP = __stackBase__;
   98133     return;
   98134   }
   98135 }
   98136 function _end_graph() {
   98137   _pop_subg();
   98138   return;
   98139 }
   98140 function _aghtmlstr($s) {
   98141   $s = $s | 0;
   98142   var $0 = 0, $cmp = 0, $cmp1 = 0, $or_cond = 0, $refcnt = 0, $1 = 0, $2 = 0, $_b = 0, $3 = 0, $and = 0, $retval_0 = 0, label = 0;
   98143   label = 2;
   98144   while (1) switch (label | 0) {
   98145    case 2:
   98146     $0 = HEAP32[41354] | 0;
   98147     $cmp = ($0 | 0) == 0;
   98148     $cmp1 = ($s | 0) == 0;
   98149     $or_cond = $cmp | $cmp1;
   98150     if ($or_cond) {
   98151       $retval_0 = 0;
   98152       label = 4;
   98153       break;
   98154     } else {
   98155       label = 3;
   98156       break;
   98157     }
   98158    case 3:
   98159     $refcnt = $s - 4 | 0;
   98160     $1 = $refcnt;
   98161     $2 = HEAP32[$1 >> 2] | 0;
   98162     $_b = HEAP8[167144] | 0;
   98163     $3 = $_b ? -2147483648 : 0;
   98164     $and = $3 & $2;
   98165     $retval_0 = $and;
   98166     label = 4;
   98167     break;
   98168    case 4:
   98169     return $retval_0 | 0;
   98170   }
   98171   return 0;
   98172 }
   98173 function _attr_set($name, $value) {
   98174   $name = $name | 0;
   98175   $value = $value | 0;
   98176   var $_b21 = 0, $0 = 0, $root = 0, $1 = 0, $cmp = 0, $value_ = 0, $defval_0 = 0, $2 = 0, $3 = 0, $proto = 0, $4 = 0, $n = 0, $5 = 0, $6 = 0, $call = 0, $cmp2 = 0, $7 = 0, $call5 = 0, $fixed = 0, $8 = 0, $tobool7 = 0, $_b20 = 0, $ap_0 = 0, $9 = 0, $10 = 0, $index = 0, $11 = 0, $call14 = 0, $12 = 0, $proto16 = 0, $13 = 0, $e = 0, $14 = 0, $15 = 0, $call17 = 0, $cmp18 = 0, $16 = 0, $call21 = 0, $fixed23 = 0, $17 = 0, $tobool25 = 0, $_b19 = 0, $18 = 0, $root30 = 0, $19 = 0, $cmp31 = 0, $ap_1 = 0, $20 = 0, $21 = 0, $index36 = 0, $22 = 0, $call37 = 0, $23 = 0, $24 = 0, $call39 = 0, $cmp40 = 0, $25 = 0, $call43 = 0, $fixed45 = 0, $26 = 0, $tobool47 = 0, $_b = 0, $ap_2 = 0, $27 = 0, $28 = 0, $index54 = 0, $29 = 0, $call55 = 0, label = 0;
   98177   label = 2;
   98178   while (1) switch (label | 0) {
   98179    case 2:
   98180     $_b21 = HEAP8[166984] | 0;
   98181     if ($_b21) {
   98182       label = 3;
   98183       break;
   98184     } else {
   98185       $defval_0 = 136416;
   98186       label = 4;
   98187       break;
   98188     }
   98189    case 3:
   98190     $0 = HEAP32[41880] | 0;
   98191     $root = $0 + 32 | 0;
   98192     $1 = HEAP32[$root >> 2] | 0;
   98193     $cmp = ($1 | 0) == ($0 | 0);
   98194     $value_ = $cmp ? $value : 136416;
   98195     $defval_0 = $value_;
   98196     label = 4;
   98197     break;
   98198    case 4:
   98199     $2 = HEAP32[41982] | 0;
   98200     if (($2 | 0) == 1) {
   98201       label = 5;
   98202       break;
   98203     } else if (($2 | 0) == 2) {
   98204       label = 10;
   98205       break;
   98206     } else if (($2 | 0) == 0 | ($2 | 0) == 3) {
   98207       label = 16;
   98208       break;
   98209     } else {
   98210       label = 21;
   98211       break;
   98212     }
   98213    case 5:
   98214     $3 = HEAP32[41880] | 0;
   98215     $proto = $3 + 40 | 0;
   98216     $4 = HEAP32[$proto >> 2] | 0;
   98217     $n = $4 | 0;
   98218     $5 = HEAP32[$n >> 2] | 0;
   98219     $6 = $5 | 0;
   98220     $call = _agfindattr($6, $name) | 0;
   98221     $cmp2 = ($call | 0) == 0;
   98222     if ($cmp2) {
   98223       label = 6;
   98224       break;
   98225     } else {
   98226       label = 7;
   98227       break;
   98228     }
   98229    case 6:
   98230     $7 = HEAP32[42138] | 0;
   98231     $call5 = _agnodeattr($7, $name, $defval_0) | 0;
   98232     $ap_0 = $call5;
   98233     label = 9;
   98234     break;
   98235    case 7:
   98236     $fixed = $call + 13 | 0;
   98237     $8 = HEAP8[$fixed] | 0;
   98238     $tobool7 = $8 << 24 >> 24 == 0;
   98239     if ($tobool7) {
   98240       $ap_0 = $call;
   98241       label = 9;
   98242       break;
   98243     } else {
   98244       label = 8;
   98245       break;
   98246     }
   98247    case 8:
   98248     $_b20 = HEAP8[166984] | 0;
   98249     if ($_b20) {
   98250       label = 21;
   98251       break;
   98252     } else {
   98253       $ap_0 = $call;
   98254       label = 9;
   98255       break;
   98256     }
   98257    case 9:
   98258     $9 = HEAP32[41626] | 0;
   98259     $10 = $9 | 0;
   98260     $index = $ap_0 + 8 | 0;
   98261     $11 = HEAP32[$index >> 2] | 0;
   98262     $call14 = _agxset($10, $11, $value) | 0;
   98263     label = 21;
   98264     break;
   98265    case 10:
   98266     $12 = HEAP32[41880] | 0;
   98267     $proto16 = $12 + 40 | 0;
   98268     $13 = HEAP32[$proto16 >> 2] | 0;
   98269     $e = $13 + 4 | 0;
   98270     $14 = HEAP32[$e >> 2] | 0;
   98271     $15 = $14 | 0;
   98272     $call17 = _agfindattr($15, $name) | 0;
   98273     $cmp18 = ($call17 | 0) == 0;
   98274     if ($cmp18) {
   98275       label = 11;
   98276       break;
   98277     } else {
   98278       label = 12;
   98279       break;
   98280     }
   98281    case 11:
   98282     $16 = HEAP32[42138] | 0;
   98283     $call21 = _agedgeattr($16, $name, $defval_0) | 0;
   98284     $ap_1 = $call21;
   98285     label = 15;
   98286     break;
   98287    case 12:
   98288     $fixed23 = $call17 + 13 | 0;
   98289     $17 = HEAP8[$fixed23] | 0;
   98290     $tobool25 = $17 << 24 >> 24 == 0;
   98291     if ($tobool25) {
   98292       $ap_1 = $call17;
   98293       label = 15;
   98294       break;
   98295     } else {
   98296       label = 13;
   98297       break;
   98298     }
   98299    case 13:
   98300     $_b19 = HEAP8[166984] | 0;
   98301     if ($_b19) {
   98302       label = 14;
   98303       break;
   98304     } else {
   98305       $ap_1 = $call17;
   98306       label = 15;
   98307       break;
   98308     }
   98309    case 14:
   98310     $18 = HEAP32[41880] | 0;
   98311     $root30 = $18 + 32 | 0;
   98312     $19 = HEAP32[$root30 >> 2] | 0;
   98313     $cmp31 = ($19 | 0) == ($18 | 0);
   98314     if ($cmp31) {
   98315       label = 21;
   98316       break;
   98317     } else {
   98318       $ap_1 = $call17;
   98319       label = 15;
   98320       break;
   98321     }
   98322    case 15:
   98323     $20 = HEAP32[41972] | 0;
   98324     $21 = $20 | 0;
   98325     $index36 = $ap_1 + 8 | 0;
   98326     $22 = HEAP32[$index36 >> 2] | 0;
   98327     $call37 = _agxset($21, $22, $value) | 0;
   98328     label = 21;
   98329     break;
   98330    case 16:
   98331     $23 = HEAP32[41880] | 0;
   98332     $24 = $23 | 0;
   98333     $call39 = _agfindattr($24, $name) | 0;
   98334     $cmp40 = ($call39 | 0) == 0;
   98335     if ($cmp40) {
   98336       label = 17;
   98337       break;
   98338     } else {
   98339       label = 18;
   98340       break;
   98341     }
   98342    case 17:
   98343     $25 = HEAP32[42138] | 0;
   98344     $call43 = _agraphattr($25, $name, $defval_0) | 0;
   98345     $ap_2 = $call43;
   98346     label = 20;
   98347     break;
   98348    case 18:
   98349     $fixed45 = $call39 + 13 | 0;
   98350     $26 = HEAP8[$fixed45] | 0;
   98351     $tobool47 = $26 << 24 >> 24 == 0;
   98352     if ($tobool47) {
   98353       $ap_2 = $call39;
   98354       label = 20;
   98355       break;
   98356     } else {
   98357       label = 19;
   98358       break;
   98359     }
   98360    case 19:
   98361     $_b = HEAP8[166984] | 0;
   98362     if ($_b) {
   98363       label = 21;
   98364       break;
   98365     } else {
   98366       $ap_2 = $call39;
   98367       label = 20;
   98368       break;
   98369     }
   98370    case 20:
   98371     $27 = HEAP32[41880] | 0;
   98372     $28 = $27 | 0;
   98373     $index54 = $ap_2 + 8 | 0;
   98374     $29 = HEAP32[$index54 >> 2] | 0;
   98375     $call55 = _agxset($28, $29, $value) | 0;
   98376     label = 21;
   98377     break;
   98378    case 21:
   98379     return;
   98380   }
   98381 }
   98382 function _bind_node($name) {
   98383   $name = $name | 0;
   98384   var $call = 0;
   98385   $call = _agnode(HEAP32[41880] | 0, $name) | 0;
   98386   HEAP8[166984] = 0;
   98387   return $call | 0;
   98388 }
   98389 function _concat3($s1, $s3) {
   98390   $s1 = $s1 | 0;
   98391   $s3 = $s3 | 0;
   98392   var $buf = 0, $call = 0, $call2 = 0, $add3 = 0, $add4 = 0, $cmp = 0, $arraydecay = 0, $call5 = 0, $sym_0 = 0, $call6 = 0, $strlen = 0, $endptr = 0, $0 = 0, $call8 = 0, $call9 = 0, $arraydecay10 = 0, $cmp11 = 0, label = 0, __stackBase__ = 0;
   98393   __stackBase__ = STACKTOP;
   98394   STACKTOP = STACKTOP + 1024 | 0;
   98395   label = 2;
   98396   while (1) switch (label | 0) {
   98397    case 2:
   98398     $buf = __stackBase__ | 0;
   98399     $call = _strlen($s1 | 0) | 0;
   98400     $call2 = _strlen($s3 | 0) | 0;
   98401     $add3 = $call + 2 | 0;
   98402     $add4 = $add3 + $call2 | 0;
   98403     $cmp = ($add4 | 0) < 1025;
   98404     if ($cmp) {
   98405       label = 3;
   98406       break;
   98407     } else {
   98408       label = 4;
   98409       break;
   98410     }
   98411    case 3:
   98412     $arraydecay = $buf | 0;
   98413     $sym_0 = $arraydecay;
   98414     label = 5;
   98415     break;
   98416    case 4:
   98417     $call5 = _malloc($add4) | 0;
   98418     $sym_0 = $call5;
   98419     label = 5;
   98420     break;
   98421    case 5:
   98422     $call6 = _strcpy($sym_0 | 0, $s1 | 0) | 0;
   98423     $strlen = _strlen($sym_0 | 0) | 0;
   98424     $endptr = $sym_0 + $strlen | 0;
   98425     $0 = $endptr;
   98426     tempBigInt = 58;
   98427     HEAP8[$0] = tempBigInt & 255;
   98428     tempBigInt = tempBigInt >> 8;
   98429     HEAP8[$0 + 1 | 0] = tempBigInt & 255;
   98430     $call8 = _strcat($sym_0 | 0, $s3 | 0) | 0;
   98431     $call9 = _agstrdup($sym_0) | 0;
   98432     $arraydecay10 = $buf | 0;
   98433     $cmp11 = ($sym_0 | 0) == ($arraydecay10 | 0);
   98434     if ($cmp11) {
   98435       label = 7;
   98436       break;
   98437     } else {
   98438       label = 6;
   98439       break;
   98440     }
   98441    case 6:
   98442     _free($sym_0);
   98443     label = 7;
   98444     break;
   98445    case 7:
   98446     STACKTOP = __stackBase__;
   98447     return $call9 | 0;
   98448   }
   98449   return 0;
   98450 }
   98451 function _begin_edgestmt($objp) {
   98452   $objp = $objp | 0;
   98453   var $call = 0, $3 = 0, $8 = 0, $9 = 0, $10$1 = 0, tempParam = 0, __stackBase__ = 0;
   98454   __stackBase__ = STACKTOP;
   98455   tempParam = $objp;
   98456   $objp = STACKTOP;
   98457   STACKTOP = STACKTOP + 8 | 0;
   98458   HEAP32[$objp >> 2] = HEAP32[tempParam >> 2] | 0;
   98459   HEAP32[$objp + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   98460   $call = _calloc(1, 20) | 0;
   98461   HEAP32[$call + 16 >> 2] = HEAP32[41524] | 0;
   98462   HEAP32[41524] = $call;
   98463   $3 = _calloc(1, 12) | 0;
   98464   HEAP32[(HEAP32[41524] | 0) + 8 >> 2] = $3;
   98465   HEAP32[(HEAP32[41524] | 0) + 4 >> 2] = $3;
   98466   $8 = $objp;
   98467   $9 = HEAP32[(HEAP32[41524] | 0) + 4 >> 2] | 0;
   98468   $10$1 = HEAP32[$8 + 4 >> 2] | 0;
   98469   HEAP32[$9 >> 2] = HEAP32[$8 >> 2] | 0;
   98470   HEAP32[$9 + 4 >> 2] = $10$1;
   98471   HEAP32[(HEAP32[(HEAP32[41524] | 0) + 4 >> 2] | 0) + 8 >> 2] = 0;
   98472   HEAP32[(HEAP32[41524] | 0) + 12 >> 2] = HEAP8[166976] | 0;
   98473   HEAP32[HEAP32[41524] >> 2] = HEAP32[41880] | 0;
   98474   _agpushproto(HEAP32[41880] | 0);
   98475   HEAP8[166976] = 1;
   98476   STACKTOP = __stackBase__;
   98477   return;
   98478 }
   98479 function _mid_edgestmt($objp) {
   98480   $objp = $objp | 0;
   98481   var $0 = 0, $last1 = 0, $8 = 0, $9 = 0, $10$1 = 0, tempParam = 0, __stackBase__ = 0;
   98482   __stackBase__ = STACKTOP;
   98483   tempParam = $objp;
   98484   $objp = STACKTOP;
   98485   STACKTOP = STACKTOP + 8 | 0;
   98486   HEAP32[$objp >> 2] = HEAP32[tempParam >> 2] | 0;
   98487   HEAP32[$objp + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   98488   $0 = _calloc(1, 12) | 0;
   98489   HEAP32[(HEAP32[(HEAP32[41524] | 0) + 8 >> 2] | 0) + 8 >> 2] = $0;
   98490   $last1 = (HEAP32[41524] | 0) + 8 | 0;
   98491   HEAP32[$last1 >> 2] = HEAP32[(HEAP32[$last1 >> 2] | 0) + 8 >> 2] | 0;
   98492   $8 = $objp;
   98493   $9 = HEAP32[(HEAP32[41524] | 0) + 8 >> 2] | 0;
   98494   $10$1 = HEAP32[$8 + 4 >> 2] | 0;
   98495   HEAP32[$9 >> 2] = HEAP32[$8 >> 2] | 0;
   98496   HEAP32[$9 + 4 >> 2] = $10$1;
   98497   HEAP32[(HEAP32[(HEAP32[41524] | 0) + 8 >> 2] | 0) + 8 >> 2] = 0;
   98498   STACKTOP = __stackBase__;
   98499   return;
   98500 }
   98501 function _pop_gobj($agg_result) {
   98502   $agg_result = $agg_result | 0;
   98503   HEAP32[$agg_result >> 2] = _pop_subg() | 0;
   98504   HEAP32[$agg_result + 4 >> 2] = 0;
   98505   return;
   98506 }
   98507 function _anonsubg() {
   98508   var $arraydecay = 0, __stackBase__ = 0;
   98509   __stackBase__ = STACKTOP;
   98510   STACKTOP = STACKTOP + 128 | 0;
   98511   HEAP8[166984] = 0;
   98512   $arraydecay = __stackBase__ | 0;
   98513   _anonname($arraydecay);
   98514   _push_subg(_agsubg(HEAP32[41880] | 0, $arraydecay) | 0);
   98515   STACKTOP = __stackBase__;
   98516   return;
   98517 }
   98518 function _subgraph_warn() {
   98519   var $1 = 0, $call = 0, __stackBase__ = 0;
   98520   __stackBase__ = STACKTOP;
   98521   $1 = HEAP32[(HEAP32[41880] | 0) + 12 >> 2] | 0;
   98522   $call = _aglinenumber() | 0;
   98523   _agerr(0, 149672, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $1, HEAP32[tempInt + 8 >> 2] = $call, tempInt) | 0);
   98524   _agerr(3, 146832, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0);
   98525   _agerr(3, 144008, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = HEAP32[(HEAP32[167160 + ((HEAP32[41876] | 0) - 2 << 2) >> 2] | 0) + 12 >> 2] | 0, tempInt) | 0);
   98526   STACKTOP = __stackBase__;
   98527   return;
   98528 }
   98529 function _concat($s1, $s2) {
   98530   $s1 = $s1 | 0;
   98531   $s2 = $s2 | 0;
   98532   var $buf = 0, $call = 0, $call1 = 0, $add = 0, $add2 = 0, $cmp = 0, $arraydecay = 0, $call3 = 0, $sym_0 = 0, $call4 = 0, $call5 = 0, $call6 = 0, $arraydecay7 = 0, $cmp8 = 0, label = 0, __stackBase__ = 0;
   98533   __stackBase__ = STACKTOP;
   98534   STACKTOP = STACKTOP + 1024 | 0;
   98535   label = 2;
   98536   while (1) switch (label | 0) {
   98537    case 2:
   98538     $buf = __stackBase__ | 0;
   98539     $call = _strlen($s1 | 0) | 0;
   98540     $call1 = _strlen($s2 | 0) | 0;
   98541     $add = $call + 1 | 0;
   98542     $add2 = $add + $call1 | 0;
   98543     $cmp = ($add2 | 0) < 1025;
   98544     if ($cmp) {
   98545       label = 3;
   98546       break;
   98547     } else {
   98548       label = 4;
   98549       break;
   98550     }
   98551    case 3:
   98552     $arraydecay = $buf | 0;
   98553     $sym_0 = $arraydecay;
   98554     label = 5;
   98555     break;
   98556    case 4:
   98557     $call3 = _malloc($add2) | 0;
   98558     $sym_0 = $call3;
   98559     label = 5;
   98560     break;
   98561    case 5:
   98562     $call4 = _strcpy($sym_0 | 0, $s1 | 0) | 0;
   98563     $call5 = _strcat($sym_0 | 0, $s2 | 0) | 0;
   98564     $call6 = _agstrdup($sym_0) | 0;
   98565     $arraydecay7 = $buf | 0;
   98566     $cmp8 = ($sym_0 | 0) == ($arraydecay7 | 0);
   98567     if ($cmp8) {
   98568       label = 7;
   98569       break;
   98570     } else {
   98571       label = 6;
   98572       break;
   98573     }
   98574    case 6:
   98575     _free($sym_0);
   98576     label = 7;
   98577     break;
   98578    case 7:
   98579     STACKTOP = __stackBase__;
   98580     return $call6 | 0;
   98581   }
   98582   return 0;
   98583 }
   98584 function _anonname($buf) {
   98585   $buf = $buf | 0;
   98586   var $0 = 0, __stackBase__ = 0;
   98587   __stackBase__ = STACKTOP;
   98588   $0 = HEAP32[22120] | 0;
   98589   HEAP32[22120] = $0 + 1 | 0;
   98590   _sprintf($buf | 0, 140200, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $0, tempInt) | 0);
   98591   STACKTOP = __stackBase__;
   98592   return;
   98593 }
   98594 function _agstrdup($s) {
   98595   $s = $s | 0;
   98596   var $0 = 0, $cmp = 0, $cmp1 = 0, $add_ptr = 0, $1 = 0, $searchf = 0, $2 = 0, $call = 0, $tobool = 0, $refcnt = 0, $3 = 0, $4 = 0, $inc = 0, $call5 = 0, $add = 0, $call6 = 0, $refcnt7 = 0, $5 = 0, $s8 = 0, $call9 = 0, $6 = 0, $searchf10 = 0, $7 = 0, $call11 = 0, $r_0_in = 0, $8 = 0, $retval_0 = 0, label = 0;
   98597   label = 2;
   98598   while (1) switch (label | 0) {
   98599    case 2:
   98600     $0 = HEAP32[41354] | 0;
   98601     $cmp = ($0 | 0) == 0;
   98602     if ($cmp) {
   98603       label = 3;
   98604       break;
   98605     } else {
   98606       label = 4;
   98607       break;
   98608     }
   98609    case 3:
   98610     _initialize_strings();
   98611     label = 4;
   98612     break;
   98613    case 4:
   98614     $cmp1 = ($s | 0) == 0;
   98615     if ($cmp1) {
   98616       $retval_0 = 0;
   98617       label = 9;
   98618       break;
   98619     } else {
   98620       label = 5;
   98621       break;
   98622     }
   98623    case 5:
   98624     $add_ptr = $s - 12 | 0;
   98625     $1 = HEAP32[41354] | 0;
   98626     $searchf = $1 | 0;
   98627     $2 = HEAP32[$searchf >> 2] | 0;
   98628     $call = FUNCTION_TABLE_iiii[$2 & 1023]($1, $add_ptr, 4) | 0;
   98629     $tobool = ($call | 0) == 0;
   98630     if ($tobool) {
   98631       label = 7;
   98632       break;
   98633     } else {
   98634       label = 6;
   98635       break;
   98636     }
   98637    case 6:
   98638     $refcnt = $call + 8 | 0;
   98639     $3 = $refcnt;
   98640     $4 = HEAP32[$3 >> 2] | 0;
   98641     $inc = $4 + 1 | 0;
   98642     HEAP32[$3 >> 2] = $inc;
   98643     $r_0_in = $call;
   98644     label = 8;
   98645     break;
   98646    case 7:
   98647     $call5 = _strlen($s | 0) | 0;
   98648     $add = $call5 + 16 | 0;
   98649     $call6 = _malloc($add) | 0;
   98650     $refcnt7 = $call6 + 8 | 0;
   98651     $5 = $refcnt7;
   98652     HEAP32[$5 >> 2] = 1;
   98653     $s8 = $call6 + 12 | 0;
   98654     $call9 = _strcpy($s8 | 0, $s | 0) | 0;
   98655     $6 = HEAP32[41354] | 0;
   98656     $searchf10 = $6 | 0;
   98657     $7 = HEAP32[$searchf10 >> 2] | 0;
   98658     $call11 = FUNCTION_TABLE_iiii[$7 & 1023]($6, $call6, 1) | 0;
   98659     $r_0_in = $call6;
   98660     label = 8;
   98661     break;
   98662    case 8:
   98663     $8 = $r_0_in + 12 | 0;
   98664     $retval_0 = $8;
   98665     label = 9;
   98666     break;
   98667    case 9:
   98668     return $retval_0 | 0;
   98669   }
   98670   return 0;
   98671 }
   98672 function _initialize_strings() {
   98673   HEAP32[41354] = _dtopen(166112, HEAP32[41974] | 0) | 0;
   98674   HEAP8[167144] = 1;
   98675   HEAP8[168120] = 1;
   98676   return;
   98677 }
   98678 function _agstrdup_html($s) {
   98679   $s = $s | 0;
   98680   var $0 = 0, $cmp = 0, $cmp1 = 0, $add_ptr = 0, $1 = 0, $searchf = 0, $2 = 0, $call = 0, $tobool = 0, $refcnt = 0, $3 = 0, $4 = 0, $inc = 0, $call5 = 0, $add = 0, $call6 = 0, $_b = 0, $or = 0, $refcnt7 = 0, $5 = 0, $s8 = 0, $call9 = 0, $6 = 0, $searchf10 = 0, $7 = 0, $call11 = 0, $r_0_in = 0, $8 = 0, $retval_0 = 0, label = 0;
   98681   label = 2;
   98682   while (1) switch (label | 0) {
   98683    case 2:
   98684     $0 = HEAP32[41354] | 0;
   98685     $cmp = ($0 | 0) == 0;
   98686     if ($cmp) {
   98687       label = 3;
   98688       break;
   98689     } else {
   98690       label = 4;
   98691       break;
   98692     }
   98693    case 3:
   98694     _initialize_strings();
   98695     label = 4;
   98696     break;
   98697    case 4:
   98698     $cmp1 = ($s | 0) == 0;
   98699     if ($cmp1) {
   98700       $retval_0 = 0;
   98701       label = 9;
   98702       break;
   98703     } else {
   98704       label = 5;
   98705       break;
   98706     }
   98707    case 5:
   98708     $add_ptr = $s - 12 | 0;
   98709     $1 = HEAP32[41354] | 0;
   98710     $searchf = $1 | 0;
   98711     $2 = HEAP32[$searchf >> 2] | 0;
   98712     $call = FUNCTION_TABLE_iiii[$2 & 1023]($1, $add_ptr, 4) | 0;
   98713     $tobool = ($call | 0) == 0;
   98714     if ($tobool) {
   98715       label = 7;
   98716       break;
   98717     } else {
   98718       label = 6;
   98719       break;
   98720     }
   98721    case 6:
   98722     $refcnt = $call + 8 | 0;
   98723     $3 = $refcnt;
   98724     $4 = HEAP32[$3 >> 2] | 0;
   98725     $inc = $4 + 1 | 0;
   98726     HEAP32[$3 >> 2] = $inc;
   98727     $r_0_in = $call;
   98728     label = 8;
   98729     break;
   98730    case 7:
   98731     $call5 = _strlen($s | 0) | 0;
   98732     $add = $call5 + 16 | 0;
   98733     $call6 = _malloc($add) | 0;
   98734     $_b = HEAP8[167144] | 0;
   98735     $or = $_b ? -2147483647 : 1;
   98736     $refcnt7 = $call6 + 8 | 0;
   98737     $5 = $refcnt7;
   98738     HEAP32[$5 >> 2] = $or;
   98739     $s8 = $call6 + 12 | 0;
   98740     $call9 = _strcpy($s8 | 0, $s | 0) | 0;
   98741     $6 = HEAP32[41354] | 0;
   98742     $searchf10 = $6 | 0;
   98743     $7 = HEAP32[$searchf10 >> 2] | 0;
   98744     $call11 = FUNCTION_TABLE_iiii[$7 & 1023]($6, $call6, 1) | 0;
   98745     $r_0_in = $call6;
   98746     label = 8;
   98747     break;
   98748    case 8:
   98749     $8 = $r_0_in + 12 | 0;
   98750     $retval_0 = $8;
   98751     label = 9;
   98752     break;
   98753    case 9:
   98754     return $retval_0 | 0;
   98755   }
   98756   return 0;
   98757 }
   98758 function _agstrfree($s) {
   98759   $s = $s | 0;
   98760   var $0 = 0, $cmp = 0, $cmp1 = 0, $or_cond = 0, $add_ptr = 0, $searchf = 0, $1 = 0, $call = 0, $tobool = 0, $refcnt = 0, $2 = 0, $3 = 0, $dec = 0, $tobool4 = 0, $_b = 0, $phitmp7 = 0, $or_cond8 = 0, $4 = 0, $searchf8 = 0, $5 = 0, $call9 = 0, $call11 = 0, label = 0, __stackBase__ = 0;
   98761   __stackBase__ = STACKTOP;
   98762   label = 2;
   98763   while (1) switch (label | 0) {
   98764    case 2:
   98765     $0 = HEAP32[41354] | 0;
   98766     $cmp = ($0 | 0) == 0;
   98767     $cmp1 = ($s | 0) == 0;
   98768     $or_cond = $cmp | $cmp1;
   98769     if ($or_cond) {
   98770       label = 7;
   98771       break;
   98772     } else {
   98773       label = 3;
   98774       break;
   98775     }
   98776    case 3:
   98777     $add_ptr = $s - 12 | 0;
   98778     $searchf = $0 | 0;
   98779     $1 = HEAP32[$searchf >> 2] | 0;
   98780     $call = FUNCTION_TABLE_iiii[$1 & 1023]($0, $add_ptr, 4) | 0;
   98781     $tobool = ($call | 0) == 0;
   98782     if ($tobool) {
   98783       label = 6;
   98784       break;
   98785     } else {
   98786       label = 4;
   98787       break;
   98788     }
   98789    case 4:
   98790     $refcnt = $call + 8 | 0;
   98791     $2 = $refcnt;
   98792     $3 = HEAP32[$2 >> 2] | 0;
   98793     $dec = $3 - 1 | 0;
   98794     HEAP32[$2 >> 2] = $dec;
   98795     $tobool4 = ($dec | 0) == 0;
   98796     $_b = HEAP8[168120] | 0;
   98797     $phitmp7 = $_b ^ 1;
   98798     $or_cond8 = $tobool4 | $phitmp7;
   98799     if ($or_cond8) {
   98800       label = 5;
   98801       break;
   98802     } else {
   98803       label = 7;
   98804       break;
   98805     }
   98806    case 5:
   98807     $4 = HEAP32[41354] | 0;
   98808     $searchf8 = $4 | 0;
   98809     $5 = HEAP32[$searchf8 >> 2] | 0;
   98810     $call9 = FUNCTION_TABLE_iiii[$5 & 1023]($4, $call, 2) | 0;
   98811     _free($call);
   98812     label = 7;
   98813     break;
   98814    case 6:
   98815     $call11 = _agerr(1, 91240, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $s, tempInt) | 0) | 0;
   98816     label = 7;
   98817     break;
   98818    case 7:
   98819     STACKTOP = __stackBase__;
   98820     return;
   98821   }
   98822 }
   98823 function _reverse_edge($e) {
   98824   $e = $e | 0;
   98825   var $head = 0, $0 = 0, $tail = 0, $1 = 0, $call = 0, $tobool = 0, $call3 = 0, label = 0;
   98826   label = 2;
   98827   while (1) switch (label | 0) {
   98828    case 2:
   98829     _delete_fast_edge($e);
   98830     $head = $e + 12 | 0;
   98831     $0 = HEAP32[$head >> 2] | 0;
   98832     $tail = $e + 16 | 0;
   98833     $1 = HEAP32[$tail >> 2] | 0;
   98834     $call = _find_fast_edge($0, $1) | 0;
   98835     $tobool = ($call | 0) == 0;
   98836     if ($tobool) {
   98837       label = 4;
   98838       break;
   98839     } else {
   98840       label = 3;
   98841       break;
   98842     }
   98843    case 3:
   98844     _merge_oneway($e, $call);
   98845     label = 5;
   98846     break;
   98847    case 4:
   98848     $call3 = _virtual_edge($0, $1, $e) | 0;
   98849     label = 5;
   98850     break;
   98851    case 5:
   98852     return;
   98853   }
   98854 }
   98855 function _acyclic($g) {
   98856   $g = $g | 0;
   98857   var $size = 0, $0 = 0, $cmp17 = 0, $list = 0, $nlist = 0, $c_018 = 0, $1 = 0, $arrayidx = 0, $2 = 0, $tobool12 = 0, $n_013 = 0, $mark = 0, $next = 0, $3 = 0, $tobool = 0, $n_114_pr = 0, $tobool1315 = 0, $n_116 = 0, $next17 = 0, $n_1 = 0, $tobool13 = 0, $inc = 0, $4 = 0, $cmp = 0, label = 0;
   98858   label = 2;
   98859   while (1) switch (label | 0) {
   98860    case 2:
   98861     $size = $g + 232 | 0;
   98862     $0 = HEAP32[$size >> 2] | 0;
   98863     $cmp17 = ($0 | 0) > 0;
   98864     if ($cmp17) {
   98865       label = 3;
   98866       break;
   98867     } else {
   98868       label = 9;
   98869       break;
   98870     }
   98871    case 3:
   98872     $list = $g + 228 | 0;
   98873     $nlist = $g + 220 | 0;
   98874     $c_018 = 0;
   98875     label = 4;
   98876     break;
   98877    case 4:
   98878     $1 = HEAP32[$list >> 2] | 0;
   98879     $arrayidx = $1 + ($c_018 << 2) | 0;
   98880     $2 = HEAP32[$arrayidx >> 2] | 0;
   98881     HEAP32[$nlist >> 2] = $2;
   98882     $tobool12 = ($2 | 0) == 0;
   98883     if ($tobool12) {
   98884       label = 8;
   98885       break;
   98886     } else {
   98887       $n_013 = $2;
   98888       label = 5;
   98889       break;
   98890     }
   98891    case 5:
   98892     $mark = $n_013 + 163 | 0;
   98893     HEAP8[$mark] = 0;
   98894     $next = $n_013 + 168 | 0;
   98895     $3 = HEAP32[$next >> 2] | 0;
   98896     $tobool = ($3 | 0) == 0;
   98897     if ($tobool) {
   98898       label = 6;
   98899       break;
   98900     } else {
   98901       $n_013 = $3;
   98902       label = 5;
   98903       break;
   98904     }
   98905    case 6:
   98906     $n_114_pr = HEAP32[$nlist >> 2] | 0;
   98907     $tobool1315 = ($n_114_pr | 0) == 0;
   98908     if ($tobool1315) {
   98909       label = 8;
   98910       break;
   98911     } else {
   98912       $n_116 = $n_114_pr;
   98913       label = 7;
   98914       break;
   98915     }
   98916    case 7:
   98917     _dfs($n_116);
   98918     $next17 = $n_116 + 168 | 0;
   98919     $n_1 = HEAP32[$next17 >> 2] | 0;
   98920     $tobool13 = ($n_1 | 0) == 0;
   98921     if ($tobool13) {
   98922       label = 8;
   98923       break;
   98924     } else {
   98925       $n_116 = $n_1;
   98926       label = 7;
   98927       break;
   98928     }
   98929    case 8:
   98930     $inc = $c_018 + 1 | 0;
   98931     $4 = HEAP32[$size >> 2] | 0;
   98932     $cmp = ($inc | 0) < ($4 | 0);
   98933     if ($cmp) {
   98934       $c_018 = $inc;
   98935       label = 4;
   98936       break;
   98937     } else {
   98938       label = 9;
   98939       break;
   98940     }
   98941    case 9:
   98942     return;
   98943   }
   98944 }
   98945 function _dfs($n) {
   98946   $n = $n | 0;
   98947   var $mark = 0, $0 = 0, $tobool = 0, $onstack = 0, $list = 0, $1 = 0, $2 = 0, $tobool510 = 0, $3 = 0, $i_011 = 0, $head = 0, $4 = 0, $onstack7 = 0, $5 = 0, $tobool8 = 0, $dec = 0, $mark11 = 0, $6 = 0, $cmp = 0, $i_1 = 0, $inc = 0, $7 = 0, $arrayidx = 0, $8 = 0, $tobool5 = 0, label = 0;
   98948   label = 2;
   98949   while (1) switch (label | 0) {
   98950    case 2:
   98951     $mark = $n + 163 | 0;
   98952     $0 = HEAP8[$mark] | 0;
   98953     $tobool = $0 << 24 >> 24 == 0;
   98954     if ($tobool) {
   98955       label = 3;
   98956       break;
   98957     } else {
   98958       label = 10;
   98959       break;
   98960     }
   98961    case 3:
   98962     HEAP8[$mark] = 1;
   98963     $onstack = $n + 164 | 0;
   98964     HEAP8[$onstack] = 1;
   98965     $list = $n + 184 | 0;
   98966     $1 = HEAP32[$list >> 2] | 0;
   98967     $2 = HEAP32[$1 >> 2] | 0;
   98968     $tobool510 = ($2 | 0) == 0;
   98969     if ($tobool510) {
   98970       label = 9;
   98971       break;
   98972     } else {
   98973       $i_011 = 0;
   98974       $3 = $2;
   98975       label = 4;
   98976       break;
   98977     }
   98978    case 4:
   98979     $head = $3 + 12 | 0;
   98980     $4 = HEAP32[$head >> 2] | 0;
   98981     $onstack7 = $4 + 164 | 0;
   98982     $5 = HEAP8[$onstack7] | 0;
   98983     $tobool8 = $5 << 24 >> 24 == 0;
   98984     if ($tobool8) {
   98985       label = 6;
   98986       break;
   98987     } else {
   98988       label = 5;
   98989       break;
   98990     }
   98991    case 5:
   98992     _reverse_edge($3);
   98993     $dec = $i_011 - 1 | 0;
   98994     $i_1 = $dec;
   98995     label = 8;
   98996     break;
   98997    case 6:
   98998     $mark11 = $4 + 163 | 0;
   98999     $6 = HEAP8[$mark11] | 0;
   99000     $cmp = $6 << 24 >> 24 == 0;
   99001     if ($cmp) {
   99002       label = 7;
   99003       break;
   99004     } else {
   99005       $i_1 = $i_011;
   99006       label = 8;
   99007       break;
   99008     }
   99009    case 7:
   99010     _dfs($4);
   99011     $i_1 = $i_011;
   99012     label = 8;
   99013     break;
   99014    case 8:
   99015     $inc = $i_1 + 1 | 0;
   99016     $7 = HEAP32[$list >> 2] | 0;
   99017     $arrayidx = $7 + ($inc << 2) | 0;
   99018     $8 = HEAP32[$arrayidx >> 2] | 0;
   99019     $tobool5 = ($8 | 0) == 0;
   99020     if ($tobool5) {
   99021       label = 9;
   99022       break;
   99023     } else {
   99024       $i_011 = $inc;
   99025       $3 = $8;
   99026       label = 4;
   99027       break;
   99028     }
   99029    case 9:
   99030     HEAP8[$onstack] = 0;
   99031     label = 10;
   99032     break;
   99033    case 10:
   99034     return;
   99035   }
   99036 }
   99037 function _countDummyNodes($g) {
   99038   $g = $g | 0;
   99039   var $0 = 0, $call = 0, $tobool15 = 0, $n_0_in17 = 0, $count_016 = 0, $call1 = 0, $tobool312 = 0, $e_0_in14 = 0, $count_113 = 0, $head = 0, $1 = 0, $rank = 0, $2 = 0, $3 = 0, $tail = 0, $4 = 0, $rank6 = 0, $5 = 0, $6 = 0, $cmp = 0, $sub = 0, $ispos = 0, $neg = 0, $call13 = 0, $sub14 = 0, $add = 0, $count_2 = 0, $call15 = 0, $tobool3 = 0, $count_1_lcssa = 0, $call17 = 0, $tobool = 0, $count_0_lcssa = 0, label = 0;
   99040   label = 2;
   99041   while (1) switch (label | 0) {
   99042    case 2:
   99043     $0 = $g;
   99044     $call = _agfstnode($0) | 0;
   99045     $tobool15 = ($call | 0) == 0;
   99046     if ($tobool15) {
   99047       $count_0_lcssa = 0;
   99048       label = 8;
   99049       break;
   99050     } else {
   99051       $count_016 = 0;
   99052       $n_0_in17 = $call;
   99053       label = 3;
   99054       break;
   99055     }
   99056    case 3:
   99057     $call1 = _agfstout($0, $n_0_in17) | 0;
   99058     $tobool312 = ($call1 | 0) == 0;
   99059     if ($tobool312) {
   99060       $count_1_lcssa = $count_016;
   99061       label = 7;
   99062       break;
   99063     } else {
   99064       $count_113 = $count_016;
   99065       $e_0_in14 = $call1;
   99066       label = 4;
   99067       break;
   99068     }
   99069    case 4:
   99070     $head = $e_0_in14 + 12 | 0;
   99071     $1 = HEAP32[$head >> 2] | 0;
   99072     $rank = $1 + 236 | 0;
   99073     $2 = $rank;
   99074     $3 = HEAP32[$2 >> 2] | 0;
   99075     $tail = $e_0_in14 + 16 | 0;
   99076     $4 = HEAP32[$tail >> 2] | 0;
   99077     $rank6 = $4 + 236 | 0;
   99078     $5 = $rank6;
   99079     $6 = HEAP32[$5 >> 2] | 0;
   99080     $cmp = ($3 | 0) == ($6 | 0);
   99081     if ($cmp) {
   99082       $count_2 = $count_113;
   99083       label = 6;
   99084       break;
   99085     } else {
   99086       label = 5;
   99087       break;
   99088     }
   99089    case 5:
   99090     $sub = $3 - $6 | 0;
   99091     $ispos = ($sub | 0) > -1;
   99092     $neg = -$sub | 0;
   99093     $call13 = $ispos ? $sub : $neg;
   99094     $sub14 = $count_113 - 1 | 0;
   99095     $add = $sub14 + $call13 | 0;
   99096     $count_2 = $add;
   99097     label = 6;
   99098     break;
   99099    case 6:
   99100     $call15 = _agnxtout($0, $e_0_in14) | 0;
   99101     $tobool3 = ($call15 | 0) == 0;
   99102     if ($tobool3) {
   99103       $count_1_lcssa = $count_2;
   99104       label = 7;
   99105       break;
   99106     } else {
   99107       $count_113 = $count_2;
   99108       $e_0_in14 = $call15;
   99109       label = 4;
   99110       break;
   99111     }
   99112    case 7:
   99113     $call17 = _agnxtnode($0, $n_0_in17) | 0;
   99114     $tobool = ($call17 | 0) == 0;
   99115     if ($tobool) {
   99116       $count_0_lcssa = $count_1_lcssa;
   99117       label = 8;
   99118       break;
   99119     } else {
   99120       $count_016 = $count_1_lcssa;
   99121       $n_0_in17 = $call17;
   99122       label = 3;
   99123       break;
   99124     }
   99125    case 8:
   99126     return $count_0_lcssa | 0;
   99127   }
   99128   return 0;
   99129 }
   99130 function _initEdgeTypes($g) {
   99131   $g = $g | 0;
   99132   var $0 = 0, $call = 0, $tobool10 = 0, $n_0_in11 = 0, $size = 0, $1 = 0, $2 = 0, $cmp8 = 0, $in = 0, $lc_09 = 0, $list7 = 0, $3 = 0, $arrayidx = 0, $4 = 0, $edge_type = 0, $inc = 0, $5 = 0, $cmp = 0, $call7 = 0, $tobool = 0, label = 0;
   99133   label = 2;
   99134   while (1) switch (label | 0) {
   99135    case 2:
   99136     $0 = $g;
   99137     $call = _agfstnode($0) | 0;
   99138     $tobool10 = ($call | 0) == 0;
   99139     if ($tobool10) {
   99140       label = 7;
   99141       break;
   99142     } else {
   99143       $n_0_in11 = $call;
   99144       label = 3;
   99145       break;
   99146     }
   99147    case 3:
   99148     $size = $n_0_in11 + 180 | 0;
   99149     $1 = $size;
   99150     $2 = HEAP32[$1 >> 2] | 0;
   99151     $cmp8 = ($2 | 0) > 0;
   99152     if ($cmp8) {
   99153       label = 4;
   99154       break;
   99155     } else {
   99156       label = 6;
   99157       break;
   99158     }
   99159    case 4:
   99160     $in = $n_0_in11 + 176 | 0;
   99161     $lc_09 = 0;
   99162     label = 5;
   99163     break;
   99164    case 5:
   99165     $list7 = HEAP32[$in >> 2] | 0;
   99166     $3 = $list7;
   99167     $arrayidx = $3 + ($lc_09 << 2) | 0;
   99168     $4 = HEAP32[$arrayidx >> 2] | 0;
   99169     $edge_type = $4 + 128 | 0;
   99170     HEAP8[$edge_type] = 0;
   99171     $inc = $lc_09 + 1 | 0;
   99172     $5 = HEAP32[$1 >> 2] | 0;
   99173     $cmp = ($inc | 0) < ($5 | 0);
   99174     if ($cmp) {
   99175       $lc_09 = $inc;
   99176       label = 5;
   99177       break;
   99178     } else {
   99179       label = 6;
   99180       break;
   99181     }
   99182    case 6:
   99183     $call7 = _agnxtnode($0, $n_0_in11) | 0;
   99184     $tobool = ($call7 | 0) == 0;
   99185     if ($tobool) {
   99186       label = 7;
   99187       break;
   99188     } else {
   99189       $n_0_in11 = $call7;
   99190       label = 3;
   99191       break;
   99192     }
   99193    case 7:
   99194     return;
   99195   }
   99196 }
   99197 function _end_edgestmt() {
   99198   var $0 = 0, $list = 0, $tailptr_045 = 0, $link46 = 0, $1 = 0, $tobool47 = 0, $tailptr_0 = 0, $link = 0, $2 = 0, $tobool = 0, $3 = 0, $link49 = 0, $tailptr_048 = 0, $port = 0, $4 = 0, $port3 = 0, $5 = 0, $obj = 0, $6 = 0, $7 = 0, $8 = 0, $9 = 0, $_mask36 = 0, $cmp = 0, $10 = 0, $call = 0, $t_first_0 = 0, $t_graph_0 = 0, $obj10 = 0, $11 = 0, $12 = 0, $13 = 0, $14 = 0, $_mask37 = 0, $cmp13 = 0, $15 = 0, $call20 = 0, $h_first_0 = 0, $h_graph_0 = 0, $tobool2342 = 0, $tobool2640 = 0, $tobool54 = 0, $tobool51 = 0, $t_node_043 = 0, $h_node_041 = 0, $16 = 0, $call28 = 0, $tobool29 = 0, $tail = 0, $17 = 0, $head = 0, $18 = 0, $cmp31 = 0, $cmp33 = 0, $or_cond = 0, $tp_0 = 0, $hp_0 = 0, $tobool36 = 0, $19 = 0, $tobool38 = 0, $20 = 0, $call40 = 0, $tobool42 = 0, $21 = 0, $tobool46 = 0, $22 = 0, $call48 = 0, $call52 = 0, $tobool26 = 0, $call56 = 0, $tobool23 = 0, $23 = 0, $list64 = 0, $24 = 0, $tobool6538 = 0, $tailptr_139 = 0, $link66 = 0, $25 = 0, $obj68 = 0, $26 = 0, $27 = 0, $28 = 0, $_mask = 0, $cmp71 = 0, $29 = 0, $tobool65 = 0, $30 = 0, $31 = 0, $subg = 0, $32 = 0, $cmp75 = 0, $33 = 0, $34 = 0, $in_edge_stmt = 0, $35 = 0, $conv79 = 0, $link80 = 0, $36 = 0, $37 = 0, label = 0;
   99199   label = 2;
   99200   while (1) switch (label | 0) {
   99201    case 2:
   99202     $0 = HEAP32[41524] | 0;
   99203     $list = $0 + 4 | 0;
   99204     $tailptr_045 = HEAP32[$list >> 2] | 0;
   99205     $link46 = $tailptr_045 + 8 | 0;
   99206     $1 = HEAP32[$link46 >> 2] | 0;
   99207     $tobool47 = ($1 | 0) == 0;
   99208     if ($tobool47) {
   99209       label = 24;
   99210       break;
   99211     } else {
   99212       $tailptr_048 = $tailptr_045;
   99213       $link49 = $link46;
   99214       $3 = $1;
   99215       label = 4;
   99216       break;
   99217     }
   99218    case 3:
   99219     $tailptr_0 = HEAP32[$link49 >> 2] | 0;
   99220     $link = $tailptr_0 + 8 | 0;
   99221     $2 = HEAP32[$link >> 2] | 0;
   99222     $tobool = ($2 | 0) == 0;
   99223     if ($tobool) {
   99224       label = 24;
   99225       break;
   99226     } else {
   99227       $tailptr_048 = $tailptr_0;
   99228       $link49 = $link;
   99229       $3 = $2;
   99230       label = 4;
   99231       break;
   99232     }
   99233    case 4:
   99234     $port = $tailptr_048 + 4 | 0;
   99235     $4 = HEAP32[$port >> 2] | 0;
   99236     $port3 = $3 + 4 | 0;
   99237     $5 = HEAP32[$port3 >> 2] | 0;
   99238     $obj = $tailptr_048 | 0;
   99239     $6 = HEAP32[$obj >> 2] | 0;
   99240     $7 = $6;
   99241     $8 = $6;
   99242     $9 = HEAP32[$8 >> 2] | 0;
   99243     $_mask36 = $9 & 15;
   99244     $cmp = ($_mask36 | 0) == 1;
   99245     if ($cmp) {
   99246       label = 5;
   99247       break;
   99248     } else {
   99249       label = 6;
   99250       break;
   99251     }
   99252    case 5:
   99253     $10 = $6;
   99254     $t_graph_0 = 0;
   99255     $t_first_0 = $10;
   99256     label = 7;
   99257     break;
   99258    case 6:
   99259     $call = _agfstnode($7) | 0;
   99260     $t_graph_0 = $7;
   99261     $t_first_0 = $call;
   99262     label = 7;
   99263     break;
   99264    case 7:
   99265     $obj10 = $3 | 0;
   99266     $11 = HEAP32[$obj10 >> 2] | 0;
   99267     $12 = $11;
   99268     $13 = $11;
   99269     $14 = HEAP32[$13 >> 2] | 0;
   99270     $_mask37 = $14 & 15;
   99271     $cmp13 = ($_mask37 | 0) == 1;
   99272     if ($cmp13) {
   99273       label = 8;
   99274       break;
   99275     } else {
   99276       label = 9;
   99277       break;
   99278     }
   99279    case 8:
   99280     $15 = $11;
   99281     $h_graph_0 = 0;
   99282     $h_first_0 = $15;
   99283     label = 10;
   99284     break;
   99285    case 9:
   99286     $call20 = _agfstnode($12) | 0;
   99287     $h_graph_0 = $12;
   99288     $h_first_0 = $call20;
   99289     label = 10;
   99290     break;
   99291    case 10:
   99292     $tobool2342 = ($t_first_0 | 0) == 0;
   99293     if ($tobool2342) {
   99294       label = 3;
   99295       break;
   99296     } else {
   99297       label = 11;
   99298       break;
   99299     }
   99300    case 11:
   99301     $tobool2640 = ($h_first_0 | 0) == 0;
   99302     $tobool54 = ($t_graph_0 | 0) == 0;
   99303     $tobool51 = ($h_graph_0 | 0) == 0;
   99304     $t_node_043 = $t_first_0;
   99305     label = 12;
   99306     break;
   99307    case 12:
   99308     if ($tobool2640) {
   99309       label = 22;
   99310       break;
   99311     } else {
   99312       $h_node_041 = $h_first_0;
   99313       label = 13;
   99314       break;
   99315     }
   99316    case 13:
   99317     $16 = HEAP32[41880] | 0;
   99318     $call28 = _agedge($16, $t_node_043, $h_node_041) | 0;
   99319     $tobool29 = ($call28 | 0) == 0;
   99320     if ($tobool29) {
   99321       label = 20;
   99322       break;
   99323     } else {
   99324       label = 14;
   99325       break;
   99326     }
   99327    case 14:
   99328     $tail = $call28 + 16 | 0;
   99329     $17 = HEAP32[$tail >> 2] | 0;
   99330     $head = $call28 + 12 | 0;
   99331     $18 = HEAP32[$head >> 2] | 0;
   99332     $cmp31 = ($17 | 0) != ($18 | 0);
   99333     $cmp33 = ($18 | 0) == ($t_node_043 | 0);
   99334     $or_cond = $cmp31 & $cmp33;
   99335     $tp_0 = $or_cond ? $5 : $4;
   99336     $hp_0 = $or_cond ? $4 : $5;
   99337     $tobool36 = ($tp_0 | 0) == 0;
   99338     if ($tobool36) {
   99339       label = 17;
   99340       break;
   99341     } else {
   99342       label = 15;
   99343       break;
   99344     }
   99345    case 15:
   99346     $19 = HEAP8[$tp_0] | 0;
   99347     $tobool38 = $19 << 24 >> 24 == 0;
   99348     if ($tobool38) {
   99349       label = 17;
   99350       break;
   99351     } else {
   99352       label = 16;
   99353       break;
   99354     }
   99355    case 16:
   99356     $20 = $call28 | 0;
   99357     $call40 = _agxset($20, 1, $tp_0) | 0;
   99358     _agstrfree($tp_0);
   99359     label = 17;
   99360     break;
   99361    case 17:
   99362     $tobool42 = ($hp_0 | 0) == 0;
   99363     if ($tobool42) {
   99364       label = 20;
   99365       break;
   99366     } else {
   99367       label = 18;
   99368       break;
   99369     }
   99370    case 18:
   99371     $21 = HEAP8[$hp_0] | 0;
   99372     $tobool46 = $21 << 24 >> 24 == 0;
   99373     if ($tobool46) {
   99374       label = 20;
   99375       break;
   99376     } else {
   99377       label = 19;
   99378       break;
   99379     }
   99380    case 19:
   99381     $22 = $call28 | 0;
   99382     $call48 = _agxset($22, 2, $hp_0) | 0;
   99383     _agstrfree($hp_0);
   99384     label = 20;
   99385     break;
   99386    case 20:
   99387     if ($tobool51) {
   99388       label = 22;
   99389       break;
   99390     } else {
   99391       label = 21;
   99392       break;
   99393     }
   99394    case 21:
   99395     $call52 = _agnxtnode($h_graph_0, $h_node_041) | 0;
   99396     $tobool26 = ($call52 | 0) == 0;
   99397     if ($tobool26) {
   99398       label = 22;
   99399       break;
   99400     } else {
   99401       $h_node_041 = $call52;
   99402       label = 13;
   99403       break;
   99404     }
   99405    case 22:
   99406     if ($tobool54) {
   99407       label = 3;
   99408       break;
   99409     } else {
   99410       label = 23;
   99411       break;
   99412     }
   99413    case 23:
   99414     $call56 = _agnxtnode($t_graph_0, $t_node_043) | 0;
   99415     $tobool23 = ($call56 | 0) == 0;
   99416     if ($tobool23) {
   99417       label = 3;
   99418       break;
   99419     } else {
   99420       $t_node_043 = $call56;
   99421       label = 12;
   99422       break;
   99423     }
   99424    case 24:
   99425     $23 = HEAP32[41524] | 0;
   99426     $list64 = $23 + 4 | 0;
   99427     $24 = HEAP32[$list64 >> 2] | 0;
   99428     $tobool6538 = ($24 | 0) == 0;
   99429     if ($tobool6538) {
   99430       label = 28;
   99431       break;
   99432     } else {
   99433       $tailptr_139 = $24;
   99434       label = 25;
   99435       break;
   99436     }
   99437    case 25:
   99438     $link66 = $tailptr_139 + 8 | 0;
   99439     $25 = HEAP32[$link66 >> 2] | 0;
   99440     $obj68 = $tailptr_139 | 0;
   99441     $26 = HEAP32[$obj68 >> 2] | 0;
   99442     $27 = $26;
   99443     $28 = HEAP32[$27 >> 2] | 0;
   99444     $_mask = $28 & 15;
   99445     $cmp71 = ($_mask | 0) == 1;
   99446     if ($cmp71) {
   99447       label = 26;
   99448       break;
   99449     } else {
   99450       label = 27;
   99451       break;
   99452     }
   99453    case 26:
   99454     $29 = $tailptr_139;
   99455     _free($29);
   99456     label = 27;
   99457     break;
   99458    case 27:
   99459     $tobool65 = ($25 | 0) == 0;
   99460     if ($tobool65) {
   99461       label = 28;
   99462       break;
   99463     } else {
   99464       $tailptr_139 = $25;
   99465       label = 25;
   99466       break;
   99467     }
   99468    case 28:
   99469     $30 = HEAP32[41880] | 0;
   99470     $31 = HEAP32[41524] | 0;
   99471     $subg = $31 | 0;
   99472     $32 = HEAP32[$subg >> 2] | 0;
   99473     $cmp75 = ($30 | 0) == ($32 | 0);
   99474     if ($cmp75) {
   99475       label = 30;
   99476       break;
   99477     } else {
   99478       label = 29;
   99479       break;
   99480     }
   99481    case 29:
   99482     _abort();
   99483    case 30:
   99484     $33 = $30;
   99485     _agpopproto($33);
   99486     $34 = HEAP32[41524] | 0;
   99487     $in_edge_stmt = $34 + 12 | 0;
   99488     $35 = HEAP32[$in_edge_stmt >> 2] | 0;
   99489     $conv79 = $35 & 255;
   99490     HEAP8[166976] = $conv79;
   99491     $link80 = $34 + 16 | 0;
   99492     $36 = HEAP32[$link80 >> 2] | 0;
   99493     HEAP32[41524] = $36;
   99494     HEAP8[166984] = 0;
   99495     $37 = $34;
   99496     _free($37);
   99497     HEAP32[41982] = 3;
   99498     return;
   99499   }
   99500 }
   99501 function _push_subg($g) {
   99502   $g = $g | 0;
   99503   var $0 = 0, $cmp = 0, $call = 0, $inc = 0, $arrayidx = 0, label = 0, __stackBase__ = 0;
   99504   __stackBase__ = STACKTOP;
   99505   label = 2;
   99506   while (1) switch (label | 0) {
   99507    case 2:
   99508     $0 = HEAP32[41876] | 0;
   99509     $cmp = ($0 | 0) > 63;
   99510     if ($cmp) {
   99511       label = 3;
   99512       break;
   99513     } else {
   99514       label = 4;
   99515       break;
   99516     }
   99517    case 3:
   99518     $call = _agerr(1, 153272, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0) | 0;
   99519     _exit(1);
   99520    case 4:
   99521     $inc = $0 + 1 | 0;
   99522     HEAP32[41876] = $inc;
   99523     $arrayidx = 167160 + ($0 << 2) | 0;
   99524     HEAP32[$arrayidx >> 2] = $g;
   99525     HEAP32[41880] = $g;
   99526     STACKTOP = __stackBase__;
   99527     return;
   99528   }
   99529 }
   99530 function _pop_subg() {
   99531   var $0 = 0, $cmp = 0, $call = 0, $dec = 0, $arrayidx = 0, $1 = 0, $cmp1 = 0, $sub = 0, $arrayidx3 = 0, $2 = 0, $storemerge = 0, label = 0, __stackBase__ = 0;
   99532   __stackBase__ = STACKTOP;
   99533   label = 2;
   99534   while (1) switch (label | 0) {
   99535    case 2:
   99536     $0 = HEAP32[41876] | 0;
   99537     $cmp = ($0 | 0) == 0;
   99538     if ($cmp) {
   99539       label = 3;
   99540       break;
   99541     } else {
   99542       label = 4;
   99543       break;
   99544     }
   99545    case 3:
   99546     $call = _agerr(1, 137472, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0) | 0;
   99547     _exit(1);
   99548     return 0;
   99549    case 4:
   99550     $dec = $0 - 1 | 0;
   99551     HEAP32[41876] = $dec;
   99552     $arrayidx = 167160 + ($dec << 2) | 0;
   99553     $1 = HEAP32[$arrayidx >> 2] | 0;
   99554     $cmp1 = ($dec | 0) > 0;
   99555     if ($cmp1) {
   99556       label = 5;
   99557       break;
   99558     } else {
   99559       $storemerge = 0;
   99560       label = 6;
   99561       break;
   99562     }
   99563    case 5:
   99564     $sub = $0 - 2 | 0;
   99565     $arrayidx3 = 167160 + ($sub << 2) | 0;
   99566     $2 = HEAP32[$arrayidx3 >> 2] | 0;
   99567     $storemerge = $2;
   99568     label = 6;
   99569     break;
   99570    case 6:
   99571     HEAP32[41880] = $storemerge;
   99572     STACKTOP = __stackBase__;
   99573     return $1 | 0;
   99574   }
   99575   return 0;
   99576 }
   99577 function _zapLayers() {
   99578   var $0 = 0, $cmp6 = 0, $i_09 = 0, $count_08 = 0, $start_07 = 0, $1 = 0, $arrayidx = 0, $nNodeGroupsInLayer = 0, $2 = 0, $cmp1 = 0, $cmp2 = 0, $layerNumber = 0, $3 = 0, $start_1 = 0, $inc = 0, $layerNumber6 = 0, $4 = 0, $cmp7 = 0, $5 = 0, $nNodeGroupsInLayer113 = 0, $6 = 0, $cmp124 = 0, $7 = 0, $j_05 = 0, $nodeGroupsInLayer = 0, $8 = 0, $arrayidx15 = 0, $9 = 0, $nNodes = 0, $10 = 0, $cmp171 = 0, $nodes = 0, $q_02 = 0, $11 = 0, $arrayidx19 = 0, $12 = 0, $rank = 0, $13 = 0, $sub = 0, $inc20 = 0, $14 = 0, $cmp17 = 0, $inc22 = 0, $15 = 0, $nNodeGroupsInLayer11 = 0, $16 = 0, $cmp12 = 0, $start_2 = 0, $count_1 = 0, $inc27 = 0, $17 = 0, $cmp = 0, label = 0;
   99579   label = 2;
   99580   while (1) switch (label | 0) {
   99581    case 2:
   99582     $0 = HEAP32[2800] | 0;
   99583     $cmp6 = ($0 | 0) > 0;
   99584     if ($cmp6) {
   99585       $start_07 = 0;
   99586       $count_08 = 0;
   99587       $i_09 = 0;
   99588       label = 3;
   99589       break;
   99590     } else {
   99591       label = 15;
   99592       break;
   99593     }
   99594    case 3:
   99595     $1 = HEAP32[2958] | 0;
   99596     $arrayidx = $1 + ($i_09 * 40 & -1) | 0;
   99597     $nNodeGroupsInLayer = $1 + ($i_09 * 40 & -1) + 12 | 0;
   99598     $2 = HEAP32[$nNodeGroupsInLayer >> 2] | 0;
   99599     $cmp1 = ($2 | 0) == 0;
   99600     $cmp2 = ($count_08 | 0) == 0;
   99601     if ($cmp1) {
   99602       label = 4;
   99603       break;
   99604     } else {
   99605       label = 7;
   99606       break;
   99607     }
   99608    case 4:
   99609     if ($cmp2) {
   99610       label = 5;
   99611       break;
   99612     } else {
   99613       $start_1 = $start_07;
   99614       label = 6;
   99615       break;
   99616     }
   99617    case 5:
   99618     $layerNumber = $arrayidx | 0;
   99619     $3 = HEAP32[$layerNumber >> 2] | 0;
   99620     $start_1 = $3;
   99621     label = 6;
   99622     break;
   99623    case 6:
   99624     $inc = $count_08 + 1 | 0;
   99625     $count_1 = $inc;
   99626     $start_2 = $start_1;
   99627     label = 14;
   99628     break;
   99629    case 7:
   99630     if ($cmp2) {
   99631       $count_1 = 0;
   99632       $start_2 = $start_07;
   99633       label = 14;
   99634       break;
   99635     } else {
   99636       label = 8;
   99637       break;
   99638     }
   99639    case 8:
   99640     $layerNumber6 = $arrayidx | 0;
   99641     $4 = HEAP32[$layerNumber6 >> 2] | 0;
   99642     $cmp7 = ($4 | 0) > ($start_07 | 0);
   99643     if ($cmp7) {
   99644       label = 9;
   99645       break;
   99646     } else {
   99647       $count_1 = $count_08;
   99648       $start_2 = $start_07;
   99649       label = 14;
   99650       break;
   99651     }
   99652    case 9:
   99653     $5 = HEAP32[2958] | 0;
   99654     $nNodeGroupsInLayer113 = $5 + ($i_09 * 40 & -1) + 12 | 0;
   99655     $6 = HEAP32[$nNodeGroupsInLayer113 >> 2] | 0;
   99656     $cmp124 = ($6 | 0) > 0;
   99657     if ($cmp124) {
   99658       $j_05 = 0;
   99659       $7 = $5;
   99660       label = 10;
   99661       break;
   99662     } else {
   99663       $count_1 = $count_08;
   99664       $start_2 = $start_07;
   99665       label = 14;
   99666       break;
   99667     }
   99668    case 10:
   99669     $nodeGroupsInLayer = $7 + ($i_09 * 40 & -1) + 4 | 0;
   99670     $8 = HEAP32[$nodeGroupsInLayer >> 2] | 0;
   99671     $arrayidx15 = $8 + ($j_05 << 2) | 0;
   99672     $9 = HEAP32[$arrayidx15 >> 2] | 0;
   99673     $nNodes = $9 + 4 | 0;
   99674     $10 = HEAP32[$nNodes >> 2] | 0;
   99675     $cmp171 = ($10 | 0) > 0;
   99676     if ($cmp171) {
   99677       label = 11;
   99678       break;
   99679     } else {
   99680       label = 13;
   99681       break;
   99682     }
   99683    case 11:
   99684     $nodes = $9 | 0;
   99685     $q_02 = 0;
   99686     label = 12;
   99687     break;
   99688    case 12:
   99689     $11 = HEAP32[$nodes >> 2] | 0;
   99690     $arrayidx19 = $11 + ($q_02 << 2) | 0;
   99691     $12 = HEAP32[$arrayidx19 >> 2] | 0;
   99692     $rank = $12 + 236 | 0;
   99693     $13 = HEAP32[$rank >> 2] | 0;
   99694     $sub = $13 - $count_08 | 0;
   99695     HEAP32[$rank >> 2] = $sub;
   99696     $inc20 = $q_02 + 1 | 0;
   99697     $14 = HEAP32[$nNodes >> 2] | 0;
   99698     $cmp17 = ($inc20 | 0) < ($14 | 0);
   99699     if ($cmp17) {
   99700       $q_02 = $inc20;
   99701       label = 12;
   99702       break;
   99703     } else {
   99704       label = 13;
   99705       break;
   99706     }
   99707    case 13:
   99708     $inc22 = $j_05 + 1 | 0;
   99709     $15 = HEAP32[2958] | 0;
   99710     $nNodeGroupsInLayer11 = $15 + ($i_09 * 40 & -1) + 12 | 0;
   99711     $16 = HEAP32[$nNodeGroupsInLayer11 >> 2] | 0;
   99712     $cmp12 = ($inc22 | 0) < ($16 | 0);
   99713     if ($cmp12) {
   99714       $j_05 = $inc22;
   99715       $7 = $15;
   99716       label = 10;
   99717       break;
   99718     } else {
   99719       $count_1 = $count_08;
   99720       $start_2 = $start_07;
   99721       label = 14;
   99722       break;
   99723     }
   99724    case 14:
   99725     $inc27 = $i_09 + 1 | 0;
   99726     $17 = HEAP32[2800] | 0;
   99727     $cmp = ($inc27 | 0) < ($17 | 0);
   99728     if ($cmp) {
   99729       $start_07 = $start_2;
   99730       $count_08 = $count_1;
   99731       $i_09 = $inc27;
   99732       label = 3;
   99733       break;
   99734     } else {
   99735       label = 15;
   99736       break;
   99737     }
   99738    case 15:
   99739     return;
   99740   }
   99741 }
   99742 function _rank3($g, $asp) {
   99743   $g = $g | 0;
   99744   $asp = $asp | 0;
   99745   var $nextIter = 0, $0 = 0, $cmp27 = 0, $cmp1 = 0, $or_cond28 = 0, $1 = 0, $2 = 0, $combiAR = 0, $targetAR = 0, $i_029 = 0, $call = 0, $tobool24 = 0, $n_0_in25 = 0, $rank = 0, $call4 = 0, $tobool = 0, $call5 = 0.0, $3 = 0, $tobool6 = 0, $4 = 0, $call8 = 0, $5 = 0.0, $6 = 0.0, $cmp11 = 0, $curIterations = 0, $7 = 0, $prevIterations = 0, $inc = 0, $cmp = 0, $or_cond = 0, $8 = 0, $call17 = 0.0, $combiAR18 = 0, label = 0, __stackBase__ = 0;
   99746   __stackBase__ = STACKTOP;
   99747   label = 2;
   99748   while (1) switch (label | 0) {
   99749    case 2:
   99750     $nextIter = $asp + 24 | 0;
   99751     $0 = HEAP32[$nextIter >> 2] | 0;
   99752     _computeNodeGroups($g);
   99753     $cmp27 = ($0 | 0) > 0;
   99754     $cmp1 = ($0 | 0) == -1;
   99755     $or_cond28 = $cmp27 | $cmp1;
   99756     if ($or_cond28) {
   99757       label = 3;
   99758       break;
   99759     } else {
   99760       label = 12;
   99761       break;
   99762     }
   99763    case 3:
   99764     $1 = $g;
   99765     $2 = $g;
   99766     $combiAR = $asp + 8 | 0;
   99767     $targetAR = $asp | 0;
   99768     $i_029 = 0;
   99769     label = 4;
   99770     break;
   99771    case 4:
   99772     $call = _agfstnode($1) | 0;
   99773     $tobool24 = ($call | 0) == 0;
   99774     if ($tobool24) {
   99775       label = 6;
   99776       break;
   99777     } else {
   99778       $n_0_in25 = $call;
   99779       label = 5;
   99780       break;
   99781     }
   99782    case 5:
   99783     $rank = $n_0_in25 + 236 | 0;
   99784     HEAP32[$rank >> 2] = 0;
   99785     $call4 = _agnxtnode($1, $n_0_in25) | 0;
   99786     $tobool = ($call4 | 0) == 0;
   99787     if ($tobool) {
   99788       label = 6;
   99789       break;
   99790     } else {
   99791       $n_0_in25 = $call4;
   99792       label = 5;
   99793       break;
   99794     }
   99795    case 6:
   99796     _rank1($2);
   99797     $call5 = +_computeCombiAR($g);
   99798     HEAPF64[$combiAR >> 3] = $call5;
   99799     $3 = HEAP8[164912] | 0;
   99800     $tobool6 = $3 << 24 >> 24 == 0;
   99801     if ($tobool6) {
   99802       label = 8;
   99803       break;
   99804     } else {
   99805       label = 7;
   99806       break;
   99807     }
   99808    case 7:
   99809     $4 = HEAP32[_stderr >> 2] | 0;
   99810     $call8 = _fprintf($4 | 0, 119304, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAPF64[tempInt >> 3] = $call5, tempInt) | 0) | 0;
   99811     label = 8;
   99812     break;
   99813    case 8:
   99814     if ($cmp1) {
   99815       label = 9;
   99816       break;
   99817     } else {
   99818       label = 11;
   99819       break;
   99820     }
   99821    case 9:
   99822     $5 = +HEAPF64[$combiAR >> 3];
   99823     $6 = +HEAPF64[$targetAR >> 3];
   99824     $cmp11 = $5 > $6;
   99825     if ($cmp11) {
   99826       label = 11;
   99827       break;
   99828     } else {
   99829       label = 10;
   99830       break;
   99831     }
   99832    case 10:
   99833     $curIterations = $asp + 20 | 0;
   99834     $7 = HEAP32[$curIterations >> 2] | 0;
   99835     $prevIterations = $asp + 16 | 0;
   99836     HEAP32[$prevIterations >> 2] = $7;
   99837     HEAP32[$curIterations >> 2] = $i_029;
   99838     label = 12;
   99839     break;
   99840    case 11:
   99841     _applyPacking2($g);
   99842     $inc = $i_029 + 1 | 0;
   99843     $cmp = ($inc | 0) < ($0 | 0);
   99844     $or_cond = $cmp | $cmp1;
   99845     if ($or_cond) {
   99846       $i_029 = $inc;
   99847       label = 4;
   99848       break;
   99849     } else {
   99850       label = 12;
   99851       break;
   99852     }
   99853    case 12:
   99854     $8 = $g;
   99855     _rank1($8);
   99856     _computeLayerWidths($g);
   99857     _zapLayers();
   99858     $call17 = +_computeCombiAR($g);
   99859     $combiAR18 = $asp + 8 | 0;
   99860     HEAPF64[$combiAR18 >> 3] = $call17;
   99861     STACKTOP = __stackBase__;
   99862     return;
   99863   }
   99864 }
   99865 function _computeNodeGroups($g) {
   99866   $g = $g | 0;
   99867   var $0 = 0, $call = 0, $mul = 0, $call1 = 0, $1 = 0, $2 = 0, $call2 = 0, $tobool46 = 0, $n_0_in47 = 0, $id = 0, $3 = 0, $call3 = 0, $tobool = 0, $call4 = 0, $tobool643 = 0, $n_145_in = 0, $n_145 = 0, $u8 = 0, $UF_size = 0, $4 = 0, $5 = 0, $cmp = 0, $call9 = 0, $6 = 0, $7 = 0, $8 = 0, $nodes = 0, $9 = 0, $10 = 0, $nodes11 = 0, $11 = 0, $12 = 0, $13 = 0, $nNodes = 0, $width = 0, $14 = 0, $15 = 0.0, $16 = 0, $17 = 0, $width16 = 0, $height = 0, $18 = 0, $19 = 0.0, $20 = 0, $21 = 0, $height19 = 0, $22 = 0, $id21 = 0, $23 = 0, $24 = 0, $inc = 0, $call22 = 0, $id24 = 0, $25 = 0, $cmp25 = 0, $26 = 0, $nNodes30 = 0, $27 = 0, $inc31 = 0, $28 = 0, $nodes33 = 0, $29 = 0, $arrayidx34 = 0, $width36 = 0, $30 = 0, $31 = 0.0, $32 = 0, $width38 = 0, $33 = 0.0, $add = 0.0, $34 = 0, $height40 = 0, $35 = 0.0, $height42 = 0, $36 = 0, $37 = 0.0, $cmp43 = 0, $_ = 0.0, $id51 = 0, $38 = 0, $UF_size54 = 0, $39 = 0, $mul55 = 0, $call56 = 0, $40 = 0, $41 = 0, $42 = 0, $nodes58 = 0, $cmp59 = 0, $43 = 0, $44 = 0, $nodes62 = 0, $45 = 0, $46 = 0, $47 = 0, $nNodes65 = 0, $width67 = 0, $48 = 0.0, $49 = 0, $50 = 0, $width69 = 0, $height71 = 0, $51 = 0.0, $52 = 0, $53 = 0, $height73 = 0, $nodes79 = 0, $54 = 0, $arrayidx80 = 0, $55 = 0, $56 = 0, $nNodes82 = 0, $width84 = 0, $57 = 0.0, $width86 = 0, $58 = 0, $59 = 0.0, $add87 = 0.0, $60 = 0, $61 = 0, $width89 = 0, $height91 = 0, $62 = 0.0, $height93 = 0, $63 = 0, $64 = 0.0, $cmp94 = 0, $_41 = 0.0, $65 = 0, $66 = 0, $height104 = 0, $67 = 0, $68 = 0, $id108 = 0, $69 = 0, $70 = 0, $inc109 = 0, $call113 = 0, $tobool6 = 0, label = 0;
   99868   label = 2;
   99869   while (1) switch (label | 0) {
   99870    case 2:
   99871     $0 = $g;
   99872     $call = _agnnodes($0) | 0;
   99873     $mul = $call * 24 & -1;
   99874     $call1 = _gmalloc($mul) | 0;
   99875     $1 = $call1;
   99876     HEAP32[2294] = $1;
   99877     HEAP32[2798] = 0;
   99878     $2 = $g;
   99879     $call2 = _agfstnode($2) | 0;
   99880     $tobool46 = ($call2 | 0) == 0;
   99881     if ($tobool46) {
   99882       label = 4;
   99883       break;
   99884     } else {
   99885       $n_0_in47 = $call2;
   99886       label = 3;
   99887       break;
   99888     }
   99889    case 3:
   99890     $id = $n_0_in47 + 136 | 0;
   99891     $3 = $id;
   99892     HEAP32[$3 >> 2] = -1;
   99893     $call3 = _agnxtnode($2, $n_0_in47) | 0;
   99894     $tobool = ($call3 | 0) == 0;
   99895     if ($tobool) {
   99896       label = 4;
   99897       break;
   99898     } else {
   99899       $n_0_in47 = $call3;
   99900       label = 3;
   99901       break;
   99902     }
   99903    case 4:
   99904     $call4 = _agfstnode($2) | 0;
   99905     $tobool643 = ($call4 | 0) == 0;
   99906     if ($tobool643) {
   99907       label = 14;
   99908       break;
   99909     } else {
   99910       $n_145_in = $call4;
   99911       label = 5;
   99912       break;
   99913     }
   99914    case 5:
   99915     $n_145 = $n_145_in;
   99916     $u8 = $n_145_in + 24 | 0;
   99917     $UF_size = $n_145_in + 220 | 0;
   99918     $4 = $UF_size;
   99919     $5 = HEAP32[$4 >> 2] | 0;
   99920     $cmp = ($5 | 0) == 0;
   99921     if ($cmp) {
   99922       label = 6;
   99923       break;
   99924     } else {
   99925       label = 7;
   99926       break;
   99927     }
   99928    case 6:
   99929     $call9 = _zmalloc(4) | 0;
   99930     $6 = $call9;
   99931     $7 = HEAP32[2798] | 0;
   99932     $8 = HEAP32[2294] | 0;
   99933     $nodes = $8 + ($7 * 24 & -1) | 0;
   99934     HEAP32[$nodes >> 2] = $6;
   99935     $9 = HEAP32[2798] | 0;
   99936     $10 = HEAP32[2294] | 0;
   99937     $nodes11 = $10 + ($9 * 24 & -1) | 0;
   99938     $11 = HEAP32[$nodes11 >> 2] | 0;
   99939     HEAP32[$11 >> 2] = $n_145;
   99940     $12 = HEAP32[2798] | 0;
   99941     $13 = HEAP32[2294] | 0;
   99942     $nNodes = $13 + ($12 * 24 & -1) + 4 | 0;
   99943     HEAP32[$nNodes >> 2] = 1;
   99944     $width = $u8 + 24 | 0;
   99945     $14 = $width;
   99946     $15 = +HEAPF64[$14 >> 3];
   99947     $16 = HEAP32[2798] | 0;
   99948     $17 = HEAP32[2294] | 0;
   99949     $width16 = $17 + ($16 * 24 & -1) + 8 | 0;
   99950     HEAPF64[$width16 >> 3] = $15;
   99951     $height = $u8 + 32 | 0;
   99952     $18 = $height;
   99953     $19 = +HEAPF64[$18 >> 3];
   99954     $20 = HEAP32[2798] | 0;
   99955     $21 = HEAP32[2294] | 0;
   99956     $height19 = $21 + ($20 * 24 & -1) + 16 | 0;
   99957     HEAPF64[$height19 >> 3] = $19;
   99958     $22 = HEAP32[2798] | 0;
   99959     $id21 = $u8 + 112 | 0;
   99960     $23 = $id21;
   99961     HEAP32[$23 >> 2] = $22;
   99962     $24 = HEAP32[2798] | 0;
   99963     $inc = $24 + 1 | 0;
   99964     HEAP32[2798] = $inc;
   99965     label = 13;
   99966     break;
   99967    case 7:
   99968     $call22 = _UF_find($n_145) | 0;
   99969     $id24 = $call22 + 136 | 0;
   99970     $25 = HEAP32[$id24 >> 2] | 0;
   99971     $cmp25 = ($25 | 0) > -1;
   99972     if ($cmp25) {
   99973       label = 8;
   99974       break;
   99975     } else {
   99976       label = 9;
   99977       break;
   99978     }
   99979    case 8:
   99980     $26 = HEAP32[2294] | 0;
   99981     $nNodes30 = $26 + ($25 * 24 & -1) + 4 | 0;
   99982     $27 = HEAP32[$nNodes30 >> 2] | 0;
   99983     $inc31 = $27 + 1 | 0;
   99984     HEAP32[$nNodes30 >> 2] = $inc31;
   99985     $28 = HEAP32[2294] | 0;
   99986     $nodes33 = $28 + ($25 * 24 & -1) | 0;
   99987     $29 = HEAP32[$nodes33 >> 2] | 0;
   99988     $arrayidx34 = $29 + ($27 << 2) | 0;
   99989     HEAP32[$arrayidx34 >> 2] = $n_145;
   99990     $width36 = $u8 + 24 | 0;
   99991     $30 = $width36;
   99992     $31 = +HEAPF64[$30 >> 3];
   99993     $32 = HEAP32[2294] | 0;
   99994     $width38 = $32 + ($25 * 24 & -1) + 8 | 0;
   99995     $33 = +HEAPF64[$width38 >> 3];
   99996     $add = $31 + $33;
   99997     HEAPF64[$width38 >> 3] = $add;
   99998     $34 = HEAP32[2294] | 0;
   99999     $height40 = $34 + ($25 * 24 & -1) + 16 | 0;
   100000     $35 = +HEAPF64[$height40 >> 3];
   100001     $height42 = $u8 + 32 | 0;
   100002     $36 = $height42;
   100003     $37 = +HEAPF64[$36 >> 3];
   100004     $cmp43 = $35 < $37;
   100005     $_ = $cmp43 ? $37 : $35;
   100006     HEAPF64[$height40 >> 3] = $_;
   100007     $id51 = $u8 + 112 | 0;
   100008     $38 = $id51;
   100009     HEAP32[$38 >> 2] = $25;
   100010     label = 13;
   100011     break;
   100012    case 9:
   100013     $UF_size54 = $call22 + 220 | 0;
   100014     $39 = HEAP32[$UF_size54 >> 2] | 0;
   100015     $mul55 = $39 << 2;
   100016     $call56 = _zmalloc($mul55) | 0;
   100017     $40 = $call56;
   100018     $41 = HEAP32[2798] | 0;
   100019     $42 = HEAP32[2294] | 0;
   100020     $nodes58 = $42 + ($41 * 24 & -1) | 0;
   100021     HEAP32[$nodes58 >> 2] = $40;
   100022     $cmp59 = ($call22 | 0) == ($n_145 | 0);
   100023     $43 = HEAP32[2798] | 0;
   100024     $44 = HEAP32[2294] | 0;
   100025     $nodes62 = $44 + ($43 * 24 & -1) | 0;
   100026     $45 = HEAP32[$nodes62 >> 2] | 0;
   100027     HEAP32[$45 >> 2] = $call22;
   100028     $46 = HEAP32[2798] | 0;
   100029     $47 = HEAP32[2294] | 0;
   100030     if ($cmp59) {
   100031       label = 10;
   100032       break;
   100033     } else {
   100034       label = 11;
   100035       break;
   100036     }
   100037    case 10:
   100038     $nNodes65 = $47 + ($46 * 24 & -1) + 4 | 0;
   100039     HEAP32[$nNodes65 >> 2] = 1;
   100040     $width67 = $call22 + 48 | 0;
   100041     $48 = +HEAPF64[$width67 >> 3];
   100042     $49 = HEAP32[2798] | 0;
   100043     $50 = HEAP32[2294] | 0;
   100044     $width69 = $50 + ($49 * 24 & -1) + 8 | 0;
   100045     HEAPF64[$width69 >> 3] = $48;
   100046     $height71 = $call22 + 56 | 0;
   100047     $51 = +HEAPF64[$height71 >> 3];
   100048     $52 = HEAP32[2798] | 0;
   100049     $53 = HEAP32[2294] | 0;
   100050     $height73 = $53 + ($52 * 24 & -1) + 16 | 0;
   100051     HEAPF64[$height73 >> 3] = $51;
   100052     label = 12;
   100053     break;
   100054    case 11:
   100055     $nodes79 = $47 + ($46 * 24 & -1) | 0;
   100056     $54 = HEAP32[$nodes79 >> 2] | 0;
   100057     $arrayidx80 = $54 + 4 | 0;
   100058     HEAP32[$arrayidx80 >> 2] = $n_145;
   100059     $55 = HEAP32[2798] | 0;
   100060     $56 = HEAP32[2294] | 0;
   100061     $nNodes82 = $56 + ($55 * 24 & -1) + 4 | 0;
   100062     HEAP32[$nNodes82 >> 2] = 2;
   100063     $width84 = $call22 + 48 | 0;
   100064     $57 = +HEAPF64[$width84 >> 3];
   100065     $width86 = $n_145_in + 48 | 0;
   100066     $58 = $width86;
   100067     $59 = +HEAPF64[$58 >> 3];
   100068     $add87 = $57 + $59;
   100069     $60 = HEAP32[2798] | 0;
   100070     $61 = HEAP32[2294] | 0;
   100071     $width89 = $61 + ($60 * 24 & -1) + 8 | 0;
   100072     HEAPF64[$width89 >> 3] = $add87;
   100073     $height91 = $call22 + 56 | 0;
   100074     $62 = +HEAPF64[$height91 >> 3];
   100075     $height93 = $n_145_in + 56 | 0;
   100076     $63 = $height93;
   100077     $64 = +HEAPF64[$63 >> 3];
   100078     $cmp94 = $62 < $64;
   100079     $_41 = $cmp94 ? $64 : $62;
   100080     $65 = HEAP32[2798] | 0;
   100081     $66 = HEAP32[2294] | 0;
   100082     $height104 = $66 + ($65 * 24 & -1) + 16 | 0;
   100083     HEAPF64[$height104 >> 3] = $_41;
   100084     label = 12;
   100085     break;
   100086    case 12:
   100087     $67 = HEAP32[2798] | 0;
   100088     HEAP32[$id24 >> 2] = $67;
   100089     $68 = HEAP32[2798] | 0;
   100090     $id108 = $n_145_in + 136 | 0;
   100091     $69 = $id108;
   100092     HEAP32[$69 >> 2] = $68;
   100093     $70 = HEAP32[2798] | 0;
   100094     $inc109 = $70 + 1 | 0;
   100095     HEAP32[2798] = $inc109;
   100096     label = 13;
   100097     break;
   100098    case 13:
   100099     $call113 = _agnxtnode($2, $n_145_in) | 0;
   100100     $tobool6 = ($call113 | 0) == 0;
   100101     if ($tobool6) {
   100102       label = 14;
   100103       break;
   100104     } else {
   100105       $n_145_in = $call113;
   100106       label = 5;
   100107       break;
   100108     }
   100109    case 14:
   100110     return;
   100111   }
   100112 }
   100113 function _computeCombiAR($g) {
   100114   $g = $g | 0;
   100115   var $0 = 0, $sub = 0, $ranksep = 0, $1 = 0, $mul = 0, $conv = 0.0, $cmp12 = 0, $2 = 0, $nodesep = 0, $3 = 0, $4 = 0, $i_015 = 0, $maxH_014 = 0.0, $maxW_013 = 0.0, $width = 0, $5 = 0.0, $nDummyNodes = 0, $6 = 0, $mul4 = 0, $conv5 = 0.0, $add = 0.0, $cmp6 = 0, $maxW_1 = 0.0, $height = 0, $7 = 0.0, $add18 = 0.0, $inc = 0, $cmp = 0, $maxH_0_lcssa = 0.0, $maxW_0_lcssa = 0.0, $div = 0.0, label = 0;
   100116   label = 2;
   100117   while (1) switch (label | 0) {
   100118    case 2:
   100119     _computeLayerWidths($g);
   100120     $0 = HEAP32[2800] | 0;
   100121     $sub = $0 - 1 | 0;
   100122     $ranksep = $g + 264 | 0;
   100123     $1 = HEAP32[$ranksep >> 2] | 0;
   100124     $mul = Math_imul($sub, $1);
   100125     $conv = +($mul | 0);
   100126     $cmp12 = ($0 | 0) > 0;
   100127     if ($cmp12) {
   100128       label = 3;
   100129       break;
   100130     } else {
   100131       $maxW_0_lcssa = 0.0;
   100132       $maxH_0_lcssa = $conv;
   100133       label = 5;
   100134       break;
   100135     }
   100136    case 3:
   100137     $2 = HEAP32[2958] | 0;
   100138     $nodesep = $g + 260 | 0;
   100139     $3 = HEAP32[$nodesep >> 2] | 0;
   100140     $4 = HEAP32[2800] | 0;
   100141     $maxW_013 = 0.0;
   100142     $maxH_014 = $conv;
   100143     $i_015 = 0;
   100144     label = 4;
   100145     break;
   100146    case 4:
   100147     $width = $2 + ($i_015 * 40 & -1) + 24 | 0;
   100148     $5 = +HEAPF64[$width >> 3];
   100149     $nDummyNodes = $2 + ($i_015 * 40 & -1) + 16 | 0;
   100150     $6 = HEAP32[$nDummyNodes >> 2] | 0;
   100151     $mul4 = Math_imul($3, $6);
   100152     $conv5 = +($mul4 | 0);
   100153     $add = $5 + $conv5;
   100154     $cmp6 = $maxW_013 < $add;
   100155     $maxW_1 = $cmp6 ? $add : $maxW_013;
   100156     $height = $2 + ($i_015 * 40 & -1) + 32 | 0;
   100157     $7 = +HEAPF64[$height >> 3];
   100158     $add18 = $maxH_014 + $7;
   100159     $inc = $i_015 + 1 | 0;
   100160     $cmp = ($inc | 0) < ($4 | 0);
   100161     if ($cmp) {
   100162       $maxW_013 = $maxW_1;
   100163       $maxH_014 = $add18;
   100164       $i_015 = $inc;
   100165       label = 4;
   100166       break;
   100167     } else {
   100168       $maxW_0_lcssa = $maxW_1;
   100169       $maxH_0_lcssa = $add18;
   100170       label = 5;
   100171       break;
   100172     }
   100173    case 5:
   100174     $div = $maxW_0_lcssa / $maxH_0_lcssa;
   100175     return +$div;
   100176   }
   100177   return 0.0;
   100178 }
   100179 function _applyPacking2($g) {
   100180   $g = $g | 0;
   100181   var $0 = 0, $call = 0, $mul = 0, $call1 = 0, $1 = 0, $call28 = 0, $cmp9 = 0, $i_010 = 0, $2 = 0, $arrayidx = 0, $inc = 0, $call2 = 0, $cmp = 0, label = 0;
   100182   label = 2;
   100183   while (1) switch (label | 0) {
   100184    case 2:
   100185     $0 = $g;
   100186     $call = _agnnodes($0) | 0;
   100187     $mul = $call << 2;
   100188     $call1 = _zmalloc($mul) | 0;
   100189     $1 = $call1;
   100190     HEAP32[1044] = $1;
   100191     $call28 = _agnnodes($0) | 0;
   100192     $cmp9 = ($call28 | 0) > 0;
   100193     if ($cmp9) {
   100194       $i_010 = 0;
   100195       label = 3;
   100196       break;
   100197     } else {
   100198       label = 4;
   100199       break;
   100200     }
   100201    case 3:
   100202     $2 = HEAP32[1044] | 0;
   100203     $arrayidx = $2 + ($i_010 << 2) | 0;
   100204     HEAP32[$arrayidx >> 2] = $i_010;
   100205     $inc = $i_010 + 1 | 0;
   100206     $call2 = _agnnodes($0) | 0;
   100207     $cmp = ($inc | 0) < ($call2 | 0);
   100208     if ($cmp) {
   100209       $i_010 = $inc;
   100210       label = 3;
   100211       break;
   100212     } else {
   100213       label = 4;
   100214       break;
   100215     }
   100216    case 4:
   100217     _computeLayerWidths($g);
   100218     _sortLayers($g);
   100219     _reduceMaxWidth2($g);
   100220     return;
   100221   }
   100222 }
   100223 function _computeLayerWidths($g) {
   100224   $g = $g | 0;
   100225   var $0 = 0, $tobool = 0, $1 = 0, $cmp57 = 0, $2 = 0, $3 = 0, $i_058 = 0, $nodeGroupsInLayer = 0, $4 = 0, $tobool1 = 0, $5 = 0, $nodeGroupsInLayer8 = 0, $6 = 0, $7 = 0, $8 = 0, $removed = 0, $9 = 0, $tobool10 = 0, $10 = 0, $inc16 = 0, $11 = 0, $cmp = 0, $12 = 0, $_lcssa56 = 0, $13 = 0, $14 = 0, $mul = 0, $call = 0, $15 = 0, $16 = 0, $cmp2054 = 0, $17 = 0, $i_155 = 0, $mul22 = 0, $call23 = 0, $18 = 0, $19 = 0, $nodeGroupsInLayer25 = 0, $20 = 0, $mul26 = 0, $call27 = 0, $21 = 0, $22 = 0, $removed29 = 0, $23 = 0, $layerNumber = 0, $24 = 0, $nNodeGroupsInLayer32 = 0, $25 = 0, $nDummyNodes = 0, $26 = 0, $width = 0, $27 = 0, $height = 0, $inc37 = 0, $28 = 0, $cmp20 = 0, $29 = 0, $call39 = 0, $tobool4152 = 0, $30 = 0, $cmp6544 = 0, $nodesep = 0, $n_0_in53 = 0, $call43 = 0, $tobool4550 = 0, $e_0_in51 = 0, $tail = 0, $31 = 0, $rank = 0, $32 = 0, $33 = 0, $k_046 = 0, $head = 0, $34 = 0, $rank4947 = 0, $35 = 0, $36 = 0, $cmp5048 = 0, $k_049 = 0, $37 = 0, $nDummyNodes53 = 0, $38 = 0, $inc54 = 0, $k_0 = 0, $39 = 0, $rank49 = 0, $40 = 0, $41 = 0, $cmp50 = 0, $call59 = 0, $tobool45 = 0, $call62 = 0, $tobool41 = 0, $i_245 = 0, $42 = 0, $nodes = 0, $43 = 0, $44 = 0, $rank70 = 0, $45 = 0, $add71 = 0, $46 = 0, $cmp72 = 0, $47 = 0, $width79 = 0, $48 = 0.0, $mul80 = 0.0, $49 = 0, $50 = 0, $width84 = 0, $51 = 0.0, $cmp85 = 0, $conv = 0, $52 = 0, $53 = 0, $mul87 = 0, $conv88 = 0.0, $add89 = 0.0, $add94 = 0.0, $54 = 0, $55 = 0, $height98 = 0, $56 = 0.0, $57 = 0, $height100 = 0, $58 = 0.0, $mul101 = 0.0, $cmp102 = 0, $59 = 0, $arrayidx113 = 0, $60 = 0, $61 = 0, $nNodeGroupsInLayer117 = 0, $62 = 0, $nodeGroupsInLayer121 = 0, $63 = 0, $arrayidx122 = 0, $64 = 0, $65 = 0, $nNodeGroupsInLayer126 = 0, $66 = 0, $inc127 = 0, $inc129 = 0, $67 = 0, $cmp65 = 0, label = 0;
   100226   label = 2;
   100227   while (1) switch (label | 0) {
   100228    case 2:
   100229     HEAP32[2800] = 0;
   100230     $0 = HEAP32[2958] | 0;
   100231     $tobool = ($0 | 0) == 0;
   100232     if ($tobool) {
   100233       label = 10;
   100234       break;
   100235     } else {
   100236       label = 3;
   100237       break;
   100238     }
   100239    case 3:
   100240     $1 = HEAP32[2798] | 0;
   100241     $cmp57 = ($1 | 0) > 0;
   100242     $2 = HEAP32[2958] | 0;
   100243     if ($cmp57) {
   100244       $i_058 = 0;
   100245       $3 = $2;
   100246       label = 4;
   100247       break;
   100248     } else {
   100249       $_lcssa56 = $2;
   100250       label = 9;
   100251       break;
   100252     }
   100253    case 4:
   100254     $nodeGroupsInLayer = $3 + ($i_058 * 40 & -1) + 4 | 0;
   100255     $4 = HEAP32[$nodeGroupsInLayer >> 2] | 0;
   100256     $tobool1 = ($4 | 0) == 0;
   100257     if ($tobool1) {
   100258       label = 6;
   100259       break;
   100260     } else {
   100261       label = 5;
   100262       break;
   100263     }
   100264    case 5:
   100265     $5 = HEAP32[2958] | 0;
   100266     $nodeGroupsInLayer8 = $5 + ($i_058 * 40 & -1) + 4 | 0;
   100267     $6 = HEAP32[$nodeGroupsInLayer8 >> 2] | 0;
   100268     $7 = $6;
   100269     _free($7);
   100270     label = 6;
   100271     break;
   100272    case 6:
   100273     $8 = HEAP32[2958] | 0;
   100274     $removed = $8 + ($i_058 * 40 & -1) + 8 | 0;
   100275     $9 = HEAP32[$removed >> 2] | 0;
   100276     $tobool10 = ($9 | 0) == 0;
   100277     if ($tobool10) {
   100278       label = 8;
   100279       break;
   100280     } else {
   100281       label = 7;
   100282       break;
   100283     }
   100284    case 7:
   100285     $10 = $9;
   100286     _free($10);
   100287     label = 8;
   100288     break;
   100289    case 8:
   100290     $inc16 = $i_058 + 1 | 0;
   100291     $11 = HEAP32[2798] | 0;
   100292     $cmp = ($inc16 | 0) < ($11 | 0);
   100293     $12 = HEAP32[2958] | 0;
   100294     if ($cmp) {
   100295       $i_058 = $inc16;
   100296       $3 = $12;
   100297       label = 4;
   100298       break;
   100299     } else {
   100300       $_lcssa56 = $12;
   100301       label = 9;
   100302       break;
   100303     }
   100304    case 9:
   100305     $13 = $_lcssa56;
   100306     _free($13);
   100307     label = 10;
   100308     break;
   100309    case 10:
   100310     $14 = HEAP32[2798] | 0;
   100311     $mul = $14 * 40 & -1;
   100312     $call = _zmalloc($mul) | 0;
   100313     $15 = $call;
   100314     HEAP32[2958] = $15;
   100315     $16 = HEAP32[2798] | 0;
   100316     $cmp2054 = ($16 | 0) > 0;
   100317     if ($cmp2054) {
   100318       $i_155 = 0;
   100319       $17 = $16;
   100320       label = 11;
   100321       break;
   100322     } else {
   100323       label = 12;
   100324       break;
   100325     }
   100326    case 11:
   100327     $mul22 = $17 << 2;
   100328     $call23 = _zmalloc($mul22) | 0;
   100329     $18 = $call23;
   100330     $19 = HEAP32[2958] | 0;
   100331     $nodeGroupsInLayer25 = $19 + ($i_155 * 40 & -1) + 4 | 0;
   100332     HEAP32[$nodeGroupsInLayer25 >> 2] = $18;
   100333     $20 = HEAP32[2798] | 0;
   100334     $mul26 = $20 << 2;
   100335     $call27 = _zmalloc($mul26) | 0;
   100336     $21 = $call27;
   100337     $22 = HEAP32[2958] | 0;
   100338     $removed29 = $22 + ($i_155 * 40 & -1) + 8 | 0;
   100339     HEAP32[$removed29 >> 2] = $21;
   100340     $23 = HEAP32[2958] | 0;
   100341     $layerNumber = $23 + ($i_155 * 40 & -1) | 0;
   100342     HEAP32[$layerNumber >> 2] = $i_155;
   100343     $24 = HEAP32[2958] | 0;
   100344     $nNodeGroupsInLayer32 = $24 + ($i_155 * 40 & -1) + 12 | 0;
   100345     HEAP32[$nNodeGroupsInLayer32 >> 2] = 0;
   100346     $25 = HEAP32[2958] | 0;
   100347     $nDummyNodes = $25 + ($i_155 * 40 & -1) + 16 | 0;
   100348     HEAP32[$nDummyNodes >> 2] = 0;
   100349     $26 = HEAP32[2958] | 0;
   100350     $width = $26 + ($i_155 * 40 & -1) + 24 | 0;
   100351     HEAPF64[$width >> 3] = 0.0;
   100352     $27 = HEAP32[2958] | 0;
   100353     $height = $27 + ($i_155 * 40 & -1) + 32 | 0;
   100354     HEAPF64[$height >> 3] = 0.0;
   100355     $inc37 = $i_155 + 1 | 0;
   100356     $28 = HEAP32[2798] | 0;
   100357     $cmp20 = ($inc37 | 0) < ($28 | 0);
   100358     if ($cmp20) {
   100359       $i_155 = $inc37;
   100360       $17 = $28;
   100361       label = 11;
   100362       break;
   100363     } else {
   100364       label = 12;
   100365       break;
   100366     }
   100367    case 12:
   100368     $29 = $g;
   100369     $call39 = _agfstnode($29) | 0;
   100370     $tobool4152 = ($call39 | 0) == 0;
   100371     if ($tobool4152) {
   100372       label = 13;
   100373       break;
   100374     } else {
   100375       $n_0_in53 = $call39;
   100376       label = 15;
   100377       break;
   100378     }
   100379    case 13:
   100380     $30 = HEAP32[2798] | 0;
   100381     $cmp6544 = ($30 | 0) > 0;
   100382     if ($cmp6544) {
   100383       label = 14;
   100384       break;
   100385     } else {
   100386       label = 25;
   100387       break;
   100388     }
   100389    case 14:
   100390     $nodesep = $g + 260 | 0;
   100391     $i_245 = 0;
   100392     label = 20;
   100393     break;
   100394    case 15:
   100395     $call43 = _agfstout($29, $n_0_in53) | 0;
   100396     $tobool4550 = ($call43 | 0) == 0;
   100397     if ($tobool4550) {
   100398       label = 19;
   100399       break;
   100400     } else {
   100401       $e_0_in51 = $call43;
   100402       label = 16;
   100403       break;
   100404     }
   100405    case 16:
   100406     $tail = $e_0_in51 + 16 | 0;
   100407     $31 = HEAP32[$tail >> 2] | 0;
   100408     $rank = $31 + 236 | 0;
   100409     $32 = $rank;
   100410     $33 = HEAP32[$32 >> 2] | 0;
   100411     $k_046 = $33 + 1 | 0;
   100412     $head = $e_0_in51 + 12 | 0;
   100413     $34 = HEAP32[$head >> 2] | 0;
   100414     $rank4947 = $34 + 236 | 0;
   100415     $35 = $rank4947;
   100416     $36 = HEAP32[$35 >> 2] | 0;
   100417     $cmp5048 = ($k_046 | 0) < ($36 | 0);
   100418     if ($cmp5048) {
   100419       $k_049 = $k_046;
   100420       label = 17;
   100421       break;
   100422     } else {
   100423       label = 18;
   100424       break;
   100425     }
   100426    case 17:
   100427     $37 = HEAP32[2958] | 0;
   100428     $nDummyNodes53 = $37 + ($k_049 * 40 & -1) + 16 | 0;
   100429     $38 = HEAP32[$nDummyNodes53 >> 2] | 0;
   100430     $inc54 = $38 + 1 | 0;
   100431     HEAP32[$nDummyNodes53 >> 2] = $inc54;
   100432     $k_0 = $k_049 + 1 | 0;
   100433     $39 = HEAP32[$head >> 2] | 0;
   100434     $rank49 = $39 + 236 | 0;
   100435     $40 = $rank49;
   100436     $41 = HEAP32[$40 >> 2] | 0;
   100437     $cmp50 = ($k_0 | 0) < ($41 | 0);
   100438     if ($cmp50) {
   100439       $k_049 = $k_0;
   100440       label = 17;
   100441       break;
   100442     } else {
   100443       label = 18;
   100444       break;
   100445     }
   100446    case 18:
   100447     $call59 = _agnxtout($29, $e_0_in51) | 0;
   100448     $tobool45 = ($call59 | 0) == 0;
   100449     if ($tobool45) {
   100450       label = 19;
   100451       break;
   100452     } else {
   100453       $e_0_in51 = $call59;
   100454       label = 16;
   100455       break;
   100456     }
   100457    case 19:
   100458     $call62 = _agnxtnode($29, $n_0_in53) | 0;
   100459     $tobool41 = ($call62 | 0) == 0;
   100460     if ($tobool41) {
   100461       label = 13;
   100462       break;
   100463     } else {
   100464       $n_0_in53 = $call62;
   100465       label = 15;
   100466       break;
   100467     }
   100468    case 20:
   100469     $42 = HEAP32[2294] | 0;
   100470     $nodes = $42 + ($i_245 * 24 & -1) | 0;
   100471     $43 = HEAP32[$nodes >> 2] | 0;
   100472     $44 = HEAP32[$43 >> 2] | 0;
   100473     $rank70 = $44 + 236 | 0;
   100474     $45 = HEAP32[$rank70 >> 2] | 0;
   100475     $add71 = $45 + 1 | 0;
   100476     $46 = HEAP32[2800] | 0;
   100477     $cmp72 = ($add71 | 0) > ($46 | 0);
   100478     if ($cmp72) {
   100479       label = 21;
   100480       break;
   100481     } else {
   100482       label = 22;
   100483       break;
   100484     }
   100485    case 21:
   100486     HEAP32[2800] = $add71;
   100487     label = 22;
   100488     break;
   100489    case 22:
   100490     $47 = HEAP32[2294] | 0;
   100491     $width79 = $47 + ($i_245 * 24 & -1) + 8 | 0;
   100492     $48 = +HEAPF64[$width79 >> 3];
   100493     $mul80 = $48 * 72.0;
   100494     $49 = HEAP32[$rank70 >> 2] | 0;
   100495     $50 = HEAP32[2958] | 0;
   100496     $width84 = $50 + ($49 * 40 & -1) + 24 | 0;
   100497     $51 = +HEAPF64[$width84 >> 3];
   100498     $cmp85 = $51 > 0.0;
   100499     $conv = $cmp85 & 1;
   100500     $52 = HEAP32[$nodesep >> 2] | 0;
   100501     $53 = -$conv | 0;
   100502     $mul87 = $52 & $53;
   100503     $conv88 = +($mul87 | 0);
   100504     $add89 = $mul80 + $conv88;
   100505     $add94 = $51 + $add89;
   100506     HEAPF64[$width84 >> 3] = $add94;
   100507     $54 = HEAP32[$rank70 >> 2] | 0;
   100508     $55 = HEAP32[2958] | 0;
   100509     $height98 = $55 + ($54 * 40 & -1) + 32 | 0;
   100510     $56 = +HEAPF64[$height98 >> 3];
   100511     $57 = HEAP32[2294] | 0;
   100512     $height100 = $57 + ($i_245 * 24 & -1) + 16 | 0;
   100513     $58 = +HEAPF64[$height100 >> 3];
   100514     $mul101 = $58 * 72.0;
   100515     $cmp102 = $56 < $mul101;
   100516     if ($cmp102) {
   100517       label = 23;
   100518       break;
   100519     } else {
   100520       label = 24;
   100521       break;
   100522     }
   100523    case 23:
   100524     HEAPF64[$height98 >> 3] = $mul101;
   100525     label = 24;
   100526     break;
   100527    case 24:
   100528     $59 = HEAP32[2294] | 0;
   100529     $arrayidx113 = $59 + ($i_245 * 24 & -1) | 0;
   100530     $60 = HEAP32[$rank70 >> 2] | 0;
   100531     $61 = HEAP32[2958] | 0;
   100532     $nNodeGroupsInLayer117 = $61 + ($60 * 40 & -1) + 12 | 0;
   100533     $62 = HEAP32[$nNodeGroupsInLayer117 >> 2] | 0;
   100534     $nodeGroupsInLayer121 = $61 + ($60 * 40 & -1) + 4 | 0;
   100535     $63 = HEAP32[$nodeGroupsInLayer121 >> 2] | 0;
   100536     $arrayidx122 = $63 + ($62 << 2) | 0;
   100537     HEAP32[$arrayidx122 >> 2] = $arrayidx113;
   100538     $64 = HEAP32[$rank70 >> 2] | 0;
   100539     $65 = HEAP32[2958] | 0;
   100540     $nNodeGroupsInLayer126 = $65 + ($64 * 40 & -1) + 12 | 0;
   100541     $66 = HEAP32[$nNodeGroupsInLayer126 >> 2] | 0;
   100542     $inc127 = $66 + 1 | 0;
   100543     HEAP32[$nNodeGroupsInLayer126 >> 2] = $inc127;
   100544     $inc129 = $i_245 + 1 | 0;
   100545     $67 = HEAP32[2798] | 0;
   100546     $cmp65 = ($inc129 | 0) < ($67 | 0);
   100547     if ($cmp65) {
   100548       $i_245 = $inc129;
   100549       label = 20;
   100550       break;
   100551     } else {
   100552       label = 25;
   100553       break;
   100554     }
   100555    case 25:
   100556     return;
   100557   }
   100558 }
   100559 function _init_UF_size($g) {
   100560   $g = $g | 0;
   100561   var $0 = 0, $call = 0, $tobool4 = 0, $n_0_in5 = 0, $UF_size = 0, $1 = 0, $call1 = 0, $tobool = 0, label = 0;
   100562   label = 2;
   100563   while (1) switch (label | 0) {
   100564    case 2:
   100565     $0 = $g;
   100566     $call = _agfstnode($0) | 0;
   100567     $tobool4 = ($call | 0) == 0;
   100568     if ($tobool4) {
   100569       label = 4;
   100570       break;
   100571     } else {
   100572       $n_0_in5 = $call;
   100573       label = 3;
   100574       break;
   100575     }
   100576    case 3:
   100577     $UF_size = $n_0_in5 + 220 | 0;
   100578     $1 = $UF_size;
   100579     HEAP32[$1 >> 2] = 0;
   100580     $call1 = _agnxtnode($0, $n_0_in5) | 0;
   100581     $tobool = ($call1 | 0) == 0;
   100582     if ($tobool) {
   100583       label = 4;
   100584       break;
   100585     } else {
   100586       $n_0_in5 = $call1;
   100587       label = 3;
   100588       break;
   100589     }
   100590    case 4:
   100591     return;
   100592   }
   100593 }
   100594 function _sortLayers($g) {
   100595   $g = $g | 0;
   100596   var $1 = 0;
   100597   $1 = HEAP32[1044] | 0;
   100598   _qsort($1 | 0, _agnnodes($g) | 0, 4, 592);
   100599   return;
   100600 }
   100601 function _reduceMaxWidth2($g) {
   100602   $g = $g | 0;
   100603   var $0 = 0, $1 = 0, $2 = 0, $i_0 = 0, $cmp = 0, $arrayidx = 0, $3 = 0, $nNodeGroupsInLayer = 0, $4 = 0, $cmp2 = 0, $inc = 0, $cmp4 = 0, $arrayidx6 = 0, $5 = 0, $width = 0, $6 = 0.0, $nextMaxWidth_0 = 0.0, $maxLayerIndex_0 = 0, $7 = 0, $cmp8 = 0, $8 = 0, $nodeGroupsInLayer = 0, $9 = 0, $10 = 0, $nNodeGroupsInLayer12 = 0, $11 = 0, $12 = 0, $width14 = 0, $13 = 0.0, $div = 0.0, $cmp15 = 0, $mul = 0.0, $div18 = 0.0, $cmp19 = 0, $14 = 0, $width22 = 0, $15 = 0.0, $div23 = 0.0, $nextMaxWidth_1 = 0.0, $16 = 0, $nNodeGroupsInLayer26 = 0, $17 = 0, $cmp2950 = 0, $nodesep = 0, $i_157 = 0, $rem_055 = 0, $fstNdGrp_053 = 0, $fst_052 = 0, $w_051 = 0.0, $18 = 0, $removed = 0, $19 = 0, $arrayidx32 = 0, $20 = 0, $tobool = 0, $inc34 = 0, $nodeGroupsInLayer37 = 0, $21 = 0, $arrayidx38 = 0, $22 = 0, $width39 = 0, $23 = 0.0, $mul40 = 0.0, $add41 = 0.0, $cmp42 = 0, $conv = 0, $24 = 0, $25 = 0, $mul43 = 0, $conv44 = 0.0, $add45 = 0.0, $cmp46 = 0, $tobool49 = 0, $or_cond = 0, $nNodes = 0, $26 = 0, $cmp7546 = 0, $nNodes79 = 0, $nodes = 0, $nodes84 = 0, $add62 = 0.0, $add63 = 0.0, $_fst_0 = 0, $_fstNdGrp_0 = 0, $p_048 = 0, $27 = 0, $cmp8043 = 0, $q_045 = 0, $28 = 0, $arrayidx83 = 0, $29 = 0, $30 = 0, $arrayidx85 = 0, $31 = 0, $call = 0, $edge_type = 0, $inc89 = 0, $32 = 0, $cmp80 = 0, $inc92 = 0, $33 = 0, $cmp75 = 0, $34 = 0, $removed95 = 0, $35 = 0, $arrayidx96 = 0, $36 = 0, $nNodeGroupsInLayer99 = 0, $37 = 0, $dec = 0, $38 = 0, $nDummyNodes = 0, $39 = 0, $inc101 = 0, $40 = 0.0, $mul103 = 0.0, $41 = 0, $conv106 = 0.0, $add107 = 0.0, $42 = 0, $width109 = 0, $43 = 0.0, $sub = 0.0, $w_1 = 0.0, $fst_1 = 0, $fstNdGrp_1 = 0, $rem_1 = 0, $inc112 = 0, $add28 = 0, $cmp29 = 0, label = 0;
   100604   label = 2;
   100605   while (1) switch (label | 0) {
   100606    case 2:
   100607     $0 = HEAP32[2800] | 0;
   100608     $1 = HEAP32[1044] | 0;
   100609     $2 = HEAP32[2958] | 0;
   100610     $i_0 = 0;
   100611     label = 3;
   100612     break;
   100613    case 3:
   100614     $cmp = ($i_0 | 0) < ($0 | 0);
   100615     if ($cmp) {
   100616       label = 4;
   100617       break;
   100618     } else {
   100619       $maxLayerIndex_0 = 0;
   100620       $nextMaxWidth_0 = 0.0;
   100621       label = 7;
   100622       break;
   100623     }
   100624    case 4:
   100625     $arrayidx = $1 + ($i_0 << 2) | 0;
   100626     $3 = HEAP32[$arrayidx >> 2] | 0;
   100627     $nNodeGroupsInLayer = $2 + ($3 * 40 & -1) + 12 | 0;
   100628     $4 = HEAP32[$nNodeGroupsInLayer >> 2] | 0;
   100629     $cmp2 = ($4 | 0) < 2;
   100630     $inc = $i_0 + 1 | 0;
   100631     if ($cmp2) {
   100632       $i_0 = $inc;
   100633       label = 3;
   100634       break;
   100635     } else {
   100636       label = 5;
   100637       break;
   100638     }
   100639    case 5:
   100640     $cmp4 = ($0 | 0) > ($inc | 0);
   100641     if ($cmp4) {
   100642       label = 6;
   100643       break;
   100644     } else {
   100645       $maxLayerIndex_0 = $3;
   100646       $nextMaxWidth_0 = 0.0;
   100647       label = 7;
   100648       break;
   100649     }
   100650    case 6:
   100651     $arrayidx6 = $1 + ($inc << 2) | 0;
   100652     $5 = HEAP32[$arrayidx6 >> 2] | 0;
   100653     $width = $2 + ($5 * 40 & -1) + 24 | 0;
   100654     $6 = +HEAPF64[$width >> 3];
   100655     $maxLayerIndex_0 = $3;
   100656     $nextMaxWidth_0 = $6;
   100657     label = 7;
   100658     break;
   100659    case 7:
   100660     $7 = HEAP32[2800] | 0;
   100661     $cmp8 = ($i_0 | 0) == ($7 | 0);
   100662     if ($cmp8) {
   100663       label = 24;
   100664       break;
   100665     } else {
   100666       label = 8;
   100667       break;
   100668     }
   100669    case 8:
   100670     $8 = HEAP32[2958] | 0;
   100671     $nodeGroupsInLayer = $8 + ($maxLayerIndex_0 * 40 & -1) + 4 | 0;
   100672     $9 = HEAP32[$nodeGroupsInLayer >> 2] | 0;
   100673     $10 = $9;
   100674     $nNodeGroupsInLayer12 = $8 + ($maxLayerIndex_0 * 40 & -1) + 12 | 0;
   100675     $11 = HEAP32[$nNodeGroupsInLayer12 >> 2] | 0;
   100676     _qsort($10 | 0, $11 | 0, 4, 14);
   100677     $12 = HEAP32[2958] | 0;
   100678     $width14 = $12 + ($maxLayerIndex_0 * 40 & -1) + 24 | 0;
   100679     $13 = +HEAPF64[$width14 >> 3];
   100680     $div = $13 * .25;
   100681     $cmp15 = $nextMaxWidth_0 > $div;
   100682     if ($cmp15) {
   100683       label = 9;
   100684       break;
   100685     } else {
   100686       label = 10;
   100687       break;
   100688     }
   100689    case 9:
   100690     $mul = $13 * 3.0;
   100691     $div18 = $mul * .25;
   100692     $cmp19 = $nextMaxWidth_0 < $div18;
   100693     if ($cmp19) {
   100694       $nextMaxWidth_1 = $nextMaxWidth_0;
   100695       label = 11;
   100696       break;
   100697     } else {
   100698       label = 10;
   100699       break;
   100700     }
   100701    case 10:
   100702     $14 = HEAP32[2958] | 0;
   100703     $width22 = $14 + ($maxLayerIndex_0 * 40 & -1) + 24 | 0;
   100704     $15 = +HEAPF64[$width22 >> 3];
   100705     $div23 = $15 * .5;
   100706     $nextMaxWidth_1 = $div23;
   100707     label = 11;
   100708     break;
   100709    case 11:
   100710     $16 = HEAP32[2958] | 0;
   100711     $nNodeGroupsInLayer26 = $16 + ($maxLayerIndex_0 * 40 & -1) + 12 | 0;
   100712     $17 = HEAP32[$nNodeGroupsInLayer26 >> 2] | 0;
   100713     $cmp2950 = ($17 | 0) > 0;
   100714     if ($cmp2950) {
   100715       label = 12;
   100716       break;
   100717     } else {
   100718       label = 24;
   100719       break;
   100720     }
   100721    case 12:
   100722     $nodesep = $g + 260 | 0;
   100723     $w_051 = 0.0;
   100724     $fst_052 = 0;
   100725     $fstNdGrp_053 = 0;
   100726     $rem_055 = 0;
   100727     $i_157 = 0;
   100728     label = 13;
   100729     break;
   100730    case 13:
   100731     $18 = HEAP32[2958] | 0;
   100732     $removed = $18 + ($maxLayerIndex_0 * 40 & -1) + 8 | 0;
   100733     $19 = HEAP32[$removed >> 2] | 0;
   100734     $arrayidx32 = $19 + ($i_157 << 2) | 0;
   100735     $20 = HEAP32[$arrayidx32 >> 2] | 0;
   100736     $tobool = ($20 | 0) == 0;
   100737     if ($tobool) {
   100738       label = 15;
   100739       break;
   100740     } else {
   100741       label = 14;
   100742       break;
   100743     }
   100744    case 14:
   100745     $inc34 = $rem_055 + 1 | 0;
   100746     $rem_1 = $inc34;
   100747     $fstNdGrp_1 = $fstNdGrp_053;
   100748     $fst_1 = $fst_052;
   100749     $w_1 = $w_051;
   100750     label = 23;
   100751     break;
   100752    case 15:
   100753     $nodeGroupsInLayer37 = $18 + ($maxLayerIndex_0 * 40 & -1) + 4 | 0;
   100754     $21 = HEAP32[$nodeGroupsInLayer37 >> 2] | 0;
   100755     $arrayidx38 = $21 + ($i_157 << 2) | 0;
   100756     $22 = HEAP32[$arrayidx38 >> 2] | 0;
   100757     $width39 = $22 + 8 | 0;
   100758     $23 = +HEAPF64[$width39 >> 3];
   100759     $mul40 = $23 * 72.0;
   100760     $add41 = $w_051 + $mul40;
   100761     $cmp42 = $w_051 > 0.0;
   100762     $conv = $cmp42 & 1;
   100763     $24 = HEAP32[$nodesep >> 2] | 0;
   100764     $25 = -$conv | 0;
   100765     $mul43 = $24 & $25;
   100766     $conv44 = +($mul43 | 0);
   100767     $add45 = $add41 + $conv44;
   100768     $cmp46 = $add45 <= $nextMaxWidth_1;
   100769     $tobool49 = ($fst_052 | 0) == 0;
   100770     $or_cond = $cmp46 | $tobool49;
   100771     if ($or_cond) {
   100772       label = 18;
   100773       break;
   100774     } else {
   100775       label = 16;
   100776       break;
   100777     }
   100778    case 16:
   100779     $nNodes = $fstNdGrp_053 + 4 | 0;
   100780     $26 = HEAP32[$nNodes >> 2] | 0;
   100781     $cmp7546 = ($26 | 0) > 0;
   100782     if ($cmp7546) {
   100783       label = 17;
   100784       break;
   100785     } else {
   100786       label = 22;
   100787       break;
   100788     }
   100789    case 17:
   100790     $nNodes79 = $22 + 4 | 0;
   100791     $nodes = $fstNdGrp_053 | 0;
   100792     $nodes84 = $22 | 0;
   100793     $p_048 = 0;
   100794     label = 19;
   100795     break;
   100796    case 18:
   100797     $add62 = $mul40 + $conv44;
   100798     $add63 = $w_051 + $add62;
   100799     $_fst_0 = $tobool49 ? 1 : $fst_052;
   100800     $_fstNdGrp_0 = $tobool49 ? $22 : $fstNdGrp_053;
   100801     $rem_1 = $rem_055;
   100802     $fstNdGrp_1 = $_fstNdGrp_0;
   100803     $fst_1 = $_fst_0;
   100804     $w_1 = $add63;
   100805     label = 23;
   100806     break;
   100807    case 19:
   100808     $27 = HEAP32[$nNodes79 >> 2] | 0;
   100809     $cmp8043 = ($27 | 0) > 0;
   100810     if ($cmp8043) {
   100811       $q_045 = 0;
   100812       label = 20;
   100813       break;
   100814     } else {
   100815       label = 21;
   100816       break;
   100817     }
   100818    case 20:
   100819     $28 = HEAP32[$nodes >> 2] | 0;
   100820     $arrayidx83 = $28 + ($p_048 << 2) | 0;
   100821     $29 = HEAP32[$arrayidx83 >> 2] | 0;
   100822     $30 = HEAP32[$nodes84 >> 2] | 0;
   100823     $arrayidx85 = $30 + ($q_045 << 2) | 0;
   100824     $31 = HEAP32[$arrayidx85 >> 2] | 0;
   100825     $call = _virtual_edge($29, $31, 0) | 0;
   100826     $edge_type = $call + 128 | 0;
   100827     HEAP8[$edge_type] = 1;
   100828     $inc89 = $q_045 + 1 | 0;
   100829     $32 = HEAP32[$nNodes79 >> 2] | 0;
   100830     $cmp80 = ($inc89 | 0) < ($32 | 0);
   100831     if ($cmp80) {
   100832       $q_045 = $inc89;
   100833       label = 20;
   100834       break;
   100835     } else {
   100836       label = 21;
   100837       break;
   100838     }
   100839    case 21:
   100840     $inc92 = $p_048 + 1 | 0;
   100841     $33 = HEAP32[$nNodes >> 2] | 0;
   100842     $cmp75 = ($inc92 | 0) < ($33 | 0);
   100843     if ($cmp75) {
   100844       $p_048 = $inc92;
   100845       label = 19;
   100846       break;
   100847     } else {
   100848       label = 22;
   100849       break;
   100850     }
   100851    case 22:
   100852     $34 = HEAP32[2958] | 0;
   100853     $removed95 = $34 + ($maxLayerIndex_0 * 40 & -1) + 8 | 0;
   100854     $35 = HEAP32[$removed95 >> 2] | 0;
   100855     $arrayidx96 = $35 + ($i_157 << 2) | 0;
   100856     HEAP32[$arrayidx96 >> 2] = 1;
   100857     $36 = HEAP32[2958] | 0;
   100858     $nNodeGroupsInLayer99 = $36 + ($maxLayerIndex_0 * 40 & -1) + 12 | 0;
   100859     $37 = HEAP32[$nNodeGroupsInLayer99 >> 2] | 0;
   100860     $dec = $37 - 1 | 0;
   100861     HEAP32[$nNodeGroupsInLayer99 >> 2] = $dec;
   100862     $38 = HEAP32[2958] | 0;
   100863     $nDummyNodes = $38 + ($maxLayerIndex_0 * 40 & -1) + 16 | 0;
   100864     $39 = HEAP32[$nDummyNodes >> 2] | 0;
   100865     $inc101 = $39 + 1 | 0;
   100866     HEAP32[$nDummyNodes >> 2] = $inc101;
   100867     $40 = +HEAPF64[$width39 >> 3];
   100868     $mul103 = $40 * 72.0;
   100869     $41 = HEAP32[$nodesep >> 2] | 0;
   100870     $conv106 = +($41 | 0);
   100871     $add107 = $mul103 + $conv106;
   100872     $42 = HEAP32[2958] | 0;
   100873     $width109 = $42 + ($maxLayerIndex_0 * 40 & -1) + 24 | 0;
   100874     $43 = +HEAPF64[$width109 >> 3];
   100875     $sub = $43 - $add107;
   100876     HEAPF64[$width109 >> 3] = $sub;
   100877     $rem_1 = $rem_055;
   100878     $fstNdGrp_1 = $fstNdGrp_053;
   100879     $fst_1 = $fst_052;
   100880     $w_1 = $w_051;
   100881     label = 23;
   100882     break;
   100883    case 23:
   100884     $inc112 = $i_157 + 1 | 0;
   100885     $add28 = $rem_1 + $17 | 0;
   100886     $cmp29 = ($inc112 | 0) < ($add28 | 0);
   100887     if ($cmp29) {
   100888       $w_051 = $w_1;
   100889       $fst_052 = $fst_1;
   100890       $fstNdGrp_053 = $fstNdGrp_1;
   100891       $rem_055 = $rem_1;
   100892       $i_157 = $inc112;
   100893       label = 13;
   100894       break;
   100895     } else {
   100896       label = 24;
   100897       break;
   100898     }
   100899    case 24:
   100900     return;
   100901   }
   100902 }
   100903 function _compFunction2($a, $b) {
   100904   $a = $a | 0;
   100905   $b = $b | 0;
   100906   var $call = 0, $call1 = 0;
   100907   $call = _getOutDegree(HEAP32[$a >> 2] | 0) | 0;
   100908   $call1 = _getOutDegree(HEAP32[$b >> 2] | 0) | 0;
   100909   return (($call1 | 0) < ($call | 0) & 1) - (($call1 | 0) > ($call | 0) & 1) | 0;
   100910 }
   100911 function _getOutDegree($ng) {
   100912   $ng = $ng | 0;
   100913   var $nNodes = 0, $0 = 0, $cmp11 = 0, $nodes = 0, $i_013 = 0, $cnt_012 = 0, $1 = 0, $arrayidx = 0, $2 = 0, $graph = 0, $3 = 0, $4 = 0, $5 = 0, $call = 0, $tobool8 = 0, $e_0_in10 = 0, $cnt_19 = 0, $inc = 0, $call3 = 0, $tobool = 0, $cnt_1_lcssa = 0, $inc5 = 0, $6 = 0, $cmp = 0, $cnt_0_lcssa = 0, label = 0;
   100914   label = 2;
   100915   while (1) switch (label | 0) {
   100916    case 2:
   100917     $nNodes = $ng + 4 | 0;
   100918     $0 = HEAP32[$nNodes >> 2] | 0;
   100919     $cmp11 = ($0 | 0) > 0;
   100920     if ($cmp11) {
   100921       label = 3;
   100922       break;
   100923     } else {
   100924       $cnt_0_lcssa = 0;
   100925       label = 7;
   100926       break;
   100927     }
   100928    case 3:
   100929     $nodes = $ng | 0;
   100930     $cnt_012 = 0;
   100931     $i_013 = 0;
   100932     label = 4;
   100933     break;
   100934    case 4:
   100935     $1 = HEAP32[$nodes >> 2] | 0;
   100936     $arrayidx = $1 + ($i_013 << 2) | 0;
   100937     $2 = HEAP32[$arrayidx >> 2] | 0;
   100938     $graph = $2 + 20 | 0;
   100939     $3 = HEAP32[$graph >> 2] | 0;
   100940     $4 = $3;
   100941     $5 = $2;
   100942     $call = _agfstout($4, $5) | 0;
   100943     $tobool8 = ($call | 0) == 0;
   100944     if ($tobool8) {
   100945       $cnt_1_lcssa = $cnt_012;
   100946       label = 6;
   100947       break;
   100948     } else {
   100949       $cnt_19 = $cnt_012;
   100950       $e_0_in10 = $call;
   100951       label = 5;
   100952       break;
   100953     }
   100954    case 5:
   100955     $inc = $cnt_19 + 1 | 0;
   100956     $call3 = _agnxtout($4, $e_0_in10) | 0;
   100957     $tobool = ($call3 | 0) == 0;
   100958     if ($tobool) {
   100959       $cnt_1_lcssa = $inc;
   100960       label = 6;
   100961       break;
   100962     } else {
   100963       $cnt_19 = $inc;
   100964       $e_0_in10 = $call3;
   100965       label = 5;
   100966       break;
   100967     }
   100968    case 6:
   100969     $inc5 = $i_013 + 1 | 0;
   100970     $6 = HEAP32[$nNodes >> 2] | 0;
   100971     $cmp = ($inc5 | 0) < ($6 | 0);
   100972     if ($cmp) {
   100973       $cnt_012 = $cnt_1_lcssa;
   100974       $i_013 = $inc5;
   100975       label = 4;
   100976       break;
   100977     } else {
   100978       $cnt_0_lcssa = $cnt_1_lcssa;
   100979       label = 7;
   100980       break;
   100981     }
   100982    case 7:
   100983     return $cnt_0_lcssa | 0;
   100984   }
   100985   return 0;
   100986 }
   100987 function _compFunction($a, $b) {
   100988   $a = $a | 0;
   100989   $b = $b | 0;
   100990   var $3 = 0, $4 = 0.0, $6 = 0.0;
   100991   $3 = HEAP32[2958] | 0;
   100992   $4 = +HEAPF64[$3 + ((HEAP32[$b >> 2] | 0) * 40 & -1) + 24 >> 3];
   100993   $6 = +HEAPF64[$3 + ((HEAP32[$a >> 2] | 0) * 40 & -1) + 24 >> 3];
   100994   return ($4 > $6 & 1) - ($4 < $6 & 1) | 0;
   100995 }
   100996 function _incr_width($g, $v) {
   100997   $g = $g | 0;
   100998   $v = $v | 0;
   100999   var $conv = 0.0, $lw = 0, $rw = 0;
   101000   $conv = +((HEAP32[$g + 260 >> 2] | 0) / 2 & -1 | 0);
   101001   $lw = $v + 104 | 0;
   101002   HEAPF64[$lw >> 3] = +HEAPF64[$lw >> 3] + $conv;
   101003   $rw = $v + 112 | 0;
   101004   HEAPF64[$rw >> 3] = $conv + +HEAPF64[$rw >> 3];
   101005   return;
   101006 }
   101007 function _is_cluster_edge($e) {
   101008   $e = $e | 0;
   101009   var $tail = 0, $0 = 0, $ranktype = 0, $1 = 0, $cmp = 0, $head = 0, $2 = 0, $ranktype3 = 0, $3 = 0, $cmp5 = 0, $phitmp = 0, $4 = 0, label = 0;
   101010   label = 2;
   101011   while (1) switch (label | 0) {
   101012    case 2:
   101013     $tail = $e + 16 | 0;
   101014     $0 = HEAP32[$tail >> 2] | 0;
   101015     $ranktype = $0 + 165 | 0;
   101016     $1 = HEAP8[$ranktype] | 0;
   101017     $cmp = $1 << 24 >> 24 == 7;
   101018     if ($cmp) {
   101019       $4 = 1;
   101020       label = 4;
   101021       break;
   101022     } else {
   101023       label = 3;
   101024       break;
   101025     }
   101026    case 3:
   101027     $head = $e + 12 | 0;
   101028     $2 = HEAP32[$head >> 2] | 0;
   101029     $ranktype3 = $2 + 165 | 0;
   101030     $3 = HEAP8[$ranktype3] | 0;
   101031     $cmp5 = $3 << 24 >> 24 == 7;
   101032     $phitmp = $cmp5 & 1;
   101033     $4 = $phitmp;
   101034     label = 4;
   101035     break;
   101036    case 4:
   101037     return $4 | 0;
   101038   }
   101039   return 0;
   101040 }
   101041 function _nonconstraint_edge($e) {
   101042   $e = $e | 0;
   101043   var $0 = 0, $tobool = 0, $1 = 0, $index = 0, $2 = 0, $call = 0, $tobool1 = 0, $3 = 0, $tobool2 = 0, $call4 = 0, $cmp = 0, $retval_0 = 0, label = 0;
   101044   label = 2;
   101045   while (1) switch (label | 0) {
   101046    case 2:
   101047     $0 = HEAP32[41954] | 0;
   101048     $tobool = ($0 | 0) == 0;
   101049     if ($tobool) {
   101050       label = 6;
   101051       break;
   101052     } else {
   101053       label = 3;
   101054       break;
   101055     }
   101056    case 3:
   101057     $1 = $e | 0;
   101058     $index = $0 + 8 | 0;
   101059     $2 = HEAP32[$index >> 2] | 0;
   101060     $call = _agxget($1, $2) | 0;
   101061     $tobool1 = ($call | 0) == 0;
   101062     if ($tobool1) {
   101063       label = 6;
   101064       break;
   101065     } else {
   101066       label = 4;
   101067       break;
   101068     }
   101069    case 4:
   101070     $3 = HEAP8[$call] | 0;
   101071     $tobool2 = $3 << 24 >> 24 == 0;
   101072     if ($tobool2) {
   101073       label = 6;
   101074       break;
   101075     } else {
   101076       label = 5;
   101077       break;
   101078     }
   101079    case 5:
   101080     $call4 = _mapbool($call) | 0;
   101081     $cmp = $call4 << 24 >> 24 == 0;
   101082     if ($cmp) {
   101083       $retval_0 = 1;
   101084       label = 7;
   101085       break;
   101086     } else {
   101087       label = 6;
   101088       break;
   101089     }
   101090    case 6:
   101091     $retval_0 = 0;
   101092     label = 7;
   101093     break;
   101094    case 7:
   101095     return $retval_0 | 0;
   101096   }
   101097   return 0;
   101098 }
   101099 function _class1($g) {
   101100   $g = $g | 0;
   101101   var $0 = 0, $1 = 0, $call = 0, $tobool28 = 0, $n_0_in29 = 0, $call1 = 0, $tobool325 = 0, $e_027_in = 0, $e_027 = 0, $to_virt = 0, $2 = 0, $3 = 0, $tobool5 = 0, $call6 = 0, $tobool7 = 0, $tail = 0, $4 = 0, $5 = 0, $call10 = 0, $head = 0, $6 = 0, $7 = 0, $call11 = 0, $cmp = 0, $clust = 0, $8 = 0, $tobool15 = 0, $clust17 = 0, $9 = 0, $tobool18 = 0, $10 = 0, $11 = 0, $12 = 0, $13 = 0, $call23 = 0, $tobool24 = 0, $call26 = 0, $call28 = 0, $tobool3 = 0, $call30 = 0, $tobool = 0, label = 0;
   101102   label = 2;
   101103   while (1) switch (label | 0) {
   101104    case 2:
   101105     $0 = $g;
   101106     _mark_clusters($0);
   101107     $1 = $g;
   101108     $call = _agfstnode($1) | 0;
   101109     $tobool28 = ($call | 0) == 0;
   101110     if ($tobool28) {
   101111       label = 15;
   101112       break;
   101113     } else {
   101114       $n_0_in29 = $call;
   101115       label = 3;
   101116       break;
   101117     }
   101118    case 3:
   101119     $call1 = _agfstout($1, $n_0_in29) | 0;
   101120     $tobool325 = ($call1 | 0) == 0;
   101121     if ($tobool325) {
   101122       label = 14;
   101123       break;
   101124     } else {
   101125       $e_027_in = $call1;
   101126       label = 4;
   101127       break;
   101128     }
   101129    case 4:
   101130     $e_027 = $e_027_in;
   101131     $to_virt = $e_027_in + 188 | 0;
   101132     $2 = $to_virt;
   101133     $3 = HEAP32[$2 >> 2] | 0;
   101134     $tobool5 = ($3 | 0) == 0;
   101135     if ($tobool5) {
   101136       label = 5;
   101137       break;
   101138     } else {
   101139       label = 13;
   101140       break;
   101141     }
   101142    case 5:
   101143     $call6 = _nonconstraint_edge($e_027) | 0;
   101144     $tobool7 = ($call6 | 0) == 0;
   101145     if ($tobool7) {
   101146       label = 6;
   101147       break;
   101148     } else {
   101149       label = 13;
   101150       break;
   101151     }
   101152    case 6:
   101153     $tail = $e_027_in + 16 | 0;
   101154     $4 = HEAP32[$tail >> 2] | 0;
   101155     $5 = $4;
   101156     $call10 = _UF_find($5) | 0;
   101157     $head = $e_027_in + 12 | 0;
   101158     $6 = HEAP32[$head >> 2] | 0;
   101159     $7 = $6;
   101160     $call11 = _UF_find($7) | 0;
   101161     $cmp = ($call10 | 0) == ($call11 | 0);
   101162     if ($cmp) {
   101163       label = 13;
   101164       break;
   101165     } else {
   101166       label = 7;
   101167       break;
   101168     }
   101169    case 7:
   101170     $clust = $call10 + 216 | 0;
   101171     $8 = HEAP32[$clust >> 2] | 0;
   101172     $tobool15 = ($8 | 0) == 0;
   101173     if ($tobool15) {
   101174       label = 8;
   101175       break;
   101176     } else {
   101177       label = 9;
   101178       break;
   101179     }
   101180    case 8:
   101181     $clust17 = $call11 + 216 | 0;
   101182     $9 = HEAP32[$clust17 >> 2] | 0;
   101183     $tobool18 = ($9 | 0) == 0;
   101184     if ($tobool18) {
   101185       label = 10;
   101186       break;
   101187     } else {
   101188       label = 9;
   101189       break;
   101190     }
   101191    case 9:
   101192     $10 = HEAP32[$tail >> 2] | 0;
   101193     $11 = $10;
   101194     $12 = HEAP32[$head >> 2] | 0;
   101195     $13 = $12;
   101196     _interclust1($g, $11, $13, $e_027);
   101197     label = 13;
   101198     break;
   101199    case 10:
   101200     $call23 = _find_fast_edge($call10, $call11) | 0;
   101201     $tobool24 = ($call23 | 0) == 0;
   101202     if ($tobool24) {
   101203       label = 12;
   101204       break;
   101205     } else {
   101206       label = 11;
   101207       break;
   101208     }
   101209    case 11:
   101210     _merge_oneway($e_027, $call23);
   101211     label = 13;
   101212     break;
   101213    case 12:
   101214     $call26 = _virtual_edge($call10, $call11, $e_027) | 0;
   101215     label = 13;
   101216     break;
   101217    case 13:
   101218     $call28 = _agnxtout($1, $e_027_in) | 0;
   101219     $tobool3 = ($call28 | 0) == 0;
   101220     if ($tobool3) {
   101221       label = 14;
   101222       break;
   101223     } else {
   101224       $e_027_in = $call28;
   101225       label = 4;
   101226       break;
   101227     }
   101228    case 14:
   101229     $call30 = _agnxtnode($1, $n_0_in29) | 0;
   101230     $tobool = ($call30 | 0) == 0;
   101231     if ($tobool) {
   101232       label = 15;
   101233       break;
   101234     } else {
   101235       $n_0_in29 = $call30;
   101236       label = 3;
   101237       break;
   101238     }
   101239    case 15:
   101240     return;
   101241   }
   101242 }
   101243 function _interclust1($g, $t, $h, $e) {
   101244   $g = $g | 0;
   101245   $t = $t | 0;
   101246   $h = $h | 0;
   101247   $e = $e | 0;
   101248   var $tail = 0, $0 = 0, $clust = 0, $1 = 0, $tobool = 0, $rank = 0, $2 = 0, $leader = 0, $3 = 0, $rank8 = 0, $4 = 0, $sub = 0, $t_rank_0 = 0, $head = 0, $5 = 0, $clust10 = 0, $6 = 0, $tobool11 = 0, $rank15 = 0, $7 = 0, $leader20 = 0, $8 = 0, $rank22 = 0, $9 = 0, $sub23 = 0, $h_rank_0 = 0, $minlen = 0, $10 = 0, $conv = 0, $add = 0, $sub27 = 0, $cmp = 0, $phitmp14 = 0.0, $sub31 = 0, $phitmp = 0.0, $h_len_0 = 0.0, $t_len_0 = 0.0, $call = 0, $node_type = 0, $call34 = 0, $call35 = 0, $weight = 0, $11 = 0.0, $mul = 0.0, $conv38 = 0, $call39 = 0, $12 = 0.0, $conv43 = 0, $call44 = 0, $to_orig = 0, $to_orig47 = 0, label = 0;
   101249   label = 2;
   101250   while (1) switch (label | 0) {
   101251    case 2:
   101252     $tail = $e + 16 | 0;
   101253     $0 = HEAP32[$tail >> 2] | 0;
   101254     $clust = $0 + 216 | 0;
   101255     $1 = HEAP32[$clust >> 2] | 0;
   101256     $tobool = ($1 | 0) == 0;
   101257     if ($tobool) {
   101258       $t_rank_0 = 0;
   101259       label = 4;
   101260       break;
   101261     } else {
   101262       label = 3;
   101263       break;
   101264     }
   101265    case 3:
   101266     $rank = $0 + 236 | 0;
   101267     $2 = HEAP32[$rank >> 2] | 0;
   101268     $leader = $1 + 276 | 0;
   101269     $3 = HEAP32[$leader >> 2] | 0;
   101270     $rank8 = $3 + 236 | 0;
   101271     $4 = HEAP32[$rank8 >> 2] | 0;
   101272     $sub = $2 - $4 | 0;
   101273     $t_rank_0 = $sub;
   101274     label = 4;
   101275     break;
   101276    case 4:
   101277     $head = $e + 12 | 0;
   101278     $5 = HEAP32[$head >> 2] | 0;
   101279     $clust10 = $5 + 216 | 0;
   101280     $6 = HEAP32[$clust10 >> 2] | 0;
   101281     $tobool11 = ($6 | 0) == 0;
   101282     if ($tobool11) {
   101283       $h_rank_0 = 0;
   101284       label = 6;
   101285       break;
   101286     } else {
   101287       label = 5;
   101288       break;
   101289     }
   101290    case 5:
   101291     $rank15 = $5 + 236 | 0;
   101292     $7 = HEAP32[$rank15 >> 2] | 0;
   101293     $leader20 = $6 + 276 | 0;
   101294     $8 = HEAP32[$leader20 >> 2] | 0;
   101295     $rank22 = $8 + 236 | 0;
   101296     $9 = HEAP32[$rank22 >> 2] | 0;
   101297     $sub23 = $7 - $9 | 0;
   101298     $h_rank_0 = $sub23;
   101299     label = 6;
   101300     break;
   101301    case 6:
   101302     $minlen = $e + 186 | 0;
   101303     $10 = HEAP16[$minlen >> 1] | 0;
   101304     $conv = $10 & 65535;
   101305     $add = $t_rank_0 - $h_rank_0 | 0;
   101306     $sub27 = $add + $conv | 0;
   101307     $cmp = ($sub27 | 0) > 0;
   101308     if ($cmp) {
   101309       label = 7;
   101310       break;
   101311     } else {
   101312       label = 8;
   101313       break;
   101314     }
   101315    case 7:
   101316     $phitmp14 = +($sub27 | 0);
   101317     $t_len_0 = 0.0;
   101318     $h_len_0 = $phitmp14;
   101319     label = 9;
   101320     break;
   101321    case 8:
   101322     $sub31 = -$sub27 | 0;
   101323     $phitmp = +($sub31 | 0);
   101324     $t_len_0 = $phitmp;
   101325     $h_len_0 = 0.0;
   101326     label = 9;
   101327     break;
   101328    case 9:
   101329     $call = _virtual_node($g) | 0;
   101330     $node_type = $call + 162 | 0;
   101331     HEAP8[$node_type] = 2;
   101332     $call34 = _UF_find($t) | 0;
   101333     $call35 = _UF_find($h) | 0;
   101334     $weight = $e + 172 | 0;
   101335     $11 = +HEAPF32[$weight >> 2];
   101336     $mul = $11 * 10.0;
   101337     $conv38 = ~~$mul;
   101338     $call39 = _make_aux_edge($call, $call34, $t_len_0, $conv38) | 0;
   101339     $12 = +HEAPF32[$weight >> 2];
   101340     $conv43 = ~~$12;
   101341     $call44 = _make_aux_edge($call, $call35, $h_len_0, $conv43) | 0;
   101342     $to_orig = $call44 + 132 | 0;
   101343     HEAP32[$to_orig >> 2] = $e;
   101344     $to_orig47 = $call39 + 132 | 0;
   101345     HEAP32[$to_orig47 >> 2] = $e;
   101346     return;
   101347   }
   101348 }
   101349 function _mergeable($e, $f) {
   101350   $e = $e | 0;
   101351   $f = $f | 0;
   101352   var $tobool = 0, $tobool1 = 0, $or_cond = 0, $tail = 0, $0 = 0, $tail3 = 0, $1 = 0, $cmp = 0, $head = 0, $2 = 0, $head5 = 0, $3 = 0, $cmp6 = 0, $label = 0, $4 = 0, $label9 = 0, $5 = 0, $cmp10 = 0, $call = 0, $tobool12 = 0, $retval_0 = 0, label = 0;
   101353   label = 2;
   101354   while (1) switch (label | 0) {
   101355    case 2:
   101356     $tobool = ($e | 0) == 0;
   101357     $tobool1 = ($f | 0) == 0;
   101358     $or_cond = $tobool | $tobool1;
   101359     if ($or_cond) {
   101360       label = 7;
   101361       break;
   101362     } else {
   101363       label = 3;
   101364       break;
   101365     }
   101366    case 3:
   101367     $tail = $e + 16 | 0;
   101368     $0 = HEAP32[$tail >> 2] | 0;
   101369     $tail3 = $f + 16 | 0;
   101370     $1 = HEAP32[$tail3 >> 2] | 0;
   101371     $cmp = ($0 | 0) == ($1 | 0);
   101372     if ($cmp) {
   101373       label = 4;
   101374       break;
   101375     } else {
   101376       label = 7;
   101377       break;
   101378     }
   101379    case 4:
   101380     $head = $e + 12 | 0;
   101381     $2 = HEAP32[$head >> 2] | 0;
   101382     $head5 = $f + 12 | 0;
   101383     $3 = HEAP32[$head5 >> 2] | 0;
   101384     $cmp6 = ($2 | 0) == ($3 | 0);
   101385     if ($cmp6) {
   101386       label = 5;
   101387       break;
   101388     } else {
   101389       label = 7;
   101390       break;
   101391     }
   101392    case 5:
   101393     $label = $e + 112 | 0;
   101394     $4 = HEAP32[$label >> 2] | 0;
   101395     $label9 = $f + 112 | 0;
   101396     $5 = HEAP32[$label9 >> 2] | 0;
   101397     $cmp10 = ($4 | 0) == ($5 | 0);
   101398     if ($cmp10) {
   101399       label = 6;
   101400       break;
   101401     } else {
   101402       label = 7;
   101403       break;
   101404     }
   101405    case 6:
   101406     $call = _ports_eq($e, $f) | 0;
   101407     $tobool12 = ($call | 0) == 0;
   101408     if ($tobool12) {
   101409       label = 7;
   101410       break;
   101411     } else {
   101412       $retval_0 = 1;
   101413       label = 8;
   101414       break;
   101415     }
   101416    case 7:
   101417     $retval_0 = 0;
   101418     label = 8;
   101419     break;
   101420    case 8:
   101421     return $retval_0 | 0;
   101422   }
   101423   return 0;
   101424 }
   101425 function _class2($g) {
   101426   $g = $g | 0;
   101427   var $nlist = 0, $n_nodes = 0, $0 = 0, $n_cluster = 0, $1 = 0, $cmp126 = 0, $clust = 0, $c_0127 = 0, $2 = 0, $arrayidx = 0, $3 = 0, $4 = 0, $inc = 0, $5 = 0, $cmp = 0, $6 = 0, $call = 0, $tobool124 = 0, $n_0_in125 = 0, $call6 = 0, $tobool8122 = 0, $e_0_in123 = 0, $head = 0, $7 = 0, $8 = 0, $weight_class = 0, $9 = 0, $cmp11 = 0, $inc16 = 0, $tail = 0, $10 = 0, $11 = 0, $weight_class18 = 0, $12 = 0, $cmp20 = 0, $inc26 = 0, $call29 = 0, $tobool8 = 0, $call32 = 0, $tobool = 0, $call34 = 0, $tobool36119 = 0, $n_1121_in = 0, $n_1121 = 0, $clust39 = 0, $13 = 0, $cmp40 = 0, $call42 = 0, $cmp43 = 0, $14 = 0, $inc48 = 0, $call50 = 0, $tobool52113 = 0, $e_1117_in = 0, $prev_0116 = 0, $e_1117 = 0, $u54 = 0, $to_virt = 0, $15 = 0, $16 = 0, $tobool55 = 0, $call58 = 0, $tobool59 = 0, $call61 = 0, $tobool62 = 0, $to_virt65 = 0, $17 = 0, $tobool66 = 0, $tail70 = 0, $18 = 0, $u71 = 0, $rank = 0, $19 = 0, $20 = 0, $head72 = 0, $21 = 0, $u73 = 0, $rank74 = 0, $22 = 0, $23 = 0, $cmp75 = 0, $tobool82 = 0, $tail84 = 0, $24 = 0, $25 = 0, $tail85 = 0, $26 = 0, $cmp86 = 0, $head89 = 0, $27 = 0, $28 = 0, $head90 = 0, $29 = 0, $cmp91 = 0, $u95 = 0, $rank96 = 0, $30 = 0, $31 = 0, $u98 = 0, $rank99 = 0, $32 = 0, $33 = 0, $cmp100 = 0, $label = 0, $34 = 0, $35 = 0, $cmp105 = 0, $label109 = 0, $36 = 0, $cmp110 = 0, $call113 = 0, $tobool114 = 0, $37 = 0, $tobool116 = 0, $38 = 0, $to_virt121 = 0, $39 = 0, $tail125 = 0, $40 = 0, $head126 = 0, $41 = 0, $cmp127 = 0, $42 = 0, $call132 = 0, $43 = 0, $44 = 0, $call134 = 0, $45 = 0, $46 = 0, $cmp136 = 0, $47 = 0, $48 = 0, $cmp139 = 0, $rank145 = 0, $49 = 0, $50 = 0, $rank148 = 0, $51 = 0, $52 = 0, $cmp149 = 0, $cmp159 = 0, $call167 = 0, $53 = 0, $tobool168 = 0, $cmp170 = 0, $or_cond = 0, $u173 = 0, $to_virt174 = 0, $54 = 0, $55 = 0, $cmp175 = 0, $tail178 = 0, $56 = 0, $57 = 0, $head179 = 0, $58 = 0, $59 = 0, $label182 = 0, $60 = 0, $61 = 0, $cmp183 = 0, $label187 = 0, $62 = 0, $63 = 0, $cmp188 = 0, $call191 = 0, $tobool192 = 0, $64 = 0, $tobool194 = 0, $65 = 0, $66 = 0, $67 = 0, $68 = 0, $69 = 0, $70 = 0, $71 = 0, $prev_1 = 0, $call209 = 0, $tobool52 = 0, $call212 = 0, $tobool36 = 0, $root = 0, $72 = 0, $cmp214 = 0, $list = 0, $73 = 0, $tobool218 = 0, $74 = 0, $call222 = 0, $call223 = 0, $call222_sink = 0, $75 = 0, $76 = 0, label = 0;
   101428   label = 2;
   101429   while (1) switch (label | 0) {
   101430    case 2:
   101431     $nlist = $g + 220 | 0;
   101432     HEAP32[$nlist >> 2] = 0;
   101433     $n_nodes = $g + 244 | 0;
   101434     HEAP32[$n_nodes >> 2] = 0;
   101435     $0 = $g;
   101436     _mark_clusters($0);
   101437     $n_cluster = $g + 212 | 0;
   101438     $1 = HEAP32[$n_cluster >> 2] | 0;
   101439     $cmp126 = ($1 | 0) < 1;
   101440     if ($cmp126) {
   101441       label = 5;
   101442       break;
   101443     } else {
   101444       label = 3;
   101445       break;
   101446     }
   101447    case 3:
   101448     $clust = $g + 216 | 0;
   101449     $c_0127 = 1;
   101450     label = 4;
   101451     break;
   101452    case 4:
   101453     $2 = HEAP32[$clust >> 2] | 0;
   101454     $arrayidx = $2 + ($c_0127 << 2) | 0;
   101455     $3 = HEAP32[$arrayidx >> 2] | 0;
   101456     $4 = $3;
   101457     _build_skeleton($0, $4);
   101458     $inc = $c_0127 + 1 | 0;
   101459     $5 = HEAP32[$n_cluster >> 2] | 0;
   101460     $cmp = ($inc | 0) > ($5 | 0);
   101461     if ($cmp) {
   101462       label = 5;
   101463       break;
   101464     } else {
   101465       $c_0127 = $inc;
   101466       label = 4;
   101467       break;
   101468     }
   101469    case 5:
   101470     $6 = $g;
   101471     $call = _agfstnode($6) | 0;
   101472     $tobool124 = ($call | 0) == 0;
   101473     if ($tobool124) {
   101474       label = 13;
   101475       break;
   101476     } else {
   101477       $n_0_in125 = $call;
   101478       label = 6;
   101479       break;
   101480     }
   101481    case 6:
   101482     $call6 = _agfstout($6, $n_0_in125) | 0;
   101483     $tobool8122 = ($call6 | 0) == 0;
   101484     if ($tobool8122) {
   101485       label = 12;
   101486       break;
   101487     } else {
   101488       $e_0_in123 = $call6;
   101489       label = 7;
   101490       break;
   101491     }
   101492    case 7:
   101493     $head = $e_0_in123 + 12 | 0;
   101494     $7 = HEAP32[$head >> 2] | 0;
   101495     $8 = $7;
   101496     $weight_class = $8 + 166 | 0;
   101497     $9 = HEAP8[$weight_class] | 0;
   101498     $cmp11 = $9 << 24 >> 24 < 3;
   101499     if ($cmp11) {
   101500       label = 8;
   101501       break;
   101502     } else {
   101503       label = 9;
   101504       break;
   101505     }
   101506    case 8:
   101507     $inc16 = $9 + 1 & 255;
   101508     HEAP8[$weight_class] = $inc16;
   101509     label = 9;
   101510     break;
   101511    case 9:
   101512     $tail = $e_0_in123 + 16 | 0;
   101513     $10 = HEAP32[$tail >> 2] | 0;
   101514     $11 = $10;
   101515     $weight_class18 = $11 + 166 | 0;
   101516     $12 = HEAP8[$weight_class18] | 0;
   101517     $cmp20 = $12 << 24 >> 24 < 3;
   101518     if ($cmp20) {
   101519       label = 10;
   101520       break;
   101521     } else {
   101522       label = 11;
   101523       break;
   101524     }
   101525    case 10:
   101526     $inc26 = $12 + 1 & 255;
   101527     HEAP8[$weight_class18] = $inc26;
   101528     label = 11;
   101529     break;
   101530    case 11:
   101531     $call29 = _agnxtout($6, $e_0_in123) | 0;
   101532     $tobool8 = ($call29 | 0) == 0;
   101533     if ($tobool8) {
   101534       label = 12;
   101535       break;
   101536     } else {
   101537       $e_0_in123 = $call29;
   101538       label = 7;
   101539       break;
   101540     }
   101541    case 12:
   101542     $call32 = _agnxtnode($6, $n_0_in125) | 0;
   101543     $tobool = ($call32 | 0) == 0;
   101544     if ($tobool) {
   101545       label = 13;
   101546       break;
   101547     } else {
   101548       $n_0_in125 = $call32;
   101549       label = 6;
   101550       break;
   101551     }
   101552    case 13:
   101553     $call34 = _agfstnode($6) | 0;
   101554     $tobool36119 = ($call34 | 0) == 0;
   101555     if ($tobool36119) {
   101556       label = 57;
   101557       break;
   101558     } else {
   101559       $n_1121_in = $call34;
   101560       label = 14;
   101561       break;
   101562     }
   101563    case 14:
   101564     $n_1121 = $n_1121_in;
   101565     $clust39 = $n_1121_in + 216 | 0;
   101566     $13 = HEAP32[$clust39 >> 2] | 0;
   101567     $cmp40 = ($13 | 0) == 0;
   101568     if ($cmp40) {
   101569       label = 15;
   101570       break;
   101571     } else {
   101572       label = 17;
   101573       break;
   101574     }
   101575    case 15:
   101576     $call42 = _UF_find($n_1121) | 0;
   101577     $cmp43 = ($n_1121 | 0) == ($call42 | 0);
   101578     if ($cmp43) {
   101579       label = 16;
   101580       break;
   101581     } else {
   101582       label = 17;
   101583       break;
   101584     }
   101585    case 16:
   101586     _fast_node($g, $n_1121);
   101587     $14 = HEAP32[$n_nodes >> 2] | 0;
   101588     $inc48 = $14 + 1 | 0;
   101589     HEAP32[$n_nodes >> 2] = $inc48;
   101590     label = 17;
   101591     break;
   101592    case 17:
   101593     $call50 = _agfstout($6, $n_1121_in) | 0;
   101594     $tobool52113 = ($call50 | 0) == 0;
   101595     if ($tobool52113) {
   101596       label = 56;
   101597       break;
   101598     } else {
   101599       $prev_0116 = 0;
   101600       $e_1117_in = $call50;
   101601       label = 18;
   101602       break;
   101603     }
   101604    case 18:
   101605     $e_1117 = $e_1117_in;
   101606     $u54 = $e_1117_in + 24 | 0;
   101607     $to_virt = $e_1117_in + 188 | 0;
   101608     $15 = $to_virt;
   101609     $16 = HEAP32[$15 >> 2] | 0;
   101610     $tobool55 = ($16 | 0) == 0;
   101611     if ($tobool55) {
   101612       label = 19;
   101613       break;
   101614     } else {
   101615       $prev_1 = $e_1117;
   101616       label = 55;
   101617       break;
   101618     }
   101619    case 19:
   101620     $call58 = _is_cluster_edge($e_1117) | 0;
   101621     $tobool59 = ($call58 | 0) == 0;
   101622     if ($tobool59) {
   101623       label = 26;
   101624       break;
   101625     } else {
   101626       label = 20;
   101627       break;
   101628     }
   101629    case 20:
   101630     $call61 = _mergeable($prev_0116, $e_1117) | 0;
   101631     $tobool62 = ($call61 | 0) == 0;
   101632     if ($tobool62) {
   101633       label = 25;
   101634       break;
   101635     } else {
   101636       label = 21;
   101637       break;
   101638     }
   101639    case 21:
   101640     $to_virt65 = $prev_0116 + 188 | 0;
   101641     $17 = HEAP32[$to_virt65 >> 2] | 0;
   101642     $tobool66 = ($17 | 0) == 0;
   101643     if ($tobool66) {
   101644       label = 23;
   101645       break;
   101646     } else {
   101647       label = 22;
   101648       break;
   101649     }
   101650    case 22:
   101651     _merge_chain($g, $e_1117, $17, 0);
   101652     _other_edge($e_1117);
   101653     $prev_1 = $prev_0116;
   101654     label = 55;
   101655     break;
   101656    case 23:
   101657     $tail70 = $e_1117_in + 16 | 0;
   101658     $18 = HEAP32[$tail70 >> 2] | 0;
   101659     $u71 = $18 + 24 | 0;
   101660     $rank = $u71 + 212 | 0;
   101661     $19 = $rank;
   101662     $20 = HEAP32[$19 >> 2] | 0;
   101663     $head72 = $e_1117_in + 12 | 0;
   101664     $21 = HEAP32[$head72 >> 2] | 0;
   101665     $u73 = $21 + 24 | 0;
   101666     $rank74 = $u73 + 212 | 0;
   101667     $22 = $rank74;
   101668     $23 = HEAP32[$22 >> 2] | 0;
   101669     $cmp75 = ($20 | 0) == ($23 | 0);
   101670     if ($cmp75) {
   101671       label = 24;
   101672       break;
   101673     } else {
   101674       $prev_1 = $prev_0116;
   101675       label = 55;
   101676       break;
   101677     }
   101678    case 24:
   101679     _merge_oneway($e_1117, $prev_0116);
   101680     _other_edge($e_1117);
   101681     $prev_1 = $prev_0116;
   101682     label = 55;
   101683     break;
   101684    case 25:
   101685     _interclrep($g, $e_1117);
   101686     $prev_1 = $e_1117;
   101687     label = 55;
   101688     break;
   101689    case 26:
   101690     $tobool82 = ($prev_0116 | 0) == 0;
   101691     if ($tobool82) {
   101692       label = 37;
   101693       break;
   101694     } else {
   101695       label = 27;
   101696       break;
   101697     }
   101698    case 27:
   101699     $tail84 = $e_1117_in + 16 | 0;
   101700     $24 = HEAP32[$tail84 >> 2] | 0;
   101701     $25 = $24;
   101702     $tail85 = $prev_0116 + 16 | 0;
   101703     $26 = HEAP32[$tail85 >> 2] | 0;
   101704     $cmp86 = ($25 | 0) == ($26 | 0);
   101705     if ($cmp86) {
   101706       label = 28;
   101707       break;
   101708     } else {
   101709       label = 37;
   101710       break;
   101711     }
   101712    case 28:
   101713     $head89 = $e_1117_in + 12 | 0;
   101714     $27 = HEAP32[$head89 >> 2] | 0;
   101715     $28 = $27;
   101716     $head90 = $prev_0116 + 12 | 0;
   101717     $29 = HEAP32[$head90 >> 2] | 0;
   101718     $cmp91 = ($28 | 0) == ($29 | 0);
   101719     if ($cmp91) {
   101720       label = 29;
   101721       break;
   101722     } else {
   101723       label = 37;
   101724       break;
   101725     }
   101726    case 29:
   101727     $u95 = $24 + 24 | 0;
   101728     $rank96 = $u95 + 212 | 0;
   101729     $30 = $rank96;
   101730     $31 = HEAP32[$30 >> 2] | 0;
   101731     $u98 = $27 + 24 | 0;
   101732     $rank99 = $u98 + 212 | 0;
   101733     $32 = $rank99;
   101734     $33 = HEAP32[$32 >> 2] | 0;
   101735     $cmp100 = ($31 | 0) == ($33 | 0);
   101736     if ($cmp100) {
   101737       label = 30;
   101738       break;
   101739     } else {
   101740       label = 31;
   101741       break;
   101742     }
   101743    case 30:
   101744     _merge_oneway($e_1117, $prev_0116);
   101745     _other_edge($e_1117);
   101746     $prev_1 = $prev_0116;
   101747     label = 55;
   101748     break;
   101749    case 31:
   101750     $label = $u54 + 88 | 0;
   101751     $34 = $label;
   101752     $35 = HEAP32[$34 >> 2] | 0;
   101753     $cmp105 = ($35 | 0) == 0;
   101754     if ($cmp105) {
   101755       label = 32;
   101756       break;
   101757     } else {
   101758       label = 37;
   101759       break;
   101760     }
   101761    case 32:
   101762     $label109 = $prev_0116 + 112 | 0;
   101763     $36 = HEAP32[$label109 >> 2] | 0;
   101764     $cmp110 = ($36 | 0) == 0;
   101765     if ($cmp110) {
   101766       label = 33;
   101767       break;
   101768     } else {
   101769       label = 37;
   101770       break;
   101771     }
   101772    case 33:
   101773     $call113 = _ports_eq($e_1117, $prev_0116) | 0;
   101774     $tobool114 = ($call113 | 0) == 0;
   101775     if ($tobool114) {
   101776       label = 37;
   101777       break;
   101778     } else {
   101779       label = 34;
   101780       break;
   101781     }
   101782    case 34:
   101783     $37 = HEAP8[167952] | 0;
   101784     $tobool116 = $37 << 24 >> 24 == 0;
   101785     if ($tobool116) {
   101786       label = 36;
   101787       break;
   101788     } else {
   101789       label = 35;
   101790       break;
   101791     }
   101792    case 35:
   101793     $38 = $u54 + 104 | 0;
   101794     HEAP8[$38] = 6;
   101795     $prev_1 = $prev_0116;
   101796     label = 55;
   101797     break;
   101798    case 36:
   101799     $to_virt121 = $prev_0116 + 188 | 0;
   101800     $39 = HEAP32[$to_virt121 >> 2] | 0;
   101801     _merge_chain($g, $e_1117, $39, 1);
   101802     _other_edge($e_1117);
   101803     $prev_1 = $prev_0116;
   101804     label = 55;
   101805     break;
   101806    case 37:
   101807     $tail125 = $e_1117_in + 16 | 0;
   101808     $40 = HEAP32[$tail125 >> 2] | 0;
   101809     $head126 = $e_1117_in + 12 | 0;
   101810     $41 = HEAP32[$head126 >> 2] | 0;
   101811     $cmp127 = ($40 | 0) == ($41 | 0);
   101812     if ($cmp127) {
   101813       label = 38;
   101814       break;
   101815     } else {
   101816       label = 39;
   101817       break;
   101818     }
   101819    case 38:
   101820     _other_edge($e_1117);
   101821     $prev_1 = $e_1117;
   101822     label = 55;
   101823     break;
   101824    case 39:
   101825     $42 = $40;
   101826     $call132 = _UF_find($42) | 0;
   101827     $43 = HEAP32[$head126 >> 2] | 0;
   101828     $44 = $43;
   101829     $call134 = _UF_find($44) | 0;
   101830     $45 = HEAP32[$tail125 >> 2] | 0;
   101831     $46 = $45;
   101832     $cmp136 = ($46 | 0) == ($call132 | 0);
   101833     if ($cmp136) {
   101834       label = 40;
   101835       break;
   101836     } else {
   101837       $prev_1 = $prev_0116;
   101838       label = 55;
   101839       break;
   101840     }
   101841    case 40:
   101842     $47 = HEAP32[$head126 >> 2] | 0;
   101843     $48 = $47;
   101844     $cmp139 = ($48 | 0) == ($call134 | 0);
   101845     if ($cmp139) {
   101846       label = 41;
   101847       break;
   101848     } else {
   101849       $prev_1 = $prev_0116;
   101850       label = 55;
   101851       break;
   101852     }
   101853    case 41:
   101854     $rank145 = $45 + 236 | 0;
   101855     $49 = $rank145;
   101856     $50 = HEAP32[$49 >> 2] | 0;
   101857     $rank148 = $47 + 236 | 0;
   101858     $51 = $rank148;
   101859     $52 = HEAP32[$51 >> 2] | 0;
   101860     $cmp149 = ($50 | 0) == ($52 | 0);
   101861     if ($cmp149) {
   101862       label = 42;
   101863       break;
   101864     } else {
   101865       label = 43;
   101866       break;
   101867     }
   101868    case 42:
   101869     _flat_edge($g, $e_1117);
   101870     $prev_1 = $e_1117;
   101871     label = 55;
   101872     break;
   101873    case 43:
   101874     $cmp159 = ($52 | 0) > ($50 | 0);
   101875     if ($cmp159) {
   101876       label = 44;
   101877       break;
   101878     } else {
   101879       label = 45;
   101880       break;
   101881     }
   101882    case 44:
   101883     _make_chain($g, $46, $48, $e_1117);
   101884     $prev_1 = $e_1117;
   101885     label = 55;
   101886     break;
   101887    case 45:
   101888     $call167 = _agfindedge($6, $47, $45) | 0;
   101889     $53 = $call167;
   101890     $tobool168 = ($call167 | 0) == 0;
   101891     $cmp170 = ($call167 | 0) == ($e_1117_in | 0);
   101892     $or_cond = $tobool168 | $cmp170;
   101893     if ($or_cond) {
   101894       label = 54;
   101895       break;
   101896     } else {
   101897       label = 46;
   101898       break;
   101899     }
   101900    case 46:
   101901     $u173 = $call167 + 24 | 0;
   101902     $to_virt174 = $u173 + 164 | 0;
   101903     $54 = $to_virt174;
   101904     $55 = HEAP32[$54 >> 2] | 0;
   101905     $cmp175 = ($55 | 0) == 0;
   101906     if ($cmp175) {
   101907       label = 47;
   101908       break;
   101909     } else {
   101910       label = 48;
   101911       break;
   101912     }
   101913    case 47:
   101914     $tail178 = $call167 + 16 | 0;
   101915     $56 = HEAP32[$tail178 >> 2] | 0;
   101916     $57 = $56;
   101917     $head179 = $call167 + 12 | 0;
   101918     $58 = HEAP32[$head179 >> 2] | 0;
   101919     $59 = $58;
   101920     _make_chain($g, $57, $59, $53);
   101921     label = 48;
   101922     break;
   101923    case 48:
   101924     $label182 = $u54 + 88 | 0;
   101925     $60 = $label182;
   101926     $61 = HEAP32[$60 >> 2] | 0;
   101927     $cmp183 = ($61 | 0) == 0;
   101928     if ($cmp183) {
   101929       label = 49;
   101930       break;
   101931     } else {
   101932       label = 54;
   101933       break;
   101934     }
   101935    case 49:
   101936     $label187 = $u173 + 88 | 0;
   101937     $62 = $label187;
   101938     $63 = HEAP32[$62 >> 2] | 0;
   101939     $cmp188 = ($63 | 0) == 0;
   101940     if ($cmp188) {
   101941       label = 50;
   101942       break;
   101943     } else {
   101944       label = 54;
   101945       break;
   101946     }
   101947    case 50:
   101948     $call191 = _ports_eq($e_1117, $53) | 0;
   101949     $tobool192 = ($call191 | 0) == 0;
   101950     if ($tobool192) {
   101951       label = 54;
   101952       break;
   101953     } else {
   101954       label = 51;
   101955       break;
   101956     }
   101957    case 51:
   101958     $64 = HEAP8[167952] | 0;
   101959     $tobool194 = $64 << 24 >> 24 == 0;
   101960     if ($tobool194) {
   101961       label = 53;
   101962       break;
   101963     } else {
   101964       label = 52;
   101965       break;
   101966     }
   101967    case 52:
   101968     $65 = $u54 + 104 | 0;
   101969     HEAP8[$65] = 6;
   101970     $66 = $u173 + 145 | 0;
   101971     HEAP8[$66] = 1;
   101972     $prev_1 = $prev_0116;
   101973     label = 55;
   101974     break;
   101975    case 53:
   101976     _other_edge($e_1117);
   101977     $67 = HEAP32[$54 >> 2] | 0;
   101978     _merge_chain($g, $e_1117, $67, 1);
   101979     $prev_1 = $prev_0116;
   101980     label = 55;
   101981     break;
   101982    case 54:
   101983     $68 = HEAP32[$head126 >> 2] | 0;
   101984     $69 = $68;
   101985     $70 = HEAP32[$tail125 >> 2] | 0;
   101986     $71 = $70;
   101987     _make_chain($g, $69, $71, $e_1117);
   101988     $prev_1 = $e_1117;
   101989     label = 55;
   101990     break;
   101991    case 55:
   101992     $call209 = _agnxtout($6, $e_1117_in) | 0;
   101993     $tobool52 = ($call209 | 0) == 0;
   101994     if ($tobool52) {
   101995       label = 56;
   101996       break;
   101997     } else {
   101998       $prev_0116 = $prev_1;
   101999       $e_1117_in = $call209;
   102000       label = 18;
   102001       break;
   102002     }
   102003    case 56:
   102004     $call212 = _agnxtnode($6, $n_1121_in) | 0;
   102005     $tobool36 = ($call212 | 0) == 0;
   102006     if ($tobool36) {
   102007       label = 57;
   102008       break;
   102009     } else {
   102010       $n_1121_in = $call212;
   102011       label = 14;
   102012       break;
   102013     }
   102014    case 57:
   102015     $root = $g + 32 | 0;
   102016     $72 = HEAP32[$root >> 2] | 0;
   102017     $cmp214 = ($72 | 0) == ($g | 0);
   102018     if ($cmp214) {
   102019       label = 62;
   102020       break;
   102021     } else {
   102022       label = 58;
   102023       break;
   102024     }
   102025    case 58:
   102026     $list = $g + 228 | 0;
   102027     $73 = HEAP32[$list >> 2] | 0;
   102028     $tobool218 = ($73 | 0) == 0;
   102029     if ($tobool218) {
   102030       label = 60;
   102031       break;
   102032     } else {
   102033       label = 59;
   102034       break;
   102035     }
   102036    case 59:
   102037     $74 = $73;
   102038     $call222 = _grealloc($74, 4) | 0;
   102039     $call222_sink = $call222;
   102040     label = 61;
   102041     break;
   102042    case 60:
   102043     $call223 = _gmalloc(4) | 0;
   102044     $call222_sink = $call223;
   102045     label = 61;
   102046     break;
   102047    case 61:
   102048     $75 = $call222_sink;
   102049     HEAP32[$list >> 2] = $75;
   102050     $76 = HEAP32[$nlist >> 2] | 0;
   102051     HEAP32[$75 >> 2] = $76;
   102052     label = 62;
   102053     break;
   102054    case 62:
   102055     return;
   102056   }
   102057 }
   102058 function _interclrep($g, $e) {
   102059   $g = $g | 0;
   102060   $e = $e | 0;
   102061   var $tail = 0, $0 = 0, $call = 0, $head = 0, $1 = 0, $call1 = 0, $rank = 0, $2 = 0, $rank3 = 0, $3 = 0, $cmp = 0, $call_call1 = 0, $call1_call = 0, $clust = 0, $4 = 0, $clust6 = 0, $5 = 0, $cmp7 = 0, $call9 = 0, $tobool = 0, $rank13 = 0, $6 = 0, $rank15 = 0, $7 = 0, $cmp16 = 0, $to_virt = 0, $ve_023 = 0, $tobool2024 = 0, $ve_025 = 0, $head21 = 0, $8 = 0, $rank23 = 0, $9 = 0, $10 = 0, $cmp26 = 0, $edge_type = 0, $11 = 0, $list = 0, $12 = 0, $ve_0 = 0, $tobool20 = 0, label = 0;
   102062   label = 2;
   102063   while (1) switch (label | 0) {
   102064    case 2:
   102065     $tail = $e + 16 | 0;
   102066     $0 = HEAP32[$tail >> 2] | 0;
   102067     $call = _leader_of($0) | 0;
   102068     $head = $e + 12 | 0;
   102069     $1 = HEAP32[$head >> 2] | 0;
   102070     $call1 = _leader_of($1) | 0;
   102071     $rank = $call + 236 | 0;
   102072     $2 = HEAP32[$rank >> 2] | 0;
   102073     $rank3 = $call1 + 236 | 0;
   102074     $3 = HEAP32[$rank3 >> 2] | 0;
   102075     $cmp = ($2 | 0) > ($3 | 0);
   102076     $call_call1 = $cmp ? $call : $call1;
   102077     $call1_call = $cmp ? $call1 : $call;
   102078     $clust = $call1_call + 216 | 0;
   102079     $4 = HEAP32[$clust >> 2] | 0;
   102080     $clust6 = $call_call1 + 216 | 0;
   102081     $5 = HEAP32[$clust6 >> 2] | 0;
   102082     $cmp7 = ($4 | 0) == ($5 | 0);
   102083     if ($cmp7) {
   102084       label = 9;
   102085       break;
   102086     } else {
   102087       label = 3;
   102088       break;
   102089     }
   102090    case 3:
   102091     $call9 = _find_fast_edge($call1_call, $call_call1) | 0;
   102092     $tobool = ($call9 | 0) == 0;
   102093     if ($tobool) {
   102094       label = 5;
   102095       break;
   102096     } else {
   102097       label = 4;
   102098       break;
   102099     }
   102100    case 4:
   102101     _merge_chain($g, $e, $call9, 1);
   102102     label = 9;
   102103     break;
   102104    case 5:
   102105     $rank13 = $call1_call + 236 | 0;
   102106     $6 = HEAP32[$rank13 >> 2] | 0;
   102107     $rank15 = $call_call1 + 236 | 0;
   102108     $7 = HEAP32[$rank15 >> 2] | 0;
   102109     $cmp16 = ($6 | 0) == ($7 | 0);
   102110     if ($cmp16) {
   102111       label = 9;
   102112       break;
   102113     } else {
   102114       label = 6;
   102115       break;
   102116     }
   102117    case 6:
   102118     _make_chain($g, $call1_call, $call_call1, $e);
   102119     $to_virt = $e + 188 | 0;
   102120     $ve_023 = HEAP32[$to_virt >> 2] | 0;
   102121     $tobool2024 = ($ve_023 | 0) == 0;
   102122     if ($tobool2024) {
   102123       label = 9;
   102124       break;
   102125     } else {
   102126       $ve_025 = $ve_023;
   102127       label = 7;
   102128       break;
   102129     }
   102130    case 7:
   102131     $head21 = $ve_025 + 12 | 0;
   102132     $8 = HEAP32[$head21 >> 2] | 0;
   102133     $rank23 = $8 + 236 | 0;
   102134     $9 = HEAP32[$rank23 >> 2] | 0;
   102135     $10 = HEAP32[$rank15 >> 2] | 0;
   102136     $cmp26 = ($9 | 0) > ($10 | 0);
   102137     if ($cmp26) {
   102138       label = 9;
   102139       break;
   102140     } else {
   102141       label = 8;
   102142       break;
   102143     }
   102144    case 8:
   102145     $edge_type = $ve_025 + 128 | 0;
   102146     HEAP8[$edge_type] = 5;
   102147     $11 = HEAP32[$head21 >> 2] | 0;
   102148     $list = $11 + 184 | 0;
   102149     $12 = HEAP32[$list >> 2] | 0;
   102150     $ve_0 = HEAP32[$12 >> 2] | 0;
   102151     $tobool20 = ($ve_0 | 0) == 0;
   102152     if ($tobool20) {
   102153       label = 9;
   102154       break;
   102155     } else {
   102156       $ve_025 = $ve_0;
   102157       label = 7;
   102158       break;
   102159     }
   102160    case 9:
   102161     return;
   102162   }
   102163 }
   102164 function _label_vnode($g, $orig) {
   102165   $g = $g | 0;
   102166   $orig = $orig | 0;
   102167   var $label = 0, $0 = 0, $dimen_sroa_0_0__idx = 0, $dimen_sroa_0_0_copyload = 0.0, $dimen_sroa_1_8__idx3 = 0, $dimen_sroa_1_8_copyload = 0.0, $call = 0, $1 = 0, $label5 = 0, $graph = 0, $2 = 0, $root = 0, $3 = 0, $nodesep = 0, $4 = 0, $conv = 0.0, $lw = 0, $label_ontop = 0, $5 = 0, $tobool = 0, $root9 = 0, $6 = 0, $rankdir = 0, $7 = 0, $and11 = 0, $tobool12 = 0, $ht18 = 0, $rw = 0, $rw21 = 0, label = 0;
   102168   label = 2;
   102169   while (1) switch (label | 0) {
   102170    case 2:
   102171     $label = $orig + 112 | 0;
   102172     $0 = HEAP32[$label >> 2] | 0;
   102173     $dimen_sroa_0_0__idx = $0 + 24 | 0;
   102174     $dimen_sroa_0_0_copyload = +HEAPF64[$dimen_sroa_0_0__idx >> 3];
   102175     $dimen_sroa_1_8__idx3 = $0 + 32 | 0;
   102176     $dimen_sroa_1_8_copyload = +HEAPF64[$dimen_sroa_1_8__idx3 >> 3];
   102177     $call = _virtual_node($g) | 0;
   102178     $1 = HEAP32[$label >> 2] | 0;
   102179     $label5 = $call + 120 | 0;
   102180     HEAP32[$label5 >> 2] = $1;
   102181     $graph = $call + 20 | 0;
   102182     $2 = HEAP32[$graph >> 2] | 0;
   102183     $root = $2 + 32 | 0;
   102184     $3 = HEAP32[$root >> 2] | 0;
   102185     $nodesep = $3 + 260 | 0;
   102186     $4 = HEAP32[$nodesep >> 2] | 0;
   102187     $conv = +($4 | 0);
   102188     $lw = $call + 104 | 0;
   102189     HEAPF64[$lw >> 3] = $conv;
   102190     $label_ontop = $orig + 130 | 0;
   102191     $5 = HEAP8[$label_ontop] | 0;
   102192     $tobool = $5 << 24 >> 24 == 0;
   102193     if ($tobool) {
   102194       label = 3;
   102195       break;
   102196     } else {
   102197       label = 6;
   102198       break;
   102199     }
   102200    case 3:
   102201     $root9 = $g + 32 | 0;
   102202     $6 = HEAP32[$root9 >> 2] | 0;
   102203     $rankdir = $6 + 156 | 0;
   102204     $7 = HEAP32[$rankdir >> 2] | 0;
   102205     $and11 = $7 & 1;
   102206     $tobool12 = ($and11 | 0) == 0;
   102207     $ht18 = $call + 96 | 0;
   102208     if ($tobool12) {
   102209       label = 5;
   102210       break;
   102211     } else {
   102212       label = 4;
   102213       break;
   102214     }
   102215    case 4:
   102216     HEAPF64[$ht18 >> 3] = $dimen_sroa_0_0_copyload;
   102217     $rw = $call + 112 | 0;
   102218     HEAPF64[$rw >> 3] = $dimen_sroa_1_8_copyload;
   102219     label = 6;
   102220     break;
   102221    case 5:
   102222     HEAPF64[$ht18 >> 3] = $dimen_sroa_1_8_copyload;
   102223     $rw21 = $call + 112 | 0;
   102224     HEAPF64[$rw21 >> 3] = $dimen_sroa_0_0_copyload;
   102225     label = 6;
   102226     break;
   102227    case 6:
   102228     return $call | 0;
   102229   }
   102230   return 0;
   102231 }
   102232 function _plain_vnode($g) {
   102233   $g = $g | 0;
   102234   var $call = 0;
   102235   $call = _virtual_node($g) | 0;
   102236   _incr_width($g, $call);
   102237   return $call | 0;
   102238 }
   102239 function _merge_chain($g, $e, $f, $flag) {
   102240   $g = $g | 0;
   102241   $e = $e | 0;
   102242   $f = $f | 0;
   102243   $flag = $flag | 0;
   102244   var $tail = 0, $0 = 0, $rank = 0, $1 = 0, $head = 0, $2 = 0, $rank2 = 0, $3 = 0, $cmp = 0, $_ = 0, $to_virt = 0, $4 = 0, $cmp10 = 0, $tobool = 0, $xpenalty = 0, $weight = 0, $count = 0, $rep_0 = 0, $5 = 0, $count18 = 0, $6 = 0, $add = 0, $7 = 0, $xpenalty24 = 0, $8 = 0, $add26 = 0, $9 = 0.0, $weight30 = 0, $10 = 0.0, $add31 = 0.0, $head32 = 0, $11 = 0, $rank34 = 0, $12 = 0, $cmp35 = 0, $13 = 0, $list = 0, $14 = 0, $15 = 0, $tobool42 = 0, label = 0;
   102245   label = 2;
   102246   while (1) switch (label | 0) {
   102247    case 2:
   102248     $tail = $e + 16 | 0;
   102249     $0 = HEAP32[$tail >> 2] | 0;
   102250     $rank = $0 + 236 | 0;
   102251     $1 = HEAP32[$rank >> 2] | 0;
   102252     $head = $e + 12 | 0;
   102253     $2 = HEAP32[$head >> 2] | 0;
   102254     $rank2 = $2 + 236 | 0;
   102255     $3 = HEAP32[$rank2 >> 2] | 0;
   102256     $cmp = ($1 | 0) > ($3 | 0);
   102257     $_ = $cmp ? $1 : $3;
   102258     $to_virt = $e + 188 | 0;
   102259     $4 = HEAP32[$to_virt >> 2] | 0;
   102260     $cmp10 = ($4 | 0) == 0;
   102261     if ($cmp10) {
   102262       label = 4;
   102263       break;
   102264     } else {
   102265       label = 3;
   102266       break;
   102267     }
   102268    case 3:
   102269     ___assert_func(153128, 149, 164032, 155184);
   102270    case 4:
   102271     HEAP32[$to_virt >> 2] = $f;
   102272     $tobool = ($flag | 0) == 0;
   102273     $xpenalty = $e + 170 | 0;
   102274     $weight = $e + 172 | 0;
   102275     $count = $e + 184 | 0;
   102276     $rep_0 = $f;
   102277     label = 5;
   102278     break;
   102279    case 5:
   102280     if ($tobool) {
   102281       label = 7;
   102282       break;
   102283     } else {
   102284       label = 6;
   102285       break;
   102286     }
   102287    case 6:
   102288     $5 = HEAP16[$count >> 1] | 0;
   102289     $count18 = $rep_0 + 184 | 0;
   102290     $6 = HEAP16[$count18 >> 1] | 0;
   102291     $add = $6 + $5 & 65535;
   102292     HEAP16[$count18 >> 1] = $add;
   102293     label = 7;
   102294     break;
   102295    case 7:
   102296     $7 = HEAP16[$xpenalty >> 1] | 0;
   102297     $xpenalty24 = $rep_0 + 170 | 0;
   102298     $8 = HEAP16[$xpenalty24 >> 1] | 0;
   102299     $add26 = $8 + $7 & 65535;
   102300     HEAP16[$xpenalty24 >> 1] = $add26;
   102301     $9 = +HEAPF32[$weight >> 2];
   102302     $weight30 = $rep_0 + 172 | 0;
   102303     $10 = +HEAPF32[$weight30 >> 2];
   102304     $add31 = $9 + $10;
   102305     HEAPF32[$weight30 >> 2] = $add31;
   102306     $head32 = $rep_0 + 12 | 0;
   102307     $11 = HEAP32[$head32 >> 2] | 0;
   102308     $rank34 = $11 + 236 | 0;
   102309     $12 = HEAP32[$rank34 >> 2] | 0;
   102310     $cmp35 = ($12 | 0) == ($_ | 0);
   102311     if ($cmp35) {
   102312       label = 9;
   102313       break;
   102314     } else {
   102315       label = 8;
   102316       break;
   102317     }
   102318    case 8:
   102319     _incr_width($g, $11);
   102320     $13 = HEAP32[$head32 >> 2] | 0;
   102321     $list = $13 + 184 | 0;
   102322     $14 = HEAP32[$list >> 2] | 0;
   102323     $15 = HEAP32[$14 >> 2] | 0;
   102324     $tobool42 = ($15 | 0) == 0;
   102325     if ($tobool42) {
   102326       label = 9;
   102327       break;
   102328     } else {
   102329       $rep_0 = $15;
   102330       label = 5;
   102331       break;
   102332     }
   102333    case 9:
   102334     return;
   102335   }
   102336 }
   102337 function _make_chain($g, $from, $to, $orig) {
   102338   $g = $g | 0;
   102339   $from = $from | 0;
   102340   $to = $to | 0;
   102341   $orig = $orig | 0;
   102342   var $label = 0, $0 = 0, $tobool = 0, $rank = 0, $1 = 0, $rank4 = 0, $2 = 0, $add = 0, $div = 0, $label_rank_0 = 0, $to_virt = 0, $3 = 0, $cmp = 0, $rank7 = 0, $4 = 0, $r_016 = 0, $rank10 = 0, $5 = 0, $cmp1117 = 0, $6 = 0, $r_019 = 0, $u_018 = 0, $cmp14 = 0, $cmp16 = 0, $call = 0, $call19 = 0, $v_0 = 0, $rank22 = 0, $v_1 = 0, $call25 = 0, $r_0 = 0, $7 = 0, $cmp11 = 0, $8 = 0, $cmp28 = 0, label = 0;
   102343   label = 2;
   102344   while (1) switch (label | 0) {
   102345    case 2:
   102346     $label = $orig + 112 | 0;
   102347     $0 = HEAP32[$label >> 2] | 0;
   102348     $tobool = ($0 | 0) == 0;
   102349     if ($tobool) {
   102350       $label_rank_0 = -1;
   102351       label = 4;
   102352       break;
   102353     } else {
   102354       label = 3;
   102355       break;
   102356     }
   102357    case 3:
   102358     $rank = $from + 236 | 0;
   102359     $1 = HEAP32[$rank >> 2] | 0;
   102360     $rank4 = $to + 236 | 0;
   102361     $2 = HEAP32[$rank4 >> 2] | 0;
   102362     $add = $2 + $1 | 0;
   102363     $div = ($add | 0) / 2 & -1;
   102364     $label_rank_0 = $div;
   102365     label = 4;
   102366     break;
   102367    case 4:
   102368     $to_virt = $orig + 188 | 0;
   102369     $3 = HEAP32[$to_virt >> 2] | 0;
   102370     $cmp = ($3 | 0) == 0;
   102371     if ($cmp) {
   102372       label = 6;
   102373       break;
   102374     } else {
   102375       label = 5;
   102376       break;
   102377     }
   102378    case 5:
   102379     ___assert_func(153128, 90, 164112, 126200);
   102380    case 6:
   102381     $rank7 = $from + 236 | 0;
   102382     $4 = HEAP32[$rank7 >> 2] | 0;
   102383     $r_016 = $4 + 1 | 0;
   102384     $rank10 = $to + 236 | 0;
   102385     $5 = HEAP32[$rank10 >> 2] | 0;
   102386     $cmp1117 = ($r_016 | 0) > ($5 | 0);
   102387     if ($cmp1117) {
   102388       label = 13;
   102389       break;
   102390     } else {
   102391       $u_018 = $from;
   102392       $r_019 = $r_016;
   102393       $6 = $5;
   102394       label = 7;
   102395       break;
   102396     }
   102397    case 7:
   102398     $cmp14 = ($r_019 | 0) < ($6 | 0);
   102399     if ($cmp14) {
   102400       label = 8;
   102401       break;
   102402     } else {
   102403       $v_1 = $to;
   102404       label = 12;
   102405       break;
   102406     }
   102407    case 8:
   102408     $cmp16 = ($r_019 | 0) == ($label_rank_0 | 0);
   102409     if ($cmp16) {
   102410       label = 9;
   102411       break;
   102412     } else {
   102413       label = 10;
   102414       break;
   102415     }
   102416    case 9:
   102417     $call = _label_vnode($g, $orig) | 0;
   102418     $v_0 = $call;
   102419     label = 11;
   102420     break;
   102421    case 10:
   102422     $call19 = _plain_vnode($g) | 0;
   102423     $v_0 = $call19;
   102424     label = 11;
   102425     break;
   102426    case 11:
   102427     $rank22 = $v_0 + 236 | 0;
   102428     HEAP32[$rank22 >> 2] = $r_019;
   102429     $v_1 = $v_0;
   102430     label = 12;
   102431     break;
   102432    case 12:
   102433     $call25 = _virtual_edge($u_018, $v_1, $orig) | 0;
   102434     _virtual_weight($call25);
   102435     $r_0 = $r_019 + 1 | 0;
   102436     $7 = HEAP32[$rank10 >> 2] | 0;
   102437     $cmp11 = ($r_0 | 0) > ($7 | 0);
   102438     if ($cmp11) {
   102439       label = 13;
   102440       break;
   102441     } else {
   102442       $u_018 = $v_1;
   102443       $r_019 = $r_0;
   102444       $6 = $7;
   102445       label = 7;
   102446       break;
   102447     }
   102448    case 13:
   102449     $8 = HEAP32[$to_virt >> 2] | 0;
   102450     $cmp28 = ($8 | 0) == 0;
   102451     if ($cmp28) {
   102452       label = 14;
   102453       break;
   102454     } else {
   102455       label = 15;
   102456       break;
   102457     }
   102458    case 14:
   102459     ___assert_func(153128, 104, 164112, 117208);
   102460    case 15:
   102461     return;
   102462   }
   102463 }
   102464 function _leader_of($v) {
   102465   $v = $v | 0;
   102466   var $ranktype = 0, $0 = 0, $cmp = 0, $call = 0, $clust3 = 0, $1 = 0, $rank = 0, $2 = 0, $rankleader = 0, $3 = 0, $arrayidx = 0, $4 = 0, $rv_0 = 0, label = 0;
   102467   label = 2;
   102468   while (1) switch (label | 0) {
   102469    case 2:
   102470     $ranktype = $v + 165 | 0;
   102471     $0 = HEAP8[$ranktype] | 0;
   102472     $cmp = $0 << 24 >> 24 == 7;
   102473     if ($cmp) {
   102474       label = 4;
   102475       break;
   102476     } else {
   102477       label = 3;
   102478       break;
   102479     }
   102480    case 3:
   102481     $call = _UF_find($v) | 0;
   102482     $rv_0 = $call;
   102483     label = 5;
   102484     break;
   102485    case 4:
   102486     $clust3 = $v + 216 | 0;
   102487     $1 = HEAP32[$clust3 >> 2] | 0;
   102488     $rank = $v + 236 | 0;
   102489     $2 = HEAP32[$rank >> 2] | 0;
   102490     $rankleader = $1 + 280 | 0;
   102491     $3 = HEAP32[$rankleader >> 2] | 0;
   102492     $arrayidx = $3 + ($2 << 2) | 0;
   102493     $4 = HEAP32[$arrayidx >> 2] | 0;
   102494     $rv_0 = $4;
   102495     label = 5;
   102496     break;
   102497    case 5:
   102498     return $rv_0 | 0;
   102499   }
   102500   return 0;
   102501 }
   102502 function _make_interclust_chain($from, $to, $orig) {
   102503   $from = $from | 0;
   102504   $to = $to | 0;
   102505   $orig = $orig | 0;
   102506   var $call = 0, $call1 = 0;
   102507   $call = _map_interclust_node($from) | 0;
   102508   $call1 = _map_interclust_node($to) | 0;
   102509   _map_path($call, $call1, $orig, HEAP32[$orig + 188 >> 2] | 0, ($call | 0) == ($from | 0) & ($call1 | 0) == ($to | 0) ? 1 : 5);
   102510   return;
   102511 }
   102512 function _expand_cluster($subg) {
   102513   $subg = $subg | 0;
   102514   var $0 = 0;
   102515   $0 = $subg;
   102516   _class2($0);
   102517   HEAP32[$subg + 232 >> 2] = 1;
   102518   HEAP32[HEAP32[$subg + 228 >> 2] >> 2] = HEAP32[$subg + 220 >> 2] | 0;
   102519   _allocate_ranks($0);
   102520   _build_ranks($0, 0);
   102521   _merge_ranks($subg);
   102522   _interclexp($subg);
   102523   _remove_rankleaders($subg);
   102524   return;
   102525 }
   102526 function _merge_ranks($subg) {
   102527   $subg = $subg | 0;
   102528   var $root1 = 0, $0 = 0, $minrank = 0, $1 = 0, $cmp = 0, $conv = 0, $sub = 0, $rank = 0, $2 = 0, $valid = 0, $3 = 0, $conv9 = 0, $maxrank = 0, $4 = 0, $cmp1244 = 0, $rank15 = 0, $rankleader = 0, $rank47 = 0, $rank34 = 0, $5 = 0, $r_045 = 0, $6 = 0, $n = 0, $7 = 0, $8 = 0, $arrayidx18 = 0, $9 = 0, $order = 0, $10 = 0, $11 = 0, $n2439 = 0, $12 = 0, $cmp2540 = 0, $13 = 0, $i_042 = 0, $pos_041 = 0, $v31 = 0, $14 = 0, $arrayidx32 = 0, $15 = 0, $16 = 0, $v36 = 0, $17 = 0, $arrayidx37 = 0, $inc = 0, $order39 = 0, $18 = 0, $graph = 0, $19 = 0, $20 = 0, $21 = 0, $22 = 0, $n_nodes = 0, $23 = 0, $inc44 = 0, $inc45 = 0, $24 = 0, $n24 = 0, $25 = 0, $cmp25 = 0, $_lcssa = 0, $26 = 0, $v49 = 0, $27 = 0, $add_ptr = 0, $v53 = 0, $28 = 0, $valid57 = 0, $inc59 = 0, $29 = 0, $conv11 = 0, $cmp12 = 0, $r_0_lcssa = 0, $maxrank62 = 0, $30 = 0, $conv63 = 0, $cmp64 = 0, $rank68 = 0, $31 = 0, $valid70 = 0, $expanded = 0, label = 0;
   102529   label = 2;
   102530   while (1) switch (label | 0) {
   102531    case 2:
   102532     $root1 = $subg + 32 | 0;
   102533     $0 = HEAP32[$root1 >> 2] | 0;
   102534     $minrank = $subg + 248 | 0;
   102535     $1 = HEAP16[$minrank >> 1] | 0;
   102536     $cmp = $1 << 16 >> 16 > 0;
   102537     if ($cmp) {
   102538       label = 3;
   102539       break;
   102540     } else {
   102541       label = 4;
   102542       break;
   102543     }
   102544    case 3:
   102545     $conv = $1 << 16 >> 16;
   102546     $sub = $conv - 1 | 0;
   102547     $rank = $0 + 224 | 0;
   102548     $2 = HEAP32[$rank >> 2] | 0;
   102549     $valid = $2 + ($sub * 44 & -1) + 33 | 0;
   102550     HEAP8[$valid] = 0;
   102551     label = 4;
   102552     break;
   102553    case 4:
   102554     $3 = HEAP16[$minrank >> 1] | 0;
   102555     $conv9 = $3 << 16 >> 16;
   102556     $maxrank = $subg + 250 | 0;
   102557     $4 = HEAP16[$maxrank >> 1] | 0;
   102558     $cmp1244 = $3 << 16 >> 16 > $4 << 16 >> 16;
   102559     if ($cmp1244) {
   102560       $r_0_lcssa = $conv9;
   102561       label = 9;
   102562       break;
   102563     } else {
   102564       label = 5;
   102565       break;
   102566     }
   102567    case 5:
   102568     $rank15 = $subg + 224 | 0;
   102569     $rankleader = $subg + 280 | 0;
   102570     $rank47 = $0 + 224 | 0;
   102571     $rank34 = $0 + 224 | 0;
   102572     $5 = $subg;
   102573     $r_045 = $conv9;
   102574     label = 6;
   102575     break;
   102576    case 6:
   102577     $6 = HEAP32[$rank15 >> 2] | 0;
   102578     $n = $6 + ($r_045 * 44 & -1) | 0;
   102579     $7 = HEAP32[$n >> 2] | 0;
   102580     $8 = HEAP32[$rankleader >> 2] | 0;
   102581     $arrayidx18 = $8 + ($r_045 << 2) | 0;
   102582     $9 = HEAP32[$arrayidx18 >> 2] | 0;
   102583     $order = $9 + 240 | 0;
   102584     $10 = HEAP32[$order >> 2] | 0;
   102585     _make_slots($0, $r_045, $10, $7);
   102586     $11 = HEAP32[$rank15 >> 2] | 0;
   102587     $n2439 = $11 + ($r_045 * 44 & -1) | 0;
   102588     $12 = HEAP32[$n2439 >> 2] | 0;
   102589     $cmp2540 = ($12 | 0) > 0;
   102590     if ($cmp2540) {
   102591       $pos_041 = $10;
   102592       $i_042 = 0;
   102593       $13 = $11;
   102594       label = 7;
   102595       break;
   102596     } else {
   102597       $_lcssa = $11;
   102598       label = 8;
   102599       break;
   102600     }
   102601    case 7:
   102602     $v31 = $13 + ($r_045 * 44 & -1) + 4 | 0;
   102603     $14 = HEAP32[$v31 >> 2] | 0;
   102604     $arrayidx32 = $14 + ($i_042 << 2) | 0;
   102605     $15 = HEAP32[$arrayidx32 >> 2] | 0;
   102606     $16 = HEAP32[$rank34 >> 2] | 0;
   102607     $v36 = $16 + ($r_045 * 44 & -1) + 4 | 0;
   102608     $17 = HEAP32[$v36 >> 2] | 0;
   102609     $arrayidx37 = $17 + ($pos_041 << 2) | 0;
   102610     HEAP32[$arrayidx37 >> 2] = $15;
   102611     $inc = $pos_041 + 1 | 0;
   102612     $order39 = $15 + 240 | 0;
   102613     HEAP32[$order39 >> 2] = $pos_041;
   102614     $18 = HEAP32[$root1 >> 2] | 0;
   102615     $graph = $15 + 20 | 0;
   102616     HEAP32[$graph >> 2] = $18;
   102617     $19 = $15;
   102618     _delete_fast_node($5, $19);
   102619     $20 = HEAP32[$root1 >> 2] | 0;
   102620     $21 = $20;
   102621     _fast_node($21, $19);
   102622     $22 = HEAP32[$root1 >> 2] | 0;
   102623     $n_nodes = $22 + 244 | 0;
   102624     $23 = HEAP32[$n_nodes >> 2] | 0;
   102625     $inc44 = $23 + 1 | 0;
   102626     HEAP32[$n_nodes >> 2] = $inc44;
   102627     $inc45 = $i_042 + 1 | 0;
   102628     $24 = HEAP32[$rank15 >> 2] | 0;
   102629     $n24 = $24 + ($r_045 * 44 & -1) | 0;
   102630     $25 = HEAP32[$n24 >> 2] | 0;
   102631     $cmp25 = ($inc45 | 0) < ($25 | 0);
   102632     if ($cmp25) {
   102633       $pos_041 = $inc;
   102634       $i_042 = $inc45;
   102635       $13 = $24;
   102636       label = 7;
   102637       break;
   102638     } else {
   102639       $_lcssa = $24;
   102640       label = 8;
   102641       break;
   102642     }
   102643    case 8:
   102644     $26 = HEAP32[$rank47 >> 2] | 0;
   102645     $v49 = $26 + ($r_045 * 44 & -1) + 4 | 0;
   102646     $27 = HEAP32[$v49 >> 2] | 0;
   102647     $add_ptr = $27 + ($10 << 2) | 0;
   102648     $v53 = $_lcssa + ($r_045 * 44 & -1) + 4 | 0;
   102649     HEAP32[$v53 >> 2] = $add_ptr;
   102650     $28 = HEAP32[$rank47 >> 2] | 0;
   102651     $valid57 = $28 + ($r_045 * 44 & -1) + 33 | 0;
   102652     HEAP8[$valid57] = 0;
   102653     $inc59 = $r_045 + 1 | 0;
   102654     $29 = HEAP16[$maxrank >> 1] | 0;
   102655     $conv11 = $29 << 16 >> 16;
   102656     $cmp12 = ($inc59 | 0) > ($conv11 | 0);
   102657     if ($cmp12) {
   102658       $r_0_lcssa = $inc59;
   102659       label = 9;
   102660       break;
   102661     } else {
   102662       $r_045 = $inc59;
   102663       label = 6;
   102664       break;
   102665     }
   102666    case 9:
   102667     $maxrank62 = $0 + 250 | 0;
   102668     $30 = HEAP16[$maxrank62 >> 1] | 0;
   102669     $conv63 = $30 << 16 >> 16;
   102670     $cmp64 = ($r_0_lcssa | 0) < ($conv63 | 0);
   102671     if ($cmp64) {
   102672       label = 10;
   102673       break;
   102674     } else {
   102675       label = 11;
   102676       break;
   102677     }
   102678    case 10:
   102679     $rank68 = $0 + 224 | 0;
   102680     $31 = HEAP32[$rank68 >> 2] | 0;
   102681     $valid70 = $31 + ($r_0_lcssa * 44 & -1) + 33 | 0;
   102682     HEAP8[$valid70] = 0;
   102683     label = 11;
   102684     break;
   102685    case 11:
   102686     $expanded = $subg + 284 | 0;
   102687     HEAP8[$expanded] = 1;
   102688     return;
   102689   }
   102690 }
   102691 function _remove_rankleaders($g) {
   102692   $g = $g | 0;
   102693   var $minrank = 0, $0 = 0, $maxrank = 0, $1 = 0, $cmp15 = 0, $conv = 0, $rankleader = 0, $root = 0, $r_016 = 0, $2 = 0, $arrayidx = 0, $3 = 0, $list = 0, $4 = 0, $5 = 0, $tobool12 = 0, $6 = 0, $7 = 0, $8 = 0, $9 = 0, $tobool = 0, $list9 = 0, $10 = 0, $11 = 0, $tobool1113 = 0, $12 = 0, $13 = 0, $14 = 0, $15 = 0, $tobool11 = 0, $16 = 0, $17 = 0, $18 = 0, $19 = 0, $arrayidx16 = 0, $inc = 0, $20 = 0, $conv2 = 0, $cmp = 0, label = 0;
   102694   label = 2;
   102695   while (1) switch (label | 0) {
   102696    case 2:
   102697     $minrank = $g + 248 | 0;
   102698     $0 = HEAP16[$minrank >> 1] | 0;
   102699     $maxrank = $g + 250 | 0;
   102700     $1 = HEAP16[$maxrank >> 1] | 0;
   102701     $cmp15 = $0 << 16 >> 16 > $1 << 16 >> 16;
   102702     if ($cmp15) {
   102703       label = 9;
   102704       break;
   102705     } else {
   102706       label = 3;
   102707       break;
   102708     }
   102709    case 3:
   102710     $conv = $0 << 16 >> 16;
   102711     $rankleader = $g + 280 | 0;
   102712     $root = $g + 32 | 0;
   102713     $r_016 = $conv;
   102714     label = 4;
   102715     break;
   102716    case 4:
   102717     $2 = HEAP32[$rankleader >> 2] | 0;
   102718     $arrayidx = $2 + ($r_016 << 2) | 0;
   102719     $3 = HEAP32[$arrayidx >> 2] | 0;
   102720     $list = $3 + 184 | 0;
   102721     $4 = HEAP32[$list >> 2] | 0;
   102722     $5 = HEAP32[$4 >> 2] | 0;
   102723     $tobool12 = ($5 | 0) == 0;
   102724     if ($tobool12) {
   102725       label = 6;
   102726       break;
   102727     } else {
   102728       $6 = $5;
   102729       label = 5;
   102730       break;
   102731     }
   102732    case 5:
   102733     $7 = $6;
   102734     _delete_fast_edge($7);
   102735     $8 = HEAP32[$list >> 2] | 0;
   102736     $9 = HEAP32[$8 >> 2] | 0;
   102737     $tobool = ($9 | 0) == 0;
   102738     if ($tobool) {
   102739       label = 6;
   102740       break;
   102741     } else {
   102742       $6 = $9;
   102743       label = 5;
   102744       break;
   102745     }
   102746    case 6:
   102747     $list9 = $3 + 176 | 0;
   102748     $10 = HEAP32[$list9 >> 2] | 0;
   102749     $11 = HEAP32[$10 >> 2] | 0;
   102750     $tobool1113 = ($11 | 0) == 0;
   102751     if ($tobool1113) {
   102752       label = 8;
   102753       break;
   102754     } else {
   102755       $12 = $11;
   102756       label = 7;
   102757       break;
   102758     }
   102759    case 7:
   102760     $13 = $12;
   102761     _delete_fast_edge($13);
   102762     $14 = HEAP32[$list9 >> 2] | 0;
   102763     $15 = HEAP32[$14 >> 2] | 0;
   102764     $tobool11 = ($15 | 0) == 0;
   102765     if ($tobool11) {
   102766       label = 8;
   102767       break;
   102768     } else {
   102769       $12 = $15;
   102770       label = 7;
   102771       break;
   102772     }
   102773    case 8:
   102774     $16 = HEAP32[$root >> 2] | 0;
   102775     $17 = $16;
   102776     $18 = $3;
   102777     _delete_fast_node($17, $18);
   102778     $19 = HEAP32[$rankleader >> 2] | 0;
   102779     $arrayidx16 = $19 + ($r_016 << 2) | 0;
   102780     HEAP32[$arrayidx16 >> 2] = 0;
   102781     $inc = $r_016 + 1 | 0;
   102782     $20 = HEAP16[$maxrank >> 1] | 0;
   102783     $conv2 = $20 << 16 >> 16;
   102784     $cmp = ($inc | 0) > ($conv2 | 0);
   102785     if ($cmp) {
   102786       label = 9;
   102787       break;
   102788     } else {
   102789       $r_016 = $inc;
   102790       label = 4;
   102791       break;
   102792     }
   102793    case 9:
   102794     return;
   102795   }
   102796 }
   102797 function _mark_clusters($g) {
   102798   $g = $g | 0;
   102799   var $0 = 0, $call = 0, $tobool39 = 0, $n_cluster = 0, $1 = 0, $cmp736 = 0, $clust11 = 0, $name23 = 0, $n_0_in40 = 0, $n_0 = 0, $ranktype = 0, $2 = 0, $cmp = 0, $3 = 0, $clust3 = 0, $call4 = 0, $tobool = 0, $c_037 = 0, $4 = 0, $arrayidx = 0, $5 = 0, $6 = 0, $call12 = 0, $tobool1434 = 0, $leader = 0, $7 = 0, $n_1_in35 = 0, $call16 = 0, $u17 = 0, $8 = 0, $9 = 0, $cmp20 = 0, $10 = 0, $11 = 0, $12 = 0, $call24 = 0, $13 = 0, $tobool14 = 0, $14 = 0, $15 = 0, $16 = 0, $clust28 = 0, $17 = 0, $call31 = 0, $tobool3332 = 0, $orig_0_in33 = 0, $to_virt = 0, $18 = 0, $19 = 0, $tobool36 = 0, $e_031 = 0, $head = 0, $20 = 0, $node_type = 0, $21 = 0, $cmp41 = 0, $clust44 = 0, $22 = 0, $list = 0, $23 = 0, $24 = 0, $tobool38 = 0, $call50 = 0, $tobool33 = 0, $inc = 0, $25 = 0, $cmp7 = 0, label = 0, __stackBase__ = 0;
   102800   __stackBase__ = STACKTOP;
   102801   label = 2;
   102802   while (1) switch (label | 0) {
   102803    case 2:
   102804     $0 = $g;
   102805     $call = _agfstnode($0) | 0;
   102806     $tobool39 = ($call | 0) == 0;
   102807     if ($tobool39) {
   102808       label = 3;
   102809       break;
   102810     } else {
   102811       $n_0_in40 = $call;
   102812       label = 5;
   102813       break;
   102814     }
   102815    case 3:
   102816     $n_cluster = $g + 212 | 0;
   102817     $1 = HEAP32[$n_cluster >> 2] | 0;
   102818     $cmp736 = ($1 | 0) < 1;
   102819     if ($cmp736) {
   102820       label = 19;
   102821       break;
   102822     } else {
   102823       label = 4;
   102824       break;
   102825     }
   102826    case 4:
   102827     $clust11 = $g + 216 | 0;
   102828     $name23 = $g + 12 | 0;
   102829     $c_037 = 1;
   102830     label = 8;
   102831     break;
   102832    case 5:
   102833     $n_0 = $n_0_in40;
   102834     $ranktype = $n_0 + 165 | 0;
   102835     $2 = HEAP8[$ranktype] | 0;
   102836     $cmp = $2 << 24 >> 24 == 7;
   102837     if ($cmp) {
   102838       label = 6;
   102839       break;
   102840     } else {
   102841       label = 7;
   102842       break;
   102843     }
   102844    case 6:
   102845     $3 = $n_0_in40;
   102846     _UF_singleton($3);
   102847     label = 7;
   102848     break;
   102849    case 7:
   102850     $clust3 = $n_0_in40 + 216 | 0;
   102851     HEAP32[$clust3 >> 2] = 0;
   102852     $call4 = _agnxtnode($0, $n_0_in40) | 0;
   102853     $tobool = ($call4 | 0) == 0;
   102854     if ($tobool) {
   102855       label = 3;
   102856       break;
   102857     } else {
   102858       $n_0_in40 = $call4;
   102859       label = 5;
   102860       break;
   102861     }
   102862    case 8:
   102863     $4 = HEAP32[$clust11 >> 2] | 0;
   102864     $arrayidx = $4 + ($c_037 << 2) | 0;
   102865     $5 = HEAP32[$arrayidx >> 2] | 0;
   102866     $6 = $5;
   102867     $call12 = _agfstnode($6) | 0;
   102868     $tobool1434 = ($call12 | 0) == 0;
   102869     if ($tobool1434) {
   102870       label = 18;
   102871       break;
   102872     } else {
   102873       label = 9;
   102874       break;
   102875     }
   102876    case 9:
   102877     $leader = $5 + 276 | 0;
   102878     $7 = $5;
   102879     $n_1_in35 = $call12;
   102880     label = 10;
   102881     break;
   102882    case 10:
   102883     $call16 = _agnxtnode($6, $n_1_in35) | 0;
   102884     $u17 = $n_1_in35 + 24 | 0;
   102885     $8 = $u17 + 141 | 0;
   102886     $9 = HEAP8[$8] | 0;
   102887     $cmp20 = $9 << 24 >> 24 == 0;
   102888     if ($cmp20) {
   102889       label = 13;
   102890       break;
   102891     } else {
   102892       label = 11;
   102893       break;
   102894     }
   102895    case 11:
   102896     $10 = $n_1_in35 + 12 | 0;
   102897     $11 = HEAP32[$10 >> 2] | 0;
   102898     $12 = HEAP32[$name23 >> 2] | 0;
   102899     $call24 = _agerr(0, 121184, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $11, HEAP32[tempInt + 8 >> 2] = $12, tempInt) | 0) | 0;
   102900     $13 = $n_1_in35 | 0;
   102901     _agdelete($7, $13);
   102902     label = 12;
   102903     break;
   102904    case 12:
   102905     $tobool14 = ($call16 | 0) == 0;
   102906     if ($tobool14) {
   102907       label = 18;
   102908       break;
   102909     } else {
   102910       $n_1_in35 = $call16;
   102911       label = 10;
   102912       break;
   102913     }
   102914    case 13:
   102915     $14 = HEAP32[$leader >> 2] | 0;
   102916     $15 = $n_1_in35;
   102917     $16 = $14;
   102918     _UF_setname($15, $16);
   102919     $clust28 = $u17 + 192 | 0;
   102920     $17 = $clust28;
   102921     HEAP32[$17 >> 2] = $5;
   102922     HEAP8[$8] = 7;
   102923     $call31 = _agfstout($6, $n_1_in35) | 0;
   102924     $tobool3332 = ($call31 | 0) == 0;
   102925     if ($tobool3332) {
   102926       label = 12;
   102927       break;
   102928     } else {
   102929       $orig_0_in33 = $call31;
   102930       label = 14;
   102931       break;
   102932     }
   102933    case 14:
   102934     $to_virt = $orig_0_in33 + 188 | 0;
   102935     $18 = $to_virt;
   102936     $19 = HEAP32[$18 >> 2] | 0;
   102937     $tobool36 = ($19 | 0) == 0;
   102938     if ($tobool36) {
   102939       label = 17;
   102940       break;
   102941     } else {
   102942       $e_031 = $19;
   102943       label = 15;
   102944       break;
   102945     }
   102946    case 15:
   102947     $head = $e_031 + 12 | 0;
   102948     $20 = HEAP32[$head >> 2] | 0;
   102949     $node_type = $20 + 162 | 0;
   102950     $21 = HEAP8[$node_type] | 0;
   102951     $cmp41 = $21 << 24 >> 24 == 1;
   102952     if ($cmp41) {
   102953       label = 16;
   102954       break;
   102955     } else {
   102956       label = 17;
   102957       break;
   102958     }
   102959    case 16:
   102960     $clust44 = $20 + 216 | 0;
   102961     HEAP32[$clust44 >> 2] = $5;
   102962     $22 = HEAP32[$head >> 2] | 0;
   102963     $list = $22 + 184 | 0;
   102964     $23 = HEAP32[$list >> 2] | 0;
   102965     $24 = HEAP32[$23 >> 2] | 0;
   102966     $tobool38 = ($24 | 0) == 0;
   102967     if ($tobool38) {
   102968       label = 17;
   102969       break;
   102970     } else {
   102971       $e_031 = $24;
   102972       label = 15;
   102973       break;
   102974     }
   102975    case 17:
   102976     $call50 = _agnxtout($6, $orig_0_in33) | 0;
   102977     $tobool33 = ($call50 | 0) == 0;
   102978     if ($tobool33) {
   102979       label = 12;
   102980       break;
   102981     } else {
   102982       $orig_0_in33 = $call50;
   102983       label = 14;
   102984       break;
   102985     }
   102986    case 18:
   102987     $inc = $c_037 + 1 | 0;
   102988     $25 = HEAP32[$n_cluster >> 2] | 0;
   102989     $cmp7 = ($inc | 0) > ($25 | 0);
   102990     if ($cmp7) {
   102991       label = 19;
   102992       break;
   102993     } else {
   102994       $c_037 = $inc;
   102995       label = 8;
   102996       break;
   102997     }
   102998    case 19:
   102999     STACKTOP = __stackBase__;
   103000     return;
   103001   }
   103002 }
   103003 function _build_skeleton($g, $subg) {
   103004   $g = $g | 0;
   103005   $subg = $subg | 0;
   103006   var $maxrank = 0, $0 = 0, $conv = 0, $add = 0, $mul = 0, $call = 0, $1 = 0, $rankleader = 0, $minrank = 0, $2 = 0, $3 = 0, $cmp49 = 0, $conv3 = 0, $4 = 0, $subg_c = 0, $prev_051 = 0, $r_050 = 0, $call8 = 0, $5 = 0, $6 = 0, $arrayidx = 0, $7 = 0, $8 = 0, $clust = 0, $tobool = 0, $9 = 0, $call14 = 0, $10 = 0, $11 = 0, $mul17 = 0, $inc = 0, $12 = 0, $conv6 = 0, $cmp = 0, $13 = 0, $call19 = 0, $tobool2146 = 0, $v_0_in47 = 0, $rank24 = 0, $14 = 0, $15 = 0, $16 = 0, $arrayidx27 = 0, $17 = 0, $UF_size = 0, $18 = 0, $inc29 = 0, $call30 = 0, $tobool3244 = 0, $list = 0, $e_0_in45 = 0, $tail = 0, $19 = 0, $rank35 = 0, $20 = 0, $21 = 0, $head = 0, $22 = 0, $rank3841 = 0, $23 = 0, $24 = 0, $cmp3942 = 0, $r_143 = 0, $25 = 0, $26 = 0, $count = 0, $27 = 0, $inc45 = 0, $inc47 = 0, $28 = 0, $rank38 = 0, $29 = 0, $30 = 0, $cmp39 = 0, $call50 = 0, $tobool32 = 0, $call53 = 0, $tobool21 = 0, $31 = 0, $32 = 0, $cmp6239 = 0, $conv57 = 0, $r_240 = 0, $33 = 0, $arrayidx67 = 0, $34 = 0, $UF_size69 = 0, $35 = 0, $cmp70 = 0, $dec = 0, $inc77 = 0, $36 = 0, $conv61 = 0, $cmp62 = 0, label = 0;
   103007   label = 2;
   103008   while (1) switch (label | 0) {
   103009    case 2:
   103010     $maxrank = $subg + 250 | 0;
   103011     $0 = HEAP16[$maxrank >> 1] | 0;
   103012     $conv = $0 << 16 >> 16;
   103013     $add = $conv << 2;
   103014     $mul = $add + 8 | 0;
   103015     $call = _zmalloc($mul) | 0;
   103016     $1 = $call;
   103017     $rankleader = $subg + 280 | 0;
   103018     HEAP32[$rankleader >> 2] = $1;
   103019     $minrank = $subg + 248 | 0;
   103020     $2 = HEAP16[$minrank >> 1] | 0;
   103021     $3 = HEAP16[$maxrank >> 1] | 0;
   103022     $cmp49 = $2 << 16 >> 16 > $3 << 16 >> 16;
   103023     if ($cmp49) {
   103024       label = 7;
   103025       break;
   103026     } else {
   103027       label = 3;
   103028       break;
   103029     }
   103030    case 3:
   103031     $conv3 = $2 << 16 >> 16;
   103032     $4 = $g;
   103033     $subg_c = $subg;
   103034     $r_050 = $conv3;
   103035     $prev_051 = 0;
   103036     label = 4;
   103037     break;
   103038    case 4:
   103039     $call8 = _virtual_node($4) | 0;
   103040     $5 = $call8;
   103041     $6 = HEAP32[$rankleader >> 2] | 0;
   103042     $arrayidx = $6 + ($r_050 << 2) | 0;
   103043     HEAP32[$arrayidx >> 2] = $5;
   103044     $7 = $call8 + 236 | 0;
   103045     HEAP32[$7 >> 2] = $r_050;
   103046     $8 = $call8 + 165 | 0;
   103047     HEAP8[$8] = 7;
   103048     $clust = $call8 + 216 | 0;
   103049     HEAP32[$clust >> 2] = $subg_c;
   103050     $tobool = ($prev_051 | 0) == 0;
   103051     if ($tobool) {
   103052       label = 6;
   103053       break;
   103054     } else {
   103055       label = 5;
   103056       break;
   103057     }
   103058    case 5:
   103059     $9 = $prev_051;
   103060     $call14 = _virtual_edge($9, $call8, 0) | 0;
   103061     $10 = $call14 + 170 | 0;
   103062     $11 = HEAP16[$10 >> 1] | 0;
   103063     $mul17 = $11 * 1e3 & 65535;
   103064     HEAP16[$10 >> 1] = $mul17;
   103065     label = 6;
   103066     break;
   103067    case 6:
   103068     $inc = $r_050 + 1 | 0;
   103069     $12 = HEAP16[$maxrank >> 1] | 0;
   103070     $conv6 = $12 << 16 >> 16;
   103071     $cmp = ($inc | 0) > ($conv6 | 0);
   103072     if ($cmp) {
   103073       label = 7;
   103074       break;
   103075     } else {
   103076       $r_050 = $inc;
   103077       $prev_051 = $5;
   103078       label = 4;
   103079       break;
   103080     }
   103081    case 7:
   103082     $13 = $subg;
   103083     $call19 = _agfstnode($13) | 0;
   103084     $tobool2146 = ($call19 | 0) == 0;
   103085     if ($tobool2146) {
   103086       label = 14;
   103087       break;
   103088     } else {
   103089       $v_0_in47 = $call19;
   103090       label = 8;
   103091       break;
   103092     }
   103093    case 8:
   103094     $rank24 = $v_0_in47 + 236 | 0;
   103095     $14 = $rank24;
   103096     $15 = HEAP32[$14 >> 2] | 0;
   103097     $16 = HEAP32[$rankleader >> 2] | 0;
   103098     $arrayidx27 = $16 + ($15 << 2) | 0;
   103099     $17 = HEAP32[$arrayidx27 >> 2] | 0;
   103100     $UF_size = $17 + 220 | 0;
   103101     $18 = HEAP32[$UF_size >> 2] | 0;
   103102     $inc29 = $18 + 1 | 0;
   103103     HEAP32[$UF_size >> 2] = $inc29;
   103104     $call30 = _agfstout($13, $v_0_in47) | 0;
   103105     $tobool3244 = ($call30 | 0) == 0;
   103106     if ($tobool3244) {
   103107       label = 13;
   103108       break;
   103109     } else {
   103110       label = 9;
   103111       break;
   103112     }
   103113    case 9:
   103114     $list = $17 + 184 | 0;
   103115     $e_0_in45 = $call30;
   103116     label = 10;
   103117     break;
   103118    case 10:
   103119     $tail = $e_0_in45 + 16 | 0;
   103120     $19 = HEAP32[$tail >> 2] | 0;
   103121     $rank35 = $19 + 236 | 0;
   103122     $20 = $rank35;
   103123     $21 = HEAP32[$20 >> 2] | 0;
   103124     $head = $e_0_in45 + 12 | 0;
   103125     $22 = HEAP32[$head >> 2] | 0;
   103126     $rank3841 = $22 + 236 | 0;
   103127     $23 = $rank3841;
   103128     $24 = HEAP32[$23 >> 2] | 0;
   103129     $cmp3942 = ($21 | 0) < ($24 | 0);
   103130     if ($cmp3942) {
   103131       $r_143 = $21;
   103132       label = 11;
   103133       break;
   103134     } else {
   103135       label = 12;
   103136       break;
   103137     }
   103138    case 11:
   103139     $25 = HEAP32[$list >> 2] | 0;
   103140     $26 = HEAP32[$25 >> 2] | 0;
   103141     $count = $26 + 184 | 0;
   103142     $27 = HEAP16[$count >> 1] | 0;
   103143     $inc45 = $27 + 1 & 65535;
   103144     HEAP16[$count >> 1] = $inc45;
   103145     $inc47 = $r_143 + 1 | 0;
   103146     $28 = HEAP32[$head >> 2] | 0;
   103147     $rank38 = $28 + 236 | 0;
   103148     $29 = $rank38;
   103149     $30 = HEAP32[$29 >> 2] | 0;
   103150     $cmp39 = ($inc47 | 0) < ($30 | 0);
   103151     if ($cmp39) {
   103152       $r_143 = $inc47;
   103153       label = 11;
   103154       break;
   103155     } else {
   103156       label = 12;
   103157       break;
   103158     }
   103159    case 12:
   103160     $call50 = _agnxtout($13, $e_0_in45) | 0;
   103161     $tobool32 = ($call50 | 0) == 0;
   103162     if ($tobool32) {
   103163       label = 13;
   103164       break;
   103165     } else {
   103166       $e_0_in45 = $call50;
   103167       label = 10;
   103168       break;
   103169     }
   103170    case 13:
   103171     $call53 = _agnxtnode($13, $v_0_in47) | 0;
   103172     $tobool21 = ($call53 | 0) == 0;
   103173     if ($tobool21) {
   103174       label = 14;
   103175       break;
   103176     } else {
   103177       $v_0_in47 = $call53;
   103178       label = 8;
   103179       break;
   103180     }
   103181    case 14:
   103182     $31 = HEAP16[$minrank >> 1] | 0;
   103183     $32 = HEAP16[$maxrank >> 1] | 0;
   103184     $cmp6239 = $31 << 16 >> 16 > $32 << 16 >> 16;
   103185     if ($cmp6239) {
   103186       label = 19;
   103187       break;
   103188     } else {
   103189       label = 15;
   103190       break;
   103191     }
   103192    case 15:
   103193     $conv57 = $31 << 16 >> 16;
   103194     $r_240 = $conv57;
   103195     label = 16;
   103196     break;
   103197    case 16:
   103198     $33 = HEAP32[$rankleader >> 2] | 0;
   103199     $arrayidx67 = $33 + ($r_240 << 2) | 0;
   103200     $34 = HEAP32[$arrayidx67 >> 2] | 0;
   103201     $UF_size69 = $34 + 220 | 0;
   103202     $35 = HEAP32[$UF_size69 >> 2] | 0;
   103203     $cmp70 = ($35 | 0) > 1;
   103204     if ($cmp70) {
   103205       label = 17;
   103206       break;
   103207     } else {
   103208       label = 18;
   103209       break;
   103210     }
   103211    case 17:
   103212     $dec = $35 - 1 | 0;
   103213     HEAP32[$UF_size69 >> 2] = $dec;
   103214     label = 18;
   103215     break;
   103216    case 18:
   103217     $inc77 = $r_240 + 1 | 0;
   103218     $36 = HEAP16[$maxrank >> 1] | 0;
   103219     $conv61 = $36 << 16 >> 16;
   103220     $cmp62 = ($inc77 | 0) > ($conv61 | 0);
   103221     if ($cmp62) {
   103222       label = 19;
   103223       break;
   103224     } else {
   103225       $r_240 = $inc77;
   103226       label = 16;
   103227       break;
   103228     }
   103229    case 19:
   103230     return;
   103231   }
   103232 }
   103233 function _install_cluster($g, $n, $pass, $q) {
   103234   $g = $g | 0;
   103235   $n = $n | 0;
   103236   $pass = $pass | 0;
   103237   $q = $q | 0;
   103238   var $clust1 = 0, $0 = 0, $installed = 0, $1 = 0, $conv = 0, $add = 0, $cmp = 0, $minrank = 0, $2 = 0, $maxrank = 0, $3 = 0, $cmp819 = 0, $conv5 = 0, $rankleader = 0, $4 = 0, $r_020 = 0, $5 = 0, $arrayidx = 0, $6 = 0, $7 = 0, $inc = 0, $8 = 0, $conv7 = 0, $cmp8 = 0, $9 = 0, $10 = 0, $cmp1816 = 0, $conv13 = 0, $rankleader22 = 0, $11 = 0, $r_117 = 0, $12 = 0, $arrayidx23 = 0, $13 = 0, $14 = 0, $inc25 = 0, $15 = 0, $conv17 = 0, $cmp18 = 0, $conv28 = 0, label = 0;
   103239   label = 2;
   103240   while (1) switch (label | 0) {
   103241    case 2:
   103242     $clust1 = $n + 216 | 0;
   103243     $0 = HEAP32[$clust1 >> 2] | 0;
   103244     $installed = $0 + 285 | 0;
   103245     $1 = HEAP8[$installed] | 0;
   103246     $conv = $1 << 24 >> 24;
   103247     $add = $pass + 1 | 0;
   103248     $cmp = ($conv | 0) == ($add | 0);
   103249     if ($cmp) {
   103250       label = 10;
   103251       break;
   103252     } else {
   103253       label = 3;
   103254       break;
   103255     }
   103256    case 3:
   103257     $minrank = $0 + 248 | 0;
   103258     $2 = HEAP16[$minrank >> 1] | 0;
   103259     $maxrank = $0 + 250 | 0;
   103260     $3 = HEAP16[$maxrank >> 1] | 0;
   103261     $cmp819 = $2 << 16 >> 16 > $3 << 16 >> 16;
   103262     if ($cmp819) {
   103263       label = 6;
   103264       break;
   103265     } else {
   103266       label = 4;
   103267       break;
   103268     }
   103269    case 4:
   103270     $conv5 = $2 << 16 >> 16;
   103271     $rankleader = $0 + 280 | 0;
   103272     $4 = $g;
   103273     $r_020 = $conv5;
   103274     label = 5;
   103275     break;
   103276    case 5:
   103277     $5 = HEAP32[$rankleader >> 2] | 0;
   103278     $arrayidx = $5 + ($r_020 << 2) | 0;
   103279     $6 = HEAP32[$arrayidx >> 2] | 0;
   103280     $7 = $6;
   103281     _install_in_rank($4, $7);
   103282     $inc = $r_020 + 1 | 0;
   103283     $8 = HEAP16[$maxrank >> 1] | 0;
   103284     $conv7 = $8 << 16 >> 16;
   103285     $cmp8 = ($inc | 0) > ($conv7 | 0);
   103286     if ($cmp8) {
   103287       label = 6;
   103288       break;
   103289     } else {
   103290       $r_020 = $inc;
   103291       label = 5;
   103292       break;
   103293     }
   103294    case 6:
   103295     $9 = HEAP16[$minrank >> 1] | 0;
   103296     $10 = HEAP16[$maxrank >> 1] | 0;
   103297     $cmp1816 = $9 << 16 >> 16 > $10 << 16 >> 16;
   103298     if ($cmp1816) {
   103299       label = 9;
   103300       break;
   103301     } else {
   103302       label = 7;
   103303       break;
   103304     }
   103305    case 7:
   103306     $conv13 = $9 << 16 >> 16;
   103307     $rankleader22 = $0 + 280 | 0;
   103308     $11 = $q;
   103309     $r_117 = $conv13;
   103310     label = 8;
   103311     break;
   103312    case 8:
   103313     $12 = HEAP32[$rankleader22 >> 2] | 0;
   103314     $arrayidx23 = $12 + ($r_117 << 2) | 0;
   103315     $13 = HEAP32[$arrayidx23 >> 2] | 0;
   103316     $14 = $13;
   103317     _enqueue_neighbors($11, $14, $pass);
   103318     $inc25 = $r_117 + 1 | 0;
   103319     $15 = HEAP16[$maxrank >> 1] | 0;
   103320     $conv17 = $15 << 16 >> 16;
   103321     $cmp18 = ($inc25 | 0) > ($conv17 | 0);
   103322     if ($cmp18) {
   103323       label = 9;
   103324       break;
   103325     } else {
   103326       $r_117 = $inc25;
   103327       label = 8;
   103328       break;
   103329     }
   103330    case 9:
   103331     $conv28 = $add & 255;
   103332     HEAP8[$installed] = $conv28;
   103333     label = 10;
   103334     break;
   103335    case 10:
   103336     return;
   103337   }
   103338 }
   103339 function _mark_lowclusters($root) {
   103340   $root = $root | 0;
   103341   var $0 = 0, $call = 0, $tobool16 = 0, $n_0_in17 = 0, $clust = 0, $call1 = 0, $tobool314 = 0, $orig_0_in15 = 0, $to_virt = 0, $1 = 0, $2 = 0, $tobool6 = 0, $e_013 = 0, $head = 0, $3 = 0, $node_type = 0, $4 = 0, $cmp = 0, $clust11 = 0, $5 = 0, $list = 0, $6 = 0, $7 = 0, $tobool7 = 0, $call14 = 0, $tobool3 = 0, $call16 = 0, $tobool = 0, label = 0;
   103342   label = 2;
   103343   while (1) switch (label | 0) {
   103344    case 2:
   103345     $0 = $root;
   103346     $call = _agfstnode($0) | 0;
   103347     $tobool16 = ($call | 0) == 0;
   103348     if ($tobool16) {
   103349       label = 9;
   103350       break;
   103351     } else {
   103352       $n_0_in17 = $call;
   103353       label = 3;
   103354       break;
   103355     }
   103356    case 3:
   103357     $clust = $n_0_in17 + 216 | 0;
   103358     HEAP32[$clust >> 2] = 0;
   103359     $call1 = _agfstout($0, $n_0_in17) | 0;
   103360     $tobool314 = ($call1 | 0) == 0;
   103361     if ($tobool314) {
   103362       label = 8;
   103363       break;
   103364     } else {
   103365       $orig_0_in15 = $call1;
   103366       label = 4;
   103367       break;
   103368     }
   103369    case 4:
   103370     $to_virt = $orig_0_in15 + 188 | 0;
   103371     $1 = $to_virt;
   103372     $2 = HEAP32[$1 >> 2] | 0;
   103373     $tobool6 = ($2 | 0) == 0;
   103374     if ($tobool6) {
   103375       label = 7;
   103376       break;
   103377     } else {
   103378       $e_013 = $2;
   103379       label = 5;
   103380       break;
   103381     }
   103382    case 5:
   103383     $head = $e_013 + 12 | 0;
   103384     $3 = HEAP32[$head >> 2] | 0;
   103385     $node_type = $3 + 162 | 0;
   103386     $4 = HEAP8[$node_type] | 0;
   103387     $cmp = $4 << 24 >> 24 == 1;
   103388     if ($cmp) {
   103389       label = 6;
   103390       break;
   103391     } else {
   103392       label = 7;
   103393       break;
   103394     }
   103395    case 6:
   103396     $clust11 = $3 + 216 | 0;
   103397     HEAP32[$clust11 >> 2] = 0;
   103398     $5 = HEAP32[$head >> 2] | 0;
   103399     $list = $5 + 184 | 0;
   103400     $6 = HEAP32[$list >> 2] | 0;
   103401     $7 = HEAP32[$6 >> 2] | 0;
   103402     $tobool7 = ($7 | 0) == 0;
   103403     if ($tobool7) {
   103404       label = 7;
   103405       break;
   103406     } else {
   103407       $e_013 = $7;
   103408       label = 5;
   103409       break;
   103410     }
   103411    case 7:
   103412     $call14 = _agnxtout($0, $orig_0_in15) | 0;
   103413     $tobool3 = ($call14 | 0) == 0;
   103414     if ($tobool3) {
   103415       label = 8;
   103416       break;
   103417     } else {
   103418       $orig_0_in15 = $call14;
   103419       label = 4;
   103420       break;
   103421     }
   103422    case 8:
   103423     $call16 = _agnxtnode($0, $n_0_in17) | 0;
   103424     $tobool = ($call16 | 0) == 0;
   103425     if ($tobool) {
   103426       label = 9;
   103427       break;
   103428     } else {
   103429       $n_0_in17 = $call16;
   103430       label = 3;
   103431       break;
   103432     }
   103433    case 9:
   103434     _mark_lowcluster_basic($root);
   103435     return;
   103436   }
   103437 }
   103438 function _mark_lowcluster_basic($g) {
   103439   $g = $g | 0;
   103440   var $n_cluster = 0, $0 = 0, $cmp25 = 0, $clust2 = 0, $c_026 = 0, $1 = 0, $arrayidx = 0, $2 = 0, $inc = 0, $3 = 0, $cmp = 0, $4 = 0, $call = 0, $tobool23 = 0, $n_0_in24 = 0, $clust6 = 0, $5 = 0, $cmp7 = 0, $call10 = 0, $tobool1221 = 0, $orig_0_in22 = 0, $to_virt = 0, $6 = 0, $7 = 0, $tobool15 = 0, $e_020 = 0, $head = 0, $8 = 0, $node_type = 0, $9 = 0, $cmp19 = 0, $clust22 = 0, $10 = 0, $cmp23 = 0, $11 = 0, $list = 0, $12 = 0, $13 = 0, $tobool17 = 0, $call34 = 0, $tobool12 = 0, $call37 = 0, $tobool = 0, label = 0;
   103441   label = 2;
   103442   while (1) switch (label | 0) {
   103443    case 2:
   103444     $n_cluster = $g + 212 | 0;
   103445     $0 = HEAP32[$n_cluster >> 2] | 0;
   103446     $cmp25 = ($0 | 0) < 1;
   103447     if ($cmp25) {
   103448       label = 5;
   103449       break;
   103450     } else {
   103451       label = 3;
   103452       break;
   103453     }
   103454    case 3:
   103455     $clust2 = $g + 216 | 0;
   103456     $c_026 = 1;
   103457     label = 4;
   103458     break;
   103459    case 4:
   103460     $1 = HEAP32[$clust2 >> 2] | 0;
   103461     $arrayidx = $1 + ($c_026 << 2) | 0;
   103462     $2 = HEAP32[$arrayidx >> 2] | 0;
   103463     _mark_lowcluster_basic($2);
   103464     $inc = $c_026 + 1 | 0;
   103465     $3 = HEAP32[$n_cluster >> 2] | 0;
   103466     $cmp = ($inc | 0) > ($3 | 0);
   103467     if ($cmp) {
   103468       label = 5;
   103469       break;
   103470     } else {
   103471       $c_026 = $inc;
   103472       label = 4;
   103473       break;
   103474     }
   103475    case 5:
   103476     $4 = $g;
   103477     $call = _agfstnode($4) | 0;
   103478     $tobool23 = ($call | 0) == 0;
   103479     if ($tobool23) {
   103480       label = 16;
   103481       break;
   103482     } else {
   103483       $n_0_in24 = $call;
   103484       label = 6;
   103485       break;
   103486     }
   103487    case 6:
   103488     $clust6 = $n_0_in24 + 216 | 0;
   103489     $5 = HEAP32[$clust6 >> 2] | 0;
   103490     $cmp7 = ($5 | 0) == 0;
   103491     if ($cmp7) {
   103492       label = 7;
   103493       break;
   103494     } else {
   103495       label = 8;
   103496       break;
   103497     }
   103498    case 7:
   103499     HEAP32[$clust6 >> 2] = $4;
   103500     label = 8;
   103501     break;
   103502    case 8:
   103503     $call10 = _agfstout($4, $n_0_in24) | 0;
   103504     $tobool1221 = ($call10 | 0) == 0;
   103505     if ($tobool1221) {
   103506       label = 15;
   103507       break;
   103508     } else {
   103509       $orig_0_in22 = $call10;
   103510       label = 9;
   103511       break;
   103512     }
   103513    case 9:
   103514     $to_virt = $orig_0_in22 + 188 | 0;
   103515     $6 = $to_virt;
   103516     $7 = HEAP32[$6 >> 2] | 0;
   103517     $tobool15 = ($7 | 0) == 0;
   103518     if ($tobool15) {
   103519       label = 14;
   103520       break;
   103521     } else {
   103522       $e_020 = $7;
   103523       label = 10;
   103524       break;
   103525     }
   103526    case 10:
   103527     $head = $e_020 + 12 | 0;
   103528     $8 = HEAP32[$head >> 2] | 0;
   103529     $node_type = $8 + 162 | 0;
   103530     $9 = HEAP8[$node_type] | 0;
   103531     $cmp19 = $9 << 24 >> 24 == 1;
   103532     if ($cmp19) {
   103533       label = 11;
   103534       break;
   103535     } else {
   103536       label = 14;
   103537       break;
   103538     }
   103539    case 11:
   103540     $clust22 = $8 + 216 | 0;
   103541     $10 = HEAP32[$clust22 >> 2] | 0;
   103542     $cmp23 = ($10 | 0) == 0;
   103543     if ($cmp23) {
   103544       label = 12;
   103545       break;
   103546     } else {
   103547       label = 13;
   103548       break;
   103549     }
   103550    case 12:
   103551     HEAP32[$clust22 >> 2] = $g;
   103552     label = 13;
   103553     break;
   103554    case 13:
   103555     $11 = HEAP32[$head >> 2] | 0;
   103556     $list = $11 + 184 | 0;
   103557     $12 = HEAP32[$list >> 2] | 0;
   103558     $13 = HEAP32[$12 >> 2] | 0;
   103559     $tobool17 = ($13 | 0) == 0;
   103560     if ($tobool17) {
   103561       label = 14;
   103562       break;
   103563     } else {
   103564       $e_020 = $13;
   103565       label = 10;
   103566       break;
   103567     }
   103568    case 14:
   103569     $call34 = _agnxtout($4, $orig_0_in22) | 0;
   103570     $tobool12 = ($call34 | 0) == 0;
   103571     if ($tobool12) {
   103572       label = 15;
   103573       break;
   103574     } else {
   103575       $orig_0_in22 = $call34;
   103576       label = 9;
   103577       break;
   103578     }
   103579    case 15:
   103580     $call37 = _agnxtnode($4, $n_0_in24) | 0;
   103581     $tobool = ($call37 | 0) == 0;
   103582     if ($tobool) {
   103583       label = 16;
   103584       break;
   103585     } else {
   103586       $n_0_in24 = $call37;
   103587       label = 6;
   103588       break;
   103589     }
   103590    case 16:
   103591     return;
   103592   }
   103593 }
   103594 function _make_slots($root, $r, $pos, $d) {
   103595   $root = $root | 0;
   103596   $r = $r | 0;
   103597   $pos = $pos | 0;
   103598   $d = $d | 0;
   103599   var $rank = 0, $0 = 0, $v1 = 0, $1 = 0, $cmp = 0, $sub = 0, $i_046 = 0, $2 = 0, $n47 = 0, $3 = 0, $cmp548 = 0, $i_050 = 0, $i_0_in49 = 0, $arrayidx6 = 0, $4 = 0, $sub8 = 0, $order = 0, $arrayidx12 = 0, $i_0 = 0, $5 = 0, $n = 0, $6 = 0, $cmp5 = 0, $_lcssa = 0, $add17 = 0, $sub18 = 0, $7 = 0, $n2343 = 0, $8 = 0, $cmp2444 = 0, $i_145 = 0, $arrayidx26 = 0, $inc28 = 0, $9 = 0, $n23 = 0, $10 = 0, $cmp24 = 0, $n33 = 0, $11 = 0, $i_255 = 0, $cmp3656 = 0, $add39 = 0, $cmp5153 = 0, $i_257 = 0, $arrayidx38 = 0, $12 = 0, $sub40 = 0, $order42 = 0, $arrayidx45 = 0, $i_2 = 0, $cmp36 = 0, $13 = 0, $scevgep = 0, $scevgep59 = 0, $14 = 0, $15 = 0, $sub57 = 0, $16 = 0, $n61 = 0, $17 = 0, $add62 = 0, label = 0;
   103600   label = 2;
   103601   while (1) switch (label | 0) {
   103602    case 2:
   103603     $rank = $root + 224 | 0;
   103604     $0 = HEAP32[$rank >> 2] | 0;
   103605     $v1 = $0 + ($r * 44 & -1) + 4 | 0;
   103606     $1 = HEAP32[$v1 >> 2] | 0;
   103607     $cmp = ($d | 0) < 1;
   103608     if ($cmp) {
   103609       label = 3;
   103610       break;
   103611     } else {
   103612       label = 7;
   103613       break;
   103614     }
   103615    case 3:
   103616     $sub = $pos - $d | 0;
   103617     $i_046 = $sub + 1 | 0;
   103618     $2 = HEAP32[$rank >> 2] | 0;
   103619     $n47 = $2 + ($r * 44 & -1) | 0;
   103620     $3 = HEAP32[$n47 >> 2] | 0;
   103621     $cmp548 = ($i_046 | 0) < ($3 | 0);
   103622     if ($cmp548) {
   103623       $i_0_in49 = $sub;
   103624       $i_050 = $i_046;
   103625       label = 4;
   103626       break;
   103627     } else {
   103628       $_lcssa = $3;
   103629       label = 5;
   103630       break;
   103631     }
   103632    case 4:
   103633     $arrayidx6 = $1 + ($i_050 << 2) | 0;
   103634     $4 = HEAP32[$arrayidx6 >> 2] | 0;
   103635     $sub8 = $i_0_in49 + $d | 0;
   103636     $order = $4 + 240 | 0;
   103637     HEAP32[$order >> 2] = $sub8;
   103638     $arrayidx12 = $1 + ($sub8 << 2) | 0;
   103639     HEAP32[$arrayidx12 >> 2] = $4;
   103640     $i_0 = $i_050 + 1 | 0;
   103641     $5 = HEAP32[$rank >> 2] | 0;
   103642     $n = $5 + ($r * 44 & -1) | 0;
   103643     $6 = HEAP32[$n >> 2] | 0;
   103644     $cmp5 = ($i_0 | 0) < ($6 | 0);
   103645     if ($cmp5) {
   103646       $i_0_in49 = $i_050;
   103647       $i_050 = $i_0;
   103648       label = 4;
   103649       break;
   103650     } else {
   103651       $_lcssa = $6;
   103652       label = 5;
   103653       break;
   103654     }
   103655    case 5:
   103656     $add17 = $d - 1 | 0;
   103657     $sub18 = $add17 + $_lcssa | 0;
   103658     $7 = HEAP32[$rank >> 2] | 0;
   103659     $n2343 = $7 + ($r * 44 & -1) | 0;
   103660     $8 = HEAP32[$n2343 >> 2] | 0;
   103661     $cmp2444 = ($sub18 | 0) < ($8 | 0);
   103662     if ($cmp2444) {
   103663       $i_145 = $sub18;
   103664       label = 6;
   103665       break;
   103666     } else {
   103667       label = 12;
   103668       break;
   103669     }
   103670    case 6:
   103671     $arrayidx26 = $1 + ($i_145 << 2) | 0;
   103672     HEAP32[$arrayidx26 >> 2] = 0;
   103673     $inc28 = $i_145 + 1 | 0;
   103674     $9 = HEAP32[$rank >> 2] | 0;
   103675     $n23 = $9 + ($r * 44 & -1) | 0;
   103676     $10 = HEAP32[$n23 >> 2] | 0;
   103677     $cmp24 = ($inc28 | 0) < ($10 | 0);
   103678     if ($cmp24) {
   103679       $i_145 = $inc28;
   103680       label = 6;
   103681       break;
   103682     } else {
   103683       label = 12;
   103684       break;
   103685     }
   103686    case 7:
   103687     $n33 = $0 + ($r * 44 & -1) | 0;
   103688     $11 = HEAP32[$n33 >> 2] | 0;
   103689     $i_255 = $11 - 1 | 0;
   103690     $cmp3656 = ($i_255 | 0) > ($pos | 0);
   103691     if ($cmp3656) {
   103692       label = 8;
   103693       break;
   103694     } else {
   103695       label = 9;
   103696       break;
   103697     }
   103698    case 8:
   103699     $add39 = $d - 1 | 0;
   103700     $i_257 = $i_255;
   103701     label = 10;
   103702     break;
   103703    case 9:
   103704     $cmp5153 = ($d | 0) > 1;
   103705     if ($cmp5153) {
   103706       label = 11;
   103707       break;
   103708     } else {
   103709       label = 12;
   103710       break;
   103711     }
   103712    case 10:
   103713     $arrayidx38 = $1 + ($i_257 << 2) | 0;
   103714     $12 = HEAP32[$arrayidx38 >> 2] | 0;
   103715     $sub40 = $add39 + $i_257 | 0;
   103716     $order42 = $12 + 240 | 0;
   103717     HEAP32[$order42 >> 2] = $sub40;
   103718     $arrayidx45 = $1 + ($sub40 << 2) | 0;
   103719     HEAP32[$arrayidx45 >> 2] = $12;
   103720     $i_2 = $i_257 - 1 | 0;
   103721     $cmp36 = ($i_2 | 0) > ($pos | 0);
   103722     if ($cmp36) {
   103723       $i_257 = $i_2;
   103724       label = 10;
   103725       break;
   103726     } else {
   103727       label = 9;
   103728       break;
   103729     }
   103730    case 11:
   103731     $13 = $pos + 1 | 0;
   103732     $scevgep = $1 + ($13 << 2) | 0;
   103733     $scevgep59 = $scevgep;
   103734     $14 = $d << 2;
   103735     $15 = $14 - 4 | 0;
   103736     _memset($scevgep59 | 0, 0, $15 | 0);
   103737     label = 12;
   103738     break;
   103739    case 12:
   103740     $sub57 = $d - 1 | 0;
   103741     $16 = HEAP32[$rank >> 2] | 0;
   103742     $n61 = $16 + ($r * 44 & -1) | 0;
   103743     $17 = HEAP32[$n61 >> 2] | 0;
   103744     $add62 = $sub57 + $17 | 0;
   103745     HEAP32[$n61 >> 2] = $add62;
   103746     return;
   103747   }
   103748 }
   103749 function _interclexp($subg) {
   103750   $subg = $subg | 0;
   103751   var $root = 0, $0 = 0, $1 = 0, $call = 0, $tobool45 = 0, $2 = 0, $3 = 0, $4 = 0, $n_0_in46 = 0, $5 = 0, $6 = 0, $call2 = 0, $tobool441 = 0, $e_044_in = 0, $prev_043 = 0, $e_044 = 0, $7 = 0, $call6 = 0, $tobool7 = 0, $8 = 0, $9 = 0, $call8 = 0, $tobool9 = 0, $tail = 0, $10 = 0, $11 = 0, $rank = 0, $12 = 0, $13 = 0, $head = 0, $14 = 0, $15 = 0, $rank12 = 0, $16 = 0, $17 = 0, $cmp = 0, $u14 = 0, $to_virt = 0, $18 = 0, $prev_0_ = 0, $to_virt19 = 0, $19 = 0, $cmp20 = 0, $20 = 0, $21 = 0, $22 = 0, $call36 = 0, $cmp37 = 0, $23 = 0, $cmp40 = 0, $u42 = 0, $to_virt43 = 0, $24 = 0, $25 = 0, $tobool44 = 0, $to_virt51 = 0, $26 = 0, $27 = 0, $cmp52 = 0, $cmp59 = 0, $prev_1 = 0, $28 = 0, $29 = 0, $call68 = 0, $tobool4 = 0, $call70 = 0, $tobool = 0, label = 0;
   103752   label = 2;
   103753   while (1) switch (label | 0) {
   103754    case 2:
   103755     $root = $subg + 32 | 0;
   103756     $0 = HEAP32[$root >> 2] | 0;
   103757     $1 = $subg;
   103758     $call = _agfstnode($1) | 0;
   103759     $tobool45 = ($call | 0) == 0;
   103760     if ($tobool45) {
   103761       label = 22;
   103762       break;
   103763     } else {
   103764       label = 3;
   103765       break;
   103766     }
   103767    case 3:
   103768     $2 = $subg;
   103769     $3 = $0;
   103770     $4 = $subg;
   103771     $n_0_in46 = $call;
   103772     label = 4;
   103773     break;
   103774    case 4:
   103775     $5 = HEAP32[$root >> 2] | 0;
   103776     $6 = $5;
   103777     $call2 = _agfstedge($6, $n_0_in46) | 0;
   103778     $tobool441 = ($call2 | 0) == 0;
   103779     if ($tobool441) {
   103780       label = 21;
   103781       break;
   103782     } else {
   103783       $prev_043 = 0;
   103784       $e_044_in = $call2;
   103785       label = 5;
   103786       break;
   103787     }
   103788    case 5:
   103789     $e_044 = $e_044_in;
   103790     $7 = $e_044_in | 0;
   103791     $call6 = _agcontains($2, $7) | 0;
   103792     $tobool7 = ($call6 | 0) == 0;
   103793     if ($tobool7) {
   103794       label = 6;
   103795       break;
   103796     } else {
   103797       $prev_1 = $prev_043;
   103798       label = 20;
   103799       break;
   103800     }
   103801    case 6:
   103802     $8 = $prev_043;
   103803     $9 = $e_044_in;
   103804     $call8 = _mergeable($8, $9) | 0;
   103805     $tobool9 = ($call8 | 0) == 0;
   103806     $tail = $e_044_in + 16 | 0;
   103807     $10 = HEAP32[$tail >> 2] | 0;
   103808     $11 = $10;
   103809     $rank = $10 + 236 | 0;
   103810     $12 = $rank;
   103811     $13 = HEAP32[$12 >> 2] | 0;
   103812     $head = $e_044_in + 12 | 0;
   103813     $14 = HEAP32[$head >> 2] | 0;
   103814     $15 = $14;
   103815     $rank12 = $14 + 236 | 0;
   103816     $16 = $rank12;
   103817     $17 = HEAP32[$16 >> 2] | 0;
   103818     $cmp = ($13 | 0) == ($17 | 0);
   103819     if ($tobool9) {
   103820       label = 9;
   103821       break;
   103822     } else {
   103823       label = 7;
   103824       break;
   103825     }
   103826    case 7:
   103827     $u14 = $e_044_in + 24 | 0;
   103828     $to_virt = $u14 + 164 | 0;
   103829     $18 = $to_virt;
   103830     $prev_0_ = $cmp ? $prev_043 : 0;
   103831     HEAP32[$18 >> 2] = $prev_0_;
   103832     $to_virt19 = $prev_043 + 188 | 0;
   103833     $19 = HEAP32[$to_virt19 >> 2] | 0;
   103834     $cmp20 = ($19 | 0) == 0;
   103835     if ($cmp20) {
   103836       $prev_1 = $prev_043;
   103837       label = 20;
   103838       break;
   103839     } else {
   103840       label = 8;
   103841       break;
   103842     }
   103843    case 8:
   103844     $20 = $19;
   103845     _merge_chain($4, $9, $20, 0);
   103846     _safe_other_edge($9);
   103847     $prev_1 = $prev_043;
   103848     label = 20;
   103849     break;
   103850    case 9:
   103851     if ($cmp) {
   103852       label = 10;
   103853       break;
   103854     } else {
   103855       label = 15;
   103856       break;
   103857     }
   103858    case 10:
   103859     $21 = $10;
   103860     $22 = $14;
   103861     $call36 = _find_flat_edge($21, $22) | 0;
   103862     $cmp37 = ($call36 | 0) == 0;
   103863     if ($cmp37) {
   103864       label = 11;
   103865       break;
   103866     } else {
   103867       label = 12;
   103868       break;
   103869     }
   103870    case 11:
   103871     _flat_edge($3, $9);
   103872     $prev_1 = $e_044;
   103873     label = 20;
   103874     break;
   103875    case 12:
   103876     $23 = $call36;
   103877     $cmp40 = ($e_044_in | 0) == ($23 | 0);
   103878     if ($cmp40) {
   103879       $prev_1 = $prev_043;
   103880       label = 20;
   103881       break;
   103882     } else {
   103883       label = 13;
   103884       break;
   103885     }
   103886    case 13:
   103887     _safe_other_edge($9);
   103888     $u42 = $e_044_in + 24 | 0;
   103889     $to_virt43 = $u42 + 164 | 0;
   103890     $24 = $to_virt43;
   103891     $25 = HEAP32[$24 >> 2] | 0;
   103892     $tobool44 = ($25 | 0) == 0;
   103893     if ($tobool44) {
   103894       label = 14;
   103895       break;
   103896     } else {
   103897       $prev_1 = $prev_043;
   103898       label = 20;
   103899       break;
   103900     }
   103901    case 14:
   103902     _merge_oneway($9, $call36);
   103903     $prev_1 = $prev_043;
   103904     label = 20;
   103905     break;
   103906    case 15:
   103907     $to_virt51 = $e_044_in + 188 | 0;
   103908     $26 = $to_virt51;
   103909     $27 = HEAP32[$26 >> 2] | 0;
   103910     $cmp52 = ($27 | 0) == 0;
   103911     if ($cmp52) {
   103912       label = 16;
   103913       break;
   103914     } else {
   103915       label = 17;
   103916       break;
   103917     }
   103918    case 16:
   103919     ___assert_func(111976, 234, 164168, 145696);
   103920    case 17:
   103921     $cmp59 = ($17 | 0) > ($13 | 0);
   103922     if ($cmp59) {
   103923       label = 18;
   103924       break;
   103925     } else {
   103926       label = 19;
   103927       break;
   103928     }
   103929    case 18:
   103930     _make_interclust_chain($11, $15, $e_044);
   103931     $prev_1 = $e_044;
   103932     label = 20;
   103933     break;
   103934    case 19:
   103935     _make_interclust_chain($15, $11, $e_044);
   103936     $prev_1 = $e_044;
   103937     label = 20;
   103938     break;
   103939    case 20:
   103940     $28 = HEAP32[$root >> 2] | 0;
   103941     $29 = $28;
   103942     $call68 = _agnxtedge($29, $e_044_in, $n_0_in46) | 0;
   103943     $tobool4 = ($call68 | 0) == 0;
   103944     if ($tobool4) {
   103945       label = 21;
   103946       break;
   103947     } else {
   103948       $prev_043 = $prev_1;
   103949       $e_044_in = $call68;
   103950       label = 5;
   103951       break;
   103952     }
   103953    case 21:
   103954     $call70 = _agnxtnode($1, $n_0_in46) | 0;
   103955     $tobool = ($call70 | 0) == 0;
   103956     if ($tobool) {
   103957       label = 22;
   103958       break;
   103959     } else {
   103960       $n_0_in46 = $call70;
   103961       label = 4;
   103962       break;
   103963     }
   103964    case 22:
   103965     return;
   103966   }
   103967 }
   103968 function _map_interclust_node($n) {
   103969   $n = $n | 0;
   103970   var $clust = 0, $0 = 0, $cmp = 0, $expanded = 0, $1 = 0, $tobool = 0, $rank = 0, $2 = 0, $rankleader = 0, $3 = 0, $arrayidx = 0, $4 = 0, $rv_0 = 0, label = 0;
   103971   label = 2;
   103972   while (1) switch (label | 0) {
   103973    case 2:
   103974     $clust = $n + 216 | 0;
   103975     $0 = HEAP32[$clust >> 2] | 0;
   103976     $cmp = ($0 | 0) == 0;
   103977     if ($cmp) {
   103978       $rv_0 = $n;
   103979       label = 5;
   103980       break;
   103981     } else {
   103982       label = 3;
   103983       break;
   103984     }
   103985    case 3:
   103986     $expanded = $0 + 284 | 0;
   103987     $1 = HEAP8[$expanded] | 0;
   103988     $tobool = $1 << 24 >> 24 == 0;
   103989     if ($tobool) {
   103990       label = 4;
   103991       break;
   103992     } else {
   103993       $rv_0 = $n;
   103994       label = 5;
   103995       break;
   103996     }
   103997    case 4:
   103998     $rank = $n + 236 | 0;
   103999     $2 = HEAP32[$rank >> 2] | 0;
   104000     $rankleader = $0 + 280 | 0;
   104001     $3 = HEAP32[$rankleader >> 2] | 0;
   104002     $arrayidx = $3 + ($2 << 2) | 0;
   104003     $4 = HEAP32[$arrayidx >> 2] | 0;
   104004     $rv_0 = $4;
   104005     label = 5;
   104006     break;
   104007    case 5:
   104008     return $rv_0 | 0;
   104009   }
   104010   return 0;
   104011 }
   104012 function _inBoxf($p, $bb) {
   104013   $p = $p | 0;
   104014   $bb = $bb | 0;
   104015   var $x = 0, $0 = 0.0, $x1 = 0, $1 = 0.0, $cmp = 0, $x3 = 0, $2 = 0.0, $cmp4 = 0, $y = 0, $3 = 0.0, $y6 = 0, $4 = 0.0, $cmp7 = 0, $y11 = 0, $5 = 0.0, $cmp12 = 0, $phitmp = 0, $6 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   104016   __stackBase__ = STACKTOP;
   104017   tempParam = $p;
   104018   $p = STACKTOP;
   104019   STACKTOP = STACKTOP + 16 | 0;
   104020   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   104021   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   104022   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   104023   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   104024   label = 2;
   104025   while (1) switch (label | 0) {
   104026    case 2:
   104027     $x = $bb | 0;
   104028     $0 = +HEAPF64[$x >> 3];
   104029     $x1 = $p | 0;
   104030     $1 = +HEAPF64[$x1 >> 3];
   104031     $cmp = $0 > $1;
   104032     if ($cmp) {
   104033       $6 = 0;
   104034       label = 6;
   104035       break;
   104036     } else {
   104037       label = 3;
   104038       break;
   104039     }
   104040    case 3:
   104041     $x3 = $bb + 16 | 0;
   104042     $2 = +HEAPF64[$x3 >> 3];
   104043     $cmp4 = $1 > $2;
   104044     if ($cmp4) {
   104045       $6 = 0;
   104046       label = 6;
   104047       break;
   104048     } else {
   104049       label = 4;
   104050       break;
   104051     }
   104052    case 4:
   104053     $y = $bb + 8 | 0;
   104054     $3 = +HEAPF64[$y >> 3];
   104055     $y6 = $p + 8 | 0;
   104056     $4 = +HEAPF64[$y6 >> 3];
   104057     $cmp7 = $3 > $4;
   104058     if ($cmp7) {
   104059       $6 = 0;
   104060       label = 6;
   104061       break;
   104062     } else {
   104063       label = 5;
   104064       break;
   104065     }
   104066    case 5:
   104067     $y11 = $bb + 24 | 0;
   104068     $5 = +HEAPF64[$y11 >> 3];
   104069     $cmp12 = $4 <= $5;
   104070     $phitmp = $cmp12 & 1;
   104071     $6 = $phitmp;
   104072     label = 6;
   104073     break;
   104074    case 6:
   104075     STACKTOP = __stackBase__;
   104076     return $6 | 0;
   104077   }
   104078   return 0;
   104079 }
   104080 function _clone_vn($g, $vn) {
   104081   $g = $g | 0;
   104082   $vn = $vn | 0;
   104083   var $rank = 0, $0 = 0, $order = 0, $call = 0, $3 = 0, $add = 0;
   104084   $rank = $vn + 236 | 0;
   104085   $0 = HEAP32[$rank >> 2] | 0;
   104086   $order = $vn + 240 | 0;
   104087   _make_slots($g, $0, HEAP32[$order >> 2] | 0, 2);
   104088   $call = _virtual_node($g) | 0;
   104089   $3 = $call;
   104090   HEAPF64[$call + 104 >> 3] = +HEAPF64[$vn + 104 >> 3];
   104091   HEAPF64[$call + 112 >> 3] = +HEAPF64[$vn + 112 >> 3];
   104092   HEAP32[$call + 236 >> 2] = HEAP32[$rank >> 2] | 0;
   104093   $add = (HEAP32[$order >> 2] | 0) + 1 | 0;
   104094   HEAP32[$call + 240 >> 2] = $add;
   104095   HEAP32[(HEAP32[(HEAP32[$g + 224 >> 2] | 0) + ($0 * 44 & -1) + 4 >> 2] | 0) + ($add << 2) >> 2] = $3;
   104096   return $3 | 0;
   104097 }
   104098 function _dot_compoundEdges($g) {
   104099   $g = $g | 0;
   104100   var $0 = 0, $call = 0, $tobool10 = 0, $n_0_in11 = 0, $call1 = 0, $tobool38 = 0, $e_0_in9 = 0, $e_0 = 0, $call5 = 0, $tobool3 = 0, $call7 = 0, $tobool = 0, label = 0;
   104101   label = 2;
   104102   while (1) switch (label | 0) {
   104103    case 2:
   104104     $0 = $g;
   104105     $call = _agfstnode($0) | 0;
   104106     $tobool10 = ($call | 0) == 0;
   104107     if ($tobool10) {
   104108       label = 6;
   104109       break;
   104110     } else {
   104111       $n_0_in11 = $call;
   104112       label = 3;
   104113       break;
   104114     }
   104115    case 3:
   104116     $call1 = _agfstout($0, $n_0_in11) | 0;
   104117     $tobool38 = ($call1 | 0) == 0;
   104118     if ($tobool38) {
   104119       label = 5;
   104120       break;
   104121     } else {
   104122       $e_0_in9 = $call1;
   104123       label = 4;
   104124       break;
   104125     }
   104126    case 4:
   104127     $e_0 = $e_0_in9;
   104128     _makeCompoundEdge($g, $e_0);
   104129     $call5 = _agnxtout($0, $e_0_in9) | 0;
   104130     $tobool3 = ($call5 | 0) == 0;
   104131     if ($tobool3) {
   104132       label = 5;
   104133       break;
   104134     } else {
   104135       $e_0_in9 = $call5;
   104136       label = 4;
   104137       break;
   104138     }
   104139    case 5:
   104140     $call7 = _agnxtnode($0, $n_0_in11) | 0;
   104141     $tobool = ($call7 | 0) == 0;
   104142     if ($tobool) {
   104143       label = 6;
   104144       break;
   104145     } else {
   104146       $n_0_in11 = $call7;
   104147       label = 3;
   104148       break;
   104149     }
   104150    case 6:
   104151     return;
   104152   }
   104153 }
   104154 function _getCluster($g, $cluster_name) {
   104155   $g = $g | 0;
   104156   $cluster_name = $cluster_name | 0;
   104157   var $tobool = 0, $0 = 0, $cmp = 0, $1 = 0, $call = 0, $2 = 0, $cmp2 = 0, $call5 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   104158   __stackBase__ = STACKTOP;
   104159   label = 2;
   104160   while (1) switch (label | 0) {
   104161    case 2:
   104162     $tobool = ($cluster_name | 0) == 0;
   104163     if ($tobool) {
   104164       $retval_0 = 0;
   104165       label = 6;
   104166       break;
   104167     } else {
   104168       label = 3;
   104169       break;
   104170     }
   104171    case 3:
   104172     $0 = HEAP8[$cluster_name] | 0;
   104173     $cmp = $0 << 24 >> 24 == 0;
   104174     if ($cmp) {
   104175       $retval_0 = 0;
   104176       label = 6;
   104177       break;
   104178     } else {
   104179       label = 4;
   104180       break;
   104181     }
   104182    case 4:
   104183     $1 = $g;
   104184     $call = _agfindsubg($1, $cluster_name) | 0;
   104185     $2 = $call;
   104186     $cmp2 = ($call | 0) == 0;
   104187     if ($cmp2) {
   104188       label = 5;
   104189       break;
   104190     } else {
   104191       $retval_0 = $2;
   104192       label = 6;
   104193       break;
   104194     }
   104195    case 5:
   104196     $call5 = _agerr(0, 152184, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $cluster_name, tempInt) | 0) | 0;
   104197     $retval_0 = $2;
   104198     label = 6;
   104199     break;
   104200    case 6:
   104201     STACKTOP = __stackBase__;
   104202     return $retval_0 | 0;
   104203   }
   104204   return 0;
   104205 }
   104206 function _map_path($from, $to, $orig, $ve, $type) {
   104207   $from = $from | 0;
   104208   $to = $to | 0;
   104209   $orig = $orig | 0;
   104210   $ve = $ve | 0;
   104211   $type = $type | 0;
   104212   var $rank = 0, $0 = 0, $rank3 = 0, $1 = 0, $cmp = 0, $tail = 0, $2 = 0, $cmp4 = 0, $head = 0, $3 = 0, $cmp5 = 0, $count = 0, $4 = 0, $cmp7 = 0, $to_virt = 0, $5 = 0, $6 = 0, $sub = 0, $cmp15 = 0, $7 = 0, $8 = 0, $call = 0, $tobool = 0, $9 = 0, $call19 = 0, $tobool20 = 0, $node_type = 0, $10 = 0, $cmp24 = 0, $node_type28 = 0, $11 = 0, $cmp30 = 0, $12 = 0, $13 = 0, $cmp4072 = 0, $graph = 0, $14 = 0, $conv52 = 0, $15 = 0, $u_075 = 0, $r_074 = 0, $ve_addr_073 = 0, $sub44 = 0, $cmp45 = 0, $16 = 0, $head48 = 0, $17 = 0, $call49 = 0, $v_0 = 0, $18 = 0, $19 = 0, $call51 = 0, $20 = 0, $count55 = 0, $21 = 0, $dec = 0, $head56 = 0, $22 = 0, $list = 0, $23 = 0, $24 = 0, $inc = 0, $25 = 0, $cmp40 = 0, $26 = 0, $27 = 0, $sub63 = 0, $cmp64 = 0, $28 = 0, $29 = 0, $call67 = 0, $30 = 0, $tobool68 = 0, $31 = 0, $call70 = 0, $tobool71 = 0, $to_virt74 = 0, $conv75 = 0, $32 = 0, $33 = 0, $34 = 0, $inc80 = 0, $node_type82 = 0, $35 = 0, $cmp84 = 0, $node_type88 = 0, $36 = 0, $cmp90 = 0, $to_virt96 = 0, $37 = 0, $call97 = 0, $38 = 0, $conv98 = 0, $39 = 0, $ve_addr_1 = 0, $40 = 0, $41 = 0, $sub107 = 0, $cmp108 = 0, $tail111 = 0, $42 = 0, $cmp112 = 0, $to_virt116 = 0, $head117 = 0, $43 = 0, $44 = 0, $45 = 0, $46 = 0, $call118 = 0, $47 = 0, $48 = 0, $e_0_ph = 0, $head12376 = 0, $49 = 0, $rank12577 = 0, $50 = 0, $51 = 0, $cmp12878 = 0, $52 = 0, $53 = 0, $list133 = 0, $54 = 0, $55 = 0, $head123 = 0, $56 = 0, $rank125 = 0, $57 = 0, $cmp128 = 0, $_lcssa = 0, $e_0_lcssa = 0, $cmp136 = 0, $tail139 = 0, $58 = 0, $59 = 0, $60 = 0, $61 = 0, $call140 = 0, $conv141 = 0, $62 = 0, $63 = 0, label = 0;
   104213   label = 2;
   104214   while (1) switch (label | 0) {
   104215    case 2:
   104216     $rank = $from + 236 | 0;
   104217     $0 = HEAP32[$rank >> 2] | 0;
   104218     $rank3 = $to + 236 | 0;
   104219     $1 = HEAP32[$rank3 >> 2] | 0;
   104220     $cmp = ($0 | 0) < ($1 | 0);
   104221     if ($cmp) {
   104222       label = 4;
   104223       break;
   104224     } else {
   104225       label = 3;
   104226       break;
   104227     }
   104228    case 3:
   104229     ___assert_func(111976, 109, 164048, 114944);
   104230    case 4:
   104231     $tail = $ve + 16 | 0;
   104232     $2 = HEAP32[$tail >> 2] | 0;
   104233     $cmp4 = ($2 | 0) == ($from | 0);
   104234     if ($cmp4) {
   104235       label = 5;
   104236       break;
   104237     } else {
   104238       label = 6;
   104239       break;
   104240     }
   104241    case 5:
   104242     $head = $ve + 12 | 0;
   104243     $3 = HEAP32[$head >> 2] | 0;
   104244     $cmp5 = ($3 | 0) == ($to | 0);
   104245     if ($cmp5) {
   104246       label = 33;
   104247       break;
   104248     } else {
   104249       label = 6;
   104250       break;
   104251     }
   104252    case 6:
   104253     $count = $ve + 184 | 0;
   104254     $4 = HEAP16[$count >> 1] | 0;
   104255     $cmp7 = $4 << 16 >> 16 > 1;
   104256     if ($cmp7) {
   104257       label = 7;
   104258       break;
   104259     } else {
   104260       label = 18;
   104261       break;
   104262     }
   104263    case 7:
   104264     $to_virt = $orig + 188 | 0;
   104265     HEAP32[$to_virt >> 2] = 0;
   104266     $5 = HEAP32[$rank3 >> 2] | 0;
   104267     $6 = HEAP32[$rank >> 2] | 0;
   104268     $sub = $5 - $6 | 0;
   104269     $cmp15 = ($sub | 0) == 1;
   104270     if ($cmp15) {
   104271       label = 8;
   104272       break;
   104273     } else {
   104274       label = 13;
   104275       break;
   104276     }
   104277    case 8:
   104278     $7 = $from;
   104279     $8 = $to;
   104280     $call = _find_fast_edge($7, $8) | 0;
   104281     $tobool = ($call | 0) == 0;
   104282     if ($tobool) {
   104283       label = 13;
   104284       break;
   104285     } else {
   104286       label = 9;
   104287       break;
   104288     }
   104289    case 9:
   104290     $9 = $orig;
   104291     $call19 = _ports_eq($9, $call) | 0;
   104292     $tobool20 = ($call19 | 0) == 0;
   104293     if ($tobool20) {
   104294       label = 13;
   104295       break;
   104296     } else {
   104297       label = 10;
   104298       break;
   104299     }
   104300    case 10:
   104301     _merge_oneway($9, $call);
   104302     $node_type = $from + 162 | 0;
   104303     $10 = HEAP8[$node_type] | 0;
   104304     $cmp24 = $10 << 24 >> 24 == 0;
   104305     if ($cmp24) {
   104306       label = 11;
   104307       break;
   104308     } else {
   104309       label = 33;
   104310       break;
   104311     }
   104312    case 11:
   104313     $node_type28 = $to + 162 | 0;
   104314     $11 = HEAP8[$node_type28] | 0;
   104315     $cmp30 = $11 << 24 >> 24 == 0;
   104316     if ($cmp30) {
   104317       label = 12;
   104318       break;
   104319     } else {
   104320       label = 33;
   104321       break;
   104322     }
   104323    case 12:
   104324     _other_edge($9);
   104325     label = 33;
   104326     break;
   104327    case 13:
   104328     $12 = HEAP32[$rank >> 2] | 0;
   104329     $13 = HEAP32[$rank3 >> 2] | 0;
   104330     $cmp4072 = ($12 | 0) < ($13 | 0);
   104331     if ($cmp4072) {
   104332       label = 14;
   104333       break;
   104334     } else {
   104335       label = 33;
   104336       break;
   104337     }
   104338    case 14:
   104339     $graph = $from + 20 | 0;
   104340     $14 = $orig;
   104341     $conv52 = $type & 255;
   104342     $ve_addr_073 = $ve;
   104343     $r_074 = $12;
   104344     $u_075 = $from;
   104345     $15 = $13;
   104346     label = 15;
   104347     break;
   104348    case 15:
   104349     $sub44 = $15 - 1 | 0;
   104350     $cmp45 = ($r_074 | 0) < ($sub44 | 0);
   104351     if ($cmp45) {
   104352       label = 16;
   104353       break;
   104354     } else {
   104355       $v_0 = $to;
   104356       label = 17;
   104357       break;
   104358     }
   104359    case 16:
   104360     $16 = HEAP32[$graph >> 2] | 0;
   104361     $head48 = $ve_addr_073 + 12 | 0;
   104362     $17 = HEAP32[$head48 >> 2] | 0;
   104363     $call49 = _clone_vn($16, $17) | 0;
   104364     $v_0 = $call49;
   104365     label = 17;
   104366     break;
   104367    case 17:
   104368     $18 = $u_075;
   104369     $19 = $v_0;
   104370     $call51 = _virtual_edge($18, $19, $14) | 0;
   104371     $20 = $call51 + 128 | 0;
   104372     HEAP8[$20] = $conv52;
   104373     $count55 = $ve_addr_073 + 184 | 0;
   104374     $21 = HEAP16[$count55 >> 1] | 0;
   104375     $dec = $21 - 1 & 65535;
   104376     HEAP16[$count55 >> 1] = $dec;
   104377     $head56 = $ve_addr_073 + 12 | 0;
   104378     $22 = HEAP32[$head56 >> 2] | 0;
   104379     $list = $22 + 184 | 0;
   104380     $23 = HEAP32[$list >> 2] | 0;
   104381     $24 = HEAP32[$23 >> 2] | 0;
   104382     $inc = $r_074 + 1 | 0;
   104383     $25 = HEAP32[$rank3 >> 2] | 0;
   104384     $cmp40 = ($inc | 0) < ($25 | 0);
   104385     if ($cmp40) {
   104386       $ve_addr_073 = $24;
   104387       $r_074 = $inc;
   104388       $u_075 = $v_0;
   104389       $15 = $25;
   104390       label = 15;
   104391       break;
   104392     } else {
   104393       label = 33;
   104394       break;
   104395     }
   104396    case 18:
   104397     $26 = HEAP32[$rank3 >> 2] | 0;
   104398     $27 = HEAP32[$rank >> 2] | 0;
   104399     $sub63 = $26 - $27 | 0;
   104400     $cmp64 = ($sub63 | 0) == 1;
   104401     if ($cmp64) {
   104402       label = 19;
   104403       break;
   104404     } else {
   104405       $ve_addr_1 = $ve;
   104406       label = 25;
   104407       break;
   104408     }
   104409    case 19:
   104410     $28 = $from;
   104411     $29 = $to;
   104412     $call67 = _find_fast_edge($28, $29) | 0;
   104413     $30 = $call67;
   104414     $tobool68 = ($call67 | 0) == 0;
   104415     if ($tobool68) {
   104416       label = 24;
   104417       break;
   104418     } else {
   104419       label = 20;
   104420       break;
   104421     }
   104422    case 20:
   104423     $31 = $orig;
   104424     $call70 = _ports_eq($31, $call67) | 0;
   104425     $tobool71 = ($call70 | 0) == 0;
   104426     if ($tobool71) {
   104427       label = 24;
   104428       break;
   104429     } else {
   104430       label = 21;
   104431       break;
   104432     }
   104433    case 21:
   104434     $to_virt74 = $orig + 188 | 0;
   104435     HEAP32[$to_virt74 >> 2] = $30;
   104436     $conv75 = $type & 255;
   104437     $32 = $call67 + 128 | 0;
   104438     HEAP8[$32] = $conv75;
   104439     $33 = $call67 + 184 | 0;
   104440     $34 = HEAP16[$33 >> 1] | 0;
   104441     $inc80 = $34 + 1 & 65535;
   104442     HEAP16[$33 >> 1] = $inc80;
   104443     $node_type82 = $from + 162 | 0;
   104444     $35 = HEAP8[$node_type82] | 0;
   104445     $cmp84 = $35 << 24 >> 24 == 0;
   104446     if ($cmp84) {
   104447       label = 22;
   104448       break;
   104449     } else {
   104450       $ve_addr_1 = $30;
   104451       label = 25;
   104452       break;
   104453     }
   104454    case 22:
   104455     $node_type88 = $to + 162 | 0;
   104456     $36 = HEAP8[$node_type88] | 0;
   104457     $cmp90 = $36 << 24 >> 24 == 0;
   104458     if ($cmp90) {
   104459       label = 23;
   104460       break;
   104461     } else {
   104462       $ve_addr_1 = $30;
   104463       label = 25;
   104464       break;
   104465     }
   104466    case 23:
   104467     _other_edge($31);
   104468     $ve_addr_1 = $30;
   104469     label = 25;
   104470     break;
   104471    case 24:
   104472     $to_virt96 = $orig + 188 | 0;
   104473     HEAP32[$to_virt96 >> 2] = 0;
   104474     $37 = $orig;
   104475     $call97 = _virtual_edge($28, $29, $37) | 0;
   104476     $38 = $call97;
   104477     $conv98 = $type & 255;
   104478     $39 = $call97 + 128 | 0;
   104479     HEAP8[$39] = $conv98;
   104480     $ve_addr_1 = $38;
   104481     label = 25;
   104482     break;
   104483    case 25:
   104484     $40 = HEAP32[$rank3 >> 2] | 0;
   104485     $41 = HEAP32[$rank >> 2] | 0;
   104486     $sub107 = $40 - $41 | 0;
   104487     $cmp108 = ($sub107 | 0) > 1;
   104488     if ($cmp108) {
   104489       label = 26;
   104490       break;
   104491     } else {
   104492       label = 33;
   104493       break;
   104494     }
   104495    case 26:
   104496     $tail111 = $ve_addr_1 + 16 | 0;
   104497     $42 = HEAP32[$tail111 >> 2] | 0;
   104498     $cmp112 = ($42 | 0) == ($from | 0);
   104499     if ($cmp112) {
   104500       $e_0_ph = $ve_addr_1;
   104501       label = 28;
   104502       break;
   104503     } else {
   104504       label = 27;
   104505       break;
   104506     }
   104507    case 27:
   104508     $to_virt116 = $orig + 188 | 0;
   104509     HEAP32[$to_virt116 >> 2] = 0;
   104510     $head117 = $ve_addr_1 + 12 | 0;
   104511     $43 = HEAP32[$head117 >> 2] | 0;
   104512     $44 = $from;
   104513     $45 = $43;
   104514     $46 = $orig;
   104515     $call118 = _virtual_edge($44, $45, $46) | 0;
   104516     $47 = $call118;
   104517     HEAP32[$to_virt116 >> 2] = $47;
   104518     $48 = $ve_addr_1;
   104519     _delete_fast_edge($48);
   104520     $e_0_ph = $47;
   104521     label = 28;
   104522     break;
   104523    case 28:
   104524     $head12376 = $e_0_ph + 12 | 0;
   104525     $49 = HEAP32[$head12376 >> 2] | 0;
   104526     $rank12577 = $49 + 236 | 0;
   104527     $50 = HEAP32[$rank12577 >> 2] | 0;
   104528     $51 = HEAP32[$rank3 >> 2] | 0;
   104529     $cmp12878 = ($50 | 0) == ($51 | 0);
   104530     if ($cmp12878) {
   104531       $e_0_lcssa = $e_0_ph;
   104532       $_lcssa = $49;
   104533       label = 31;
   104534       break;
   104535     } else {
   104536       label = 29;
   104537       break;
   104538     }
   104539    case 29:
   104540     $52 = HEAP32[$rank3 >> 2] | 0;
   104541     $53 = $49;
   104542     label = 30;
   104543     break;
   104544    case 30:
   104545     $list133 = $53 + 184 | 0;
   104546     $54 = HEAP32[$list133 >> 2] | 0;
   104547     $55 = HEAP32[$54 >> 2] | 0;
   104548     $head123 = $55 + 12 | 0;
   104549     $56 = HEAP32[$head123 >> 2] | 0;
   104550     $rank125 = $56 + 236 | 0;
   104551     $57 = HEAP32[$rank125 >> 2] | 0;
   104552     $cmp128 = ($57 | 0) == ($52 | 0);
   104553     if ($cmp128) {
   104554       $e_0_lcssa = $55;
   104555       $_lcssa = $56;
   104556       label = 31;
   104557       break;
   104558     } else {
   104559       $53 = $56;
   104560       label = 30;
   104561       break;
   104562     }
   104563    case 31:
   104564     $cmp136 = ($_lcssa | 0) == ($to | 0);
   104565     if ($cmp136) {
   104566       label = 33;
   104567       break;
   104568     } else {
   104569       label = 32;
   104570       break;
   104571     }
   104572    case 32:
   104573     $tail139 = $e_0_lcssa + 16 | 0;
   104574     $58 = HEAP32[$tail139 >> 2] | 0;
   104575     $59 = $58;
   104576     $60 = $to;
   104577     $61 = $orig;
   104578     $call140 = _virtual_edge($59, $60, $61) | 0;
   104579     $conv141 = $type & 255;
   104580     $62 = $call140 + 128 | 0;
   104581     HEAP8[$62] = $conv141;
   104582     $63 = $e_0_lcssa;
   104583     _delete_fast_edge($63);
   104584     label = 33;
   104585     break;
   104586    case 33:
   104587     return;
   104588   }
   104589 }
   104590 function _makeCompoundEdge($g, $e) {
   104591   $g = $g | 0;
   104592   $e = $e | 0;
   104593   var $pts = 0, $p = 0, $tmp64 = 0, $tmp70 = 0, $tmp75 = 0, $tmp104 = 0, $tmp177 = 0, $tmp185 = 0, $tmp192 = 0, $tmp243 = 0, $0 = 0, $call = 0, $call1 = 0, $call2 = 0, $call3 = 0, $tobool = 0, $tobool_not = 0, $tobool4 = 0, $or_cond = 0, $spl = 0, $1 = 0, $tobool5 = 0, $size10 = 0, $2 = 0, $cmp = 0, $tail12 = 0, $3 = 0, $name = 0, $4 = 0, $head13 = 0, $5 = 0, $name14 = 0, $6 = 0, $call15 = 0, $list = 0, $7 = 0, $size19 = 0, $8 = 0, $head20 = 0, $9 = 0, $tail21 = 0, $10 = 0, $call22 = 0, $11 = 0, $eflag = 0, $12 = 0, $eflag23 = 0, $13 = 0, $sflag = 0, $14 = 0, $sflag24 = 0, $15 = 0, $bb28 = 0, $coord = 0, $call30 = 0, $tobool31 = 0, $16 = 0, $name34 = 0, $17 = 0, $18 = 0, $name36 = 0, $19 = 0, $call37 = 0, $call38 = 0, $list39 = 0, $20 = 0, $call40 = 0, $tobool41 = 0, $sub = 0, $coord44 = 0, $call45 = 0, $tobool46 = 0, $21 = 0, $name49 = 0, $22 = 0, $23 = 0, $name51 = 0, $24 = 0, $call52 = 0, $call53 = 0, $25 = 0, $tobool56 = 0, $sp = 0, $26 = 0, $27 = 0, $arrayidx60 = 0, $28 = 0, $29 = 0, $arrayidx62 = 0, $30 = 0, $31 = 0, $32 = 0, $arrayidx68 = 0, $33 = 0, $34 = 0, $35 = 0, $arrayidx72 = 0, $arrayidx74 = 0, $36 = 0, $37 = 0, $38 = 0, $tobool77 = 0, $39 = 0, $40 = 0, $call81 = 0, $phitmp = 0, $endi_1 = 0, $cmp85 = 0, $41 = 0, $arrayidx87 = 0, $call88 = 0, $tobool89 = 0, $add92 = 0, $cmp94 = 0, $42 = 0, $tobool97 = 0, $ep = 0, $ep101 = 0, $43 = 0, $arrayidx103 = 0, $44 = 0, $45 = 0, $46 = 0, $call111 = 0, $endi_2 = 0, $add113 = 0, $sub120 = 0, $47 = 0, $tobool122 = 0, $ep124 = 0, $ep125 = 0, $48 = 0, $endi_5 = 0, $bb131 = 0, $coord133 = 0, $call134 = 0, $tobool135 = 0, $49 = 0, $name138 = 0, $50 = 0, $51 = 0, $name140 = 0, $52 = 0, $call141 = 0, $call142 = 0, $list144 = 0, $53 = 0, $arrayidx145 = 0, $call146 = 0, $tobool147 = 0, $arraydecay = 0, $coord150 = 0, $call151 = 0, $tobool152 = 0, $54 = 0, $name155 = 0, $55 = 0, $56 = 0, $name157 = 0, $57 = 0, $call158 = 0, $call159 = 0, $58 = 0, $tobool162 = 0, $ep168 = 0, $59 = 0, $60 = 0, $sub170 = 0, $61 = 0, $arrayidx172 = 0, $62 = 0, $add173 = 0, $63 = 0, $arrayidx175 = 0, $64 = 0, $65 = 0, $66 = 0, $arrayidx180 = 0, $arrayidx183 = 0, $67 = 0, $68 = 0, $add186 = 0, $69 = 0, $arrayidx188 = 0, $arrayidx191 = 0, $70 = 0, $71 = 0, $72 = 0, $tobool194 = 0, $73 = 0, $74 = 0, $call199 = 0, $starti_1 = 0, $cmp204 = 0, $75 = 0, $arrayidx212 = 0, $76 = 0, $77 = 0, $arrayidx209_1 = 0, $sub210_1 = 0, $78 = 0, $arrayidx212_1 = 0, $79 = 0, $80 = 0, $arrayidx209_2 = 0, $sub210_2 = 0, $81 = 0, $arrayidx212_2 = 0, $82 = 0, $83 = 0, $arrayidx209_3 = 0, $sub210_3 = 0, $84 = 0, $arrayidx212_3 = 0, $85 = 0, $86 = 0, $call215 = 0, $tobool216 = 0, $sub230 = 0, $87 = 0, $arrayidx223 = 0, $88 = 0, $89 = 0, $sub221_1 = 0, $90 = 0, $arrayidx223_1 = 0, $arrayidx224_1 = 0, $91 = 0, $92 = 0, $sub221_2 = 0, $93 = 0, $arrayidx223_2 = 0, $arrayidx224_2 = 0, $94 = 0, $95 = 0, $sub221_3 = 0, $96 = 0, $arrayidx223_3 = 0, $arrayidx224_3 = 0, $97 = 0, $98 = 0, $cmp232 = 0, $99 = 0, $tobool235 = 0, $sp239 = 0, $sp240 = 0, $100 = 0, $arrayidx242 = 0, $101 = 0, $sub245 = 0, $102 = 0, $tobool247 = 0, $103 = 0, $sub250 = 0, $104 = 0, $call252 = 0, $105 = 0, $tobool261 = 0, $sp263 = 0, $sp264 = 0, $106 = 0, $starti_3157 = 0, $sub267 = 0, $add268 = 0, $size269 = 0, $107 = 0, $mul = 0, $call271 = 0, $108 = 0, $list272 = 0, $109 = 0, $cmp275158 = 0, $list279 = 0, $j_0160 = 0, $i_2159 = 0, $110 = 0, $arrayidx278 = 0, $111 = 0, $arrayidx280 = 0, $112 = 0, $113 = 0, $inc282 = 0, $inc283 = 0, $114 = 0, $cmp275 = 0, $list285 = 0, $115 = 0, $116 = 0, $117 = 0, $118 = 0, $list288 = 0, label = 0, __stackBase__ = 0;
   104594   __stackBase__ = STACKTOP;
   104595   STACKTOP = STACKTOP + 208 | 0;
   104596   label = 2;
   104597   while (1) switch (label | 0) {
   104598    case 2:
   104599     $pts = __stackBase__ | 0;
   104600     $p = __stackBase__ + 64 | 0;
   104601     $tmp64 = __stackBase__ + 80 | 0;
   104602     $tmp70 = __stackBase__ + 96 | 0;
   104603     $tmp75 = __stackBase__ + 112 | 0;
   104604     $tmp104 = __stackBase__ + 128 | 0;
   104605     $tmp177 = __stackBase__ + 144 | 0;
   104606     $tmp185 = __stackBase__ + 160 | 0;
   104607     $tmp192 = __stackBase__ + 176 | 0;
   104608     $tmp243 = __stackBase__ + 192 | 0;
   104609     $0 = $e | 0;
   104610     $call = _agget($0, 151760) | 0;
   104611     $call1 = _getCluster($g, $call) | 0;
   104612     $call2 = _agget($0, 158144) | 0;
   104613     $call3 = _getCluster($g, $call2) | 0;
   104614     $tobool = ($call3 | 0) != 0;
   104615     $tobool_not = $tobool ^ 1;
   104616     $tobool4 = ($call1 | 0) == 0;
   104617     $or_cond = $tobool4 & $tobool_not;
   104618     if ($or_cond) {
   104619       label = 54;
   104620       break;
   104621     } else {
   104622       label = 3;
   104623       break;
   104624     }
   104625    case 3:
   104626     $spl = $e + 24 | 0;
   104627     $1 = HEAP32[$spl >> 2] | 0;
   104628     $tobool5 = ($1 | 0) == 0;
   104629     if ($tobool5) {
   104630       label = 54;
   104631       break;
   104632     } else {
   104633       label = 4;
   104634       break;
   104635     }
   104636    case 4:
   104637     $size10 = $1 + 4 | 0;
   104638     $2 = HEAP32[$size10 >> 2] | 0;
   104639     $cmp = ($2 | 0) > 1;
   104640     if ($cmp) {
   104641       label = 5;
   104642       break;
   104643     } else {
   104644       label = 6;
   104645       break;
   104646     }
   104647    case 5:
   104648     $tail12 = $e + 16 | 0;
   104649     $3 = HEAP32[$tail12 >> 2] | 0;
   104650     $name = $3 + 12 | 0;
   104651     $4 = HEAP32[$name >> 2] | 0;
   104652     $head13 = $e + 12 | 0;
   104653     $5 = HEAP32[$head13 >> 2] | 0;
   104654     $name14 = $5 + 12 | 0;
   104655     $6 = HEAP32[$name14 >> 2] | 0;
   104656     $call15 = _agerr(0, 129520, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $4, HEAP32[tempInt + 8 >> 2] = $6, tempInt) | 0) | 0;
   104657     label = 54;
   104658     break;
   104659    case 6:
   104660     $list = $1 | 0;
   104661     $7 = HEAP32[$list >> 2] | 0;
   104662     $size19 = $7 + 4 | 0;
   104663     $8 = HEAP32[$size19 >> 2] | 0;
   104664     $head20 = $e + 12 | 0;
   104665     $9 = HEAP32[$head20 >> 2] | 0;
   104666     $tail21 = $e + 16 | 0;
   104667     $10 = HEAP32[$tail21 >> 2] | 0;
   104668     $call22 = _gmalloc(48) | 0;
   104669     $11 = $call22;
   104670     $eflag = $7 + 12 | 0;
   104671     $12 = HEAP32[$eflag >> 2] | 0;
   104672     $eflag23 = $call22 + 12 | 0;
   104673     $13 = $eflag23;
   104674     HEAP32[$13 >> 2] = $12;
   104675     $sflag = $7 + 8 | 0;
   104676     $14 = HEAP32[$sflag >> 2] | 0;
   104677     $sflag24 = $call22 + 8 | 0;
   104678     $15 = $sflag24;
   104679     HEAP32[$15 >> 2] = $14;
   104680     if ($tobool4) {
   104681       label = 26;
   104682       break;
   104683     } else {
   104684       label = 7;
   104685       break;
   104686     }
   104687    case 7:
   104688     $bb28 = $call1 + 56 | 0;
   104689     $coord = $9 + 32 | 0;
   104690     $call30 = _inBoxf($coord, $bb28) | 0;
   104691     $tobool31 = ($call30 | 0) == 0;
   104692     if ($tobool31) {
   104693       label = 8;
   104694       break;
   104695     } else {
   104696       label = 9;
   104697       break;
   104698     }
   104699    case 8:
   104700     $16 = HEAP32[$tail21 >> 2] | 0;
   104701     $name34 = $16 + 12 | 0;
   104702     $17 = HEAP32[$name34 >> 2] | 0;
   104703     $18 = HEAP32[$head20 >> 2] | 0;
   104704     $name36 = $18 + 12 | 0;
   104705     $19 = HEAP32[$name36 >> 2] | 0;
   104706     $call37 = _agget($0, 151760) | 0;
   104707     $call38 = _agerr(0, 117960, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = $17, HEAP32[tempInt + 8 >> 2] = $19, HEAP32[tempInt + 16 >> 2] = $call37, tempInt) | 0) | 0;
   104708     label = 26;
   104709     break;
   104710    case 9:
   104711     $list39 = $7 | 0;
   104712     $20 = HEAP32[$list39 >> 2] | 0;
   104713     $call40 = _inBoxf($20, $bb28) | 0;
   104714     $tobool41 = ($call40 | 0) == 0;
   104715     if ($tobool41) {
   104716       label = 10;
   104717       break;
   104718     } else {
   104719       label = 11;
   104720       break;
   104721     }
   104722    case 10:
   104723     $sub = $8 - 1 | 0;
   104724     $endi_1 = 0;
   104725     label = 17;
   104726     break;
   104727    case 11:
   104728     $coord44 = $10 + 32 | 0;
   104729     $call45 = _inBoxf($coord44, $bb28) | 0;
   104730     $tobool46 = ($call45 | 0) == 0;
   104731     if ($tobool46) {
   104732       label = 13;
   104733       break;
   104734     } else {
   104735       label = 12;
   104736       break;
   104737     }
   104738    case 12:
   104739     $21 = HEAP32[$tail21 >> 2] | 0;
   104740     $name49 = $21 + 12 | 0;
   104741     $22 = HEAP32[$name49 >> 2] | 0;
   104742     $23 = HEAP32[$head20 >> 2] | 0;
   104743     $name51 = $23 + 12 | 0;
   104744     $24 = HEAP32[$name51 >> 2] | 0;
   104745     $call52 = _agget($0, 151760) | 0;
   104746     $call53 = _agerr(0, 112576, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = $22, HEAP32[tempInt + 8 >> 2] = $24, HEAP32[tempInt + 16 >> 2] = $call52, tempInt) | 0) | 0;
   104747     label = 26;
   104748     break;
   104749    case 13:
   104750     $25 = HEAP32[$sflag >> 2] | 0;
   104751     $tobool56 = ($25 | 0) == 0;
   104752     if ($tobool56) {
   104753       label = 14;
   104754       break;
   104755     } else {
   104756       label = 15;
   104757       break;
   104758     }
   104759    case 14:
   104760     ___assert_func(107624, 364, 164144, 103696);
   104761    case 15:
   104762     $sp = $7 + 16 | 0;
   104763     _boxIntersectf($p, $20, $sp, $bb28);
   104764     $26 = $p;
   104765     $27 = HEAP32[$list39 >> 2] | 0;
   104766     $arrayidx60 = $27 + 48 | 0;
   104767     $28 = $arrayidx60;
   104768     HEAP32[$28 >> 2] = HEAP32[$26 >> 2] | 0;
   104769     HEAP32[$28 + 4 >> 2] = HEAP32[$26 + 4 >> 2] | 0;
   104770     HEAP32[$28 + 8 >> 2] = HEAP32[$26 + 8 >> 2] | 0;
   104771     HEAP32[$28 + 12 >> 2] = HEAP32[$26 + 12 >> 2] | 0;
   104772     $29 = HEAP32[$list39 >> 2] | 0;
   104773     $arrayidx62 = $29 + 16 | 0;
   104774     _mid_pointf3014($tmp64, $p, $sp);
   104775     $30 = $arrayidx62;
   104776     $31 = $tmp64;
   104777     HEAP32[$30 >> 2] = HEAP32[$31 >> 2] | 0;
   104778     HEAP32[$30 + 4 >> 2] = HEAP32[$31 + 4 >> 2] | 0;
   104779     HEAP32[$30 + 8 >> 2] = HEAP32[$31 + 8 >> 2] | 0;
   104780     HEAP32[$30 + 12 >> 2] = HEAP32[$31 + 12 >> 2] | 0;
   104781     $32 = HEAP32[$list39 >> 2] | 0;
   104782     $arrayidx68 = $32 + 16 | 0;
   104783     _mid_pointf3014($tmp70, $arrayidx68, $sp);
   104784     $33 = $32;
   104785     $34 = $tmp70;
   104786     HEAP32[$33 >> 2] = HEAP32[$34 >> 2] | 0;
   104787     HEAP32[$33 + 4 >> 2] = HEAP32[$34 + 4 >> 2] | 0;
   104788     HEAP32[$33 + 8 >> 2] = HEAP32[$34 + 8 >> 2] | 0;
   104789     HEAP32[$33 + 12 >> 2] = HEAP32[$34 + 12 >> 2] | 0;
   104790     $35 = HEAP32[$list39 >> 2] | 0;
   104791     $arrayidx72 = $35 + 32 | 0;
   104792     $arrayidx74 = $35 + 16 | 0;
   104793     _mid_pointf3014($tmp75, $arrayidx74, $p);
   104794     $36 = $arrayidx72;
   104795     $37 = $tmp75;
   104796     HEAP32[$36 >> 2] = HEAP32[$37 >> 2] | 0;
   104797     HEAP32[$36 + 4 >> 2] = HEAP32[$37 + 4 >> 2] | 0;
   104798     HEAP32[$36 + 8 >> 2] = HEAP32[$37 + 8 >> 2] | 0;
   104799     HEAP32[$36 + 12 >> 2] = HEAP32[$37 + 12 >> 2] | 0;
   104800     $38 = HEAP32[$eflag >> 2] | 0;
   104801     $tobool77 = ($38 | 0) == 0;
   104802     if ($tobool77) {
   104803       $endi_5 = 3;
   104804       label = 28;
   104805       break;
   104806     } else {
   104807       label = 16;
   104808       break;
   104809     }
   104810    case 16:
   104811     $39 = HEAP32[$list39 >> 2] | 0;
   104812     $40 = $e;
   104813     $call81 = _arrowEndClip($40, $39, 0, 0, $11, $38) | 0;
   104814     $phitmp = $call81 + 3 | 0;
   104815     $endi_5 = $phitmp;
   104816     label = 28;
   104817     break;
   104818    case 17:
   104819     $cmp85 = ($endi_1 | 0) < ($sub | 0);
   104820     if ($cmp85) {
   104821       label = 18;
   104822       break;
   104823     } else {
   104824       label = 19;
   104825       break;
   104826     }
   104827    case 18:
   104828     $41 = HEAP32[$list39 >> 2] | 0;
   104829     $arrayidx87 = $41 + ($endi_1 << 4) | 0;
   104830     $call88 = _splineIntersectf($arrayidx87, $bb28) | 0;
   104831     $tobool89 = ($call88 | 0) == 0;
   104832     $add92 = $endi_1 + 3 | 0;
   104833     if ($tobool89) {
   104834       $endi_1 = $add92;
   104835       label = 17;
   104836       break;
   104837     } else {
   104838       label = 19;
   104839       break;
   104840     }
   104841    case 19:
   104842     $cmp94 = ($endi_1 | 0) == ($sub | 0);
   104843     $42 = HEAP32[$eflag >> 2] | 0;
   104844     $tobool97 = ($42 | 0) != 0;
   104845     if ($cmp94) {
   104846       label = 20;
   104847       break;
   104848     } else {
   104849       label = 23;
   104850       break;
   104851     }
   104852    case 20:
   104853     if ($tobool97) {
   104854       label = 22;
   104855       break;
   104856     } else {
   104857       label = 21;
   104858       break;
   104859     }
   104860    case 21:
   104861     ___assert_func(107624, 382, 164144, 99520);
   104862    case 22:
   104863     $ep = $call22 + 32 | 0;
   104864     $ep101 = $7 + 32 | 0;
   104865     $43 = HEAP32[$list39 >> 2] | 0;
   104866     $arrayidx103 = $43 + ($endi_1 << 4) | 0;
   104867     _boxIntersectf($tmp104, $ep101, $arrayidx103, $bb28);
   104868     $44 = $tmp104;
   104869     HEAP32[$ep >> 2] = HEAP32[$44 >> 2] | 0;
   104870     HEAP32[$ep + 4 >> 2] = HEAP32[$44 + 4 >> 2] | 0;
   104871     HEAP32[$ep + 8 >> 2] = HEAP32[$44 + 8 >> 2] | 0;
   104872     HEAP32[$ep + 12 >> 2] = HEAP32[$44 + 12 >> 2] | 0;
   104873     $endi_5 = $endi_1;
   104874     label = 28;
   104875     break;
   104876    case 23:
   104877     if ($tobool97) {
   104878       label = 24;
   104879       break;
   104880     } else {
   104881       $endi_2 = $endi_1;
   104882       label = 25;
   104883       break;
   104884     }
   104885    case 24:
   104886     $45 = HEAP32[$list39 >> 2] | 0;
   104887     $46 = $e;
   104888     $call111 = _arrowEndClip($46, $45, 0, $endi_1, $11, $42) | 0;
   104889     $endi_2 = $call111;
   104890     label = 25;
   104891     break;
   104892    case 25:
   104893     $add113 = $endi_2 + 3 | 0;
   104894     $endi_5 = $add113;
   104895     label = 28;
   104896     break;
   104897    case 26:
   104898     $sub120 = $8 - 1 | 0;
   104899     $47 = HEAP32[$eflag >> 2] | 0;
   104900     $tobool122 = ($47 | 0) == 0;
   104901     if ($tobool122) {
   104902       $endi_5 = $sub120;
   104903       label = 28;
   104904       break;
   104905     } else {
   104906       label = 27;
   104907       break;
   104908     }
   104909    case 27:
   104910     $ep124 = $call22 + 32 | 0;
   104911     $ep125 = $7 + 32 | 0;
   104912     $48 = $ep125;
   104913     HEAP32[$ep124 >> 2] = HEAP32[$48 >> 2] | 0;
   104914     HEAP32[$ep124 + 4 >> 2] = HEAP32[$48 + 4 >> 2] | 0;
   104915     HEAP32[$ep124 + 8 >> 2] = HEAP32[$48 + 8 >> 2] | 0;
   104916     HEAP32[$ep124 + 12 >> 2] = HEAP32[$48 + 12 >> 2] | 0;
   104917     $endi_5 = $sub120;
   104918     label = 28;
   104919     break;
   104920    case 28:
   104921     if ($tobool) {
   104922       label = 29;
   104923       break;
   104924     } else {
   104925       label = 48;
   104926       break;
   104927     }
   104928    case 29:
   104929     $bb131 = $call3 + 56 | 0;
   104930     $coord133 = $10 + 32 | 0;
   104931     $call134 = _inBoxf($coord133, $bb131) | 0;
   104932     $tobool135 = ($call134 | 0) == 0;
   104933     if ($tobool135) {
   104934       label = 30;
   104935       break;
   104936     } else {
   104937       label = 31;
   104938       break;
   104939     }
   104940    case 30:
   104941     $49 = HEAP32[$tail21 >> 2] | 0;
   104942     $name138 = $49 + 12 | 0;
   104943     $50 = HEAP32[$name138 >> 2] | 0;
   104944     $51 = HEAP32[$head20 >> 2] | 0;
   104945     $name140 = $51 + 12 | 0;
   104946     $52 = HEAP32[$name140 >> 2] | 0;
   104947     $call141 = _agget($0, 158144) | 0;
   104948     $call142 = _agerr(0, 95480, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = $50, HEAP32[tempInt + 8 >> 2] = $52, HEAP32[tempInt + 16 >> 2] = $call141, tempInt) | 0) | 0;
   104949     label = 48;
   104950     break;
   104951    case 31:
   104952     $list144 = $7 | 0;
   104953     $53 = HEAP32[$list144 >> 2] | 0;
   104954     $arrayidx145 = $53 + ($endi_5 << 4) | 0;
   104955     $call146 = _inBoxf($arrayidx145, $bb131) | 0;
   104956     $tobool147 = ($call146 | 0) == 0;
   104957     if ($tobool147) {
   104958       label = 32;
   104959       break;
   104960     } else {
   104961       label = 33;
   104962       break;
   104963     }
   104964    case 32:
   104965     $arraydecay = $pts | 0;
   104966     $starti_1 = $endi_5;
   104967     label = 39;
   104968     break;
   104969    case 33:
   104970     $coord150 = $9 + 32 | 0;
   104971     $call151 = _inBoxf($coord150, $bb131) | 0;
   104972     $tobool152 = ($call151 | 0) == 0;
   104973     if ($tobool152) {
   104974       label = 35;
   104975       break;
   104976     } else {
   104977       label = 34;
   104978       break;
   104979     }
   104980    case 34:
   104981     $54 = HEAP32[$tail21 >> 2] | 0;
   104982     $name155 = $54 + 12 | 0;
   104983     $55 = HEAP32[$name155 >> 2] | 0;
   104984     $56 = HEAP32[$head20 >> 2] | 0;
   104985     $name157 = $56 + 12 | 0;
   104986     $57 = HEAP32[$name157 >> 2] | 0;
   104987     $call158 = _agget($0, 158144) | 0;
   104988     $call159 = _agerr(0, 91984, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = $55, HEAP32[tempInt + 8 >> 2] = $57, HEAP32[tempInt + 16 >> 2] = $call158, tempInt) | 0) | 0;
   104989     label = 48;
   104990     break;
   104991    case 35:
   104992     $58 = HEAP32[$eflag >> 2] | 0;
   104993     $tobool162 = ($58 | 0) == 0;
   104994     if ($tobool162) {
   104995       label = 36;
   104996       break;
   104997     } else {
   104998       label = 37;
   104999       break;
   105000     }
   105001    case 36:
   105002     ___assert_func(107624, 424, 164144, 99520);
   105003    case 37:
   105004     $ep168 = $call22 + 32 | 0;
   105005     $59 = $ep168;
   105006     _boxIntersectf($p, $arrayidx145, $59, $bb131);
   105007     $60 = $p;
   105008     $sub170 = $endi_5 - 3 | 0;
   105009     $61 = HEAP32[$list144 >> 2] | 0;
   105010     $arrayidx172 = $61 + ($sub170 << 4) | 0;
   105011     $62 = $arrayidx172;
   105012     HEAP32[$62 >> 2] = HEAP32[$60 >> 2] | 0;
   105013     HEAP32[$62 + 4 >> 2] = HEAP32[$60 + 4 >> 2] | 0;
   105014     HEAP32[$62 + 8 >> 2] = HEAP32[$60 + 8 >> 2] | 0;
   105015     HEAP32[$62 + 12 >> 2] = HEAP32[$60 + 12 >> 2] | 0;
   105016     $add173 = $endi_5 - 1 | 0;
   105017     $63 = HEAP32[$list144 >> 2] | 0;
   105018     $arrayidx175 = $63 + ($add173 << 4) | 0;
   105019     _mid_pointf3014($tmp177, $p, $59);
   105020     $64 = $arrayidx175;
   105021     $65 = $tmp177;
   105022     HEAP32[$64 >> 2] = HEAP32[$65 >> 2] | 0;
   105023     HEAP32[$64 + 4 >> 2] = HEAP32[$65 + 4 >> 2] | 0;
   105024     HEAP32[$64 + 8 >> 2] = HEAP32[$65 + 8 >> 2] | 0;
   105025     HEAP32[$64 + 12 >> 2] = HEAP32[$65 + 12 >> 2] | 0;
   105026     $66 = HEAP32[$list144 >> 2] | 0;
   105027     $arrayidx180 = $66 + ($endi_5 << 4) | 0;
   105028     $arrayidx183 = $66 + ($add173 << 4) | 0;
   105029     _mid_pointf3014($tmp185, $arrayidx183, $59);
   105030     $67 = $arrayidx180;
   105031     $68 = $tmp185;
   105032     HEAP32[$67 >> 2] = HEAP32[$68 >> 2] | 0;
   105033     HEAP32[$67 + 4 >> 2] = HEAP32[$68 + 4 >> 2] | 0;
   105034     HEAP32[$67 + 8 >> 2] = HEAP32[$68 + 8 >> 2] | 0;
   105035     HEAP32[$67 + 12 >> 2] = HEAP32[$68 + 12 >> 2] | 0;
   105036     $add186 = $endi_5 - 2 | 0;
   105037     $69 = HEAP32[$list144 >> 2] | 0;
   105038     $arrayidx188 = $69 + ($add186 << 4) | 0;
   105039     $arrayidx191 = $69 + ($add173 << 4) | 0;
   105040     _mid_pointf3014($tmp192, $arrayidx191, $p);
   105041     $70 = $arrayidx188;
   105042     $71 = $tmp192;
   105043     HEAP32[$70 >> 2] = HEAP32[$71 >> 2] | 0;
   105044     HEAP32[$70 + 4 >> 2] = HEAP32[$71 + 4 >> 2] | 0;
   105045     HEAP32[$70 + 8 >> 2] = HEAP32[$71 + 8 >> 2] | 0;
   105046     HEAP32[$70 + 12 >> 2] = HEAP32[$71 + 12 >> 2] | 0;
   105047     $72 = HEAP32[$sflag >> 2] | 0;
   105048     $tobool194 = ($72 | 0) == 0;
   105049     if ($tobool194) {
   105050       $starti_3157 = $sub170;
   105051       label = 50;
   105052       break;
   105053     } else {
   105054       label = 38;
   105055       break;
   105056     }
   105057    case 38:
   105058     $73 = HEAP32[$list144 >> 2] | 0;
   105059     $74 = $e;
   105060     $call199 = _arrowStartClip($74, $73, $sub170, $sub170, $11, $72) | 0;
   105061     $starti_3157 = $call199;
   105062     label = 50;
   105063     break;
   105064    case 39:
   105065     $cmp204 = ($starti_1 | 0) > 0;
   105066     if ($cmp204) {
   105067       label = 40;
   105068       break;
   105069     } else {
   105070       label = 42;
   105071       break;
   105072     }
   105073    case 40:
   105074     $75 = HEAP32[$list144 >> 2] | 0;
   105075     $arrayidx212 = $75 + ($starti_1 << 4) | 0;
   105076     $76 = $pts;
   105077     $77 = $arrayidx212;
   105078     HEAP32[$76 >> 2] = HEAP32[$77 >> 2] | 0;
   105079     HEAP32[$76 + 4 >> 2] = HEAP32[$77 + 4 >> 2] | 0;
   105080     HEAP32[$76 + 8 >> 2] = HEAP32[$77 + 8 >> 2] | 0;
   105081     HEAP32[$76 + 12 >> 2] = HEAP32[$77 + 12 >> 2] | 0;
   105082     $arrayidx209_1 = $pts + 16 | 0;
   105083     $sub210_1 = $starti_1 - 1 | 0;
   105084     $78 = HEAP32[$list144 >> 2] | 0;
   105085     $arrayidx212_1 = $78 + ($sub210_1 << 4) | 0;
   105086     $79 = $arrayidx209_1;
   105087     $80 = $arrayidx212_1;
   105088     HEAP32[$79 >> 2] = HEAP32[$80 >> 2] | 0;
   105089     HEAP32[$79 + 4 >> 2] = HEAP32[$80 + 4 >> 2] | 0;
   105090     HEAP32[$79 + 8 >> 2] = HEAP32[$80 + 8 >> 2] | 0;
   105091     HEAP32[$79 + 12 >> 2] = HEAP32[$80 + 12 >> 2] | 0;
   105092     $arrayidx209_2 = $pts + 32 | 0;
   105093     $sub210_2 = $starti_1 - 2 | 0;
   105094     $81 = HEAP32[$list144 >> 2] | 0;
   105095     $arrayidx212_2 = $81 + ($sub210_2 << 4) | 0;
   105096     $82 = $arrayidx209_2;
   105097     $83 = $arrayidx212_2;
   105098     HEAP32[$82 >> 2] = HEAP32[$83 >> 2] | 0;
   105099     HEAP32[$82 + 4 >> 2] = HEAP32[$83 + 4 >> 2] | 0;
   105100     HEAP32[$82 + 8 >> 2] = HEAP32[$83 + 8 >> 2] | 0;
   105101     HEAP32[$82 + 12 >> 2] = HEAP32[$83 + 12 >> 2] | 0;
   105102     $arrayidx209_3 = $pts + 48 | 0;
   105103     $sub210_3 = $starti_1 - 3 | 0;
   105104     $84 = HEAP32[$list144 >> 2] | 0;
   105105     $arrayidx212_3 = $84 + ($sub210_3 << 4) | 0;
   105106     $85 = $arrayidx209_3;
   105107     $86 = $arrayidx212_3;
   105108     HEAP32[$85 >> 2] = HEAP32[$86 >> 2] | 0;
   105109     HEAP32[$85 + 4 >> 2] = HEAP32[$86 + 4 >> 2] | 0;
   105110     HEAP32[$85 + 8 >> 2] = HEAP32[$86 + 8 >> 2] | 0;
   105111     HEAP32[$85 + 12 >> 2] = HEAP32[$86 + 12 >> 2] | 0;
   105112     $call215 = _splineIntersectf($arraydecay, $bb131) | 0;
   105113     $tobool216 = ($call215 | 0) == 0;
   105114     $sub230 = $starti_1 - 3 | 0;
   105115     if ($tobool216) {
   105116       $starti_1 = $sub230;
   105117       label = 39;
   105118       break;
   105119     } else {
   105120       label = 41;
   105121       break;
   105122     }
   105123    case 41:
   105124     $87 = HEAP32[$list144 >> 2] | 0;
   105125     $arrayidx223 = $87 + ($starti_1 << 4) | 0;
   105126     $88 = $arrayidx223;
   105127     $89 = $pts;
   105128     HEAP32[$88 >> 2] = HEAP32[$89 >> 2] | 0;
   105129     HEAP32[$88 + 4 >> 2] = HEAP32[$89 + 4 >> 2] | 0;
   105130     HEAP32[$88 + 8 >> 2] = HEAP32[$89 + 8 >> 2] | 0;
   105131     HEAP32[$88 + 12 >> 2] = HEAP32[$89 + 12 >> 2] | 0;
   105132     $sub221_1 = $starti_1 - 1 | 0;
   105133     $90 = HEAP32[$list144 >> 2] | 0;
   105134     $arrayidx223_1 = $90 + ($sub221_1 << 4) | 0;
   105135     $arrayidx224_1 = $pts + 16 | 0;
   105136     $91 = $arrayidx223_1;
   105137     $92 = $arrayidx224_1;
   105138     HEAP32[$91 >> 2] = HEAP32[$92 >> 2] | 0;
   105139     HEAP32[$91 + 4 >> 2] = HEAP32[$92 + 4 >> 2] | 0;
   105140     HEAP32[$91 + 8 >> 2] = HEAP32[$92 + 8 >> 2] | 0;
   105141     HEAP32[$91 + 12 >> 2] = HEAP32[$92 + 12 >> 2] | 0;
   105142     $sub221_2 = $starti_1 - 2 | 0;
   105143     $93 = HEAP32[$list144 >> 2] | 0;
   105144     $arrayidx223_2 = $93 + ($sub221_2 << 4) | 0;
   105145     $arrayidx224_2 = $pts + 32 | 0;
   105146     $94 = $arrayidx223_2;
   105147     $95 = $arrayidx224_2;
   105148     HEAP32[$94 >> 2] = HEAP32[$95 >> 2] | 0;
   105149     HEAP32[$94 + 4 >> 2] = HEAP32[$95 + 4 >> 2] | 0;
   105150     HEAP32[$94 + 8 >> 2] = HEAP32[$95 + 8 >> 2] | 0;
   105151     HEAP32[$94 + 12 >> 2] = HEAP32[$95 + 12 >> 2] | 0;
   105152     $sub221_3 = $starti_1 - 3 | 0;
   105153     $96 = HEAP32[$list144 >> 2] | 0;
   105154     $arrayidx223_3 = $96 + ($sub221_3 << 4) | 0;
   105155     $arrayidx224_3 = $pts + 48 | 0;
   105156     $97 = $arrayidx223_3;
   105157     $98 = $arrayidx224_3;
   105158     HEAP32[$97 >> 2] = HEAP32[$98 >> 2] | 0;
   105159     HEAP32[$97 + 4 >> 2] = HEAP32[$98 + 4 >> 2] | 0;
   105160     HEAP32[$97 + 8 >> 2] = HEAP32[$98 + 8 >> 2] | 0;
   105161     HEAP32[$97 + 12 >> 2] = HEAP32[$98 + 12 >> 2] | 0;
   105162     label = 46;
   105163     break;
   105164    case 42:
   105165     $cmp232 = ($starti_1 | 0) == 0;
   105166     if ($cmp232) {
   105167       label = 43;
   105168       break;
   105169     } else {
   105170       label = 46;
   105171       break;
   105172     }
   105173    case 43:
   105174     $99 = HEAP32[$sflag >> 2] | 0;
   105175     $tobool235 = ($99 | 0) == 0;
   105176     if ($tobool235) {
   105177       label = 44;
   105178       break;
   105179     } else {
   105180       label = 45;
   105181       break;
   105182     }
   105183    case 44:
   105184     ___assert_func(107624, 447, 164144, 103696);
   105185    case 45:
   105186     $sp239 = $call22 + 16 | 0;
   105187     $sp240 = $7 + 16 | 0;
   105188     $100 = HEAP32[$list144 >> 2] | 0;
   105189     $arrayidx242 = $100 + ($starti_1 << 4) | 0;
   105190     _boxIntersectf($tmp243, $sp240, $arrayidx242, $bb131);
   105191     $101 = $tmp243;
   105192     HEAP32[$sp239 >> 2] = HEAP32[$101 >> 2] | 0;
   105193     HEAP32[$sp239 + 4 >> 2] = HEAP32[$101 + 4 >> 2] | 0;
   105194     HEAP32[$sp239 + 8 >> 2] = HEAP32[$101 + 8 >> 2] | 0;
   105195     HEAP32[$sp239 + 12 >> 2] = HEAP32[$101 + 12 >> 2] | 0;
   105196     $starti_3157 = $starti_1;
   105197     label = 50;
   105198     break;
   105199    case 46:
   105200     $sub245 = $starti_1 - 3 | 0;
   105201     $102 = HEAP32[$sflag >> 2] | 0;
   105202     $tobool247 = ($102 | 0) == 0;
   105203     if ($tobool247) {
   105204       $starti_3157 = $sub245;
   105205       label = 50;
   105206       break;
   105207     } else {
   105208       label = 47;
   105209       break;
   105210     }
   105211    case 47:
   105212     $103 = HEAP32[$list144 >> 2] | 0;
   105213     $sub250 = $endi_5 - 3 | 0;
   105214     $104 = $e;
   105215     $call252 = _arrowStartClip($104, $103, $sub245, $sub250, $11, $102) | 0;
   105216     $starti_3157 = $call252;
   105217     label = 50;
   105218     break;
   105219    case 48:
   105220     $105 = HEAP32[$sflag >> 2] | 0;
   105221     $tobool261 = ($105 | 0) == 0;
   105222     if ($tobool261) {
   105223       $starti_3157 = 0;
   105224       label = 50;
   105225       break;
   105226     } else {
   105227       label = 49;
   105228       break;
   105229     }
   105230    case 49:
   105231     $sp263 = $call22 + 16 | 0;
   105232     $sp264 = $7 + 16 | 0;
   105233     $106 = $sp264;
   105234     HEAP32[$sp263 >> 2] = HEAP32[$106 >> 2] | 0;
   105235     HEAP32[$sp263 + 4 >> 2] = HEAP32[$106 + 4 >> 2] | 0;
   105236     HEAP32[$sp263 + 8 >> 2] = HEAP32[$106 + 8 >> 2] | 0;
   105237     HEAP32[$sp263 + 12 >> 2] = HEAP32[$106 + 12 >> 2] | 0;
   105238     $starti_3157 = 0;
   105239     label = 50;
   105240     break;
   105241    case 50:
   105242     $sub267 = $endi_5 - $starti_3157 | 0;
   105243     $add268 = $sub267 + 1 | 0;
   105244     $size269 = $call22 + 4 | 0;
   105245     $107 = $size269;
   105246     HEAP32[$107 >> 2] = $add268;
   105247     $mul = $add268 << 4;
   105248     $call271 = _gmalloc($mul) | 0;
   105249     $108 = $call271;
   105250     $list272 = $call22;
   105251     HEAP32[$list272 >> 2] = $108;
   105252     $109 = HEAP32[$107 >> 2] | 0;
   105253     $cmp275158 = ($109 | 0) > 0;
   105254     if ($cmp275158) {
   105255       label = 51;
   105256       break;
   105257     } else {
   105258       label = 53;
   105259       break;
   105260     }
   105261    case 51:
   105262     $list279 = $7 | 0;
   105263     $i_2159 = 0;
   105264     $j_0160 = $starti_3157;
   105265     label = 52;
   105266     break;
   105267    case 52:
   105268     $110 = HEAP32[$list272 >> 2] | 0;
   105269     $arrayidx278 = $110 + ($i_2159 << 4) | 0;
   105270     $111 = HEAP32[$list279 >> 2] | 0;
   105271     $arrayidx280 = $111 + ($j_0160 << 4) | 0;
   105272     $112 = $arrayidx278;
   105273     $113 = $arrayidx280;
   105274     HEAP32[$112 >> 2] = HEAP32[$113 >> 2] | 0;
   105275     HEAP32[$112 + 4 >> 2] = HEAP32[$113 + 4 >> 2] | 0;
   105276     HEAP32[$112 + 8 >> 2] = HEAP32[$113 + 8 >> 2] | 0;
   105277     HEAP32[$112 + 12 >> 2] = HEAP32[$113 + 12 >> 2] | 0;
   105278     $inc282 = $i_2159 + 1 | 0;
   105279     $inc283 = $j_0160 + 1 | 0;
   105280     $114 = HEAP32[$107 >> 2] | 0;
   105281     $cmp275 = ($inc282 | 0) < ($114 | 0);
   105282     if ($cmp275) {
   105283       $i_2159 = $inc282;
   105284       $j_0160 = $inc283;
   105285       label = 52;
   105286       break;
   105287     } else {
   105288       label = 53;
   105289       break;
   105290     }
   105291    case 53:
   105292     $list285 = $7 | 0;
   105293     $115 = HEAP32[$list285 >> 2] | 0;
   105294     $116 = $115;
   105295     _free($116);
   105296     $117 = $7;
   105297     _free($117);
   105298     $118 = HEAP32[$spl >> 2] | 0;
   105299     $list288 = $118 | 0;
   105300     HEAP32[$list288 >> 2] = $11;
   105301     label = 54;
   105302     break;
   105303    case 54:
   105304     STACKTOP = __stackBase__;
   105305     return;
   105306   }
   105307 }
   105308 function _mid_pointf3014($agg_result, $p, $q) {
   105309   $agg_result = $agg_result | 0;
   105310   $p = $p | 0;
   105311   $q = $q | 0;
   105312   var $div5 = 0.0, tempParam = 0, __stackBase__ = 0;
   105313   __stackBase__ = STACKTOP;
   105314   tempParam = $p;
   105315   $p = STACKTOP;
   105316   STACKTOP = STACKTOP + 16 | 0;
   105317   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   105318   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   105319   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   105320   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   105321   tempParam = $q;
   105322   $q = STACKTOP;
   105323   STACKTOP = STACKTOP + 16 | 0;
   105324   HEAP32[$q >> 2] = HEAP32[tempParam >> 2] | 0;
   105325   HEAP32[$q + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   105326   HEAP32[$q + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   105327   HEAP32[$q + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   105328   $div5 = (+HEAPF64[$p + 8 >> 3] + +HEAPF64[$q + 8 >> 3]) * .5;
   105329   HEAPF64[$agg_result >> 3] = (+HEAPF64[$p >> 3] + +HEAPF64[$q >> 3]) * .5;
   105330   HEAPF64[$agg_result + 8 >> 3] = $div5;
   105331   STACKTOP = __stackBase__;
   105332   return;
   105333 }
   105334 function _countHorzCross($pts, $ycoord) {
   105335   $pts = $pts | 0;
   105336   $ycoord = +$ycoord;
   105337   var $y = 0, $0 = 0.0, $cmp = 0, $cmp3 = 0, $cond = 0, $cond4 = 0, $cmp5 = 0, $_ = 0, $y8 = 0, $1 = 0.0, $cmp9 = 0, $cmp14 = 0, $cond15 = 0, $cond17 = 0, $cmp18 = 0, $cmp19 = 0, $or_cond = 0, $inc21 = 0, $inc21_num_crossings_1 = 0, $y8_1 = 0, $2 = 0.0, $cmp9_1 = 0, $cmp14_1 = 0, $cond15_1 = 0, $cond17_1 = 0, $cmp18_1 = 0, $cmp19_1 = 0, $or_cond_1 = 0, $inc21_1 = 0, $inc21_num_crossings_1_1 = 0, $y8_2 = 0, $3 = 0.0, $cmp9_2 = 0, $cmp14_2 = 0, $cond15_2 = 0, $cond17_2 = 0, $cmp18_2 = 0, $cmp19_2 = 0, $or_cond_2 = 0, $inc21_2 = 0, $inc21_num_crossings_1_2 = 0, label = 0;
   105338   label = 2;
   105339   while (1) switch (label | 0) {
   105340    case 2:
   105341     $y = $pts + 8 | 0;
   105342     $0 = +HEAPF64[$y >> 3];
   105343     $cmp = $0 < $ycoord;
   105344     if ($cmp) {
   105345       $cond4 = -1;
   105346       label = 4;
   105347       break;
   105348     } else {
   105349       label = 3;
   105350       break;
   105351     }
   105352    case 3:
   105353     $cmp3 = $0 > $ycoord;
   105354     $cond = $cmp3 & 1;
   105355     $cond4 = $cond;
   105356     label = 4;
   105357     break;
   105358    case 4:
   105359     $cmp5 = ($cond4 | 0) == 0;
   105360     $_ = $cmp5 & 1;
   105361     $y8 = $pts + 24 | 0;
   105362     $1 = +HEAPF64[$y8 >> 3];
   105363     $cmp9 = $1 < $ycoord;
   105364     if ($cmp9) {
   105365       $cond17 = -1;
   105366       label = 6;
   105367       break;
   105368     } else {
   105369       label = 5;
   105370       break;
   105371     }
   105372    case 5:
   105373     $cmp14 = $1 > $ycoord;
   105374     $cond15 = $cmp14 & 1;
   105375     $cond17 = $cond15;
   105376     label = 6;
   105377     break;
   105378    case 6:
   105379     $cmp18 = ($cond17 | 0) != ($cond4 | 0);
   105380     $cmp19 = ($cond4 | 0) != 0;
   105381     $or_cond = $cmp18 & $cmp19;
   105382     $inc21 = $or_cond & 1;
   105383     $inc21_num_crossings_1 = $inc21 + $_ | 0;
   105384     $y8_1 = $pts + 40 | 0;
   105385     $2 = +HEAPF64[$y8_1 >> 3];
   105386     $cmp9_1 = $2 < $ycoord;
   105387     if ($cmp9_1) {
   105388       $cond17_1 = -1;
   105389       label = 8;
   105390       break;
   105391     } else {
   105392       label = 7;
   105393       break;
   105394     }
   105395    case 7:
   105396     $cmp14_1 = $2 > $ycoord;
   105397     $cond15_1 = $cmp14_1 & 1;
   105398     $cond17_1 = $cond15_1;
   105399     label = 8;
   105400     break;
   105401    case 8:
   105402     $cmp18_1 = ($cond17_1 | 0) != ($cond17 | 0);
   105403     $cmp19_1 = ($cond17 | 0) != 0;
   105404     $or_cond_1 = $cmp18_1 & $cmp19_1;
   105405     $inc21_1 = $or_cond_1 & 1;
   105406     $inc21_num_crossings_1_1 = $inc21_1 + $inc21_num_crossings_1 | 0;
   105407     $y8_2 = $pts + 56 | 0;
   105408     $3 = +HEAPF64[$y8_2 >> 3];
   105409     $cmp9_2 = $3 < $ycoord;
   105410     if ($cmp9_2) {
   105411       $cond17_2 = -1;
   105412       label = 10;
   105413       break;
   105414     } else {
   105415       label = 9;
   105416       break;
   105417     }
   105418    case 9:
   105419     $cmp14_2 = $3 > $ycoord;
   105420     $cond15_2 = $cmp14_2 & 1;
   105421     $cond17_2 = $cond15_2;
   105422     label = 10;
   105423     break;
   105424    case 10:
   105425     $cmp18_2 = ($cond17_2 | 0) != ($cond17_1 | 0);
   105426     $cmp19_2 = ($cond17_1 | 0) != 0;
   105427     $or_cond_2 = $cmp18_2 & $cmp19_2;
   105428     $inc21_2 = $or_cond_2 & 1;
   105429     $inc21_num_crossings_1_2 = $inc21_2 + $inc21_num_crossings_1_1 | 0;
   105430     return $inc21_num_crossings_1_2 | 0;
   105431   }
   105432   return 0;
   105433 }
   105434 function _countVertCross($pts, $xcoord) {
   105435   $pts = $pts | 0;
   105436   $xcoord = +$xcoord;
   105437   var $x = 0, $0 = 0.0, $cmp = 0, $cmp3 = 0, $cond = 0, $cond4 = 0, $cmp5 = 0, $_ = 0, $x8 = 0, $1 = 0.0, $cmp9 = 0, $cmp14 = 0, $cond15 = 0, $cond17 = 0, $cmp18 = 0, $cmp19 = 0, $or_cond = 0, $inc21 = 0, $inc21_num_crossings_1 = 0, $x8_1 = 0, $2 = 0.0, $cmp9_1 = 0, $cmp14_1 = 0, $cond15_1 = 0, $cond17_1 = 0, $cmp18_1 = 0, $cmp19_1 = 0, $or_cond_1 = 0, $inc21_1 = 0, $inc21_num_crossings_1_1 = 0, $x8_2 = 0, $3 = 0.0, $cmp9_2 = 0, $cmp14_2 = 0, $cond15_2 = 0, $cond17_2 = 0, $cmp18_2 = 0, $cmp19_2 = 0, $or_cond_2 = 0, $inc21_2 = 0, $inc21_num_crossings_1_2 = 0, label = 0;
   105438   label = 2;
   105439   while (1) switch (label | 0) {
   105440    case 2:
   105441     $x = $pts | 0;
   105442     $0 = +HEAPF64[$x >> 3];
   105443     $cmp = $0 < $xcoord;
   105444     if ($cmp) {
   105445       $cond4 = -1;
   105446       label = 4;
   105447       break;
   105448     } else {
   105449       label = 3;
   105450       break;
   105451     }
   105452    case 3:
   105453     $cmp3 = $0 > $xcoord;
   105454     $cond = $cmp3 & 1;
   105455     $cond4 = $cond;
   105456     label = 4;
   105457     break;
   105458    case 4:
   105459     $cmp5 = ($cond4 | 0) == 0;
   105460     $_ = $cmp5 & 1;
   105461     $x8 = $pts + 16 | 0;
   105462     $1 = +HEAPF64[$x8 >> 3];
   105463     $cmp9 = $1 < $xcoord;
   105464     if ($cmp9) {
   105465       $cond17 = -1;
   105466       label = 6;
   105467       break;
   105468     } else {
   105469       label = 5;
   105470       break;
   105471     }
   105472    case 5:
   105473     $cmp14 = $1 > $xcoord;
   105474     $cond15 = $cmp14 & 1;
   105475     $cond17 = $cond15;
   105476     label = 6;
   105477     break;
   105478    case 6:
   105479     $cmp18 = ($cond17 | 0) != ($cond4 | 0);
   105480     $cmp19 = ($cond4 | 0) != 0;
   105481     $or_cond = $cmp18 & $cmp19;
   105482     $inc21 = $or_cond & 1;
   105483     $inc21_num_crossings_1 = $inc21 + $_ | 0;
   105484     $x8_1 = $pts + 32 | 0;
   105485     $2 = +HEAPF64[$x8_1 >> 3];
   105486     $cmp9_1 = $2 < $xcoord;
   105487     if ($cmp9_1) {
   105488       $cond17_1 = -1;
   105489       label = 8;
   105490       break;
   105491     } else {
   105492       label = 7;
   105493       break;
   105494     }
   105495    case 7:
   105496     $cmp14_1 = $2 > $xcoord;
   105497     $cond15_1 = $cmp14_1 & 1;
   105498     $cond17_1 = $cond15_1;
   105499     label = 8;
   105500     break;
   105501    case 8:
   105502     $cmp18_1 = ($cond17_1 | 0) != ($cond17 | 0);
   105503     $cmp19_1 = ($cond17 | 0) != 0;
   105504     $or_cond_1 = $cmp18_1 & $cmp19_1;
   105505     $inc21_1 = $or_cond_1 & 1;
   105506     $inc21_num_crossings_1_1 = $inc21_1 + $inc21_num_crossings_1 | 0;
   105507     $x8_2 = $pts + 48 | 0;
   105508     $3 = +HEAPF64[$x8_2 >> 3];
   105509     $cmp9_2 = $3 < $xcoord;
   105510     if ($cmp9_2) {
   105511       $cond17_2 = -1;
   105512       label = 10;
   105513       break;
   105514     } else {
   105515       label = 9;
   105516       break;
   105517     }
   105518    case 9:
   105519     $cmp14_2 = $3 > $xcoord;
   105520     $cond15_2 = $cmp14_2 & 1;
   105521     $cond17_2 = $cond15_2;
   105522     label = 10;
   105523     break;
   105524    case 10:
   105525     $cmp18_2 = ($cond17_2 | 0) != ($cond17_1 | 0);
   105526     $cmp19_2 = ($cond17_1 | 0) != 0;
   105527     $or_cond_2 = $cmp18_2 & $cmp19_2;
   105528     $inc21_2 = $or_cond_2 & 1;
   105529     $inc21_num_crossings_1_2 = $inc21_2 + $inc21_num_crossings_1_1 | 0;
   105530     return $inc21_num_crossings_1_2 | 0;
   105531   }
   105532   return 0;
   105533 }
   105534 function _downcandidate($v) {
   105535   $v = $v | 0;
   105536   var $node_type = 0, $0 = 0, $cmp = 0, $size = 0, $1 = 0, $cmp3 = 0, $size7 = 0, $2 = 0, $cmp8 = 0, $label = 0, $3 = 0, $cmp11 = 0, $phitmp = 0, $4 = 0, label = 0;
   105537   label = 2;
   105538   while (1) switch (label | 0) {
   105539    case 2:
   105540     $node_type = $v + 162 | 0;
   105541     $0 = HEAP8[$node_type] | 0;
   105542     $cmp = $0 << 24 >> 24 == 1;
   105543     if ($cmp) {
   105544       label = 3;
   105545       break;
   105546     } else {
   105547       $4 = 0;
   105548       label = 6;
   105549       break;
   105550     }
   105551    case 3:
   105552     $size = $v + 180 | 0;
   105553     $1 = HEAP32[$size >> 2] | 0;
   105554     $cmp3 = ($1 | 0) == 1;
   105555     if ($cmp3) {
   105556       label = 4;
   105557       break;
   105558     } else {
   105559       $4 = 0;
   105560       label = 6;
   105561       break;
   105562     }
   105563    case 4:
   105564     $size7 = $v + 188 | 0;
   105565     $2 = HEAP32[$size7 >> 2] | 0;
   105566     $cmp8 = ($2 | 0) == 1;
   105567     if ($cmp8) {
   105568       label = 5;
   105569       break;
   105570     } else {
   105571       $4 = 0;
   105572       label = 6;
   105573       break;
   105574     }
   105575    case 5:
   105576     $label = $v + 120 | 0;
   105577     $3 = HEAP32[$label >> 2] | 0;
   105578     $cmp11 = ($3 | 0) == 0;
   105579     $phitmp = $cmp11 & 1;
   105580     $4 = $phitmp;
   105581     label = 6;
   105582     break;
   105583    case 6:
   105584     return $4 | 0;
   105585   }
   105586   return 0;
   105587 }
   105588 function _splineIntersectf($pts, $bb) {
   105589   $pts = $pts | 0;
   105590   $bb = $bb | 0;
   105591   var $origpts = 0, $tmp = 0, $tmp19 = 0, $tmp38 = 0, $tmp57 = 0, $0 = 0, $1 = 0, $arrayidx_1 = 0, $arrayidx1_1 = 0, $2 = 0, $3 = 0, $arrayidx_2 = 0, $arrayidx1_2 = 0, $4 = 0, $5 = 0, $arrayidx_3 = 0, $arrayidx1_3 = 0, $6 = 0, $7 = 0, $x = 0, $8 = 0.0, $y = 0, $9 = 0.0, $y3 = 0, $10 = 0.0, $call = 0.0, $cmp4 = 0, $cmp5 = 0, $or_cond = 0, $arraydecay = 0, $tmin_0 = 0.0, $cmp6 = 0, $cond = 0.0, $x8 = 0, $11 = 0.0, $12 = 0.0, $13 = 0.0, $call13 = 0.0, $cmp14 = 0, $cmp16 = 0, $or_cond48 = 0, $arraydecay18 = 0, $tmin_1 = 0.0, $cmp21 = 0, $cond25 = 0.0, $14 = 0.0, $15 = 0.0, $16 = 0.0, $call32 = 0.0, $cmp33 = 0, $cmp35 = 0, $or_cond49 = 0, $arraydecay37 = 0, $tmin_2 = 0.0, $cmp40 = 0, $cond44 = 0.0, $17 = 0.0, $18 = 0.0, $19 = 0.0, $call51 = 0.0, $cmp52 = 0, $cmp54 = 0, $or_cond50 = 0, $arraydecay56 = 0, $tmin_3 = 0.0, $cmp59 = 0, $_ = 0, label = 0, __stackBase__ = 0;
   105592   __stackBase__ = STACKTOP;
   105593   STACKTOP = STACKTOP + 128 | 0;
   105594   label = 2;
   105595   while (1) switch (label | 0) {
   105596    case 2:
   105597     $origpts = __stackBase__ | 0;
   105598     $tmp = __stackBase__ + 64 | 0;
   105599     $tmp19 = __stackBase__ + 80 | 0;
   105600     $tmp38 = __stackBase__ + 96 | 0;
   105601     $tmp57 = __stackBase__ + 112 | 0;
   105602     $0 = $origpts;
   105603     $1 = $pts;
   105604     HEAP32[$0 >> 2] = HEAP32[$1 >> 2] | 0;
   105605     HEAP32[$0 + 4 >> 2] = HEAP32[$1 + 4 >> 2] | 0;
   105606     HEAP32[$0 + 8 >> 2] = HEAP32[$1 + 8 >> 2] | 0;
   105607     HEAP32[$0 + 12 >> 2] = HEAP32[$1 + 12 >> 2] | 0;
   105608     $arrayidx_1 = $origpts + 16 | 0;
   105609     $arrayidx1_1 = $pts + 16 | 0;
   105610     $2 = $arrayidx_1;
   105611     $3 = $arrayidx1_1;
   105612     HEAP32[$2 >> 2] = HEAP32[$3 >> 2] | 0;
   105613     HEAP32[$2 + 4 >> 2] = HEAP32[$3 + 4 >> 2] | 0;
   105614     HEAP32[$2 + 8 >> 2] = HEAP32[$3 + 8 >> 2] | 0;
   105615     HEAP32[$2 + 12 >> 2] = HEAP32[$3 + 12 >> 2] | 0;
   105616     $arrayidx_2 = $origpts + 32 | 0;
   105617     $arrayidx1_2 = $pts + 32 | 0;
   105618     $4 = $arrayidx_2;
   105619     $5 = $arrayidx1_2;
   105620     HEAP32[$4 >> 2] = HEAP32[$5 >> 2] | 0;
   105621     HEAP32[$4 + 4 >> 2] = HEAP32[$5 + 4 >> 2] | 0;
   105622     HEAP32[$4 + 8 >> 2] = HEAP32[$5 + 8 >> 2] | 0;
   105623     HEAP32[$4 + 12 >> 2] = HEAP32[$5 + 12 >> 2] | 0;
   105624     $arrayidx_3 = $origpts + 48 | 0;
   105625     $arrayidx1_3 = $pts + 48 | 0;
   105626     $6 = $arrayidx_3;
   105627     $7 = $arrayidx1_3;
   105628     HEAP32[$6 >> 2] = HEAP32[$7 >> 2] | 0;
   105629     HEAP32[$6 + 4 >> 2] = HEAP32[$7 + 4 >> 2] | 0;
   105630     HEAP32[$6 + 8 >> 2] = HEAP32[$7 + 8 >> 2] | 0;
   105631     HEAP32[$6 + 12 >> 2] = HEAP32[$7 + 12 >> 2] | 0;
   105632     $x = $bb | 0;
   105633     $8 = +HEAPF64[$x >> 3];
   105634     $y = $bb + 8 | 0;
   105635     $9 = +HEAPF64[$y >> 3];
   105636     $y3 = $bb + 24 | 0;
   105637     $10 = +HEAPF64[$y3 >> 3];
   105638     $call = +_findVertical($pts, 0.0, 1.0, $8, $9, $10);
   105639     $cmp4 = $call >= 0.0;
   105640     $cmp5 = $call < 2.0;
   105641     $or_cond = $cmp4 & $cmp5;
   105642     if ($or_cond) {
   105643       label = 3;
   105644       break;
   105645     } else {
   105646       $tmin_0 = 2.0;
   105647       label = 4;
   105648       break;
   105649     }
   105650    case 3:
   105651     $arraydecay = $origpts | 0;
   105652     _Bezier($tmp, $arraydecay, 3, $call, $pts, 0);
   105653     $tmin_0 = $call;
   105654     label = 4;
   105655     break;
   105656    case 4:
   105657     $cmp6 = $tmin_0 > 1.0;
   105658     $cond = $cmp6 ? 1.0 : $tmin_0;
   105659     $x8 = $bb + 16 | 0;
   105660     $11 = +HEAPF64[$x8 >> 3];
   105661     $12 = +HEAPF64[$y >> 3];
   105662     $13 = +HEAPF64[$y3 >> 3];
   105663     $call13 = +_findVertical($pts, 0.0, $cond, $11, $12, $13);
   105664     $cmp14 = $call13 >= 0.0;
   105665     $cmp16 = $call13 < $tmin_0;
   105666     $or_cond48 = $cmp14 & $cmp16;
   105667     if ($or_cond48) {
   105668       label = 5;
   105669       break;
   105670     } else {
   105671       $tmin_1 = $tmin_0;
   105672       label = 6;
   105673       break;
   105674     }
   105675    case 5:
   105676     $arraydecay18 = $origpts | 0;
   105677     _Bezier($tmp19, $arraydecay18, 3, $call13, $pts, 0);
   105678     $tmin_1 = $call13;
   105679     label = 6;
   105680     break;
   105681    case 6:
   105682     $cmp21 = $tmin_1 > 1.0;
   105683     $cond25 = $cmp21 ? 1.0 : $tmin_1;
   105684     $14 = +HEAPF64[$y >> 3];
   105685     $15 = +HEAPF64[$x >> 3];
   105686     $16 = +HEAPF64[$x8 >> 3];
   105687     $call32 = +_findHorizontal($pts, 0.0, $cond25, $14, $15, $16);
   105688     $cmp33 = $call32 >= 0.0;
   105689     $cmp35 = $call32 < $tmin_1;
   105690     $or_cond49 = $cmp33 & $cmp35;
   105691     if ($or_cond49) {
   105692       label = 7;
   105693       break;
   105694     } else {
   105695       $tmin_2 = $tmin_1;
   105696       label = 8;
   105697       break;
   105698     }
   105699    case 7:
   105700     $arraydecay37 = $origpts | 0;
   105701     _Bezier($tmp38, $arraydecay37, 3, $call32, $pts, 0);
   105702     $tmin_2 = $call32;
   105703     label = 8;
   105704     break;
   105705    case 8:
   105706     $cmp40 = $tmin_2 > 1.0;
   105707     $cond44 = $cmp40 ? 1.0 : $tmin_2;
   105708     $17 = +HEAPF64[$y3 >> 3];
   105709     $18 = +HEAPF64[$x >> 3];
   105710     $19 = +HEAPF64[$x8 >> 3];
   105711     $call51 = +_findHorizontal($pts, 0.0, $cond44, $17, $18, $19);
   105712     $cmp52 = $call51 >= 0.0;
   105713     $cmp54 = $call51 < $tmin_2;
   105714     $or_cond50 = $cmp52 & $cmp54;
   105715     if ($or_cond50) {
   105716       label = 9;
   105717       break;
   105718     } else {
   105719       $tmin_3 = $tmin_2;
   105720       label = 10;
   105721       break;
   105722     }
   105723    case 9:
   105724     $arraydecay56 = $origpts | 0;
   105725     _Bezier($tmp57, $arraydecay56, 3, $call51, $pts, 0);
   105726     $tmin_3 = $call51;
   105727     label = 10;
   105728     break;
   105729    case 10:
   105730     $cmp59 = $tmin_3 < 2.0;
   105731     $_ = $cmp59 & 1;
   105732     STACKTOP = __stackBase__;
   105733     return $_ | 0;
   105734   }
   105735   return 0;
   105736 }
   105737 function _findVertical($pts, $tmin, $tmax, $xcoord, $ymin, $ymax) {
   105738   $pts = $pts | 0;
   105739   $tmin = +$tmin;
   105740   $tmax = +$tmax;
   105741   $xcoord = +$xcoord;
   105742   $ymin = +$ymin;
   105743   $ymax = +$ymax;
   105744   var $Left = 0, $Right = 0, $tmp = 0, $call = 0, $x = 0, $0 = 0.0, $cmp2 = 0, $add = 0.0, $sub = 0.0, $add_sink = 0.0, $conv = 0, $cmp8 = 0, $add11 = 0.0, $sub14 = 0.0, $add11_sink = 0.0, $conv12 = 0, $cmp18 = 0, $y = 0, $1 = 0.0, $cmp22 = 0, $cmp27 = 0, $or_cond = 0, $_tmax = 0.0, $arraydecay = 0, $arraydecay31 = 0, $add33 = 0.0, $div = 0.0, $call34 = 0.0, $cmp35 = 0, $call42 = 0.0, $retval_0 = 0.0, label = 0, __stackBase__ = 0;
   105745   __stackBase__ = STACKTOP;
   105746   STACKTOP = STACKTOP + 144 | 0;
   105747   label = 2;
   105748   while (1) switch (label | 0) {
   105749    case 2:
   105750     $Left = __stackBase__ | 0;
   105751     $Right = __stackBase__ + 64 | 0;
   105752     $tmp = __stackBase__ + 128 | 0;
   105753     $call = _countVertCross($pts, $xcoord) | 0;
   105754     if (($call | 0) == 1) {
   105755       label = 3;
   105756       break;
   105757     } else if (($call | 0) == 0) {
   105758       $retval_0 = -1.0;
   105759       label = 13;
   105760       break;
   105761     } else {
   105762       label = 11;
   105763       break;
   105764     }
   105765    case 3:
   105766     $x = $pts + 48 | 0;
   105767     $0 = +HEAPF64[$x >> 3];
   105768     $cmp2 = $0 < 0.0;
   105769     if ($cmp2) {
   105770       label = 5;
   105771       break;
   105772     } else {
   105773       label = 4;
   105774       break;
   105775     }
   105776    case 4:
   105777     $add = $0 + .5;
   105778     $add_sink = $add;
   105779     label = 6;
   105780     break;
   105781    case 5:
   105782     $sub = $0 + -.5;
   105783     $add_sink = $sub;
   105784     label = 6;
   105785     break;
   105786    case 6:
   105787     $conv = ~~$add_sink;
   105788     $cmp8 = $xcoord < 0.0;
   105789     if ($cmp8) {
   105790       label = 8;
   105791       break;
   105792     } else {
   105793       label = 7;
   105794       break;
   105795     }
   105796    case 7:
   105797     $add11 = $xcoord + .5;
   105798     $add11_sink = $add11;
   105799     label = 9;
   105800     break;
   105801    case 8:
   105802     $sub14 = $xcoord + -.5;
   105803     $add11_sink = $sub14;
   105804     label = 9;
   105805     break;
   105806    case 9:
   105807     $conv12 = ~~$add11_sink;
   105808     $cmp18 = ($conv | 0) == ($conv12 | 0);
   105809     if ($cmp18) {
   105810       label = 10;
   105811       break;
   105812     } else {
   105813       label = 11;
   105814       break;
   105815     }
   105816    case 10:
   105817     $y = $pts + 56 | 0;
   105818     $1 = +HEAPF64[$y >> 3];
   105819     $cmp22 = $1 < $ymin;
   105820     $cmp27 = $1 > $ymax;
   105821     $or_cond = $cmp22 | $cmp27;
   105822     $_tmax = $or_cond ? -1.0 : $tmax;
   105823     STACKTOP = __stackBase__;
   105824     return +$_tmax;
   105825    case 11:
   105826     $arraydecay = $Left | 0;
   105827     $arraydecay31 = $Right | 0;
   105828     _Bezier($tmp, $pts, 3, .5, $arraydecay, $arraydecay31);
   105829     $add33 = $tmin + $tmax;
   105830     $div = $add33 * .5;
   105831     $call34 = +_findVertical($arraydecay, $tmin, $div, $xcoord, $ymin, $ymax);
   105832     $cmp35 = $call34 < 0.0;
   105833     if ($cmp35) {
   105834       label = 12;
   105835       break;
   105836     } else {
   105837       $retval_0 = $call34;
   105838       label = 13;
   105839       break;
   105840     }
   105841    case 12:
   105842     $call42 = +_findVertical($arraydecay31, $div, $tmax, $xcoord, $ymin, $ymax);
   105843     $retval_0 = $call42;
   105844     label = 13;
   105845     break;
   105846    case 13:
   105847     STACKTOP = __stackBase__;
   105848     return +$retval_0;
   105849   }
   105850   return 0.0;
   105851 }
   105852 function _findHorizontal($pts, $tmin, $tmax, $ycoord, $xmin, $xmax) {
   105853   $pts = $pts | 0;
   105854   $tmin = +$tmin;
   105855   $tmax = +$tmax;
   105856   $ycoord = +$ycoord;
   105857   $xmin = +$xmin;
   105858   $xmax = +$xmax;
   105859   var $Left = 0, $Right = 0, $tmp = 0, $call = 0, $y = 0, $0 = 0.0, $cmp2 = 0, $add = 0.0, $sub = 0.0, $add_sink = 0.0, $conv = 0, $cmp8 = 0, $add11 = 0.0, $sub14 = 0.0, $add11_sink = 0.0, $conv12 = 0, $cmp18 = 0, $x = 0, $1 = 0.0, $cmp22 = 0, $cmp27 = 0, $or_cond = 0, $_tmax = 0.0, $arraydecay = 0, $arraydecay31 = 0, $add33 = 0.0, $div = 0.0, $call34 = 0.0, $cmp35 = 0, $call42 = 0.0, $retval_0 = 0.0, label = 0, __stackBase__ = 0;
   105860   __stackBase__ = STACKTOP;
   105861   STACKTOP = STACKTOP + 144 | 0;
   105862   label = 2;
   105863   while (1) switch (label | 0) {
   105864    case 2:
   105865     $Left = __stackBase__ | 0;
   105866     $Right = __stackBase__ + 64 | 0;
   105867     $tmp = __stackBase__ + 128 | 0;
   105868     $call = _countHorzCross($pts, $ycoord) | 0;
   105869     if (($call | 0) == 1) {
   105870       label = 3;
   105871       break;
   105872     } else if (($call | 0) == 0) {
   105873       $retval_0 = -1.0;
   105874       label = 13;
   105875       break;
   105876     } else {
   105877       label = 11;
   105878       break;
   105879     }
   105880    case 3:
   105881     $y = $pts + 56 | 0;
   105882     $0 = +HEAPF64[$y >> 3];
   105883     $cmp2 = $0 < 0.0;
   105884     if ($cmp2) {
   105885       label = 5;
   105886       break;
   105887     } else {
   105888       label = 4;
   105889       break;
   105890     }
   105891    case 4:
   105892     $add = $0 + .5;
   105893     $add_sink = $add;
   105894     label = 6;
   105895     break;
   105896    case 5:
   105897     $sub = $0 + -.5;
   105898     $add_sink = $sub;
   105899     label = 6;
   105900     break;
   105901    case 6:
   105902     $conv = ~~$add_sink;
   105903     $cmp8 = $ycoord < 0.0;
   105904     if ($cmp8) {
   105905       label = 8;
   105906       break;
   105907     } else {
   105908       label = 7;
   105909       break;
   105910     }
   105911    case 7:
   105912     $add11 = $ycoord + .5;
   105913     $add11_sink = $add11;
   105914     label = 9;
   105915     break;
   105916    case 8:
   105917     $sub14 = $ycoord + -.5;
   105918     $add11_sink = $sub14;
   105919     label = 9;
   105920     break;
   105921    case 9:
   105922     $conv12 = ~~$add11_sink;
   105923     $cmp18 = ($conv | 0) == ($conv12 | 0);
   105924     if ($cmp18) {
   105925       label = 10;
   105926       break;
   105927     } else {
   105928       label = 11;
   105929       break;
   105930     }
   105931    case 10:
   105932     $x = $pts + 48 | 0;
   105933     $1 = +HEAPF64[$x >> 3];
   105934     $cmp22 = $1 < $xmin;
   105935     $cmp27 = $1 > $xmax;
   105936     $or_cond = $cmp22 | $cmp27;
   105937     $_tmax = $or_cond ? -1.0 : $tmax;
   105938     STACKTOP = __stackBase__;
   105939     return +$_tmax;
   105940    case 11:
   105941     $arraydecay = $Left | 0;
   105942     $arraydecay31 = $Right | 0;
   105943     _Bezier($tmp, $pts, 3, .5, $arraydecay, $arraydecay31);
   105944     $add33 = $tmin + $tmax;
   105945     $div = $add33 * .5;
   105946     $call34 = +_findHorizontal($arraydecay, $tmin, $div, $ycoord, $xmin, $xmax);
   105947     $cmp35 = $call34 < 0.0;
   105948     if ($cmp35) {
   105949       label = 12;
   105950       break;
   105951     } else {
   105952       $retval_0 = $call34;
   105953       label = 13;
   105954       break;
   105955     }
   105956    case 12:
   105957     $call42 = +_findHorizontal($arraydecay31, $div, $tmax, $ycoord, $xmin, $xmax);
   105958     $retval_0 = $call42;
   105959     label = 13;
   105960     break;
   105961    case 13:
   105962     STACKTOP = __stackBase__;
   105963     return +$retval_0;
   105964   }
   105965   return 0.0;
   105966 }
   105967 function _pf2s($p, $buf) {
   105968   $p = $p | 0;
   105969   $buf = $buf | 0;
   105970   var $1 = 0.0, tempParam = 0, __stackBase__ = 0;
   105971   __stackBase__ = STACKTOP;
   105972   tempParam = $p;
   105973   $p = STACKTOP;
   105974   STACKTOP = STACKTOP + 16 | 0;
   105975   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   105976   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   105977   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   105978   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   105979   $1 = +HEAPF64[$p + 8 >> 3];
   105980   _sprintf($buf | 0, 155560, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = +HEAPF64[$p >> 3], HEAPF64[tempInt + 8 >> 3] = $1, tempInt) | 0);
   105981   STACKTOP = __stackBase__;
   105982   return $buf | 0;
   105983 }
   105984 function _dot_concentrate($g) {
   105985   $g = $g | 0;
   105986   var $maxrank = 0, $0 = 0, $conv = 0, $minrank = 0, $1 = 0, $conv2 = 0, $sub = 0, $cmp = 0, $rank = 0, $2 = 0, $n67 = 0, $3 = 0, $tobool68 = 0, $add = 0, $4 = 0, $n = 0, $5 = 0, $tobool = 0, $add70 = 0, $r_069 = 0, $6 = 0, $n963 = 0, $7 = 0, $cmp1064 = 0, $cmp5460 = 0, $8 = 0, $leftpos_065 = 0, $v = 0, $9 = 0, $arrayidx16 = 0, $10 = 0, $call = 0, $cmp18 = 0, $11 = 0, $n27 = 0, $12 = 0, $v34 = 0, $rightpos_0_in = 0, $rightpos_0 = 0, $cmp28 = 0, $13 = 0, $arrayidx35 = 0, $14 = 0, $call36 = 0, $cmp38 = 0, $sub42 = 0, $cmp43 = 0, $inc49 = 0, $15 = 0, $n9 = 0, $16 = 0, $cmp10 = 0, $r_161 = 0, $17 = 0, $n6056 = 0, $18 = 0, $cmp6157 = 0, $n_cluster = 0, $19 = 0, $cmp10954 = 0, $clust = 0, $20 = 0, $leftpos_158 = 0, $v67 = 0, $21 = 0, $arrayidx68 = 0, $22 = 0, $call69 = 0, $cmp71 = 0, $23 = 0, $n80 = 0, $24 = 0, $v87 = 0, $rightpos_1_in = 0, $rightpos_1 = 0, $cmp81 = 0, $25 = 0, $arrayidx88 = 0, $26 = 0, $call89 = 0, $cmp91 = 0, $sub98 = 0, $cmp99 = 0, $inc105 = 0, $27 = 0, $n60 = 0, $28 = 0, $cmp61 = 0, $dec = 0, $cmp54 = 0, $c_055 = 0, $29 = 0, $arrayidx113 = 0, $30 = 0, $inc115 = 0, $31 = 0, $cmp109 = 0, label = 0;
   105987   label = 2;
   105988   while (1) switch (label | 0) {
   105989    case 2:
   105990     $maxrank = $g + 250 | 0;
   105991     $0 = HEAP16[$maxrank >> 1] | 0;
   105992     $conv = $0 << 16 >> 16;
   105993     $minrank = $g + 248 | 0;
   105994     $1 = HEAP16[$minrank >> 1] | 0;
   105995     $conv2 = $1 << 16 >> 16;
   105996     $sub = $conv - $conv2 | 0;
   105997     $cmp = ($sub | 0) < 2;
   105998     if ($cmp) {
   105999       label = 26;
   106000       break;
   106001     } else {
   106002       label = 3;
   106003       break;
   106004     }
   106005    case 3:
   106006     $rank = $g + 224 | 0;
   106007     $2 = HEAP32[$rank >> 2] | 0;
   106008     $n67 = $2 + 88 | 0;
   106009     $3 = HEAP32[$n67 >> 2] | 0;
   106010     $tobool68 = ($3 | 0) == 0;
   106011     if ($tobool68) {
   106012       $r_161 = 1;
   106013       label = 14;
   106014       break;
   106015     } else {
   106016       $r_069 = 1;
   106017       $add70 = 2;
   106018       label = 5;
   106019       break;
   106020     }
   106021    case 4:
   106022     $add = $add70 + 1 | 0;
   106023     $4 = HEAP32[$rank >> 2] | 0;
   106024     $n = $4 + ($add * 44 & -1) | 0;
   106025     $5 = HEAP32[$n >> 2] | 0;
   106026     $tobool = ($5 | 0) == 0;
   106027     if ($tobool) {
   106028       label = 6;
   106029       break;
   106030     } else {
   106031       $r_069 = $add70;
   106032       $add70 = $add;
   106033       label = 5;
   106034       break;
   106035     }
   106036    case 5:
   106037     $6 = HEAP32[$rank >> 2] | 0;
   106038     $n963 = $6 + ($r_069 * 44 & -1) | 0;
   106039     $7 = HEAP32[$n963 >> 2] | 0;
   106040     $cmp1064 = ($7 | 0) > 0;
   106041     if ($cmp1064) {
   106042       $leftpos_065 = 0;
   106043       $8 = $6;
   106044       label = 7;
   106045       break;
   106046     } else {
   106047       label = 4;
   106048       break;
   106049     }
   106050    case 6:
   106051     $cmp5460 = ($add70 | 0) > 0;
   106052     if ($cmp5460) {
   106053       $r_161 = $add70;
   106054       label = 14;
   106055       break;
   106056     } else {
   106057       label = 15;
   106058       break;
   106059     }
   106060    case 7:
   106061     $v = $8 + ($r_069 * 44 & -1) + 4 | 0;
   106062     $9 = HEAP32[$v >> 2] | 0;
   106063     $arrayidx16 = $9 + ($leftpos_065 << 2) | 0;
   106064     $10 = HEAP32[$arrayidx16 >> 2] | 0;
   106065     $call = _downcandidate($10) | 0;
   106066     $cmp18 = $call << 24 >> 24 == 0;
   106067     if ($cmp18) {
   106068       label = 13;
   106069       break;
   106070     } else {
   106071       label = 8;
   106072       break;
   106073     }
   106074    case 8:
   106075     $11 = HEAP32[$rank >> 2] | 0;
   106076     $n27 = $11 + ($r_069 * 44 & -1) | 0;
   106077     $12 = HEAP32[$n27 >> 2] | 0;
   106078     $v34 = $11 + ($r_069 * 44 & -1) + 4 | 0;
   106079     $rightpos_0_in = $leftpos_065;
   106080     label = 9;
   106081     break;
   106082    case 9:
   106083     $rightpos_0 = $rightpos_0_in + 1 | 0;
   106084     $cmp28 = ($rightpos_0 | 0) < ($12 | 0);
   106085     if ($cmp28) {
   106086       label = 10;
   106087       break;
   106088     } else {
   106089       label = 11;
   106090       break;
   106091     }
   106092    case 10:
   106093     $13 = HEAP32[$v34 >> 2] | 0;
   106094     $arrayidx35 = $13 + ($rightpos_0 << 2) | 0;
   106095     $14 = HEAP32[$arrayidx35 >> 2] | 0;
   106096     $call36 = _bothdowncandidates($10, $14) | 0;
   106097     $cmp38 = $call36 << 24 >> 24 == 0;
   106098     if ($cmp38) {
   106099       label = 11;
   106100       break;
   106101     } else {
   106102       $rightpos_0_in = $rightpos_0;
   106103       label = 9;
   106104       break;
   106105     }
   106106    case 11:
   106107     $sub42 = $rightpos_0 - $leftpos_065 | 0;
   106108     $cmp43 = ($sub42 | 0) > 1;
   106109     if ($cmp43) {
   106110       label = 12;
   106111       break;
   106112     } else {
   106113       label = 13;
   106114       break;
   106115     }
   106116    case 12:
   106117     _mergevirtual($g, $r_069, $leftpos_065, $rightpos_0_in, 1);
   106118     label = 13;
   106119     break;
   106120    case 13:
   106121     $inc49 = $leftpos_065 + 1 | 0;
   106122     $15 = HEAP32[$rank >> 2] | 0;
   106123     $n9 = $15 + ($r_069 * 44 & -1) | 0;
   106124     $16 = HEAP32[$n9 >> 2] | 0;
   106125     $cmp10 = ($inc49 | 0) < ($16 | 0);
   106126     if ($cmp10) {
   106127       $leftpos_065 = $inc49;
   106128       $8 = $15;
   106129       label = 7;
   106130       break;
   106131     } else {
   106132       label = 4;
   106133       break;
   106134     }
   106135    case 14:
   106136     $17 = HEAP32[$rank >> 2] | 0;
   106137     $n6056 = $17 + ($r_161 * 44 & -1) | 0;
   106138     $18 = HEAP32[$n6056 >> 2] | 0;
   106139     $cmp6157 = ($18 | 0) > 0;
   106140     if ($cmp6157) {
   106141       $leftpos_158 = 0;
   106142       $20 = $17;
   106143       label = 17;
   106144       break;
   106145     } else {
   106146       label = 24;
   106147       break;
   106148     }
   106149    case 15:
   106150     $n_cluster = $g + 212 | 0;
   106151     $19 = HEAP32[$n_cluster >> 2] | 0;
   106152     $cmp10954 = ($19 | 0) < 1;
   106153     if ($cmp10954) {
   106154       label = 26;
   106155       break;
   106156     } else {
   106157       label = 16;
   106158       break;
   106159     }
   106160    case 16:
   106161     $clust = $g + 216 | 0;
   106162     $c_055 = 1;
   106163     label = 25;
   106164     break;
   106165    case 17:
   106166     $v67 = $20 + ($r_161 * 44 & -1) + 4 | 0;
   106167     $21 = HEAP32[$v67 >> 2] | 0;
   106168     $arrayidx68 = $21 + ($leftpos_158 << 2) | 0;
   106169     $22 = HEAP32[$arrayidx68 >> 2] | 0;
   106170     $call69 = _upcandidate($22) | 0;
   106171     $cmp71 = $call69 << 24 >> 24 == 0;
   106172     if ($cmp71) {
   106173       label = 23;
   106174       break;
   106175     } else {
   106176       label = 18;
   106177       break;
   106178     }
   106179    case 18:
   106180     $23 = HEAP32[$rank >> 2] | 0;
   106181     $n80 = $23 + ($r_161 * 44 & -1) | 0;
   106182     $24 = HEAP32[$n80 >> 2] | 0;
   106183     $v87 = $23 + ($r_161 * 44 & -1) + 4 | 0;
   106184     $rightpos_1_in = $leftpos_158;
   106185     label = 19;
   106186     break;
   106187    case 19:
   106188     $rightpos_1 = $rightpos_1_in + 1 | 0;
   106189     $cmp81 = ($rightpos_1 | 0) < ($24 | 0);
   106190     if ($cmp81) {
   106191       label = 20;
   106192       break;
   106193     } else {
   106194       label = 21;
   106195       break;
   106196     }
   106197    case 20:
   106198     $25 = HEAP32[$v87 >> 2] | 0;
   106199     $arrayidx88 = $25 + ($rightpos_1 << 2) | 0;
   106200     $26 = HEAP32[$arrayidx88 >> 2] | 0;
   106201     $call89 = _bothupcandidates($22, $26) | 0;
   106202     $cmp91 = $call89 << 24 >> 24 == 0;
   106203     if ($cmp91) {
   106204       label = 21;
   106205       break;
   106206     } else {
   106207       $rightpos_1_in = $rightpos_1;
   106208       label = 19;
   106209       break;
   106210     }
   106211    case 21:
   106212     $sub98 = $rightpos_1 - $leftpos_158 | 0;
   106213     $cmp99 = ($sub98 | 0) > 1;
   106214     if ($cmp99) {
   106215       label = 22;
   106216       break;
   106217     } else {
   106218       label = 23;
   106219       break;
   106220     }
   106221    case 22:
   106222     _mergevirtual($g, $r_161, $leftpos_158, $rightpos_1_in, 0);
   106223     label = 23;
   106224     break;
   106225    case 23:
   106226     $inc105 = $leftpos_158 + 1 | 0;
   106227     $27 = HEAP32[$rank >> 2] | 0;
   106228     $n60 = $27 + ($r_161 * 44 & -1) | 0;
   106229     $28 = HEAP32[$n60 >> 2] | 0;
   106230     $cmp61 = ($inc105 | 0) < ($28 | 0);
   106231     if ($cmp61) {
   106232       $leftpos_158 = $inc105;
   106233       $20 = $27;
   106234       label = 17;
   106235       break;
   106236     } else {
   106237       label = 24;
   106238       break;
   106239     }
   106240    case 24:
   106241     $dec = $r_161 - 1 | 0;
   106242     $cmp54 = ($dec | 0) > 0;
   106243     if ($cmp54) {
   106244       $r_161 = $dec;
   106245       label = 14;
   106246       break;
   106247     } else {
   106248       label = 15;
   106249       break;
   106250     }
   106251    case 25:
   106252     $29 = HEAP32[$clust >> 2] | 0;
   106253     $arrayidx113 = $29 + ($c_055 << 2) | 0;
   106254     $30 = HEAP32[$arrayidx113 >> 2] | 0;
   106255     _rebuild_vlists($30);
   106256     $inc115 = $c_055 + 1 | 0;
   106257     $31 = HEAP32[$n_cluster >> 2] | 0;
   106258     $cmp109 = ($inc115 | 0) > ($31 | 0);
   106259     if ($cmp109) {
   106260       label = 26;
   106261       break;
   106262     } else {
   106263       $c_055 = $inc115;
   106264       label = 25;
   106265       break;
   106266     }
   106267    case 26:
   106268     return;
   106269   }
   106270 }
   106271 function _bothdowncandidates($u, $v) {
   106272   $u = $u | 0;
   106273   $v = $v | 0;
   106274   var $list = 0, $0 = 0, $1 = 0, $list4 = 0, $2 = 0, $3 = 0, $call = 0, $tobool = 0, $tail = 0, $4 = 0, $tail6 = 0, $5 = 0, $cmp = 0, $call8 = 0, $tobool10 = 0, $tail_port = 0, $tail_port13 = 0, $call14 = 0, $cmp15 = 0, $phitmp = 0, $retval_0 = 0, label = 0;
   106275   label = 2;
   106276   while (1) switch (label | 0) {
   106277    case 2:
   106278     $list = $u + 176 | 0;
   106279     $0 = HEAP32[$list >> 2] | 0;
   106280     $1 = HEAP32[$0 >> 2] | 0;
   106281     $list4 = $v + 176 | 0;
   106282     $2 = HEAP32[$list4 >> 2] | 0;
   106283     $3 = HEAP32[$2 >> 2] | 0;
   106284     $call = _downcandidate($v) | 0;
   106285     $tobool = $call << 24 >> 24 == 0;
   106286     if ($tobool) {
   106287       $retval_0 = 0;
   106288       label = 6;
   106289       break;
   106290     } else {
   106291       label = 3;
   106292       break;
   106293     }
   106294    case 3:
   106295     $tail = $1 + 16 | 0;
   106296     $4 = HEAP32[$tail >> 2] | 0;
   106297     $tail6 = $3 + 16 | 0;
   106298     $5 = HEAP32[$tail6 >> 2] | 0;
   106299     $cmp = ($4 | 0) == ($5 | 0);
   106300     if ($cmp) {
   106301       label = 4;
   106302       break;
   106303     } else {
   106304       $retval_0 = 0;
   106305       label = 6;
   106306       break;
   106307     }
   106308    case 4:
   106309     $call8 = _samedir($1, $3) | 0;
   106310     $tobool10 = $call8 << 24 >> 24 == 0;
   106311     if ($tobool10) {
   106312       $retval_0 = 0;
   106313       label = 6;
   106314       break;
   106315     } else {
   106316       label = 5;
   106317       break;
   106318     }
   106319    case 5:
   106320     $tail_port = $1 + 32 | 0;
   106321     $tail_port13 = $3 + 32 | 0;
   106322     $call14 = _portcmp($tail_port, $tail_port13) | 0;
   106323     $cmp15 = ($call14 | 0) == 0;
   106324     $phitmp = $cmp15 & 1;
   106325     $retval_0 = $phitmp;
   106326     label = 6;
   106327     break;
   106328    case 6:
   106329     return $retval_0 | 0;
   106330   }
   106331   return 0;
   106332 }
   106333 function _boxIntersectf($agg_result, $pp, $cp, $bp) {
   106334   $agg_result = $agg_result | 0;
   106335   $pp = $pp | 0;
   106336   $cp = $cp | 0;
   106337   $bp = $bp | 0;
   106338   var $ll = 0, $ur = 0, $ppbuf = 0, $cpbuf = 0, $llbuf = 0, $urbuf = 0, $x = 0, $0 = 0.0, $y = 0, $1 = 0.0, $x1 = 0, $2 = 0.0, $y2 = 0, $3 = 0.0, $4 = 0, $5 = 0, $UR = 0, $6 = 0, $7 = 0, $8 = 0.0, $x4 = 0, $9 = 0.0, $cmp = 0, $10 = 0.0, $sub = 0.0, $sub9 = 0.0, $mul = 0.0, $sub10 = 0.0, $div = 0.0, $conv = 0, $conv11 = 0.0, $add = 0.0, $y14 = 0, $11 = 0.0, $cmp15 = 0, $y18 = 0, $12 = 0.0, $cmp19 = 0, $ipp_sroa_0_0__idx25 = 0, $ipp_sroa_1_8__idx36 = 0, $13 = 0.0, $x24 = 0, $14 = 0.0, $cmp25 = 0, $15 = 0.0, $sub32 = 0.0, $sub33 = 0.0, $mul34 = 0.0, $sub35 = 0.0, $div36 = 0.0, $conv37 = 0, $conv38 = 0.0, $add39 = 0.0, $y42 = 0, $16 = 0.0, $cmp43 = 0, $y47 = 0, $17 = 0.0, $cmp48 = 0, $ipp_sroa_0_0__idx22 = 0, $ipp_sroa_1_8__idx32 = 0, $18 = 0.0, $y54 = 0, $19 = 0.0, $cmp55 = 0, $20 = 0.0, $sub62 = 0.0, $sub63 = 0.0, $mul64 = 0.0, $sub65 = 0.0, $div66 = 0.0, $conv67 = 0, $conv68 = 0.0, $add69 = 0.0, $21 = 0.0, $cmp73 = 0, $22 = 0.0, $cmp78 = 0, $ipp_sroa_0_0__idx18 = 0, $ipp_sroa_1_8__idx29 = 0, $23 = 0.0, $y84 = 0, $24 = 0.0, $cmp85 = 0, $25 = 0.0, $sub92 = 0.0, $sub93 = 0.0, $mul94 = 0.0, $sub95 = 0.0, $div96 = 0.0, $conv97 = 0, $conv98 = 0.0, $add99 = 0.0, $26 = 0.0, $cmp103 = 0, $27 = 0.0, $cmp108 = 0, $ipp_sroa_0_0__idx = 0, $ipp_sroa_1_8__idx28 = 0, $arraydecay = 0, $call = 0, $arraydecay113 = 0, $call114 = 0, $arraydecay115 = 0, $call116 = 0, $arraydecay117 = 0, $call118 = 0, $call119 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   106339   __stackBase__ = STACKTOP;
   106340   STACKTOP = STACKTOP + 448 | 0;
   106341   tempParam = $pp;
   106342   $pp = STACKTOP;
   106343   STACKTOP = STACKTOP + 16 | 0;
   106344   HEAP32[$pp >> 2] = HEAP32[tempParam >> 2] | 0;
   106345   HEAP32[$pp + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   106346   HEAP32[$pp + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   106347   HEAP32[$pp + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   106348   tempParam = $cp;
   106349   $cp = STACKTOP;
   106350   STACKTOP = STACKTOP + 16 | 0;
   106351   HEAP32[$cp >> 2] = HEAP32[tempParam >> 2] | 0;
   106352   HEAP32[$cp + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   106353   HEAP32[$cp + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   106354   HEAP32[$cp + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   106355   label = 2;
   106356   while (1) switch (label | 0) {
   106357    case 2:
   106358     $ll = __stackBase__ | 0;
   106359     $ur = __stackBase__ + 16 | 0;
   106360     $ppbuf = __stackBase__ + 32 | 0;
   106361     $cpbuf = __stackBase__ + 136 | 0;
   106362     $llbuf = __stackBase__ + 240 | 0;
   106363     $urbuf = __stackBase__ + 344 | 0;
   106364     $x = $pp | 0;
   106365     $0 = +HEAPF64[$x >> 3];
   106366     $y = $pp + 8 | 0;
   106367     $1 = +HEAPF64[$y >> 3];
   106368     $x1 = $cp | 0;
   106369     $2 = +HEAPF64[$x1 >> 3];
   106370     $y2 = $cp + 8 | 0;
   106371     $3 = +HEAPF64[$y2 >> 3];
   106372     $4 = $ll;
   106373     $5 = $bp;
   106374     HEAP32[$4 >> 2] = HEAP32[$5 >> 2] | 0;
   106375     HEAP32[$4 + 4 >> 2] = HEAP32[$5 + 4 >> 2] | 0;
   106376     HEAP32[$4 + 8 >> 2] = HEAP32[$5 + 8 >> 2] | 0;
   106377     HEAP32[$4 + 12 >> 2] = HEAP32[$5 + 12 >> 2] | 0;
   106378     $UR = $bp + 16 | 0;
   106379     $6 = $ur;
   106380     $7 = $UR;
   106381     HEAP32[$6 >> 2] = HEAP32[$7 >> 2] | 0;
   106382     HEAP32[$6 + 4 >> 2] = HEAP32[$7 + 4 >> 2] | 0;
   106383     HEAP32[$6 + 8 >> 2] = HEAP32[$7 + 8 >> 2] | 0;
   106384     HEAP32[$6 + 12 >> 2] = HEAP32[$7 + 12 >> 2] | 0;
   106385     $8 = +HEAPF64[$x1 >> 3];
   106386     $x4 = $ll | 0;
   106387     $9 = +HEAPF64[$x4 >> 3];
   106388     $cmp = $8 < $9;
   106389     if ($cmp) {
   106390       label = 3;
   106391       break;
   106392     } else {
   106393       label = 6;
   106394       break;
   106395     }
   106396    case 3:
   106397     $10 = +HEAPF64[$y >> 3];
   106398     $sub = $9 - $0;
   106399     $sub9 = $1 - $3;
   106400     $mul = $sub9 * $sub;
   106401     $sub10 = $0 - $2;
   106402     $div = $mul / $sub10;
   106403     $conv = ~~$div;
   106404     $conv11 = +($conv | 0);
   106405     $add = $10 + $conv11;
   106406     $y14 = $ll + 8 | 0;
   106407     $11 = +HEAPF64[$y14 >> 3];
   106408     $cmp15 = $add < $11;
   106409     if ($cmp15) {
   106410       label = 6;
   106411       break;
   106412     } else {
   106413       label = 4;
   106414       break;
   106415     }
   106416    case 4:
   106417     $y18 = $ur + 8 | 0;
   106418     $12 = +HEAPF64[$y18 >> 3];
   106419     $cmp19 = $add > $12;
   106420     if ($cmp19) {
   106421       label = 6;
   106422       break;
   106423     } else {
   106424       label = 5;
   106425       break;
   106426     }
   106427    case 5:
   106428     $ipp_sroa_0_0__idx25 = $agg_result | 0;
   106429     HEAPF64[$ipp_sroa_0_0__idx25 >> 3] = $9;
   106430     $ipp_sroa_1_8__idx36 = $agg_result + 8 | 0;
   106431     HEAPF64[$ipp_sroa_1_8__idx36 >> 3] = $add;
   106432     label = 19;
   106433     break;
   106434    case 6:
   106435     $13 = +HEAPF64[$x1 >> 3];
   106436     $x24 = $ur | 0;
   106437     $14 = +HEAPF64[$x24 >> 3];
   106438     $cmp25 = $13 > $14;
   106439     if ($cmp25) {
   106440       label = 7;
   106441       break;
   106442     } else {
   106443       label = 10;
   106444       break;
   106445     }
   106446    case 7:
   106447     $15 = +HEAPF64[$y >> 3];
   106448     $sub32 = $14 - $0;
   106449     $sub33 = $1 - $3;
   106450     $mul34 = $sub33 * $sub32;
   106451     $sub35 = $0 - $2;
   106452     $div36 = $mul34 / $sub35;
   106453     $conv37 = ~~$div36;
   106454     $conv38 = +($conv37 | 0);
   106455     $add39 = $15 + $conv38;
   106456     $y42 = $ll + 8 | 0;
   106457     $16 = +HEAPF64[$y42 >> 3];
   106458     $cmp43 = $add39 < $16;
   106459     if ($cmp43) {
   106460       label = 10;
   106461       break;
   106462     } else {
   106463       label = 8;
   106464       break;
   106465     }
   106466    case 8:
   106467     $y47 = $ur + 8 | 0;
   106468     $17 = +HEAPF64[$y47 >> 3];
   106469     $cmp48 = $add39 > $17;
   106470     if ($cmp48) {
   106471       label = 10;
   106472       break;
   106473     } else {
   106474       label = 9;
   106475       break;
   106476     }
   106477    case 9:
   106478     $ipp_sroa_0_0__idx22 = $agg_result | 0;
   106479     HEAPF64[$ipp_sroa_0_0__idx22 >> 3] = $14;
   106480     $ipp_sroa_1_8__idx32 = $agg_result + 8 | 0;
   106481     HEAPF64[$ipp_sroa_1_8__idx32 >> 3] = $add39;
   106482     label = 19;
   106483     break;
   106484    case 10:
   106485     $18 = +HEAPF64[$y2 >> 3];
   106486     $y54 = $ll + 8 | 0;
   106487     $19 = +HEAPF64[$y54 >> 3];
   106488     $cmp55 = $18 < $19;
   106489     if ($cmp55) {
   106490       label = 11;
   106491       break;
   106492     } else {
   106493       label = 14;
   106494       break;
   106495     }
   106496    case 11:
   106497     $20 = +HEAPF64[$x >> 3];
   106498     $sub62 = $19 - $1;
   106499     $sub63 = $0 - $2;
   106500     $mul64 = $sub63 * $sub62;
   106501     $sub65 = $1 - $3;
   106502     $div66 = $mul64 / $sub65;
   106503     $conv67 = ~~$div66;
   106504     $conv68 = +($conv67 | 0);
   106505     $add69 = $20 + $conv68;
   106506     $21 = +HEAPF64[$x4 >> 3];
   106507     $cmp73 = $add69 < $21;
   106508     if ($cmp73) {
   106509       label = 14;
   106510       break;
   106511     } else {
   106512       label = 12;
   106513       break;
   106514     }
   106515    case 12:
   106516     $22 = +HEAPF64[$x24 >> 3];
   106517     $cmp78 = $add69 > $22;
   106518     if ($cmp78) {
   106519       label = 14;
   106520       break;
   106521     } else {
   106522       label = 13;
   106523       break;
   106524     }
   106525    case 13:
   106526     $ipp_sroa_0_0__idx18 = $agg_result | 0;
   106527     HEAPF64[$ipp_sroa_0_0__idx18 >> 3] = $add69;
   106528     $ipp_sroa_1_8__idx29 = $agg_result + 8 | 0;
   106529     HEAPF64[$ipp_sroa_1_8__idx29 >> 3] = $19;
   106530     label = 19;
   106531     break;
   106532    case 14:
   106533     $23 = +HEAPF64[$y2 >> 3];
   106534     $y84 = $ur + 8 | 0;
   106535     $24 = +HEAPF64[$y84 >> 3];
   106536     $cmp85 = $23 > $24;
   106537     if ($cmp85) {
   106538       label = 15;
   106539       break;
   106540     } else {
   106541       label = 18;
   106542       break;
   106543     }
   106544    case 15:
   106545     $25 = +HEAPF64[$x >> 3];
   106546     $sub92 = $24 - $1;
   106547     $sub93 = $0 - $2;
   106548     $mul94 = $sub93 * $sub92;
   106549     $sub95 = $1 - $3;
   106550     $div96 = $mul94 / $sub95;
   106551     $conv97 = ~~$div96;
   106552     $conv98 = +($conv97 | 0);
   106553     $add99 = $25 + $conv98;
   106554     $26 = +HEAPF64[$x4 >> 3];
   106555     $cmp103 = $add99 < $26;
   106556     if ($cmp103) {
   106557       label = 18;
   106558       break;
   106559     } else {
   106560       label = 16;
   106561       break;
   106562     }
   106563    case 16:
   106564     $27 = +HEAPF64[$x24 >> 3];
   106565     $cmp108 = $add99 > $27;
   106566     if ($cmp108) {
   106567       label = 18;
   106568       break;
   106569     } else {
   106570       label = 17;
   106571       break;
   106572     }
   106573    case 17:
   106574     $ipp_sroa_0_0__idx = $agg_result | 0;
   106575     HEAPF64[$ipp_sroa_0_0__idx >> 3] = $add99;
   106576     $ipp_sroa_1_8__idx28 = $agg_result + 8 | 0;
   106577     HEAPF64[$ipp_sroa_1_8__idx28 >> 3] = $24;
   106578     label = 19;
   106579     break;
   106580    case 18:
   106581     $arraydecay = $ppbuf | 0;
   106582     $call = _pf2s($pp, $arraydecay) | 0;
   106583     $arraydecay113 = $cpbuf | 0;
   106584     $call114 = _pf2s($cp, $arraydecay113) | 0;
   106585     $arraydecay115 = $llbuf | 0;
   106586     $call116 = _pf2s($ll, $arraydecay115) | 0;
   106587     $arraydecay117 = $urbuf | 0;
   106588     $call118 = _pf2s($ur, $arraydecay117) | 0;
   106589     $call119 = _agerr(1, 162176, (tempInt = STACKTOP, STACKTOP = STACKTOP + 32 | 0, HEAP32[tempInt >> 2] = $call, HEAP32[tempInt + 8 >> 2] = $call114, HEAP32[tempInt + 16 >> 2] = $call116, HEAP32[tempInt + 24 >> 2] = $call118, tempInt) | 0) | 0;
   106590     ___assert_func(107624, 78, 164592, 158672);
   106591    case 19:
   106592     STACKTOP = __stackBase__;
   106593     return;
   106594   }
   106595 }
   106596 function _upcandidate($v) {
   106597   $v = $v | 0;
   106598   var $node_type = 0, $0 = 0, $cmp = 0, $size = 0, $1 = 0, $cmp3 = 0, $size7 = 0, $2 = 0, $cmp8 = 0, $label = 0, $3 = 0, $cmp11 = 0, $phitmp = 0, $4 = 0, label = 0;
   106599   label = 2;
   106600   while (1) switch (label | 0) {
   106601    case 2:
   106602     $node_type = $v + 162 | 0;
   106603     $0 = HEAP8[$node_type] | 0;
   106604     $cmp = $0 << 24 >> 24 == 1;
   106605     if ($cmp) {
   106606       label = 3;
   106607       break;
   106608     } else {
   106609       $4 = 0;
   106610       label = 6;
   106611       break;
   106612     }
   106613    case 3:
   106614     $size = $v + 188 | 0;
   106615     $1 = HEAP32[$size >> 2] | 0;
   106616     $cmp3 = ($1 | 0) == 1;
   106617     if ($cmp3) {
   106618       label = 4;
   106619       break;
   106620     } else {
   106621       $4 = 0;
   106622       label = 6;
   106623       break;
   106624     }
   106625    case 4:
   106626     $size7 = $v + 180 | 0;
   106627     $2 = HEAP32[$size7 >> 2] | 0;
   106628     $cmp8 = ($2 | 0) == 1;
   106629     if ($cmp8) {
   106630       label = 5;
   106631       break;
   106632     } else {
   106633       $4 = 0;
   106634       label = 6;
   106635       break;
   106636     }
   106637    case 5:
   106638     $label = $v + 120 | 0;
   106639     $3 = HEAP32[$label >> 2] | 0;
   106640     $cmp11 = ($3 | 0) == 0;
   106641     $phitmp = $cmp11 & 1;
   106642     $4 = $phitmp;
   106643     label = 6;
   106644     break;
   106645    case 6:
   106646     return $4 | 0;
   106647   }
   106648   return 0;
   106649 }
   106650 function _infuse($g, $n) {
   106651   $g = $g | 0;
   106652   $n = $n | 0;
   106653   var $rank = 0, $0 = 0, $rankleader = 0, $1 = 0, $arrayidx = 0, $2 = 0, $cmp = 0, $order = 0, $3 = 0, $order4 = 0, $4 = 0, $cmp5 = 0, $5 = 0, $6 = 0, $arrayidx10 = 0, label = 0;
   106654   label = 2;
   106655   while (1) switch (label | 0) {
   106656    case 2:
   106657     $rank = $n + 236 | 0;
   106658     $0 = HEAP32[$rank >> 2] | 0;
   106659     $rankleader = $g + 280 | 0;
   106660     $1 = HEAP32[$rankleader >> 2] | 0;
   106661     $arrayidx = $1 + ($0 << 2) | 0;
   106662     $2 = HEAP32[$arrayidx >> 2] | 0;
   106663     $cmp = ($2 | 0) == 0;
   106664     if ($cmp) {
   106665       label = 4;
   106666       break;
   106667     } else {
   106668       label = 3;
   106669       break;
   106670     }
   106671    case 3:
   106672     $order = $2 + 240 | 0;
   106673     $3 = HEAP32[$order >> 2] | 0;
   106674     $order4 = $n + 240 | 0;
   106675     $4 = HEAP32[$order4 >> 2] | 0;
   106676     $cmp5 = ($3 | 0) > ($4 | 0);
   106677     if ($cmp5) {
   106678       label = 4;
   106679       break;
   106680     } else {
   106681       label = 5;
   106682       break;
   106683     }
   106684    case 4:
   106685     $5 = HEAP32[$rank >> 2] | 0;
   106686     $6 = HEAP32[$rankleader >> 2] | 0;
   106687     $arrayidx10 = $6 + ($5 << 2) | 0;
   106688     HEAP32[$arrayidx10 >> 2] = $n;
   106689     label = 5;
   106690     break;
   106691    case 5:
   106692     return;
   106693   }
   106694 }
   106695 function _samedir($e, $f) {
   106696   $e = $e | 0;
   106697   $f = $f | 0;
   106698   var $edge_type12 = 0, $0 = 0, $cmp13 = 0, $e0_0_lcssa = 0, $edge_type59 = 0, $1 = 0, $cmp710 = 0, $e0_014 = 0, $to_orig = 0, $2 = 0, $edge_type = 0, $3 = 0, $cmp = 0, $f0_011 = 0, $to_orig12 = 0, $4 = 0, $edge_type5 = 0, $5 = 0, $cmp7 = 0, $f0_0_lcssa = 0, $conc_opp_flag = 0, $6 = 0, $tobool = 0, $conc_opp_flag16 = 0, $7 = 0, $tobool17 = 0, $tail = 0, $8 = 0, $rank = 0, $9 = 0, $head = 0, $10 = 0, $rank22 = 0, $11 = 0, $sub = 0, $tail23 = 0, $12 = 0, $rank25 = 0, $13 = 0, $head26 = 0, $14 = 0, $rank28 = 0, $15 = 0, $sub29 = 0, $mul = 0, $cmp30 = 0, $conv32 = 0, $retval_0 = 0, label = 0;
   106699   label = 2;
   106700   while (1) switch (label | 0) {
   106701    case 2:
   106702     $edge_type12 = $e + 128 | 0;
   106703     $0 = HEAP8[$edge_type12] | 0;
   106704     $cmp13 = $0 << 24 >> 24 == 0;
   106705     if ($cmp13) {
   106706       $e0_0_lcssa = $e;
   106707       label = 3;
   106708       break;
   106709     } else {
   106710       $e0_014 = $e;
   106711       label = 4;
   106712       break;
   106713     }
   106714    case 3:
   106715     $edge_type59 = $f + 128 | 0;
   106716     $1 = HEAP8[$edge_type59] | 0;
   106717     $cmp710 = $1 << 24 >> 24 == 0;
   106718     if ($cmp710) {
   106719       $f0_0_lcssa = $f;
   106720       label = 6;
   106721       break;
   106722     } else {
   106723       $f0_011 = $f;
   106724       label = 5;
   106725       break;
   106726     }
   106727    case 4:
   106728     $to_orig = $e0_014 + 132 | 0;
   106729     $2 = HEAP32[$to_orig >> 2] | 0;
   106730     $edge_type = $2 + 128 | 0;
   106731     $3 = HEAP8[$edge_type] | 0;
   106732     $cmp = $3 << 24 >> 24 == 0;
   106733     if ($cmp) {
   106734       $e0_0_lcssa = $2;
   106735       label = 3;
   106736       break;
   106737     } else {
   106738       $e0_014 = $2;
   106739       label = 4;
   106740       break;
   106741     }
   106742    case 5:
   106743     $to_orig12 = $f0_011 + 132 | 0;
   106744     $4 = HEAP32[$to_orig12 >> 2] | 0;
   106745     $edge_type5 = $4 + 128 | 0;
   106746     $5 = HEAP8[$edge_type5] | 0;
   106747     $cmp7 = $5 << 24 >> 24 == 0;
   106748     if ($cmp7) {
   106749       $f0_0_lcssa = $4;
   106750       label = 6;
   106751       break;
   106752     } else {
   106753       $f0_011 = $4;
   106754       label = 5;
   106755       break;
   106756     }
   106757    case 6:
   106758     $conc_opp_flag = $e0_0_lcssa + 169 | 0;
   106759     $6 = HEAP8[$conc_opp_flag] | 0;
   106760     $tobool = $6 << 24 >> 24 == 0;
   106761     if ($tobool) {
   106762       label = 7;
   106763       break;
   106764     } else {
   106765       $retval_0 = 0;
   106766       label = 9;
   106767       break;
   106768     }
   106769    case 7:
   106770     $conc_opp_flag16 = $f0_0_lcssa + 169 | 0;
   106771     $7 = HEAP8[$conc_opp_flag16] | 0;
   106772     $tobool17 = $7 << 24 >> 24 == 0;
   106773     if ($tobool17) {
   106774       label = 8;
   106775       break;
   106776     } else {
   106777       $retval_0 = 0;
   106778       label = 9;
   106779       break;
   106780     }
   106781    case 8:
   106782     $tail = $f0_0_lcssa + 16 | 0;
   106783     $8 = HEAP32[$tail >> 2] | 0;
   106784     $rank = $8 + 236 | 0;
   106785     $9 = HEAP32[$rank >> 2] | 0;
   106786     $head = $f0_0_lcssa + 12 | 0;
   106787     $10 = HEAP32[$head >> 2] | 0;
   106788     $rank22 = $10 + 236 | 0;
   106789     $11 = HEAP32[$rank22 >> 2] | 0;
   106790     $sub = $9 - $11 | 0;
   106791     $tail23 = $e0_0_lcssa + 16 | 0;
   106792     $12 = HEAP32[$tail23 >> 2] | 0;
   106793     $rank25 = $12 + 236 | 0;
   106794     $13 = HEAP32[$rank25 >> 2] | 0;
   106795     $head26 = $e0_0_lcssa + 12 | 0;
   106796     $14 = HEAP32[$head26 >> 2] | 0;
   106797     $rank28 = $14 + 236 | 0;
   106798     $15 = HEAP32[$rank28 >> 2] | 0;
   106799     $sub29 = $13 - $15 | 0;
   106800     $mul = Math_imul($sub29, $sub);
   106801     $cmp30 = ($mul | 0) > 0;
   106802     $conv32 = $cmp30 & 1;
   106803     $retval_0 = $conv32;
   106804     label = 9;
   106805     break;
   106806    case 9:
   106807     return $retval_0 | 0;
   106808   }
   106809   return 0;
   106810 }
   106811 function _begin_component() {
   106812   HEAP32[(HEAP32[41878] | 0) + 220 >> 2] = 0;
   106813   HEAP32[41654] = 0;
   106814   return;
   106815 }
   106816 function _bothupcandidates($u, $v) {
   106817   $u = $u | 0;
   106818   $v = $v | 0;
   106819   var $list = 0, $0 = 0, $1 = 0, $list4 = 0, $2 = 0, $3 = 0, $call = 0, $tobool = 0, $head = 0, $4 = 0, $head6 = 0, $5 = 0, $cmp = 0, $call8 = 0, $tobool10 = 0, $head_port = 0, $head_port13 = 0, $call14 = 0, $cmp15 = 0, $phitmp = 0, $retval_0 = 0, label = 0;
   106820   label = 2;
   106821   while (1) switch (label | 0) {
   106822    case 2:
   106823     $list = $u + 184 | 0;
   106824     $0 = HEAP32[$list >> 2] | 0;
   106825     $1 = HEAP32[$0 >> 2] | 0;
   106826     $list4 = $v + 184 | 0;
   106827     $2 = HEAP32[$list4 >> 2] | 0;
   106828     $3 = HEAP32[$2 >> 2] | 0;
   106829     $call = _upcandidate($v) | 0;
   106830     $tobool = $call << 24 >> 24 == 0;
   106831     if ($tobool) {
   106832       $retval_0 = 0;
   106833       label = 6;
   106834       break;
   106835     } else {
   106836       label = 3;
   106837       break;
   106838     }
   106839    case 3:
   106840     $head = $1 + 12 | 0;
   106841     $4 = HEAP32[$head >> 2] | 0;
   106842     $head6 = $3 + 12 | 0;
   106843     $5 = HEAP32[$head6 >> 2] | 0;
   106844     $cmp = ($4 | 0) == ($5 | 0);
   106845     if ($cmp) {
   106846       label = 4;
   106847       break;
   106848     } else {
   106849       $retval_0 = 0;
   106850       label = 6;
   106851       break;
   106852     }
   106853    case 4:
   106854     $call8 = _samedir($1, $3) | 0;
   106855     $tobool10 = $call8 << 24 >> 24 == 0;
   106856     if ($tobool10) {
   106857       $retval_0 = 0;
   106858       label = 6;
   106859       break;
   106860     } else {
   106861       label = 5;
   106862       break;
   106863     }
   106864    case 5:
   106865     $head_port = $1 + 72 | 0;
   106866     $head_port13 = $3 + 72 | 0;
   106867     $call14 = _portcmp($head_port, $head_port13) | 0;
   106868     $cmp15 = ($call14 | 0) == 0;
   106869     $phitmp = $cmp15 & 1;
   106870     $retval_0 = $phitmp;
   106871     label = 6;
   106872     break;
   106873    case 6:
   106874     return $retval_0 | 0;
   106875   }
   106876   return 0;
   106877 }
   106878 function _decompose($g, $pass) {
   106879   $g = $g | 0;
   106880   $pass = $pass | 0;
   106881   var $0 = 0, $inc = 0, $cmp = 0, $_inc = 0, $size = 0, $n_nodes = 0, $1 = 0, $call = 0, $tobool14 = 0, $cmp3 = 0, $n_016_in = 0, $n_016 = 0, $u5 = 0, $clust = 0, $2 = 0, $3 = 0, $tobool6 = 0, $rank = 0, $4 = 0, $5 = 0, $rankleader = 0, $6 = 0, $arrayidx = 0, $7 = 0, $call10 = 0, $cmp11 = 0, $v_0 = 0, $mark = 0, $8 = 0, $9 = 0, $cmp19 = 0, $call23 = 0, $tobool = 0, label = 0;
   106882   label = 2;
   106883   while (1) switch (label | 0) {
   106884    case 2:
   106885     HEAP32[41878] = $g;
   106886     $0 = HEAP8[167968] | 0;
   106887     $inc = $0 + 1 & 255;
   106888     $cmp = $inc << 24 >> 24 == 0;
   106889     $_inc = $cmp ? 1 : $inc;
   106890     HEAP8[167968] = $_inc;
   106891     $size = $g + 232 | 0;
   106892     HEAP32[$size >> 2] = 0;
   106893     $n_nodes = $g + 244 | 0;
   106894     HEAP32[$n_nodes >> 2] = 0;
   106895     $1 = $g;
   106896     $call = _agfstnode($1) | 0;
   106897     $tobool14 = ($call | 0) == 0;
   106898     if ($tobool14) {
   106899       label = 11;
   106900       break;
   106901     } else {
   106902       label = 3;
   106903       break;
   106904     }
   106905    case 3:
   106906     $cmp3 = ($pass | 0) > 0;
   106907     $n_016_in = $call;
   106908     label = 4;
   106909     break;
   106910    case 4:
   106911     $n_016 = $n_016_in;
   106912     if ($cmp3) {
   106913       label = 5;
   106914       break;
   106915     } else {
   106916       label = 7;
   106917       break;
   106918     }
   106919    case 5:
   106920     $u5 = $n_016_in + 24 | 0;
   106921     $clust = $u5 + 192 | 0;
   106922     $2 = $clust;
   106923     $3 = HEAP32[$2 >> 2] | 0;
   106924     $tobool6 = ($3 | 0) == 0;
   106925     if ($tobool6) {
   106926       label = 7;
   106927       break;
   106928     } else {
   106929       label = 6;
   106930       break;
   106931     }
   106932    case 6:
   106933     $rank = $u5 + 212 | 0;
   106934     $4 = $rank;
   106935     $5 = HEAP32[$4 >> 2] | 0;
   106936     $rankleader = $3 + 280 | 0;
   106937     $6 = HEAP32[$rankleader >> 2] | 0;
   106938     $arrayidx = $6 + ($5 << 2) | 0;
   106939     $7 = HEAP32[$arrayidx >> 2] | 0;
   106940     $v_0 = $7;
   106941     label = 8;
   106942     break;
   106943    case 7:
   106944     $call10 = _UF_find($n_016) | 0;
   106945     $cmp11 = ($n_016 | 0) == ($call10 | 0);
   106946     if ($cmp11) {
   106947       $v_0 = $n_016;
   106948       label = 8;
   106949       break;
   106950     } else {
   106951       label = 10;
   106952       break;
   106953     }
   106954    case 8:
   106955     $mark = $v_0 + 163 | 0;
   106956     $8 = HEAP8[$mark] | 0;
   106957     $9 = HEAP8[167968] | 0;
   106958     $cmp19 = $8 << 24 >> 24 == $9 << 24 >> 24;
   106959     if ($cmp19) {
   106960       label = 10;
   106961       break;
   106962     } else {
   106963       label = 9;
   106964       break;
   106965     }
   106966    case 9:
   106967     _begin_component();
   106968     _search_component($v_0);
   106969     _end_component();
   106970     label = 10;
   106971     break;
   106972    case 10:
   106973     $call23 = _agnxtnode($1, $n_016_in) | 0;
   106974     $tobool = ($call23 | 0) == 0;
   106975     if ($tobool) {
   106976       label = 11;
   106977       break;
   106978     } else {
   106979       $n_016_in = $call23;
   106980       label = 4;
   106981       break;
   106982     }
   106983    case 11:
   106984     return;
   106985   }
   106986 }
   106987 function _search_component($n) {
   106988   $n = $n | 0;
   106989   var $vec1 = 0, $vec1_sub = 0, $out = 0, $0 = 0, $ld$0$0 = 0, $1$0 = 0, $ld$1$1 = 0, $1$1 = 0, $st$2$0 = 0, $st$3$1 = 0, $arrayidx1 = 0, $in = 0, $2 = 0, $ld$4$0 = 0, $3$0 = 0, $ld$5$1 = 0, $3$1 = 0, $st$6$0 = 0, $st$7$1 = 0, $arrayidx3 = 0, $flat_out = 0, $4 = 0, $ld$8$0 = 0, $5$0 = 0, $ld$9$1 = 0, $5$1 = 0, $st$10$0 = 0, $st$11$1 = 0, $arrayidx5 = 0, $flat_in = 0, $6 = 0, $ld$12$0 = 0, $7$0 = 0, $ld$13$1 = 0, $7$1 = 0, $st$14$0 = 0, $st$15$1 = 0, $list = 0, $8 = 0, $tobool = 0, $9 = 0, $10 = 0, $tobool123 = 0, $11 = 0, $12 = 0, $i_04 = 0, $head = 0, $13 = 0, $cmp14 = 0, $tail = 0, $14 = 0, $other_0 = 0, $mark = 0, $15 = 0, $16 = 0, $cmp18 = 0, $call = 0, $cmp20 = 0, $inc = 0, $arrayidx11 = 0, $17 = 0, $tobool12 = 0, $list_1 = 0, $18 = 0, $19 = 0, $tobool_1 = 0, $20 = 0, $21 = 0, $tobool123_1 = 0, $22 = 0, $23 = 0, $i_04_1 = 0, $head_1 = 0, $24 = 0, $cmp14_1 = 0, $tail_1 = 0, $25 = 0, $other_0_1 = 0, $mark_1 = 0, $26 = 0, $27 = 0, $cmp18_1 = 0, $call_1 = 0, $cmp20_1 = 0, $inc_1 = 0, $arrayidx11_1 = 0, $28 = 0, $tobool12_1 = 0, $list_2 = 0, $29 = 0, $30 = 0, $tobool_2 = 0, $31 = 0, $32 = 0, $tobool123_2 = 0, $33 = 0, $34 = 0, $i_04_2 = 0, $head_2 = 0, $35 = 0, $cmp14_2 = 0, $tail_2 = 0, $36 = 0, $other_0_2 = 0, $mark_2 = 0, $37 = 0, $38 = 0, $cmp18_2 = 0, $call_2 = 0, $cmp20_2 = 0, $inc_2 = 0, $arrayidx11_2 = 0, $39 = 0, $tobool12_2 = 0, $list_3 = 0, $40 = 0, $41 = 0, $tobool_3 = 0, $42 = 0, $43 = 0, $tobool123_3 = 0, $44 = 0, $45 = 0, $i_04_3 = 0, $head_3 = 0, $46 = 0, $cmp14_3 = 0, $tail_3 = 0, $47 = 0, $other_0_3 = 0, $mark_3 = 0, $48 = 0, $49 = 0, $cmp18_3 = 0, $call_3 = 0, $cmp20_3 = 0, $inc_3 = 0, $arrayidx11_3 = 0, $50 = 0, $tobool12_3 = 0, label = 0, __stackBase__ = 0;
   106990   __stackBase__ = STACKTOP;
   106991   STACKTOP = STACKTOP + 32 | 0;
   106992   label = 2;
   106993   while (1) switch (label | 0) {
   106994    case 2:
   106995     $vec1 = __stackBase__ | 0;
   106996     $vec1_sub = $vec1 | 0;
   106997     _add_to_component($n);
   106998     $out = $n + 184 | 0;
   106999     $0 = $out;
   107000     $ld$0$0 = $0 | 0;
   107001     $1$0 = HEAP32[$ld$0$0 >> 2] | 0;
   107002     $ld$1$1 = $0 + 4 | 0;
   107003     $1$1 = HEAP32[$ld$1$1 >> 2] | 0;
   107004     $st$2$0 = $vec1_sub | 0;
   107005     HEAP32[$st$2$0 >> 2] = $1$0;
   107006     $st$3$1 = $vec1_sub + 4 | 0;
   107007     HEAP32[$st$3$1 >> 2] = $1$1;
   107008     $arrayidx1 = $vec1 + 8 | 0;
   107009     $in = $n + 176 | 0;
   107010     $2 = $in;
   107011     $ld$4$0 = $2 | 0;
   107012     $3$0 = HEAP32[$ld$4$0 >> 2] | 0;
   107013     $ld$5$1 = $2 + 4 | 0;
   107014     $3$1 = HEAP32[$ld$5$1 >> 2] | 0;
   107015     $st$6$0 = $arrayidx1 | 0;
   107016     HEAP32[$st$6$0 >> 2] = $3$0;
   107017     $st$7$1 = $arrayidx1 + 4 | 0;
   107018     HEAP32[$st$7$1 >> 2] = $3$1;
   107019     $arrayidx3 = $vec1 + 16 | 0;
   107020     $flat_out = $n + 192 | 0;
   107021     $4 = $flat_out;
   107022     $ld$8$0 = $4 | 0;
   107023     $5$0 = HEAP32[$ld$8$0 >> 2] | 0;
   107024     $ld$9$1 = $4 + 4 | 0;
   107025     $5$1 = HEAP32[$ld$9$1 >> 2] | 0;
   107026     $st$10$0 = $arrayidx3 | 0;
   107027     HEAP32[$st$10$0 >> 2] = $5$0;
   107028     $st$11$1 = $arrayidx3 + 4 | 0;
   107029     HEAP32[$st$11$1 >> 2] = $5$1;
   107030     $arrayidx5 = $vec1 + 24 | 0;
   107031     $flat_in = $n + 200 | 0;
   107032     $6 = $flat_in;
   107033     $ld$12$0 = $6 | 0;
   107034     $7$0 = HEAP32[$ld$12$0 >> 2] | 0;
   107035     $ld$13$1 = $6 + 4 | 0;
   107036     $7$1 = HEAP32[$ld$13$1 >> 2] | 0;
   107037     $st$14$0 = $arrayidx5 | 0;
   107038     HEAP32[$st$14$0 >> 2] = $7$0;
   107039     $st$15$1 = $arrayidx5 + 4 | 0;
   107040     HEAP32[$st$15$1 >> 2] = $7$1;
   107041     $list = $vec1;
   107042     $8 = HEAP32[$list >> 2] | 0;
   107043     $tobool = ($8 | 0) == 0;
   107044     if ($tobool) {
   107045       label = 11;
   107046       break;
   107047     } else {
   107048       label = 3;
   107049       break;
   107050     }
   107051    case 3:
   107052     $9 = HEAP32[$list >> 2] | 0;
   107053     $10 = HEAP32[$9 >> 2] | 0;
   107054     $tobool123 = ($10 | 0) == 0;
   107055     if ($tobool123) {
   107056       label = 11;
   107057       break;
   107058     } else {
   107059       label = 4;
   107060       break;
   107061     }
   107062    case 4:
   107063     $11 = HEAP32[$list >> 2] | 0;
   107064     $i_04 = 0;
   107065     $12 = $10;
   107066     label = 5;
   107067     break;
   107068    case 5:
   107069     $head = $12 + 12 | 0;
   107070     $13 = HEAP32[$head >> 2] | 0;
   107071     $cmp14 = ($13 | 0) == ($n | 0);
   107072     if ($cmp14) {
   107073       label = 6;
   107074       break;
   107075     } else {
   107076       $other_0 = $13;
   107077       label = 7;
   107078       break;
   107079     }
   107080    case 6:
   107081     $tail = $12 + 16 | 0;
   107082     $14 = HEAP32[$tail >> 2] | 0;
   107083     $other_0 = $14;
   107084     label = 7;
   107085     break;
   107086    case 7:
   107087     $mark = $other_0 + 163 | 0;
   107088     $15 = HEAP8[$mark] | 0;
   107089     $16 = HEAP8[167968] | 0;
   107090     $cmp18 = $15 << 24 >> 24 == $16 << 24 >> 24;
   107091     if ($cmp18) {
   107092       label = 10;
   107093       break;
   107094     } else {
   107095       label = 8;
   107096       break;
   107097     }
   107098    case 8:
   107099     $call = _UF_find($other_0) | 0;
   107100     $cmp20 = ($other_0 | 0) == ($call | 0);
   107101     if ($cmp20) {
   107102       label = 9;
   107103       break;
   107104     } else {
   107105       label = 10;
   107106       break;
   107107     }
   107108    case 9:
   107109     _search_component($other_0);
   107110     label = 10;
   107111     break;
   107112    case 10:
   107113     $inc = $i_04 + 1 | 0;
   107114     $arrayidx11 = $11 + ($inc << 2) | 0;
   107115     $17 = HEAP32[$arrayidx11 >> 2] | 0;
   107116     $tobool12 = ($17 | 0) == 0;
   107117     if ($tobool12) {
   107118       label = 11;
   107119       break;
   107120     } else {
   107121       $i_04 = $inc;
   107122       $12 = $17;
   107123       label = 5;
   107124       break;
   107125     }
   107126    case 11:
   107127     $list_1 = $vec1 + 8 | 0;
   107128     $18 = $list_1;
   107129     $19 = HEAP32[$18 >> 2] | 0;
   107130     $tobool_1 = ($19 | 0) == 0;
   107131     if ($tobool_1) {
   107132       label = 20;
   107133       break;
   107134     } else {
   107135       label = 12;
   107136       break;
   107137     }
   107138    case 12:
   107139     $20 = HEAP32[$18 >> 2] | 0;
   107140     $21 = HEAP32[$20 >> 2] | 0;
   107141     $tobool123_1 = ($21 | 0) == 0;
   107142     if ($tobool123_1) {
   107143       label = 20;
   107144       break;
   107145     } else {
   107146       label = 13;
   107147       break;
   107148     }
   107149    case 13:
   107150     $22 = HEAP32[$18 >> 2] | 0;
   107151     $i_04_1 = 0;
   107152     $23 = $21;
   107153     label = 14;
   107154     break;
   107155    case 14:
   107156     $head_1 = $23 + 12 | 0;
   107157     $24 = HEAP32[$head_1 >> 2] | 0;
   107158     $cmp14_1 = ($24 | 0) == ($n | 0);
   107159     if ($cmp14_1) {
   107160       label = 15;
   107161       break;
   107162     } else {
   107163       $other_0_1 = $24;
   107164       label = 16;
   107165       break;
   107166     }
   107167    case 15:
   107168     $tail_1 = $23 + 16 | 0;
   107169     $25 = HEAP32[$tail_1 >> 2] | 0;
   107170     $other_0_1 = $25;
   107171     label = 16;
   107172     break;
   107173    case 16:
   107174     $mark_1 = $other_0_1 + 163 | 0;
   107175     $26 = HEAP8[$mark_1] | 0;
   107176     $27 = HEAP8[167968] | 0;
   107177     $cmp18_1 = $26 << 24 >> 24 == $27 << 24 >> 24;
   107178     if ($cmp18_1) {
   107179       label = 19;
   107180       break;
   107181     } else {
   107182       label = 17;
   107183       break;
   107184     }
   107185    case 17:
   107186     $call_1 = _UF_find($other_0_1) | 0;
   107187     $cmp20_1 = ($other_0_1 | 0) == ($call_1 | 0);
   107188     if ($cmp20_1) {
   107189       label = 18;
   107190       break;
   107191     } else {
   107192       label = 19;
   107193       break;
   107194     }
   107195    case 18:
   107196     _search_component($other_0_1);
   107197     label = 19;
   107198     break;
   107199    case 19:
   107200     $inc_1 = $i_04_1 + 1 | 0;
   107201     $arrayidx11_1 = $22 + ($inc_1 << 2) | 0;
   107202     $28 = HEAP32[$arrayidx11_1 >> 2] | 0;
   107203     $tobool12_1 = ($28 | 0) == 0;
   107204     if ($tobool12_1) {
   107205       label = 20;
   107206       break;
   107207     } else {
   107208       $i_04_1 = $inc_1;
   107209       $23 = $28;
   107210       label = 14;
   107211       break;
   107212     }
   107213    case 20:
   107214     $list_2 = $vec1 + 16 | 0;
   107215     $29 = $list_2;
   107216     $30 = HEAP32[$29 >> 2] | 0;
   107217     $tobool_2 = ($30 | 0) == 0;
   107218     if ($tobool_2) {
   107219       label = 29;
   107220       break;
   107221     } else {
   107222       label = 21;
   107223       break;
   107224     }
   107225    case 21:
   107226     $31 = HEAP32[$29 >> 2] | 0;
   107227     $32 = HEAP32[$31 >> 2] | 0;
   107228     $tobool123_2 = ($32 | 0) == 0;
   107229     if ($tobool123_2) {
   107230       label = 29;
   107231       break;
   107232     } else {
   107233       label = 22;
   107234       break;
   107235     }
   107236    case 22:
   107237     $33 = HEAP32[$29 >> 2] | 0;
   107238     $i_04_2 = 0;
   107239     $34 = $32;
   107240     label = 23;
   107241     break;
   107242    case 23:
   107243     $head_2 = $34 + 12 | 0;
   107244     $35 = HEAP32[$head_2 >> 2] | 0;
   107245     $cmp14_2 = ($35 | 0) == ($n | 0);
   107246     if ($cmp14_2) {
   107247       label = 24;
   107248       break;
   107249     } else {
   107250       $other_0_2 = $35;
   107251       label = 25;
   107252       break;
   107253     }
   107254    case 24:
   107255     $tail_2 = $34 + 16 | 0;
   107256     $36 = HEAP32[$tail_2 >> 2] | 0;
   107257     $other_0_2 = $36;
   107258     label = 25;
   107259     break;
   107260    case 25:
   107261     $mark_2 = $other_0_2 + 163 | 0;
   107262     $37 = HEAP8[$mark_2] | 0;
   107263     $38 = HEAP8[167968] | 0;
   107264     $cmp18_2 = $37 << 24 >> 24 == $38 << 24 >> 24;
   107265     if ($cmp18_2) {
   107266       label = 28;
   107267       break;
   107268     } else {
   107269       label = 26;
   107270       break;
   107271     }
   107272    case 26:
   107273     $call_2 = _UF_find($other_0_2) | 0;
   107274     $cmp20_2 = ($other_0_2 | 0) == ($call_2 | 0);
   107275     if ($cmp20_2) {
   107276       label = 27;
   107277       break;
   107278     } else {
   107279       label = 28;
   107280       break;
   107281     }
   107282    case 27:
   107283     _search_component($other_0_2);
   107284     label = 28;
   107285     break;
   107286    case 28:
   107287     $inc_2 = $i_04_2 + 1 | 0;
   107288     $arrayidx11_2 = $33 + ($inc_2 << 2) | 0;
   107289     $39 = HEAP32[$arrayidx11_2 >> 2] | 0;
   107290     $tobool12_2 = ($39 | 0) == 0;
   107291     if ($tobool12_2) {
   107292       label = 29;
   107293       break;
   107294     } else {
   107295       $i_04_2 = $inc_2;
   107296       $34 = $39;
   107297       label = 23;
   107298       break;
   107299     }
   107300    case 29:
   107301     $list_3 = $vec1 + 24 | 0;
   107302     $40 = $list_3;
   107303     $41 = HEAP32[$40 >> 2] | 0;
   107304     $tobool_3 = ($41 | 0) == 0;
   107305     if ($tobool_3) {
   107306       label = 38;
   107307       break;
   107308     } else {
   107309       label = 30;
   107310       break;
   107311     }
   107312    case 30:
   107313     $42 = HEAP32[$40 >> 2] | 0;
   107314     $43 = HEAP32[$42 >> 2] | 0;
   107315     $tobool123_3 = ($43 | 0) == 0;
   107316     if ($tobool123_3) {
   107317       label = 38;
   107318       break;
   107319     } else {
   107320       label = 31;
   107321       break;
   107322     }
   107323    case 31:
   107324     $44 = HEAP32[$40 >> 2] | 0;
   107325     $i_04_3 = 0;
   107326     $45 = $43;
   107327     label = 32;
   107328     break;
   107329    case 32:
   107330     $head_3 = $45 + 12 | 0;
   107331     $46 = HEAP32[$head_3 >> 2] | 0;
   107332     $cmp14_3 = ($46 | 0) == ($n | 0);
   107333     if ($cmp14_3) {
   107334       label = 33;
   107335       break;
   107336     } else {
   107337       $other_0_3 = $46;
   107338       label = 34;
   107339       break;
   107340     }
   107341    case 33:
   107342     $tail_3 = $45 + 16 | 0;
   107343     $47 = HEAP32[$tail_3 >> 2] | 0;
   107344     $other_0_3 = $47;
   107345     label = 34;
   107346     break;
   107347    case 34:
   107348     $mark_3 = $other_0_3 + 163 | 0;
   107349     $48 = HEAP8[$mark_3] | 0;
   107350     $49 = HEAP8[167968] | 0;
   107351     $cmp18_3 = $48 << 24 >> 24 == $49 << 24 >> 24;
   107352     if ($cmp18_3) {
   107353       label = 37;
   107354       break;
   107355     } else {
   107356       label = 35;
   107357       break;
   107358     }
   107359    case 35:
   107360     $call_3 = _UF_find($other_0_3) | 0;
   107361     $cmp20_3 = ($other_0_3 | 0) == ($call_3 | 0);
   107362     if ($cmp20_3) {
   107363       label = 36;
   107364       break;
   107365     } else {
   107366       label = 37;
   107367       break;
   107368     }
   107369    case 36:
   107370     _search_component($other_0_3);
   107371     label = 37;
   107372     break;
   107373    case 37:
   107374     $inc_3 = $i_04_3 + 1 | 0;
   107375     $arrayidx11_3 = $44 + ($inc_3 << 2) | 0;
   107376     $50 = HEAP32[$arrayidx11_3 >> 2] | 0;
   107377     $tobool12_3 = ($50 | 0) == 0;
   107378     if ($tobool12_3) {
   107379       label = 38;
   107380       break;
   107381     } else {
   107382       $i_04_3 = $inc_3;
   107383       $45 = $50;
   107384       label = 32;
   107385       break;
   107386     }
   107387    case 38:
   107388     STACKTOP = __stackBase__;
   107389     return;
   107390   }
   107391 }
   107392 function _mergevirtual($g, $r, $lpos, $rpos, $dir) {
   107393   $g = $g | 0;
   107394   $r = $r | 0;
   107395   $lpos = $lpos | 0;
   107396   $rpos = $rpos | 0;
   107397   $dir = $dir | 0;
   107398   var $rank = 0, $0 = 0, $v = 0, $1 = 0, $arrayidx1 = 0, $2 = 0, $add = 0, $cmp69 = 0, $cmp7 = 0, $3 = 0, $list41 = 0, $4 = 0, $list13 = 0, $5 = 0, $i_156 = 0, $6 = 0, $n57 = 0, $7 = 0, $cmp8358 = 0, $i_070 = 0, $8 = 0, $v5 = 0, $9 = 0, $arrayidx6 = 0, $10 = 0, $list = 0, $11 = 0, $12 = 0, $tobool68 = 0, $list26 = 0, $list34 = 0, $13 = 0, $14 = 0, $tobool3664 = 0, $list60 = 0, $15 = 0, $16 = 0, $head17 = 0, $k_0 = 0, $arrayidx14 = 0, $17 = 0, $cond = 0, $head = 0, $18 = 0, $19 = 0, $cmp18 = 0, $inc = 0, $head22 = 0, $20 = 0, $21 = 0, $22 = 0, $call = 0, $23 = 0, $f_0_ph = 0, $24 = 0, $25 = 0, $tobool2866 = 0, $26 = 0, $27 = 0, $28 = 0, $29 = 0, $30 = 0, $tobool28 = 0, $31 = 0, $32 = 0, $33 = 0, $tobool = 0, $34 = 0, $35 = 0, $tail45 = 0, $k_1 = 0, $arrayidx42 = 0, $36 = 0, $cond55 = 0, $tail = 0, $37 = 0, $38 = 0, $cmp46 = 0, $inc50 = 0, $tail54 = 0, $39 = 0, $40 = 0, $41 = 0, $call55 = 0, $42 = 0, $f_1_ph = 0, $43 = 0, $44 = 0, $tobool6263 = 0, $45 = 0, $46 = 0, $47 = 0, $48 = 0, $49 = 0, $tobool62 = 0, $50 = 0, $51 = 0, $52 = 0, $tobool36 = 0, $size = 0, $53 = 0, $size71 = 0, $54 = 0, $add72 = 0, $cmp73 = 0, $55 = 0, $inc75 = 0, $cmp = 0, $56 = 0, $i_160 = 0, $k_259 = 0, $v89 = 0, $57 = 0, $arrayidx90 = 0, $58 = 0, $arrayidx95 = 0, $order = 0, $inc97 = 0, $i_1 = 0, $59 = 0, $n = 0, $60 = 0, $cmp83 = 0, $n_lcssa = 0, $k_2_lcssa = 0, $61 = 0, $v107 = 0, $62 = 0, $arrayidx108 = 0, label = 0;
   107399   label = 2;
   107400   while (1) switch (label | 0) {
   107401    case 2:
   107402     $rank = $g + 224 | 0;
   107403     $0 = HEAP32[$rank >> 2] | 0;
   107404     $v = $0 + ($r * 44 & -1) + 4 | 0;
   107405     $1 = HEAP32[$v >> 2] | 0;
   107406     $arrayidx1 = $1 + ($lpos << 2) | 0;
   107407     $2 = HEAP32[$arrayidx1 >> 2] | 0;
   107408     $add = $lpos + 1 | 0;
   107409     $cmp69 = ($add | 0) > ($rpos | 0);
   107410     if ($cmp69) {
   107411       label = 4;
   107412       break;
   107413     } else {
   107414       label = 3;
   107415       break;
   107416     }
   107417    case 3:
   107418     $cmp7 = ($dir | 0) == 1;
   107419     $3 = $g;
   107420     $list41 = $2 + 176 | 0;
   107421     $4 = $2;
   107422     $list13 = $2 + 184 | 0;
   107423     $5 = $2;
   107424     $i_070 = $add;
   107425     label = 5;
   107426     break;
   107427    case 4:
   107428     $i_156 = $rpos + 1 | 0;
   107429     $6 = HEAP32[$rank >> 2] | 0;
   107430     $n57 = $6 + ($r * 44 & -1) | 0;
   107431     $7 = HEAP32[$n57 >> 2] | 0;
   107432     $cmp8358 = ($i_156 | 0) < ($7 | 0);
   107433     if ($cmp8358) {
   107434       $k_259 = $add;
   107435       $i_160 = $i_156;
   107436       $56 = $6;
   107437       label = 29;
   107438       break;
   107439     } else {
   107440       $k_2_lcssa = $add;
   107441       $n_lcssa = $n57;
   107442       label = 30;
   107443       break;
   107444     }
   107445    case 5:
   107446     $8 = HEAP32[$rank >> 2] | 0;
   107447     $v5 = $8 + ($r * 44 & -1) + 4 | 0;
   107448     $9 = HEAP32[$v5 >> 2] | 0;
   107449     $arrayidx6 = $9 + ($i_070 << 2) | 0;
   107450     $10 = HEAP32[$arrayidx6 >> 2] | 0;
   107451     if ($cmp7) {
   107452       label = 6;
   107453       break;
   107454     } else {
   107455       label = 8;
   107456       break;
   107457     }
   107458    case 6:
   107459     $list = $10 + 184 | 0;
   107460     $11 = HEAP32[$list >> 2] | 0;
   107461     $12 = HEAP32[$11 >> 2] | 0;
   107462     $tobool68 = ($12 | 0) == 0;
   107463     if ($tobool68) {
   107464       label = 26;
   107465       break;
   107466     } else {
   107467       label = 7;
   107468       break;
   107469     }
   107470    case 7:
   107471     $list26 = $10 + 176 | 0;
   107472     $15 = $12;
   107473     label = 10;
   107474     break;
   107475    case 8:
   107476     $list34 = $10 + 176 | 0;
   107477     $13 = HEAP32[$list34 >> 2] | 0;
   107478     $14 = HEAP32[$13 >> 2] | 0;
   107479     $tobool3664 = ($14 | 0) == 0;
   107480     if ($tobool3664) {
   107481       label = 26;
   107482       break;
   107483     } else {
   107484       label = 9;
   107485       break;
   107486     }
   107487    case 9:
   107488     $list60 = $10 + 184 | 0;
   107489     $34 = $14;
   107490     label = 18;
   107491     break;
   107492    case 10:
   107493     $16 = HEAP32[$list13 >> 2] | 0;
   107494     $head17 = $15 + 12 | 0;
   107495     $k_0 = 0;
   107496     label = 11;
   107497     break;
   107498    case 11:
   107499     $arrayidx14 = $16 + ($k_0 << 2) | 0;
   107500     $17 = HEAP32[$arrayidx14 >> 2] | 0;
   107501     $cond = ($17 | 0) == 0;
   107502     if ($cond) {
   107503       label = 13;
   107504       break;
   107505     } else {
   107506       label = 12;
   107507       break;
   107508     }
   107509    case 12:
   107510     $head = $17 + 12 | 0;
   107511     $18 = HEAP32[$head >> 2] | 0;
   107512     $19 = HEAP32[$head17 >> 2] | 0;
   107513     $cmp18 = ($18 | 0) == ($19 | 0);
   107514     $inc = $k_0 + 1 | 0;
   107515     if ($cmp18) {
   107516       $f_0_ph = $17;
   107517       label = 14;
   107518       break;
   107519     } else {
   107520       $k_0 = $inc;
   107521       label = 11;
   107522       break;
   107523     }
   107524    case 13:
   107525     $head22 = $15 + 12 | 0;
   107526     $20 = HEAP32[$head22 >> 2] | 0;
   107527     $21 = $20;
   107528     $22 = $15;
   107529     $call = _virtual_edge($5, $21, $22) | 0;
   107530     $23 = $call;
   107531     $f_0_ph = $23;
   107532     label = 14;
   107533     break;
   107534    case 14:
   107535     $24 = HEAP32[$list26 >> 2] | 0;
   107536     $25 = HEAP32[$24 >> 2] | 0;
   107537     $tobool2866 = ($25 | 0) == 0;
   107538     if ($tobool2866) {
   107539       label = 17;
   107540       break;
   107541     } else {
   107542       label = 15;
   107543       break;
   107544     }
   107545    case 15:
   107546     $26 = $f_0_ph;
   107547     $27 = $25;
   107548     label = 16;
   107549     break;
   107550    case 16:
   107551     $28 = $27;
   107552     _merge_oneway($28, $26);
   107553     _delete_fast_edge($28);
   107554     $29 = HEAP32[$list26 >> 2] | 0;
   107555     $30 = HEAP32[$29 >> 2] | 0;
   107556     $tobool28 = ($30 | 0) == 0;
   107557     if ($tobool28) {
   107558       label = 17;
   107559       break;
   107560     } else {
   107561       $27 = $30;
   107562       label = 16;
   107563       break;
   107564     }
   107565    case 17:
   107566     $31 = $15;
   107567     _delete_fast_edge($31);
   107568     $32 = HEAP32[$list >> 2] | 0;
   107569     $33 = HEAP32[$32 >> 2] | 0;
   107570     $tobool = ($33 | 0) == 0;
   107571     if ($tobool) {
   107572       label = 26;
   107573       break;
   107574     } else {
   107575       $15 = $33;
   107576       label = 10;
   107577       break;
   107578     }
   107579    case 18:
   107580     $35 = HEAP32[$list41 >> 2] | 0;
   107581     $tail45 = $34 + 16 | 0;
   107582     $k_1 = 0;
   107583     label = 19;
   107584     break;
   107585    case 19:
   107586     $arrayidx42 = $35 + ($k_1 << 2) | 0;
   107587     $36 = HEAP32[$arrayidx42 >> 2] | 0;
   107588     $cond55 = ($36 | 0) == 0;
   107589     if ($cond55) {
   107590       label = 21;
   107591       break;
   107592     } else {
   107593       label = 20;
   107594       break;
   107595     }
   107596    case 20:
   107597     $tail = $36 + 16 | 0;
   107598     $37 = HEAP32[$tail >> 2] | 0;
   107599     $38 = HEAP32[$tail45 >> 2] | 0;
   107600     $cmp46 = ($37 | 0) == ($38 | 0);
   107601     $inc50 = $k_1 + 1 | 0;
   107602     if ($cmp46) {
   107603       $f_1_ph = $36;
   107604       label = 22;
   107605       break;
   107606     } else {
   107607       $k_1 = $inc50;
   107608       label = 19;
   107609       break;
   107610     }
   107611    case 21:
   107612     $tail54 = $34 + 16 | 0;
   107613     $39 = HEAP32[$tail54 >> 2] | 0;
   107614     $40 = $39;
   107615     $41 = $34;
   107616     $call55 = _virtual_edge($40, $4, $41) | 0;
   107617     $42 = $call55;
   107618     $f_1_ph = $42;
   107619     label = 22;
   107620     break;
   107621    case 22:
   107622     $43 = HEAP32[$list60 >> 2] | 0;
   107623     $44 = HEAP32[$43 >> 2] | 0;
   107624     $tobool6263 = ($44 | 0) == 0;
   107625     if ($tobool6263) {
   107626       label = 25;
   107627       break;
   107628     } else {
   107629       label = 23;
   107630       break;
   107631     }
   107632    case 23:
   107633     $45 = $f_1_ph;
   107634     $46 = $44;
   107635     label = 24;
   107636     break;
   107637    case 24:
   107638     $47 = $46;
   107639     _merge_oneway($47, $45);
   107640     _delete_fast_edge($47);
   107641     $48 = HEAP32[$list60 >> 2] | 0;
   107642     $49 = HEAP32[$48 >> 2] | 0;
   107643     $tobool62 = ($49 | 0) == 0;
   107644     if ($tobool62) {
   107645       label = 25;
   107646       break;
   107647     } else {
   107648       $46 = $49;
   107649       label = 24;
   107650       break;
   107651     }
   107652    case 25:
   107653     $50 = $34;
   107654     _delete_fast_edge($50);
   107655     $51 = HEAP32[$list34 >> 2] | 0;
   107656     $52 = HEAP32[$51 >> 2] | 0;
   107657     $tobool36 = ($52 | 0) == 0;
   107658     if ($tobool36) {
   107659       label = 26;
   107660       break;
   107661     } else {
   107662       $34 = $52;
   107663       label = 18;
   107664       break;
   107665     }
   107666    case 26:
   107667     $size = $10 + 180 | 0;
   107668     $53 = HEAP32[$size >> 2] | 0;
   107669     $size71 = $10 + 188 | 0;
   107670     $54 = HEAP32[$size71 >> 2] | 0;
   107671     $add72 = -$54 | 0;
   107672     $cmp73 = ($53 | 0) == ($add72 | 0);
   107673     if ($cmp73) {
   107674       label = 28;
   107675       break;
   107676     } else {
   107677       label = 27;
   107678       break;
   107679     }
   107680    case 27:
   107681     ___assert_func(155744, 113, 164e3, 128048);
   107682    case 28:
   107683     $55 = $10;
   107684     _delete_fast_node($3, $55);
   107685     $inc75 = $i_070 + 1 | 0;
   107686     $cmp = ($inc75 | 0) > ($rpos | 0);
   107687     if ($cmp) {
   107688       label = 4;
   107689       break;
   107690     } else {
   107691       $i_070 = $inc75;
   107692       label = 5;
   107693       break;
   107694     }
   107695    case 29:
   107696     $v89 = $56 + ($r * 44 & -1) + 4 | 0;
   107697     $57 = HEAP32[$v89 >> 2] | 0;
   107698     $arrayidx90 = $57 + ($i_160 << 2) | 0;
   107699     $58 = HEAP32[$arrayidx90 >> 2] | 0;
   107700     $arrayidx95 = $57 + ($k_259 << 2) | 0;
   107701     HEAP32[$arrayidx95 >> 2] = $58;
   107702     $order = $58 + 240 | 0;
   107703     HEAP32[$order >> 2] = $k_259;
   107704     $inc97 = $k_259 + 1 | 0;
   107705     $i_1 = $i_160 + 1 | 0;
   107706     $59 = HEAP32[$rank >> 2] | 0;
   107707     $n = $59 + ($r * 44 & -1) | 0;
   107708     $60 = HEAP32[$n >> 2] | 0;
   107709     $cmp83 = ($i_1 | 0) < ($60 | 0);
   107710     if ($cmp83) {
   107711       $k_259 = $inc97;
   107712       $i_160 = $i_1;
   107713       $56 = $59;
   107714       label = 29;
   107715       break;
   107716     } else {
   107717       $k_2_lcssa = $inc97;
   107718       $n_lcssa = $n;
   107719       label = 30;
   107720       break;
   107721     }
   107722    case 30:
   107723     HEAP32[$n_lcssa >> 2] = $k_2_lcssa;
   107724     $61 = HEAP32[$rank >> 2] | 0;
   107725     $v107 = $61 + ($r * 44 & -1) + 4 | 0;
   107726     $62 = HEAP32[$v107 >> 2] | 0;
   107727     $arrayidx108 = $62 + ($k_2_lcssa << 2) | 0;
   107728     HEAP32[$arrayidx108 >> 2] = 0;
   107729     return;
   107730   }
   107731 }
   107732 function _rebuild_vlists($g) {
   107733   $g = $g | 0;
   107734   var $minrank = 0, $0 = 0, $maxrank = 0, $1 = 0, $cmp85 = 0, $conv = 0, $rankleader = 0, $r_086 = 0, $2 = 0, $arrayidx = 0, $inc = 0, $3 = 0, $conv2 = 0, $cmp = 0, $4 = 0, $call = 0, $tobool82 = 0, $n_0_in83 = 0, $n_0 = 0, $call7 = 0, $tobool980 = 0, $e_0_in81 = 0, $e_0 = 0, $rep_0 = 0, $to_virt = 0, $5 = 0, $tobool13 = 0, $head75 = 0, $6 = 0, $rank76 = 0, $7 = 0, $head20 = 0, $8 = 0, $rank2277 = 0, $9 = 0, $10 = 0, $cmp2378 = 0, $11 = 0, $head79 = 0, $12 = 0, $list = 0, $13 = 0, $14 = 0, $head = 0, $15 = 0, $rank = 0, $16 = 0, $17 = 0, $rank22 = 0, $18 = 0, $19 = 0, $cmp23 = 0, $call30 = 0, $tobool9 = 0, $call33 = 0, $tobool = 0, $20 = 0, $21 = 0, $cmp4272 = 0, $conv37 = 0, $rankleader46 = 0, $root = 0, $rank66 = 0, $name = 0, $22 = 0, $23 = 0, $n_cluster = 0, $24 = 0, $cmp13663 = 0, $clust = 0, $r_173 = 0, $25 = 0, $arrayidx47 = 0, $26 = 0, $order = 0, $27 = 0, $28 = 0, $rank50 = 0, $29 = 0, $v = 0, $30 = 0, $arrayidx52 = 0, $31 = 0, $cmp53 = 0, $32 = 0, $v68 = 0, $33 = 0, $n7366 = 0, $34 = 0, $cmp7467 = 0, $35 = 0, $maxi_070 = 0, $i_068 = 0, $v80 = 0, $36 = 0, $arrayidx81 = 0, $37 = 0, $cmp82 = 0, $node_type = 0, $38 = 0, $cmp88 = 0, $39 = 0, $call91 = 0, $tobool92 = 0, $list98 = 0, $40 = 0, $41 = 0, $e96_0 = 0, $tobool101 = 0, $to_orig = 0, $42 = 0, $tobool103 = 0, $tail = 0, $43 = 0, $44 = 0, $call110 = 0, $tobool111 = 0, $head113 = 0, $45 = 0, $46 = 0, $call114 = 0, $tobool115 = 0, $maxi_0_i_0 = 0, $maxi_1 = 0, $inc120 = 0, $47 = 0, $n73 = 0, $48 = 0, $cmp74 = 0, $maxi_0_lcssa = 0, $cmp122 = 0, $49 = 0, $call125 = 0, $maxi_0_lcssa91 = 0, $add = 0, $50 = 0, $n130 = 0, $inc132 = 0, $51 = 0, $conv41 = 0, $cmp42 = 0, $c_064 = 0, $52 = 0, $arrayidx140 = 0, $53 = 0, $inc142 = 0, $54 = 0, $cmp136 = 0, label = 0, __stackBase__ = 0;
   107735   __stackBase__ = STACKTOP;
   107736   label = 2;
   107737   while (1) switch (label | 0) {
   107738    case 2:
   107739     $minrank = $g + 248 | 0;
   107740     $0 = HEAP16[$minrank >> 1] | 0;
   107741     $maxrank = $g + 250 | 0;
   107742     $1 = HEAP16[$maxrank >> 1] | 0;
   107743     $cmp85 = $0 << 16 >> 16 > $1 << 16 >> 16;
   107744     if ($cmp85) {
   107745       label = 5;
   107746       break;
   107747     } else {
   107748       label = 3;
   107749       break;
   107750     }
   107751    case 3:
   107752     $conv = $0 << 16 >> 16;
   107753     $rankleader = $g + 280 | 0;
   107754     $r_086 = $conv;
   107755     label = 4;
   107756     break;
   107757    case 4:
   107758     $2 = HEAP32[$rankleader >> 2] | 0;
   107759     $arrayidx = $2 + ($r_086 << 2) | 0;
   107760     HEAP32[$arrayidx >> 2] = 0;
   107761     $inc = $r_086 + 1 | 0;
   107762     $3 = HEAP16[$maxrank >> 1] | 0;
   107763     $conv2 = $3 << 16 >> 16;
   107764     $cmp = ($inc | 0) > ($conv2 | 0);
   107765     if ($cmp) {
   107766       label = 5;
   107767       break;
   107768     } else {
   107769       $r_086 = $inc;
   107770       label = 4;
   107771       break;
   107772     }
   107773    case 5:
   107774     _dot_scan_ranks($g);
   107775     $4 = $g;
   107776     $call = _agfstnode($4) | 0;
   107777     $tobool82 = ($call | 0) == 0;
   107778     if ($tobool82) {
   107779       label = 13;
   107780       break;
   107781     } else {
   107782       $n_0_in83 = $call;
   107783       label = 6;
   107784       break;
   107785     }
   107786    case 6:
   107787     $n_0 = $n_0_in83;
   107788     _infuse($g, $n_0);
   107789     $call7 = _agfstout($4, $n_0_in83) | 0;
   107790     $tobool980 = ($call7 | 0) == 0;
   107791     if ($tobool980) {
   107792       label = 12;
   107793       break;
   107794     } else {
   107795       $e_0_in81 = $call7;
   107796       label = 7;
   107797       break;
   107798     }
   107799    case 7:
   107800     $e_0 = $e_0_in81;
   107801     $rep_0 = $e_0;
   107802     label = 8;
   107803     break;
   107804    case 8:
   107805     $to_virt = $rep_0 + 188 | 0;
   107806     $5 = HEAP32[$to_virt >> 2] | 0;
   107807     $tobool13 = ($5 | 0) == 0;
   107808     if ($tobool13) {
   107809       label = 9;
   107810       break;
   107811     } else {
   107812       $rep_0 = $5;
   107813       label = 8;
   107814       break;
   107815     }
   107816    case 9:
   107817     $head75 = $rep_0 + 12 | 0;
   107818     $6 = HEAP32[$head75 >> 2] | 0;
   107819     $rank76 = $6 + 236 | 0;
   107820     $7 = HEAP32[$rank76 >> 2] | 0;
   107821     $head20 = $e_0_in81 + 12 | 0;
   107822     $8 = HEAP32[$head20 >> 2] | 0;
   107823     $rank2277 = $8 + 236 | 0;
   107824     $9 = $rank2277;
   107825     $10 = HEAP32[$9 >> 2] | 0;
   107826     $cmp2378 = ($7 | 0) < ($10 | 0);
   107827     if ($cmp2378) {
   107828       $head79 = $head75;
   107829       $11 = $6;
   107830       label = 10;
   107831       break;
   107832     } else {
   107833       label = 11;
   107834       break;
   107835     }
   107836    case 10:
   107837     _infuse($g, $11);
   107838     $12 = HEAP32[$head79 >> 2] | 0;
   107839     $list = $12 + 184 | 0;
   107840     $13 = HEAP32[$list >> 2] | 0;
   107841     $14 = HEAP32[$13 >> 2] | 0;
   107842     $head = $14 + 12 | 0;
   107843     $15 = HEAP32[$head >> 2] | 0;
   107844     $rank = $15 + 236 | 0;
   107845     $16 = HEAP32[$rank >> 2] | 0;
   107846     $17 = HEAP32[$head20 >> 2] | 0;
   107847     $rank22 = $17 + 236 | 0;
   107848     $18 = $rank22;
   107849     $19 = HEAP32[$18 >> 2] | 0;
   107850     $cmp23 = ($16 | 0) < ($19 | 0);
   107851     if ($cmp23) {
   107852       $head79 = $head;
   107853       $11 = $15;
   107854       label = 10;
   107855       break;
   107856     } else {
   107857       label = 11;
   107858       break;
   107859     }
   107860    case 11:
   107861     $call30 = _agnxtout($4, $e_0_in81) | 0;
   107862     $tobool9 = ($call30 | 0) == 0;
   107863     if ($tobool9) {
   107864       label = 12;
   107865       break;
   107866     } else {
   107867       $e_0_in81 = $call30;
   107868       label = 7;
   107869       break;
   107870     }
   107871    case 12:
   107872     $call33 = _agnxtnode($4, $n_0_in83) | 0;
   107873     $tobool = ($call33 | 0) == 0;
   107874     if ($tobool) {
   107875       label = 13;
   107876       break;
   107877     } else {
   107878       $n_0_in83 = $call33;
   107879       label = 6;
   107880       break;
   107881     }
   107882    case 13:
   107883     $20 = HEAP16[$minrank >> 1] | 0;
   107884     $21 = HEAP16[$maxrank >> 1] | 0;
   107885     $cmp4272 = $20 << 16 >> 16 > $21 << 16 >> 16;
   107886     if ($cmp4272) {
   107887       label = 15;
   107888       break;
   107889     } else {
   107890       label = 14;
   107891       break;
   107892     }
   107893    case 14:
   107894     $conv37 = $20 << 16 >> 16;
   107895     $rankleader46 = $g + 280 | 0;
   107896     $root = $g + 32 | 0;
   107897     $rank66 = $g + 224 | 0;
   107898     $name = $g + 12 | 0;
   107899     $22 = $g;
   107900     $23 = $g;
   107901     $r_173 = $conv37;
   107902     label = 17;
   107903     break;
   107904    case 15:
   107905     $n_cluster = $g + 212 | 0;
   107906     $24 = HEAP32[$n_cluster >> 2] | 0;
   107907     $cmp13663 = ($24 | 0) < 1;
   107908     if ($cmp13663) {
   107909       label = 33;
   107910       break;
   107911     } else {
   107912       label = 16;
   107913       break;
   107914     }
   107915    case 16:
   107916     $clust = $g + 216 | 0;
   107917     $c_064 = 1;
   107918     label = 32;
   107919     break;
   107920    case 17:
   107921     $25 = HEAP32[$rankleader46 >> 2] | 0;
   107922     $arrayidx47 = $25 + ($r_173 << 2) | 0;
   107923     $26 = HEAP32[$arrayidx47 >> 2] | 0;
   107924     $order = $26 + 240 | 0;
   107925     $27 = HEAP32[$order >> 2] | 0;
   107926     $28 = HEAP32[$root >> 2] | 0;
   107927     $rank50 = $28 + 224 | 0;
   107928     $29 = HEAP32[$rank50 >> 2] | 0;
   107929     $v = $29 + ($r_173 * 44 & -1) + 4 | 0;
   107930     $30 = HEAP32[$v >> 2] | 0;
   107931     $arrayidx52 = $30 + ($27 << 2) | 0;
   107932     $31 = HEAP32[$arrayidx52 >> 2] | 0;
   107933     $cmp53 = ($31 | 0) == ($26 | 0);
   107934     if ($cmp53) {
   107935       label = 19;
   107936       break;
   107937     } else {
   107938       label = 18;
   107939       break;
   107940     }
   107941    case 18:
   107942     _abort();
   107943    case 19:
   107944     $32 = HEAP32[$rank66 >> 2] | 0;
   107945     $v68 = $32 + ($r_173 * 44 & -1) + 4 | 0;
   107946     HEAP32[$v68 >> 2] = $arrayidx52;
   107947     $33 = HEAP32[$rank66 >> 2] | 0;
   107948     $n7366 = $33 + ($r_173 * 44 & -1) | 0;
   107949     $34 = HEAP32[$n7366 >> 2] | 0;
   107950     $cmp7467 = ($34 | 0) > 0;
   107951     if ($cmp7467) {
   107952       $i_068 = 0;
   107953       $maxi_070 = -1;
   107954       $35 = $33;
   107955       label = 20;
   107956       break;
   107957     } else {
   107958       label = 30;
   107959       break;
   107960     }
   107961    case 20:
   107962     $v80 = $35 + ($r_173 * 44 & -1) + 4 | 0;
   107963     $36 = HEAP32[$v80 >> 2] | 0;
   107964     $arrayidx81 = $36 + ($i_068 << 2) | 0;
   107965     $37 = HEAP32[$arrayidx81 >> 2] | 0;
   107966     $cmp82 = ($37 | 0) == 0;
   107967     if ($cmp82) {
   107968       $maxi_0_lcssa = $maxi_070;
   107969       label = 29;
   107970       break;
   107971     } else {
   107972       label = 21;
   107973       break;
   107974     }
   107975    case 21:
   107976     $node_type = $37 + 162 | 0;
   107977     $38 = HEAP8[$node_type] | 0;
   107978     $cmp88 = $38 << 24 >> 24 == 0;
   107979     if ($cmp88) {
   107980       label = 22;
   107981       break;
   107982     } else {
   107983       label = 23;
   107984       break;
   107985     }
   107986    case 22:
   107987     $39 = $37 | 0;
   107988     $call91 = _agcontains($22, $39) | 0;
   107989     $tobool92 = ($call91 | 0) == 0;
   107990     if ($tobool92) {
   107991       $maxi_0_lcssa = $maxi_070;
   107992       label = 29;
   107993       break;
   107994     } else {
   107995       $maxi_1 = $i_068;
   107996       label = 28;
   107997       break;
   107998     }
   107999    case 23:
   108000     $list98 = $37 + 176 | 0;
   108001     $40 = HEAP32[$list98 >> 2] | 0;
   108002     $41 = HEAP32[$40 >> 2] | 0;
   108003     $e96_0 = $41;
   108004     label = 24;
   108005     break;
   108006    case 24:
   108007     $tobool101 = ($e96_0 | 0) == 0;
   108008     if ($tobool101) {
   108009       $maxi_1 = $maxi_070;
   108010       label = 28;
   108011       break;
   108012     } else {
   108013       label = 25;
   108014       break;
   108015     }
   108016    case 25:
   108017     $to_orig = $e96_0 + 132 | 0;
   108018     $42 = HEAP32[$to_orig >> 2] | 0;
   108019     $tobool103 = ($42 | 0) == 0;
   108020     if ($tobool103) {
   108021       label = 26;
   108022       break;
   108023     } else {
   108024       $e96_0 = $42;
   108025       label = 24;
   108026       break;
   108027     }
   108028    case 26:
   108029     $tail = $e96_0 + 16 | 0;
   108030     $43 = HEAP32[$tail >> 2] | 0;
   108031     $44 = $43 | 0;
   108032     $call110 = _agcontains($23, $44) | 0;
   108033     $tobool111 = ($call110 | 0) == 0;
   108034     if ($tobool111) {
   108035       $maxi_1 = $maxi_070;
   108036       label = 28;
   108037       break;
   108038     } else {
   108039       label = 27;
   108040       break;
   108041     }
   108042    case 27:
   108043     $head113 = $e96_0 + 12 | 0;
   108044     $45 = HEAP32[$head113 >> 2] | 0;
   108045     $46 = $45 | 0;
   108046     $call114 = _agcontains($23, $46) | 0;
   108047     $tobool115 = ($call114 | 0) == 0;
   108048     $maxi_0_i_0 = $tobool115 ? $maxi_070 : $i_068;
   108049     $maxi_1 = $maxi_0_i_0;
   108050     label = 28;
   108051     break;
   108052    case 28:
   108053     $inc120 = $i_068 + 1 | 0;
   108054     $47 = HEAP32[$rank66 >> 2] | 0;
   108055     $n73 = $47 + ($r_173 * 44 & -1) | 0;
   108056     $48 = HEAP32[$n73 >> 2] | 0;
   108057     $cmp74 = ($inc120 | 0) < ($48 | 0);
   108058     if ($cmp74) {
   108059       $i_068 = $inc120;
   108060       $maxi_070 = $maxi_1;
   108061       $35 = $47;
   108062       label = 20;
   108063       break;
   108064     } else {
   108065       $maxi_0_lcssa = $maxi_1;
   108066       label = 29;
   108067       break;
   108068     }
   108069    case 29:
   108070     $cmp122 = ($maxi_0_lcssa | 0) == -1;
   108071     if ($cmp122) {
   108072       label = 30;
   108073       break;
   108074     } else {
   108075       $maxi_0_lcssa91 = $maxi_0_lcssa;
   108076       label = 31;
   108077       break;
   108078     }
   108079    case 30:
   108080     $49 = HEAP32[$name >> 2] | 0;
   108081     $call125 = _agerr(0, 131784, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $49, HEAP32[tempInt + 8 >> 2] = $r_173, tempInt) | 0) | 0;
   108082     $maxi_0_lcssa91 = -1;
   108083     label = 31;
   108084     break;
   108085    case 31:
   108086     $add = $maxi_0_lcssa91 + 1 | 0;
   108087     $50 = HEAP32[$rank66 >> 2] | 0;
   108088     $n130 = $50 + ($r_173 * 44 & -1) | 0;
   108089     HEAP32[$n130 >> 2] = $add;
   108090     $inc132 = $r_173 + 1 | 0;
   108091     $51 = HEAP16[$maxrank >> 1] | 0;
   108092     $conv41 = $51 << 16 >> 16;
   108093     $cmp42 = ($inc132 | 0) > ($conv41 | 0);
   108094     if ($cmp42) {
   108095       label = 15;
   108096       break;
   108097     } else {
   108098       $r_173 = $inc132;
   108099       label = 17;
   108100       break;
   108101     }
   108102    case 32:
   108103     $52 = HEAP32[$clust >> 2] | 0;
   108104     $arrayidx140 = $52 + ($c_064 << 2) | 0;
   108105     $53 = HEAP32[$arrayidx140 >> 2] | 0;
   108106     _rebuild_vlists($53);
   108107     $inc142 = $c_064 + 1 | 0;
   108108     $54 = HEAP32[$n_cluster >> 2] | 0;
   108109     $cmp136 = ($inc142 | 0) > ($54 | 0);
   108110     if ($cmp136) {
   108111       label = 33;
   108112       break;
   108113     } else {
   108114       $c_064 = $inc142;
   108115       label = 32;
   108116       break;
   108117     }
   108118    case 33:
   108119     STACKTOP = __stackBase__;
   108120     return;
   108121   }
   108122 }
   108123 function _add_to_component($n) {
   108124   $n = $n | 0;
   108125   var $0 = 0, $n_nodes = 0, $1 = 0, $inc = 0, $2 = 0, $mark = 0, $3 = 0, $tobool = 0, $prev5 = 0, $4 = 0, $next = 0, $5 = 0, $nlist = 0, $next8 = 0, label = 0;
   108126   label = 2;
   108127   while (1) switch (label | 0) {
   108128    case 2:
   108129     $0 = HEAP32[41878] | 0;
   108130     $n_nodes = $0 + 244 | 0;
   108131     $1 = HEAP32[$n_nodes >> 2] | 0;
   108132     $inc = $1 + 1 | 0;
   108133     HEAP32[$n_nodes >> 2] = $inc;
   108134     $2 = HEAP8[167968] | 0;
   108135     $mark = $n + 163 | 0;
   108136     HEAP8[$mark] = $2;
   108137     $3 = HEAP32[41654] | 0;
   108138     $tobool = ($3 | 0) == 0;
   108139     $prev5 = $n + 172 | 0;
   108140     if ($tobool) {
   108141       label = 4;
   108142       break;
   108143     } else {
   108144       label = 3;
   108145       break;
   108146     }
   108147    case 3:
   108148     HEAP32[$prev5 >> 2] = $3;
   108149     $4 = HEAP32[41654] | 0;
   108150     $next = $4 + 168 | 0;
   108151     HEAP32[$next >> 2] = $n;
   108152     label = 5;
   108153     break;
   108154    case 4:
   108155     HEAP32[$prev5 >> 2] = 0;
   108156     $5 = HEAP32[41878] | 0;
   108157     $nlist = $5 + 220 | 0;
   108158     HEAP32[$nlist >> 2] = $n;
   108159     label = 5;
   108160     break;
   108161    case 5:
   108162     HEAP32[41654] = $n;
   108163     $next8 = $n + 168 | 0;
   108164     HEAP32[$next8 >> 2] = 0;
   108165     return;
   108166   }
   108167 }
   108168 function _portcmp($p0, $p1) {
   108169   $p0 = $p0 | 0;
   108170   $p1 = $p1 | 0;
   108171   var $defined = 0, $0 = 0, $cmp = 0, $defined2 = 0, $1 = 0, $tobool = 0, $cond = 0, $cmp6 = 0, $x = 0, $2 = 0.0, $x11 = 0, $3 = 0.0, $sub = 0.0, $conv12 = 0, $cmp13 = 0, $y = 0, $4 = 0.0, $y18 = 0, $5 = 0.0, $sub19 = 0.0, $conv20 = 0, $retval_0 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   108172   __stackBase__ = STACKTOP;
   108173   tempParam = $p0;
   108174   $p0 = STACKTOP;
   108175   STACKTOP = STACKTOP + 40 | 0;
   108176   _memcpy($p0, tempParam, 40);
   108177   tempParam = $p1;
   108178   $p1 = STACKTOP;
   108179   STACKTOP = STACKTOP + 40 | 0;
   108180   _memcpy($p1, tempParam, 40);
   108181   label = 2;
   108182   while (1) switch (label | 0) {
   108183    case 2:
   108184     $defined = $p1 + 28 | 0;
   108185     $0 = HEAP8[$defined] | 0;
   108186     $cmp = $0 << 24 >> 24 == 0;
   108187     $defined2 = $p0 + 28 | 0;
   108188     $1 = HEAP8[$defined2] | 0;
   108189     if ($cmp) {
   108190       label = 3;
   108191       break;
   108192     } else {
   108193       label = 4;
   108194       break;
   108195     }
   108196    case 3:
   108197     $tobool = $1 << 24 >> 24 != 0;
   108198     $cond = $tobool & 1;
   108199     $retval_0 = $cond;
   108200     label = 7;
   108201     break;
   108202    case 4:
   108203     $cmp6 = $1 << 24 >> 24 == 0;
   108204     if ($cmp6) {
   108205       $retval_0 = -1;
   108206       label = 7;
   108207       break;
   108208     } else {
   108209       label = 5;
   108210       break;
   108211     }
   108212    case 5:
   108213     $x = $p0 | 0;
   108214     $2 = +HEAPF64[$x >> 3];
   108215     $x11 = $p1 | 0;
   108216     $3 = +HEAPF64[$x11 >> 3];
   108217     $sub = $2 - $3;
   108218     $conv12 = ~~$sub;
   108219     $cmp13 = ($conv12 | 0) == 0;
   108220     if ($cmp13) {
   108221       label = 6;
   108222       break;
   108223     } else {
   108224       $retval_0 = $conv12;
   108225       label = 7;
   108226       break;
   108227     }
   108228    case 6:
   108229     $y = $p0 + 8 | 0;
   108230     $4 = +HEAPF64[$y >> 3];
   108231     $y18 = $p1 + 8 | 0;
   108232     $5 = +HEAPF64[$y18 >> 3];
   108233     $sub19 = $4 - $5;
   108234     $conv20 = ~~$sub19;
   108235     $retval_0 = $conv20;
   108236     label = 7;
   108237     break;
   108238    case 7:
   108239     STACKTOP = __stackBase__;
   108240     return $retval_0 | 0;
   108241   }
   108242   return 0;
   108243 }
   108244 function _end_component() {
   108245   var $0 = 0, $size = 0, $1 = 0, $inc = 0, $2 = 0, $list = 0, $3 = 0, $tobool = 0, $4 = 0, $size8 = 0, $5 = 0, $mul = 0, $call = 0, $size11 = 0, $6 = 0, $mul12 = 0, $call13 = 0, $call_sink = 0, $7 = 0, $8 = 0, $list16 = 0, $9 = 0, $nlist = 0, $10 = 0, $list20 = 0, $11 = 0, $arrayidx = 0, label = 0;
   108246   label = 2;
   108247   while (1) switch (label | 0) {
   108248    case 2:
   108249     $0 = HEAP32[41878] | 0;
   108250     $size = $0 + 232 | 0;
   108251     $1 = HEAP32[$size >> 2] | 0;
   108252     $inc = $1 + 1 | 0;
   108253     HEAP32[$size >> 2] = $inc;
   108254     $2 = HEAP32[41878] | 0;
   108255     $list = $2 + 228 | 0;
   108256     $3 = HEAP32[$list >> 2] | 0;
   108257     $tobool = ($3 | 0) == 0;
   108258     if ($tobool) {
   108259       label = 4;
   108260       break;
   108261     } else {
   108262       label = 3;
   108263       break;
   108264     }
   108265    case 3:
   108266     $4 = $3;
   108267     $size8 = $2 + 232 | 0;
   108268     $5 = HEAP32[$size8 >> 2] | 0;
   108269     $mul = $5 << 2;
   108270     $call = _grealloc($4, $mul) | 0;
   108271     $call_sink = $call;
   108272     label = 5;
   108273     break;
   108274    case 4:
   108275     $size11 = $2 + 232 | 0;
   108276     $6 = HEAP32[$size11 >> 2] | 0;
   108277     $mul12 = $6 << 2;
   108278     $call13 = _gmalloc($mul12) | 0;
   108279     $call_sink = $call13;
   108280     label = 5;
   108281     break;
   108282    case 5:
   108283     $7 = $call_sink;
   108284     $8 = HEAP32[41878] | 0;
   108285     $list16 = $8 + 228 | 0;
   108286     HEAP32[$list16 >> 2] = $7;
   108287     $9 = HEAP32[41878] | 0;
   108288     $nlist = $9 + 220 | 0;
   108289     $10 = HEAP32[$nlist >> 2] | 0;
   108290     $list20 = $9 + 228 | 0;
   108291     $11 = HEAP32[$list20 >> 2] | 0;
   108292     $arrayidx = $11 + ($1 << 2) | 0;
   108293     HEAP32[$arrayidx >> 2] = $10;
   108294     return;
   108295   }
   108296 }
   108297 function _dot_init_node_edge($g) {
   108298   $g = $g | 0;
   108299   var $0 = 0, $call = 0, $tobool17 = 0, $n_0_in18 = 0, $n_0 = 0, $call1 = 0, $tobool = 0, $call2 = 0, $tobool415 = 0, $n_1_in16 = 0, $call6 = 0, $tobool813 = 0, $e_0_in14 = 0, $e_0 = 0, $call11 = 0, $tobool8 = 0, $call14 = 0, $tobool4 = 0, label = 0;
   108300   label = 2;
   108301   while (1) switch (label | 0) {
   108302    case 2:
   108303     $0 = $g;
   108304     $call = _agfstnode($0) | 0;
   108305     $tobool17 = ($call | 0) == 0;
   108306     if ($tobool17) {
   108307       label = 4;
   108308       break;
   108309     } else {
   108310       $n_0_in18 = $call;
   108311       label = 3;
   108312       break;
   108313     }
   108314    case 3:
   108315     $n_0 = $n_0_in18;
   108316     _dot_init_node($n_0);
   108317     $call1 = _agnxtnode($0, $n_0_in18) | 0;
   108318     $tobool = ($call1 | 0) == 0;
   108319     if ($tobool) {
   108320       label = 4;
   108321       break;
   108322     } else {
   108323       $n_0_in18 = $call1;
   108324       label = 3;
   108325       break;
   108326     }
   108327    case 4:
   108328     $call2 = _agfstnode($0) | 0;
   108329     $tobool415 = ($call2 | 0) == 0;
   108330     if ($tobool415) {
   108331       label = 8;
   108332       break;
   108333     } else {
   108334       $n_1_in16 = $call2;
   108335       label = 5;
   108336       break;
   108337     }
   108338    case 5:
   108339     $call6 = _agfstout($0, $n_1_in16) | 0;
   108340     $tobool813 = ($call6 | 0) == 0;
   108341     if ($tobool813) {
   108342       label = 7;
   108343       break;
   108344     } else {
   108345       $e_0_in14 = $call6;
   108346       label = 6;
   108347       break;
   108348     }
   108349    case 6:
   108350     $e_0 = $e_0_in14;
   108351     _dot_init_edge($e_0);
   108352     $call11 = _agnxtout($0, $e_0_in14) | 0;
   108353     $tobool8 = ($call11 | 0) == 0;
   108354     if ($tobool8) {
   108355       label = 7;
   108356       break;
   108357     } else {
   108358       $e_0_in14 = $call11;
   108359       label = 6;
   108360       break;
   108361     }
   108362    case 7:
   108363     $call14 = _agnxtnode($0, $n_1_in16) | 0;
   108364     $tobool4 = ($call14 | 0) == 0;
   108365     if ($tobool4) {
   108366       label = 8;
   108367       break;
   108368     } else {
   108369       $n_1_in16 = $call14;
   108370       label = 5;
   108371       break;
   108372     }
   108373    case 8:
   108374     return;
   108375   }
   108376 }
   108377 function _dot_init_node($n) {
   108378   $n = $n | 0;
   108379   var $0 = 0;
   108380   $0 = $n;
   108381   _common_init_node($0);
   108382   _gv_nodesize($0, HEAP32[(HEAP32[$n + 20 >> 2] | 0) + 156 >> 2] & 1);
   108383   HEAP32[$n + 180 >> 2] = 0;
   108384   HEAP32[$n + 176 >> 2] = _zmalloc(20) | 0;
   108385   HEAP32[$n + 188 >> 2] = 0;
   108386   HEAP32[$n + 184 >> 2] = _zmalloc(20) | 0;
   108387   HEAP32[$n + 204 >> 2] = 0;
   108388   HEAP32[$n + 200 >> 2] = _zmalloc(12) | 0;
   108389   HEAP32[$n + 196 >> 2] = 0;
   108390   HEAP32[$n + 192 >> 2] = _zmalloc(12) | 0;
   108391   HEAP32[$n + 212 >> 2] = 0;
   108392   HEAP32[$n + 208 >> 2] = _zmalloc(12) | 0;
   108393   HEAP32[$n + 220 >> 2] = 1;
   108394   return;
   108395 }
   108396 function _dot_init_edge($e) {
   108397   $e = $e | 0;
   108398   var $0 = 0, $call = 0, $1 = 0, $2 = 0, $call1 = 0.0, $conv = 0.0, $weight = 0, $tail = 0, $3 = 0, $4 = 0, $5 = 0, $call2 = 0, $head = 0, $6 = 0, $7 = 0, $call3 = 0, $xpenalty = 0, $count = 0, $8 = 0, $tobool = 0, $cmp = 0, $or_cond = 0, $9 = 0.0, $mul = 0.0, $call12 = 0, $tobool13 = 0, $10 = 0, $call20 = 0, $conv21 = 0, $showboxes = 0, $11 = 0, $call23 = 0, $conv24 = 0, $minlen = 0, label = 0;
   108399   label = 2;
   108400   while (1) switch (label | 0) {
   108401    case 2:
   108402     $0 = $e;
   108403     $call = _common_init_edge($0) | 0;
   108404     $1 = $e | 0;
   108405     $2 = HEAP32[41894] | 0;
   108406     $call1 = +_late_double($1, $2, 1.0, 0.0);
   108407     $conv = $call1;
   108408     $weight = $e + 172 | 0;
   108409     HEAPF32[$weight >> 2] = $conv;
   108410     $tail = $e + 16 | 0;
   108411     $3 = HEAP32[$tail >> 2] | 0;
   108412     $4 = $3 | 0;
   108413     $5 = HEAP32[41594] | 0;
   108414     $call2 = _late_string($4, $5, 107672) | 0;
   108415     $head = $e + 12 | 0;
   108416     $6 = HEAP32[$head >> 2] | 0;
   108417     $7 = $6 | 0;
   108418     $call3 = _late_string($7, $5, 107672) | 0;
   108419     $xpenalty = $e + 170 | 0;
   108420     HEAP16[$xpenalty >> 1] = 1;
   108421     $count = $e + 184 | 0;
   108422     HEAP16[$count >> 1] = 1;
   108423     $8 = HEAP8[$call2] | 0;
   108424     $tobool = $8 << 24 >> 24 != 0;
   108425     $cmp = ($call2 | 0) == ($call3 | 0);
   108426     $or_cond = $tobool & $cmp;
   108427     if ($or_cond) {
   108428       label = 3;
   108429       break;
   108430     } else {
   108431       label = 4;
   108432       break;
   108433     }
   108434    case 3:
   108435     HEAP16[$xpenalty >> 1] = 1e3;
   108436     $9 = +HEAPF32[$weight >> 2];
   108437     $mul = $9 * 100.0;
   108438     HEAPF32[$weight >> 2] = $mul;
   108439     label = 4;
   108440     break;
   108441    case 4:
   108442     $call12 = _nonconstraint_edge($0) | 0;
   108443     $tobool13 = ($call12 | 0) == 0;
   108444     if ($tobool13) {
   108445       label = 6;
   108446       break;
   108447     } else {
   108448       label = 5;
   108449       break;
   108450     }
   108451    case 5:
   108452     HEAP16[$xpenalty >> 1] = 0;
   108453     HEAPF32[$weight >> 2] = 0.0;
   108454     label = 6;
   108455     break;
   108456    case 6:
   108457     $10 = HEAP32[41906] | 0;
   108458     $call20 = _late_int($1, $10, 0, 0) | 0;
   108459     $conv21 = $call20 & 255;
   108460     $showboxes = $e + 168 | 0;
   108461     HEAP8[$showboxes] = $conv21;
   108462     $11 = HEAP32[41918] | 0;
   108463     $call23 = _late_int($1, $11, 1, 0) | 0;
   108464     $conv24 = $call23 & 65535;
   108465     $minlen = $e + 186 | 0;
   108466     HEAP16[$minlen >> 1] = $conv24;
   108467     return;
   108468   }
   108469 }
   108470 function _dot_cleanup($g) {
   108471   $g = $g | 0;
   108472   var $nlist = 0, $0 = 0, $1 = 0, $call = 0, $tobool13 = 0, $n_015_in = 0, $n_015 = 0, $call1 = 0, $tobool310 = 0, $e_0_in11 = 0, $2 = 0, $call5 = 0, $tobool3 = 0, $call7 = 0, $tobool = 0, label = 0;
   108473   label = 2;
   108474   while (1) switch (label | 0) {
   108475    case 2:
   108476     $nlist = $g + 220 | 0;
   108477     $0 = HEAP32[$nlist >> 2] | 0;
   108478     _free_virtual_node_list($0);
   108479     $1 = $g;
   108480     $call = _agfstnode($1) | 0;
   108481     $tobool13 = ($call | 0) == 0;
   108482     if ($tobool13) {
   108483       label = 6;
   108484       break;
   108485     } else {
   108486       $n_015_in = $call;
   108487       label = 3;
   108488       break;
   108489     }
   108490    case 3:
   108491     $n_015 = $n_015_in;
   108492     $call1 = _agfstout($1, $n_015_in) | 0;
   108493     $tobool310 = ($call1 | 0) == 0;
   108494     if ($tobool310) {
   108495       label = 5;
   108496       break;
   108497     } else {
   108498       $e_0_in11 = $call1;
   108499       label = 4;
   108500       break;
   108501     }
   108502    case 4:
   108503     $2 = $e_0_in11;
   108504     _gv_cleanup_edge($2);
   108505     $call5 = _agnxtout($1, $e_0_in11) | 0;
   108506     $tobool3 = ($call5 | 0) == 0;
   108507     if ($tobool3) {
   108508       label = 5;
   108509       break;
   108510     } else {
   108511       $e_0_in11 = $call5;
   108512       label = 4;
   108513       break;
   108514     }
   108515    case 5:
   108516     _dot_cleanup_node($n_015);
   108517     $call7 = _agnxtnode($1, $n_015_in) | 0;
   108518     $tobool = ($call7 | 0) == 0;
   108519     if ($tobool) {
   108520       label = 6;
   108521       break;
   108522     } else {
   108523       $n_015_in = $call7;
   108524       label = 3;
   108525       break;
   108526     }
   108527    case 6:
   108528     _dot_cleanup_graph($g);
   108529     return;
   108530   }
   108531 }
   108532 function _free_virtual_node_list($vn) {
   108533   $vn = $vn | 0;
   108534   var $tobool9 = 0, $vn_addr_010 = 0, $next = 0, $0 = 0, $node_type = 0, $1 = 0, $cmp = 0, $list = 0, $2 = 0, $tobool4 = 0, $3 = 0, $list11 = 0, $4 = 0, $tobool12 = 0, $5 = 0, $6 = 0, $tobool = 0, label = 0;
   108535   label = 2;
   108536   while (1) switch (label | 0) {
   108537    case 2:
   108538     $tobool9 = ($vn | 0) == 0;
   108539     if ($tobool9) {
   108540       label = 10;
   108541       break;
   108542     } else {
   108543       $vn_addr_010 = $vn;
   108544       label = 3;
   108545       break;
   108546     }
   108547    case 3:
   108548     $next = $vn_addr_010 + 168 | 0;
   108549     $0 = HEAP32[$next >> 2] | 0;
   108550     _free_virtual_edge_list($vn_addr_010);
   108551     $node_type = $vn_addr_010 + 162 | 0;
   108552     $1 = HEAP8[$node_type] | 0;
   108553     $cmp = $1 << 24 >> 24 == 1;
   108554     if ($cmp) {
   108555       label = 4;
   108556       break;
   108557     } else {
   108558       label = 9;
   108559       break;
   108560     }
   108561    case 4:
   108562     $list = $vn_addr_010 + 184 | 0;
   108563     $2 = HEAP32[$list >> 2] | 0;
   108564     $tobool4 = ($2 | 0) == 0;
   108565     if ($tobool4) {
   108566       label = 6;
   108567       break;
   108568     } else {
   108569       label = 5;
   108570       break;
   108571     }
   108572    case 5:
   108573     $3 = $2;
   108574     _free($3);
   108575     label = 6;
   108576     break;
   108577    case 6:
   108578     $list11 = $vn_addr_010 + 176 | 0;
   108579     $4 = HEAP32[$list11 >> 2] | 0;
   108580     $tobool12 = ($4 | 0) == 0;
   108581     if ($tobool12) {
   108582       label = 8;
   108583       break;
   108584     } else {
   108585       label = 7;
   108586       break;
   108587     }
   108588    case 7:
   108589     $5 = $4;
   108590     _free($5);
   108591     label = 8;
   108592     break;
   108593    case 8:
   108594     $6 = $vn_addr_010 | 0;
   108595     _free($6);
   108596     label = 9;
   108597     break;
   108598    case 9:
   108599     $tobool = ($0 | 0) == 0;
   108600     if ($tobool) {
   108601       label = 10;
   108602       break;
   108603     } else {
   108604       $vn_addr_010 = $0;
   108605       label = 3;
   108606       break;
   108607     }
   108608    case 10:
   108609     return;
   108610   }
   108611 }
   108612 function _dot_cleanup_node($n) {
   108613   $n = $n | 0;
   108614   var $u = 0, $list = 0, $0 = 0, $tobool = 0, $1 = 0, $list6 = 0, $2 = 0, $tobool7 = 0, $3 = 0, $list16 = 0, $4 = 0, $tobool17 = 0, $5 = 0, $list26 = 0, $6 = 0, $tobool27 = 0, $7 = 0, $list36 = 0, $8 = 0, $tobool37 = 0, $9 = 0, $label = 0, $10 = 0, $shape = 0, $11 = 0, $tobool46 = 0, $fns = 0, $12 = 0, $freefn = 0, $13 = 0, $14 = 0, label = 0;
   108615   label = 2;
   108616   while (1) switch (label | 0) {
   108617    case 2:
   108618     $u = $n + 24 | 0;
   108619     $list = $n + 176 | 0;
   108620     $0 = HEAP32[$list >> 2] | 0;
   108621     $tobool = ($0 | 0) == 0;
   108622     if ($tobool) {
   108623       label = 4;
   108624       break;
   108625     } else {
   108626       label = 3;
   108627       break;
   108628     }
   108629    case 3:
   108630     $1 = $0;
   108631     _free($1);
   108632     label = 4;
   108633     break;
   108634    case 4:
   108635     $list6 = $n + 184 | 0;
   108636     $2 = HEAP32[$list6 >> 2] | 0;
   108637     $tobool7 = ($2 | 0) == 0;
   108638     if ($tobool7) {
   108639       label = 6;
   108640       break;
   108641     } else {
   108642       label = 5;
   108643       break;
   108644     }
   108645    case 5:
   108646     $3 = $2;
   108647     _free($3);
   108648     label = 6;
   108649     break;
   108650    case 6:
   108651     $list16 = $n + 192 | 0;
   108652     $4 = HEAP32[$list16 >> 2] | 0;
   108653     $tobool17 = ($4 | 0) == 0;
   108654     if ($tobool17) {
   108655       label = 8;
   108656       break;
   108657     } else {
   108658       label = 7;
   108659       break;
   108660     }
   108661    case 7:
   108662     $5 = $4;
   108663     _free($5);
   108664     label = 8;
   108665     break;
   108666    case 8:
   108667     $list26 = $n + 200 | 0;
   108668     $6 = HEAP32[$list26 >> 2] | 0;
   108669     $tobool27 = ($6 | 0) == 0;
   108670     if ($tobool27) {
   108671       label = 10;
   108672       break;
   108673     } else {
   108674       label = 9;
   108675       break;
   108676     }
   108677    case 9:
   108678     $7 = $6;
   108679     _free($7);
   108680     label = 10;
   108681     break;
   108682    case 10:
   108683     $list36 = $n + 208 | 0;
   108684     $8 = HEAP32[$list36 >> 2] | 0;
   108685     $tobool37 = ($8 | 0) == 0;
   108686     if ($tobool37) {
   108687       label = 12;
   108688       break;
   108689     } else {
   108690       label = 11;
   108691       break;
   108692     }
   108693    case 11:
   108694     $9 = $8;
   108695     _free($9);
   108696     label = 12;
   108697     break;
   108698    case 12:
   108699     $label = $n + 120 | 0;
   108700     $10 = HEAP32[$label >> 2] | 0;
   108701     _free_label($10);
   108702     $shape = $u | 0;
   108703     $11 = HEAP32[$shape >> 2] | 0;
   108704     $tobool46 = ($11 | 0) == 0;
   108705     if ($tobool46) {
   108706       label = 14;
   108707       break;
   108708     } else {
   108709       label = 13;
   108710       break;
   108711     }
   108712    case 13:
   108713     $fns = $11 + 4 | 0;
   108714     $12 = HEAP32[$fns >> 2] | 0;
   108715     $freefn = $12 + 4 | 0;
   108716     $13 = HEAP32[$freefn >> 2] | 0;
   108717     FUNCTION_TABLE_vi[$13 & 1023]($n);
   108718     label = 14;
   108719     break;
   108720    case 14:
   108721     $14 = $u;
   108722     _memset($14 | 0, 0, 280);
   108723     return;
   108724   }
   108725 }
   108726 function _dot_cleanup_graph($g) {
   108727   $g = $g | 0;
   108728   var $u = 0, $n_cluster = 0, $0 = 0, $cmp25 = 0, $clust5 = 0, $1 = 0, $2 = 0, $c_026 = 0, $arrayidx = 0, $3 = 0, $cluster_was_collapsed = 0, $inc = 0, $4 = 0, $cmp = 0, $5 = 0, $_lcssa = 0, $tobool = 0, $6 = 0, $rankleader = 0, $7 = 0, $tobool9 = 0, $8 = 0, $list = 0, $9 = 0, $tobool15 = 0, $10 = 0, $rank = 0, $11 = 0, $tobool22 = 0, $minrank = 0, $12 = 0, $maxrank = 0, $13 = 0, $cmp2823 = 0, $conv = 0, $i_024 = 0, $14 = 0, $av = 0, $15 = 0, $16 = 0, $inc35 = 0, $17 = 0, $conv27 = 0, $cmp28 = 0, $_pr = 0, $18 = 0, $cmp40 = 0, $19 = 0, $add_ptr = 0, $20 = 0, $21 = 0, $root = 0, $22 = 0, $cmp49 = 0, $23 = 0, label = 0;
   108729   label = 2;
   108730   while (1) switch (label | 0) {
   108731    case 2:
   108732     $u = $g + 48 | 0;
   108733     $n_cluster = $g + 212 | 0;
   108734     $0 = HEAP32[$n_cluster >> 2] | 0;
   108735     $cmp25 = ($0 | 0) < 1;
   108736     $clust5 = $g + 216 | 0;
   108737     $1 = HEAP32[$clust5 >> 2] | 0;
   108738     if ($cmp25) {
   108739       $_lcssa = $1;
   108740       label = 4;
   108741       break;
   108742     } else {
   108743       $c_026 = 1;
   108744       $2 = $1;
   108745       label = 3;
   108746       break;
   108747     }
   108748    case 3:
   108749     $arrayidx = $2 + ($c_026 << 2) | 0;
   108750     $3 = HEAP32[$arrayidx >> 2] | 0;
   108751     $cluster_was_collapsed = $3 + 254 | 0;
   108752     HEAP8[$cluster_was_collapsed] = 0;
   108753     _dot_cleanup($3);
   108754     $inc = $c_026 + 1 | 0;
   108755     $4 = HEAP32[$n_cluster >> 2] | 0;
   108756     $cmp = ($inc | 0) > ($4 | 0);
   108757     $5 = HEAP32[$clust5 >> 2] | 0;
   108758     if ($cmp) {
   108759       $_lcssa = $5;
   108760       label = 4;
   108761       break;
   108762     } else {
   108763       $c_026 = $inc;
   108764       $2 = $5;
   108765       label = 3;
   108766       break;
   108767     }
   108768    case 4:
   108769     $tobool = ($_lcssa | 0) == 0;
   108770     if ($tobool) {
   108771       label = 6;
   108772       break;
   108773     } else {
   108774       label = 5;
   108775       break;
   108776     }
   108777    case 5:
   108778     $6 = $_lcssa;
   108779     _free($6);
   108780     label = 6;
   108781     break;
   108782    case 6:
   108783     $rankleader = $g + 280 | 0;
   108784     $7 = HEAP32[$rankleader >> 2] | 0;
   108785     $tobool9 = ($7 | 0) == 0;
   108786     if ($tobool9) {
   108787       label = 8;
   108788       break;
   108789     } else {
   108790       label = 7;
   108791       break;
   108792     }
   108793    case 7:
   108794     $8 = $7;
   108795     _free($8);
   108796     label = 8;
   108797     break;
   108798    case 8:
   108799     $list = $g + 228 | 0;
   108800     $9 = HEAP32[$list >> 2] | 0;
   108801     $tobool15 = ($9 | 0) == 0;
   108802     if ($tobool15) {
   108803       label = 10;
   108804       break;
   108805     } else {
   108806       label = 9;
   108807       break;
   108808     }
   108809    case 9:
   108810     $10 = $9;
   108811     _free($10);
   108812     label = 10;
   108813     break;
   108814    case 10:
   108815     $rank = $g + 224 | 0;
   108816     $11 = HEAP32[$rank >> 2] | 0;
   108817     $tobool22 = ($11 | 0) == 0;
   108818     if ($tobool22) {
   108819       label = 18;
   108820       break;
   108821     } else {
   108822       label = 11;
   108823       break;
   108824     }
   108825    case 11:
   108826     $minrank = $g + 248 | 0;
   108827     $12 = HEAP16[$minrank >> 1] | 0;
   108828     $maxrank = $g + 250 | 0;
   108829     $13 = HEAP16[$maxrank >> 1] | 0;
   108830     $cmp2823 = $12 << 16 >> 16 > $13 << 16 >> 16;
   108831     if ($cmp2823) {
   108832       $18 = $12;
   108833       label = 15;
   108834       break;
   108835     } else {
   108836       label = 12;
   108837       break;
   108838     }
   108839    case 12:
   108840     $conv = $12 << 16 >> 16;
   108841     $i_024 = $conv;
   108842     label = 13;
   108843     break;
   108844    case 13:
   108845     $14 = HEAP32[$rank >> 2] | 0;
   108846     $av = $14 + ($i_024 * 44 & -1) + 12 | 0;
   108847     $15 = HEAP32[$av >> 2] | 0;
   108848     $16 = $15;
   108849     _free($16);
   108850     $inc35 = $i_024 + 1 | 0;
   108851     $17 = HEAP16[$maxrank >> 1] | 0;
   108852     $conv27 = $17 << 16 >> 16;
   108853     $cmp28 = ($inc35 | 0) > ($conv27 | 0);
   108854     if ($cmp28) {
   108855       label = 14;
   108856       break;
   108857     } else {
   108858       $i_024 = $inc35;
   108859       label = 13;
   108860       break;
   108861     }
   108862    case 14:
   108863     $_pr = HEAP16[$minrank >> 1] | 0;
   108864     $18 = $_pr;
   108865     label = 15;
   108866     break;
   108867    case 15:
   108868     $cmp40 = $18 << 16 >> 16 == -1;
   108869     $19 = HEAP32[$rank >> 2] | 0;
   108870     if ($cmp40) {
   108871       label = 16;
   108872       break;
   108873     } else {
   108874       label = 17;
   108875       break;
   108876     }
   108877    case 16:
   108878     $add_ptr = $19 - 44 | 0;
   108879     $20 = $add_ptr;
   108880     _free($20);
   108881     label = 18;
   108882     break;
   108883    case 17:
   108884     $21 = $19;
   108885     _free($21);
   108886     label = 18;
   108887     break;
   108888    case 18:
   108889     $root = $g + 32 | 0;
   108890     $22 = HEAP32[$root >> 2] | 0;
   108891     $cmp49 = ($22 | 0) == ($g | 0);
   108892     if ($cmp49) {
   108893       label = 20;
   108894       break;
   108895     } else {
   108896       label = 19;
   108897       break;
   108898     }
   108899    case 19:
   108900     $23 = $u;
   108901     _memset($23 | 0, 0, 248);
   108902     label = 20;
   108903     break;
   108904    case 20:
   108905     return;
   108906   }
   108907 }
   108908 function _dot_layout($g) {
   108909   $g = $g | 0;
   108910   var $aspect = 0, $0 = 0, $call = 0, $1 = 0, $badGraph = 0, $nPasses = 0, $nextIter2 = 0, $nextIter = 0, $asp_0 = 0, $2 = 0, $tobool = 0, $call1 = 0, $asp_1 = 0, $cmp = 0, $conv = 0, $3 = 0, $dec = 0, $4 = 0, $tobool3 = 0, $tobool5 = 0, $or_cond = 0, $5 = 0, $call6 = 0, $call7 = 0, $tobool8 = 0, label = 0, __stackBase__ = 0;
   108911   __stackBase__ = STACKTOP;
   108912   STACKTOP = STACKTOP + 40 | 0;
   108913   label = 2;
   108914   while (1) switch (label | 0) {
   108915    case 2:
   108916     $aspect = __stackBase__ | 0;
   108917     $0 = $g;
   108918     _setEdgeType($0, 8);
   108919     $call = _setAspect($g, $aspect) | 0;
   108920     _dot_init_node_edge($g);
   108921     $1 = $g;
   108922     $badGraph = $aspect + 32 | 0;
   108923     $nPasses = $aspect + 28 | 0;
   108924     $nextIter2 = $aspect + 24 | 0;
   108925     $nextIter = $aspect + 24 | 0;
   108926     $asp_0 = $call;
   108927     label = 3;
   108928     break;
   108929    case 3:
   108930     _dot_rank($1, $asp_0);
   108931     $2 = HEAP32[$badGraph >> 2] | 0;
   108932     $tobool = ($2 | 0) == 0;
   108933     if ($tobool) {
   108934       $asp_1 = $asp_0;
   108935       label = 5;
   108936       break;
   108937     } else {
   108938       label = 4;
   108939       break;
   108940     }
   108941    case 4:
   108942     $call1 = _agerr(0, 125216, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0) | 0;
   108943     HEAP32[$nextIter >> 2] = 0;
   108944     $asp_1 = 0;
   108945     label = 5;
   108946     break;
   108947    case 5:
   108948     $cmp = ($asp_1 | 0) != 0;
   108949     $conv = $cmp & 1;
   108950     _dot_mincross($0, $conv);
   108951     _dot_position($0, $asp_1);
   108952     $3 = HEAP32[$nPasses >> 2] | 0;
   108953     $dec = $3 - 1 | 0;
   108954     HEAP32[$nPasses >> 2] = $dec;
   108955     $4 = HEAP32[$nextIter2 >> 2] | 0;
   108956     $tobool3 = ($4 | 0) == 0;
   108957     $tobool5 = ($dec | 0) == 0;
   108958     $or_cond = $tobool3 | $tobool5;
   108959     if ($or_cond) {
   108960       label = 6;
   108961       break;
   108962     } else {
   108963       $asp_0 = $asp_1;
   108964       label = 3;
   108965       break;
   108966     }
   108967    case 6:
   108968     _dot_sameports($g);
   108969     _dot_splines($g);
   108970     $5 = $g | 0;
   108971     $call6 = _agget($5, 154768) | 0;
   108972     $call7 = _mapbool($call6) | 0;
   108973     $tobool8 = $call7 << 24 >> 24 == 0;
   108974     if ($tobool8) {
   108975       label = 8;
   108976       break;
   108977     } else {
   108978       label = 7;
   108979       break;
   108980     }
   108981    case 7:
   108982     _dot_compoundEdges($g);
   108983     label = 8;
   108984     break;
   108985    case 8:
   108986     _dotneato_postprocess($0);
   108987     STACKTOP = __stackBase__;
   108988     return;
   108989   }
   108990 }
   108991 function _setAspect($g, $adata) {
   108992   $g = $g | 0;
   108993   $adata = $adata | 0;
   108994   var $rv = 0, $passes = 0, $0 = 0, $call = 0, $tobool = 0, $call1 = 0, $cmp = 0, $nextIter = 0, $badGraph = 0, $1 = 0.0, $cmp2 = 0, $cmp4 = 0, $2 = 0.0, $targetAR = 0, $nextIter8 = 0, $3 = 0, $nPasses = 0, $badGraph9 = 0, $4 = 0, $tobool10 = 0, $5 = 0, $6 = 0.0, $call13 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   108995   __stackBase__ = STACKTOP;
   108996   STACKTOP = STACKTOP + 16 | 0;
   108997   label = 2;
   108998   while (1) switch (label | 0) {
   108999    case 2:
   109000     $rv = __stackBase__ | 0;
   109001     $passes = __stackBase__ + 8 | 0;
   109002     HEAP32[$passes >> 2] = 5;
   109003     $0 = $g | 0;
   109004     $call = _agget($0, 127136) | 0;
   109005     $tobool = ($call | 0) == 0;
   109006     if ($tobool) {
   109007       label = 4;
   109008       break;
   109009     } else {
   109010       label = 3;
   109011       break;
   109012     }
   109013    case 3:
   109014     $call1 = _sscanf($call | 0, 117384, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $rv, HEAP32[tempInt + 8 >> 2] = $passes, tempInt) | 0) | 0;
   109015     $cmp = ($call1 | 0) < 1;
   109016     if ($cmp) {
   109017       label = 4;
   109018       break;
   109019     } else {
   109020       label = 5;
   109021       break;
   109022     }
   109023    case 4:
   109024     $nextIter = $adata + 24 | 0;
   109025     HEAP32[$nextIter >> 2] = 0;
   109026     $badGraph = $adata + 32 | 0;
   109027     HEAP32[$badGraph >> 2] = 0;
   109028     $retval_0 = 0;
   109029     label = 11;
   109030     break;
   109031    case 5:
   109032     $1 = +HEAPF64[$rv >> 3];
   109033     $cmp2 = $1 < 1.0;
   109034     if ($cmp2) {
   109035       label = 6;
   109036       break;
   109037     } else {
   109038       label = 7;
   109039       break;
   109040     }
   109041    case 6:
   109042     HEAPF64[$rv >> 3] = 1.0;
   109043     label = 9;
   109044     break;
   109045    case 7:
   109046     $cmp4 = $1 > 20.0;
   109047     if ($cmp4) {
   109048       label = 8;
   109049       break;
   109050     } else {
   109051       label = 9;
   109052       break;
   109053     }
   109054    case 8:
   109055     HEAPF64[$rv >> 3] = 20.0;
   109056     label = 9;
   109057     break;
   109058    case 9:
   109059     $2 = +HEAPF64[$rv >> 3];
   109060     $targetAR = $adata | 0;
   109061     HEAPF64[$targetAR >> 3] = $2;
   109062     $nextIter8 = $adata + 24 | 0;
   109063     HEAP32[$nextIter8 >> 2] = -1;
   109064     $3 = HEAP32[$passes >> 2] | 0;
   109065     $nPasses = $adata + 28 | 0;
   109066     HEAP32[$nPasses >> 2] = $3;
   109067     $badGraph9 = $adata + 32 | 0;
   109068     HEAP32[$badGraph9 >> 2] = 0;
   109069     $4 = HEAP8[164912] | 0;
   109070     $tobool10 = $4 << 24 >> 24 == 0;
   109071     if ($tobool10) {
   109072       $retval_0 = $adata;
   109073       label = 11;
   109074       break;
   109075     } else {
   109076       label = 10;
   109077       break;
   109078     }
   109079    case 10:
   109080     $5 = HEAP32[_stderr >> 2] | 0;
   109081     $6 = +HEAPF64[$targetAR >> 3];
   109082     $call13 = _fprintf($5 | 0, 112080, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAPF64[tempInt >> 3] = $6, tempInt) | 0) | 0;
   109083     $retval_0 = $adata;
   109084     label = 11;
   109085     break;
   109086    case 11:
   109087     STACKTOP = __stackBase__;
   109088     return $retval_0 | 0;
   109089   }
   109090   return 0;
   109091 }
   109092 function _free_virtual_edge_list($n) {
   109093   $n = $n | 0;
   109094   var $size = 0, $0 = 0, $cmp16 = 0, $list = 0, $i_017_in = 0, $i_017 = 0, $1 = 0, $arrayidx = 0, $2 = 0, $3 = 0, $4 = 0, $cmp = 0, $size4 = 0, $5 = 0, $cmp713 = 0, $list11 = 0, $i_114_in = 0, $i_114 = 0, $6 = 0, $arrayidx12 = 0, $7 = 0, $8 = 0, $9 = 0, $cmp7 = 0, label = 0;
   109095   label = 2;
   109096   while (1) switch (label | 0) {
   109097    case 2:
   109098     $size = $n + 180 | 0;
   109099     $0 = HEAP32[$size >> 2] | 0;
   109100     $cmp16 = ($0 | 0) > 0;
   109101     if ($cmp16) {
   109102       label = 3;
   109103       break;
   109104     } else {
   109105       label = 5;
   109106       break;
   109107     }
   109108    case 3:
   109109     $list = $n + 176 | 0;
   109110     $i_017_in = $0;
   109111     label = 4;
   109112     break;
   109113    case 4:
   109114     $i_017 = $i_017_in - 1 | 0;
   109115     $1 = HEAP32[$list >> 2] | 0;
   109116     $arrayidx = $1 + ($i_017 << 2) | 0;
   109117     $2 = HEAP32[$arrayidx >> 2] | 0;
   109118     $3 = $2;
   109119     _delete_fast_edge($3);
   109120     $4 = $2 | 0;
   109121     _free($4);
   109122     $cmp = ($i_017 | 0) > 0;
   109123     if ($cmp) {
   109124       $i_017_in = $i_017;
   109125       label = 4;
   109126       break;
   109127     } else {
   109128       label = 5;
   109129       break;
   109130     }
   109131    case 5:
   109132     $size4 = $n + 188 | 0;
   109133     $5 = HEAP32[$size4 >> 2] | 0;
   109134     $cmp713 = ($5 | 0) > 0;
   109135     if ($cmp713) {
   109136       label = 6;
   109137       break;
   109138     } else {
   109139       label = 8;
   109140       break;
   109141     }
   109142    case 6:
   109143     $list11 = $n + 184 | 0;
   109144     $i_114_in = $5;
   109145     label = 7;
   109146     break;
   109147    case 7:
   109148     $i_114 = $i_114_in - 1 | 0;
   109149     $6 = HEAP32[$list11 >> 2] | 0;
   109150     $arrayidx12 = $6 + ($i_114 << 2) | 0;
   109151     $7 = HEAP32[$arrayidx12 >> 2] | 0;
   109152     $8 = $7;
   109153     _delete_fast_edge($8);
   109154     $9 = $7 | 0;
   109155     _free($9);
   109156     $cmp7 = ($i_114 | 0) > 0;
   109157     if ($cmp7) {
   109158       $i_114_in = $i_114;
   109159       label = 7;
   109160       break;
   109161     } else {
   109162       label = 8;
   109163       break;
   109164     }
   109165    case 8:
   109166     return;
   109167   }
   109168 }
   109169 function _dot_splines($g) {
   109170   $g = $g | 0;
   109171   __dot_splines($g, 1);
   109172   return;
   109173 }
   109174 function _setflags($e, $hint1, $hint2, $f3) {
   109175   $e = $e | 0;
   109176   $hint1 = $hint1 | 0;
   109177   $hint2 = $hint2 | 0;
   109178   $f3 = $f3 | 0;
   109179   var $cmp = 0, $tail = 0, $0 = 0, $head = 0, $1 = 0, $cmp1 = 0, $defined = 0, $2 = 0, $tobool = 0, $defined4 = 0, $3 = 0, $tobool6 = 0, $_14 = 0, $rank = 0, $4 = 0, $rank14 = 0, $5 = 0, $cmp15 = 0, $_ = 0, $f1_0 = 0, $cmp22 = 0, $tail29 = 0, $6 = 0, $rank31 = 0, $7 = 0, $head32 = 0, $8 = 0, $rank34 = 0, $9 = 0, $cmp35 = 0, $cond = 0, $tail41 = 0, $10 = 0, $order = 0, $11 = 0, $head43 = 0, $12 = 0, $order45 = 0, $13 = 0, $cmp46 = 0, $cond48 = 0, $f2_0 = 0, $or = 0, $or53 = 0, $tree_index = 0, label = 0;
   109180   label = 2;
   109181   while (1) switch (label | 0) {
   109182    case 2:
   109183     $cmp = ($hint1 | 0) == 0;
   109184     if ($cmp) {
   109185       label = 3;
   109186       break;
   109187     } else {
   109188       $f1_0 = $hint1;
   109189       label = 7;
   109190       break;
   109191     }
   109192    case 3:
   109193     $tail = $e + 16 | 0;
   109194     $0 = HEAP32[$tail >> 2] | 0;
   109195     $head = $e + 12 | 0;
   109196     $1 = HEAP32[$head >> 2] | 0;
   109197     $cmp1 = ($0 | 0) == ($1 | 0);
   109198     if ($cmp1) {
   109199       label = 4;
   109200       break;
   109201     } else {
   109202       label = 6;
   109203       break;
   109204     }
   109205    case 4:
   109206     $defined = $e + 60 | 0;
   109207     $2 = HEAP8[$defined] | 0;
   109208     $tobool = $2 << 24 >> 24 == 0;
   109209     if ($tobool) {
   109210       label = 5;
   109211       break;
   109212     } else {
   109213       $f1_0 = 4;
   109214       label = 7;
   109215       break;
   109216     }
   109217    case 5:
   109218     $defined4 = $e + 100 | 0;
   109219     $3 = HEAP8[$defined4] | 0;
   109220     $tobool6 = $3 << 24 >> 24 == 0;
   109221     $_14 = $tobool6 ? 8 : 4;
   109222     $f1_0 = $_14;
   109223     label = 7;
   109224     break;
   109225    case 6:
   109226     $rank = $0 + 236 | 0;
   109227     $4 = HEAP32[$rank >> 2] | 0;
   109228     $rank14 = $1 + 236 | 0;
   109229     $5 = HEAP32[$rank14 >> 2] | 0;
   109230     $cmp15 = ($4 | 0) == ($5 | 0);
   109231     $_ = $cmp15 ? 2 : 1;
   109232     $f1_0 = $_;
   109233     label = 7;
   109234     break;
   109235    case 7:
   109236     $cmp22 = ($hint2 | 0) == 0;
   109237     if ($cmp22) {
   109238       label = 8;
   109239       break;
   109240     } else {
   109241       $f2_0 = $hint2;
   109242       label = 11;
   109243       break;
   109244     }
   109245    case 8:
   109246     if (($f1_0 | 0) == 1) {
   109247       label = 9;
   109248       break;
   109249     } else if (($f1_0 | 0) == 2) {
   109250       label = 10;
   109251       break;
   109252     } else {
   109253       $f2_0 = 16;
   109254       label = 11;
   109255       break;
   109256     }
   109257    case 9:
   109258     $tail29 = $e + 16 | 0;
   109259     $6 = HEAP32[$tail29 >> 2] | 0;
   109260     $rank31 = $6 + 236 | 0;
   109261     $7 = HEAP32[$rank31 >> 2] | 0;
   109262     $head32 = $e + 12 | 0;
   109263     $8 = HEAP32[$head32 >> 2] | 0;
   109264     $rank34 = $8 + 236 | 0;
   109265     $9 = HEAP32[$rank34 >> 2] | 0;
   109266     $cmp35 = ($7 | 0) < ($9 | 0);
   109267     $cond = $cmp35 ? 16 : 32;
   109268     $f2_0 = $cond;
   109269     label = 11;
   109270     break;
   109271    case 10:
   109272     $tail41 = $e + 16 | 0;
   109273     $10 = HEAP32[$tail41 >> 2] | 0;
   109274     $order = $10 + 240 | 0;
   109275     $11 = HEAP32[$order >> 2] | 0;
   109276     $head43 = $e + 12 | 0;
   109277     $12 = HEAP32[$head43 >> 2] | 0;
   109278     $order45 = $12 + 240 | 0;
   109279     $13 = HEAP32[$order45 >> 2] | 0;
   109280     $cmp46 = ($11 | 0) < ($13 | 0);
   109281     $cond48 = $cmp46 ? 16 : 32;
   109282     $f2_0 = $cond48;
   109283     label = 11;
   109284     break;
   109285    case 11:
   109286     $or = $f1_0 | $f3;
   109287     $or53 = $or | $f2_0;
   109288     $tree_index = $e + 180 | 0;
   109289     HEAP32[$tree_index >> 2] = $or53;
   109290     return;
   109291   }
   109292 }
   109293 function __dot_splines($g, $normalize) {
   109294   $g = $g | 0;
   109295   $normalize = $normalize | 0;
   109296   var $fwdedgea = 0, $fwdedgeb = 0, $sd = 0, $flags = 0, $0 = 0, $conv = 0, $and = 0, $cmp = 0, $1 = 0, $call = 0, $2 = 0, $nodesep = 0, $3 = 0, $div = 0, $Splinesep = 0, $4 = 0, $Multisep = 0, $call5 = 0, $5 = 0, $RightBound = 0, $LeftBound = 0, $minrank = 0, $6 = 0, $conv7 = 0, $maxrank = 0, $7 = 0, $cmp10272 = 0, $rank = 0, $i_0276 = 0, $n_nodes_0275 = 0, $n_edges_0274 = 0, $edges_0273 = 0, $8 = 0, $n13 = 0, $9 = 0, $add = 0, $v = 0, $10 = 0, $11 = 0, $tobool = 0, $12 = 0, $conv20 = 0.0, $x = 0, $13 = 0.0, $lw = 0, $14 = 0.0, $sub = 0.0, $cmp23 = 0, $conv20_sub = 0.0, $conv33 = 0, $15 = 0, $n39 = 0, $16 = 0, $tobool40 = 0, $sub45 = 0, $v49 = 0, $17 = 0, $arrayidx50 = 0, $18 = 0, $tobool51 = 0, $19 = 0, $conv54 = 0.0, $x57 = 0, $20 = 0.0, $rw = 0, $21 = 0.0, $add59 = 0.0, $cmp60 = 0, $conv54_add59 = 0.0, $conv74 = 0, $22 = 0, $sub78 = 0, $23 = 0, $add80 = 0, $24 = 0, $n85259 = 0, $25 = 0, $cmp86260 = 0, $26 = 0, $j_0263 = 0, $n_edges_1262 = 0, $edges_1261 = 0, $v92 = 0, $27 = 0, $arrayidx93 = 0, $28 = 0, $alg = 0, $29 = 0, $tobool95 = 0, $label = 0, $30 = 0, $31 = 0, $tobool100 = 0, $pos = 0, $coord107 = 0, $32 = 0, $33 = 0, $34 = 0, $set = 0, $node_type = 0, $35 = 0, $cmp113 = 0, $36 = 0, $call116 = 0, $cmp118 = 0, $list = 0, $37 = 0, $38 = 0, $tobool125237 = 0, $39 = 0, $k_0240 = 0, $n_edges_2239 = 0, $edges_2238 = 0, $edge_type = 0, $40 = 0, $inc = 0, $arrayidx138 = 0, $rem213 = 0, $cmp139 = 0, $tobool142 = 0, $41 = 0, $add144 = 0, $mul = 0, $call145 = 0, $add147 = 0, $mul148 = 0, $call149 = 0, $call145_sink = 0, $42 = 0, $edges_3 = 0, $n_edges_3 = 0, $inc153 = 0, $43 = 0, $arrayidx124 = 0, $44 = 0, $tobool125 = 0, $n_edges_2_lcssa = 0, $edges_2_lcssa = 0, $list155 = 0, $45 = 0, $tobool156 = 0, $46 = 0, $47 = 0, $tobool163244 = 0, $48 = 0, $k_1247 = 0, $n_edges_4246 = 0, $edges_4245 = 0, $inc165 = 0, $arrayidx166 = 0, $rem167212 = 0, $cmp168 = 0, $tobool171 = 0, $49 = 0, $add173 = 0, $mul174 = 0, $call175 = 0, $add177 = 0, $mul178 = 0, $call179 = 0, $call175_sink = 0, $50 = 0, $edges_5 = 0, $inc184 = 0, $51 = 0, $arrayidx162 = 0, $52 = 0, $tobool163 = 0, $edges_6 = 0, $n_edges_5 = 0, $list188 = 0, $53 = 0, $tobool189 = 0, $54 = 0, $cmp194 = 0, $rw198 = 0, $55 = 0.0, $mval = 0, $56 = 0, $conv200 = 0.0, $conv203 = 0, $57 = 0, $58 = 0, $tobool212251 = 0, $59 = 0, $k_2254 = 0, $n_edges_6253 = 0, $edges_7252 = 0, $inc214 = 0, $arrayidx215 = 0, $rem216211 = 0, $cmp217 = 0, $tobool220 = 0, $60 = 0, $add222 = 0, $mul223 = 0, $call224 = 0, $add226 = 0, $mul227 = 0, $call228 = 0, $call224_sink = 0, $61 = 0, $edges_8 = 0, $inc233 = 0, $62 = 0, $arrayidx211 = 0, $63 = 0, $tobool212 = 0, $edges_9 = 0, $n_edges_7 = 0, $inc237 = 0, $64 = 0, $n85 = 0, $65 = 0, $cmp86 = 0, $n_edges_1_lcssa = 0, $edges_1_lcssa = 0, $inc240 = 0, $66 = 0, $conv9 = 0, $cmp10 = 0, $phitmp = 0, $phitmp283 = 0, $i_0_lcssa = 0, $n_nodes_0_lcssa = 0, $n_edges_0_lcssa = 0, $edges_0_lcssa = 0, $67 = 0, $call245 = 0, $68 = 0, $boxes = 0, $69 = 0, $mul246 = 0, $call247 = 0, $70 = 0, $Rank_box = 0, $cmp248 = 0, $cmp271231 = 0, $rank424 = 0, $71 = 0, $72 = 0, $rank450 = 0, $rank463 = 0, $73 = 0, $tail345 = 0, $head347 = 0, $tail_port349 = 0, $74 = 0, $head_port353 = 0, $75 = 0, $edge_type357 = 0, $to_orig359 = 0, $76 = 0, $tail = 0, $head295 = 0, $tail_port297 = 0, $77 = 0, $head_port301 = 0, $78 = 0, $edge_type305 = 0, $to_orig = 0, $nlist = 0, $n_0233 = 0, $tobool253234 = 0, $n_0235 = 0, $node_type256 = 0, $79 = 0, $cmp258 = 0, $label262 = 0, $80 = 0, $tobool263 = 0, $next = 0, $n_0 = 0, $tobool253 = 0, $i_1232 = 0, $arrayidx275 = 0, $81 = 0, $call276 = 0, $defined = 0, $82 = 0, $tobool279 = 0, $defined282 = 0, $83 = 0, $tobool284 = 0, $call276_ = 0, $cond288 = 0, $tree_index = 0, $84 = 0, $and290 = 0, $tobool291 = 0, $85 = 0, $head = 0, $86 = 0, $tail294 = 0, $87 = 0, $head_port299 = 0, $88 = 0, $tail_port303 = 0, $89 = 0, $ea_0 = 0, $head_port370 = 0, $label384 = 0, $i_2223 = 0, $cmp309224 = 0, $adjacent = 0, $tail_port362 = 0, $tree_index378 = 0, $i_2227 = 0, $cnt_0225 = 0, $arrayidx312 = 0, $90 = 0, $call313 = 0, $cmp314 = 0, $91 = 0, $tobool319 = 0, $defined324 = 0, $92 = 0, $tobool326 = 0, $defined330 = 0, $93 = 0, $tobool332 = 0, $call313_ = 0, $cond336 = 0, $tree_index338 = 0, $94 = 0, $and339 = 0, $tobool340 = 0, $95 = 0, $head344 = 0, $96 = 0, $tail346 = 0, $97 = 0, $head_port351 = 0, $98 = 0, $tail_port355 = 0, $99 = 0, $eb_0 = 0, $tail_port364 = 0, $call365 = 0, $tobool366 = 0, $head_port372 = 0, $call373 = 0, $tobool374 = 0, $100 = 0, $and379 = 0, $cmp380 = 0, $101 = 0, $label386 = 0, $102 = 0, $cmp387 = 0, $103 = 0, $tree_index393 = 0, $104 = 0, $and394 = 0, $tobool395 = 0, $inc399 = 0, $i_2 = 0, $cmp309 = 0, $i_2_lcssa = 0, $cnt_0_lcssa = 0, $tail402 = 0, $105 = 0, $head403 = 0, $106 = 0, $cmp404 = 0, $rank412 = 0, $107 = 0, $108 = 0, $conv415 = 0, $cmp416 = 0, $cmp419 = 0, $sub422 = 0, $109 = 0, $v426 = 0, $110 = 0, $111 = 0, $y = 0, $112 = 0.0, $y432 = 0, $113 = 0.0, $sub433 = 0.0, $conv434 = 0, $ht = 0, $114 = 0.0, $conv436 = 0, $115 = 0, $conv441 = 0, $cmp442 = 0, $y447 = 0, $116 = 0.0, $add448 = 0, $117 = 0, $v452 = 0, $118 = 0, $119 = 0, $y456 = 0, $120 = 0.0, $sub457 = 0.0, $conv458 = 0, $sub461 = 0, $121 = 0, $v465 = 0, $122 = 0, $123 = 0, $y469 = 0, $124 = 0.0, $y472 = 0, $125 = 0.0, $sub473 = 0.0, $conv474 = 0, $add479 = 0, $v483 = 0, $126 = 0, $127 = 0, $y487 = 0, $128 = 0.0, $sub488 = 0.0, $conv489 = 0, $cmp490 = 0, $cond495 = 0, $sizey_0 = 0, $129 = 0, $conv499 = 0.0, $div500 = 0, $conv501 = 0.0, $cmp503229 = 0, $b_0230 = 0, $add506 = 0, $arrayidx507 = 0, $130 = 0, $label509 = 0, $131 = 0, $tobool510 = 0, $inc516 = 0, $cmp503 = 0, $rank524 = 0, $132 = 0, $cmp525 = 0, $cmp271 = 0, $nlist533 = 0, $n_1220 = 0, $tobool535221 = 0, $133 = 0, $n_1222 = 0, $node_type538 = 0, $134 = 0, $cmp540 = 0, $label544 = 0, $135 = 0, $tobool545 = 0, $136 = 0, $next552 = 0, $n_1 = 0, $tobool535 = 0, $tobool554 = 0, $137 = 0, $tobool557 = 0, $138 = 0, $tobool559 = 0, $or_cond = 0, $139 = 0, $call561 = 0, $tobool563218 = 0, $140 = 0, $141 = 0, $n_2_in219 = 0, $142 = 0, $tobool565 = 0, $call567 = 0, $tobool569214 = 0, $e_0_in215 = 0, $head_label = 0, $143 = 0, $tobool572 = 0, $144 = 0, $145 = 0, $146 = 0, $call578 = 0, $tobool569 = 0, $147 = 0, $tobool581 = 0, $call583 = 0, $tobool585216 = 0, $e_1_in217 = 0, $tail_label = 0, $148 = 0, $tobool588 = 0, $149 = 0, $150 = 0, $151 = 0, $call594 = 0, $tobool585 = 0, $call598 = 0, $tobool563 = 0, $152 = 0, $153 = 0, $154 = 0, $155 = 0, label = 0, __stackBase__ = 0;
   109297   __stackBase__ = STACKTOP;
   109298   STACKTOP = STACKTOP + 408 | 0;
   109299   label = 2;
   109300   while (1) switch (label | 0) {
   109301    case 2:
   109302     $fwdedgea = __stackBase__ | 0;
   109303     $fwdedgeb = __stackBase__ + 192 | 0;
   109304     $sd = __stackBase__ + 384 | 0;
   109305     $flags = $g + 168 | 0;
   109306     $0 = HEAP16[$flags >> 1] | 0;
   109307     $conv = $0 & 65535;
   109308     $and = $conv & 14;
   109309     $cmp = ($and | 0) == 0;
   109310     if ($cmp) {
   109311       label = 111;
   109312       break;
   109313     } else {
   109314       label = 3;
   109315       break;
   109316     }
   109317    case 3:
   109318     $1 = $g;
   109319     _mark_lowclusters($1);
   109320     _routesplinesinit();
   109321     $call = _zmalloc(96) | 0;
   109322     $2 = $call;
   109323     $nodesep = $g + 260 | 0;
   109324     $3 = HEAP32[$nodesep >> 2] | 0;
   109325     $div = ($3 | 0) / 4 & -1;
   109326     $Splinesep = $sd + 8 | 0;
   109327     HEAP32[$Splinesep >> 2] = $div;
   109328     $4 = HEAP32[$nodesep >> 2] | 0;
   109329     $Multisep = $sd + 12 | 0;
   109330     HEAP32[$Multisep >> 2] = $4;
   109331     $call5 = _zmalloc(512) | 0;
   109332     $5 = $call5;
   109333     $RightBound = $sd + 4 | 0;
   109334     HEAP32[$RightBound >> 2] = 0;
   109335     $LeftBound = $sd | 0;
   109336     HEAP32[$LeftBound >> 2] = 0;
   109337     $minrank = $g + 248 | 0;
   109338     $6 = HEAP16[$minrank >> 1] | 0;
   109339     $conv7 = $6 << 16 >> 16;
   109340     $maxrank = $g + 250 | 0;
   109341     $7 = HEAP16[$maxrank >> 1] | 0;
   109342     $cmp10272 = $6 << 16 >> 16 > $7 << 16 >> 16;
   109343     if ($cmp10272) {
   109344       $edges_0_lcssa = $5;
   109345       $n_edges_0_lcssa = 0;
   109346       $n_nodes_0_lcssa = 11520;
   109347       $i_0_lcssa = $conv7;
   109348       label = 46;
   109349       break;
   109350     } else {
   109351       label = 4;
   109352       break;
   109353     }
   109354    case 4:
   109355     $rank = $g + 224 | 0;
   109356     $edges_0273 = $5;
   109357     $n_edges_0274 = 0;
   109358     $n_nodes_0275 = 0;
   109359     $i_0276 = $conv7;
   109360     label = 5;
   109361     break;
   109362    case 5:
   109363     $8 = HEAP32[$rank >> 2] | 0;
   109364     $n13 = $8 + ($i_0276 * 44 & -1) | 0;
   109365     $9 = HEAP32[$n13 >> 2] | 0;
   109366     $add = $9 + $n_nodes_0275 | 0;
   109367     $v = $8 + ($i_0276 * 44 & -1) + 4 | 0;
   109368     $10 = HEAP32[$v >> 2] | 0;
   109369     $11 = HEAP32[$10 >> 2] | 0;
   109370     $tobool = ($11 | 0) == 0;
   109371     if ($tobool) {
   109372       label = 7;
   109373       break;
   109374     } else {
   109375       label = 6;
   109376       break;
   109377     }
   109378    case 6:
   109379     $12 = HEAP32[$LeftBound >> 2] | 0;
   109380     $conv20 = +($12 | 0);
   109381     $x = $11 + 32 | 0;
   109382     $13 = +HEAPF64[$x >> 3];
   109383     $lw = $11 + 104 | 0;
   109384     $14 = +HEAPF64[$lw >> 3];
   109385     $sub = $13 - $14;
   109386     $cmp23 = $conv20 < $sub;
   109387     $conv20_sub = $cmp23 ? $conv20 : $sub;
   109388     $conv33 = ~~$conv20_sub;
   109389     HEAP32[$LeftBound >> 2] = $conv33;
   109390     label = 7;
   109391     break;
   109392    case 7:
   109393     $15 = HEAP32[$rank >> 2] | 0;
   109394     $n39 = $15 + ($i_0276 * 44 & -1) | 0;
   109395     $16 = HEAP32[$n39 >> 2] | 0;
   109396     $tobool40 = ($16 | 0) == 0;
   109397     if ($tobool40) {
   109398       label = 10;
   109399       break;
   109400     } else {
   109401       label = 8;
   109402       break;
   109403     }
   109404    case 8:
   109405     $sub45 = $16 - 1 | 0;
   109406     $v49 = $15 + ($i_0276 * 44 & -1) + 4 | 0;
   109407     $17 = HEAP32[$v49 >> 2] | 0;
   109408     $arrayidx50 = $17 + ($sub45 << 2) | 0;
   109409     $18 = HEAP32[$arrayidx50 >> 2] | 0;
   109410     $tobool51 = ($18 | 0) == 0;
   109411     if ($tobool51) {
   109412       label = 10;
   109413       break;
   109414     } else {
   109415       label = 9;
   109416       break;
   109417     }
   109418    case 9:
   109419     $19 = HEAP32[$RightBound >> 2] | 0;
   109420     $conv54 = +($19 | 0);
   109421     $x57 = $18 + 32 | 0;
   109422     $20 = +HEAPF64[$x57 >> 3];
   109423     $rw = $18 + 112 | 0;
   109424     $21 = +HEAPF64[$rw >> 3];
   109425     $add59 = $20 + $21;
   109426     $cmp60 = $conv54 > $add59;
   109427     $conv54_add59 = $cmp60 ? $conv54 : $add59;
   109428     $conv74 = ~~$conv54_add59;
   109429     HEAP32[$RightBound >> 2] = $conv74;
   109430     label = 10;
   109431     break;
   109432    case 10:
   109433     $22 = HEAP32[$LeftBound >> 2] | 0;
   109434     $sub78 = $22 - 16 | 0;
   109435     HEAP32[$LeftBound >> 2] = $sub78;
   109436     $23 = HEAP32[$RightBound >> 2] | 0;
   109437     $add80 = $23 + 16 | 0;
   109438     HEAP32[$RightBound >> 2] = $add80;
   109439     $24 = HEAP32[$rank >> 2] | 0;
   109440     $n85259 = $24 + ($i_0276 * 44 & -1) | 0;
   109441     $25 = HEAP32[$n85259 >> 2] | 0;
   109442     $cmp86260 = ($25 | 0) > 0;
   109443     if ($cmp86260) {
   109444       $edges_1261 = $edges_0273;
   109445       $n_edges_1262 = $n_edges_0274;
   109446       $j_0263 = 0;
   109447       $26 = $24;
   109448       label = 11;
   109449       break;
   109450     } else {
   109451       $edges_1_lcssa = $edges_0273;
   109452       $n_edges_1_lcssa = $n_edges_0274;
   109453       label = 44;
   109454       break;
   109455     }
   109456    case 11:
   109457     $v92 = $26 + ($i_0276 * 44 & -1) + 4 | 0;
   109458     $27 = HEAP32[$v92 >> 2] | 0;
   109459     $arrayidx93 = $27 + ($j_0263 << 2) | 0;
   109460     $28 = HEAP32[$arrayidx93 >> 2] | 0;
   109461     $alg = $28 + 128 | 0;
   109462     $29 = HEAP32[$alg >> 2] | 0;
   109463     $tobool95 = ($29 | 0) == 0;
   109464     if ($tobool95) {
   109465       label = 15;
   109466       break;
   109467     } else {
   109468       label = 12;
   109469       break;
   109470     }
   109471    case 12:
   109472     $label = $29 + 112 | 0;
   109473     $30 = $label;
   109474     $31 = HEAP32[$30 >> 2] | 0;
   109475     $tobool100 = ($31 | 0) == 0;
   109476     if ($tobool100) {
   109477       label = 13;
   109478       break;
   109479     } else {
   109480       label = 14;
   109481       break;
   109482     }
   109483    case 13:
   109484     ___assert_func(119288, 318, 164664, 152792);
   109485    case 14:
   109486     $pos = $31 + 56 | 0;
   109487     $coord107 = $28 + 32 | 0;
   109488     $32 = $pos;
   109489     $33 = $coord107;
   109490     HEAP32[$32 >> 2] = HEAP32[$33 >> 2] | 0;
   109491     HEAP32[$32 + 4 >> 2] = HEAP32[$33 + 4 >> 2] | 0;
   109492     HEAP32[$32 + 8 >> 2] = HEAP32[$33 + 8 >> 2] | 0;
   109493     HEAP32[$32 + 12 >> 2] = HEAP32[$33 + 12 >> 2] | 0;
   109494     $34 = HEAP32[$30 >> 2] | 0;
   109495     $set = $34 + 81 | 0;
   109496     HEAP8[$set] = 1;
   109497     label = 15;
   109498     break;
   109499    case 15:
   109500     $node_type = $28 + 162 | 0;
   109501     $35 = HEAP8[$node_type] | 0;
   109502     $cmp113 = $35 << 24 >> 24 == 0;
   109503     if ($cmp113) {
   109504       label = 17;
   109505       break;
   109506     } else {
   109507       label = 16;
   109508       break;
   109509     }
   109510    case 16:
   109511     $36 = HEAP32[1055] | 0;
   109512     $call116 = FUNCTION_TABLE_ii[$36 & 1023]($28) | 0;
   109513     $cmp118 = $call116 << 24 >> 24 == 0;
   109514     if ($cmp118) {
   109515       $n_edges_7 = $n_edges_1262;
   109516       $edges_9 = $edges_1261;
   109517       label = 43;
   109518       break;
   109519     } else {
   109520       label = 17;
   109521       break;
   109522     }
   109523    case 17:
   109524     $list = $28 + 184 | 0;
   109525     $37 = HEAP32[$list >> 2] | 0;
   109526     $38 = HEAP32[$37 >> 2] | 0;
   109527     $tobool125237 = ($38 | 0) == 0;
   109528     if ($tobool125237) {
   109529       $edges_2_lcssa = $edges_1261;
   109530       $n_edges_2_lcssa = $n_edges_1262;
   109531       label = 25;
   109532       break;
   109533     } else {
   109534       $edges_2238 = $edges_1261;
   109535       $n_edges_2239 = $n_edges_1262;
   109536       $k_0240 = 0;
   109537       $39 = $38;
   109538       label = 18;
   109539       break;
   109540     }
   109541    case 18:
   109542     $edge_type = $39 + 128 | 0;
   109543     $40 = HEAP8[$edge_type] | 0;
   109544     if (($40 << 24 >> 24 | 0) == 4 | ($40 << 24 >> 24 | 0) == 6) {
   109545       $n_edges_3 = $n_edges_2239;
   109546       $edges_3 = $edges_2238;
   109547       label = 24;
   109548       break;
   109549     } else {
   109550       label = 19;
   109551       break;
   109552     }
   109553    case 19:
   109554     _setflags($39, 1, 16, 64);
   109555     $inc = $n_edges_2239 + 1 | 0;
   109556     $arrayidx138 = $edges_2238 + ($n_edges_2239 << 2) | 0;
   109557     HEAP32[$arrayidx138 >> 2] = $39;
   109558     $rem213 = $inc & 127;
   109559     $cmp139 = ($rem213 | 0) == 0;
   109560     if ($cmp139) {
   109561       label = 20;
   109562       break;
   109563     } else {
   109564       $n_edges_3 = $inc;
   109565       $edges_3 = $edges_2238;
   109566       label = 24;
   109567       break;
   109568     }
   109569    case 20:
   109570     $tobool142 = ($edges_2238 | 0) == 0;
   109571     if ($tobool142) {
   109572       label = 22;
   109573       break;
   109574     } else {
   109575       label = 21;
   109576       break;
   109577     }
   109578    case 21:
   109579     $41 = $edges_2238;
   109580     $add144 = $n_edges_2239 << 2;
   109581     $mul = $add144 + 516 | 0;
   109582     $call145 = _grealloc($41, $mul) | 0;
   109583     $call145_sink = $call145;
   109584     label = 23;
   109585     break;
   109586    case 22:
   109587     $add147 = $n_edges_2239 << 2;
   109588     $mul148 = $add147 + 516 | 0;
   109589     $call149 = _gmalloc($mul148) | 0;
   109590     $call145_sink = $call149;
   109591     label = 23;
   109592     break;
   109593    case 23:
   109594     $42 = $call145_sink;
   109595     $n_edges_3 = $inc;
   109596     $edges_3 = $42;
   109597     label = 24;
   109598     break;
   109599    case 24:
   109600     $inc153 = $k_0240 + 1 | 0;
   109601     $43 = HEAP32[$list >> 2] | 0;
   109602     $arrayidx124 = $43 + ($inc153 << 2) | 0;
   109603     $44 = HEAP32[$arrayidx124 >> 2] | 0;
   109604     $tobool125 = ($44 | 0) == 0;
   109605     if ($tobool125) {
   109606       $edges_2_lcssa = $edges_3;
   109607       $n_edges_2_lcssa = $n_edges_3;
   109608       label = 25;
   109609       break;
   109610     } else {
   109611       $edges_2238 = $edges_3;
   109612       $n_edges_2239 = $n_edges_3;
   109613       $k_0240 = $inc153;
   109614       $39 = $44;
   109615       label = 18;
   109616       break;
   109617     }
   109618    case 25:
   109619     $list155 = $28 + 192 | 0;
   109620     $45 = HEAP32[$list155 >> 2] | 0;
   109621     $tobool156 = ($45 | 0) == 0;
   109622     if ($tobool156) {
   109623       $n_edges_5 = $n_edges_2_lcssa;
   109624       $edges_6 = $edges_2_lcssa;
   109625       label = 33;
   109626       break;
   109627     } else {
   109628       label = 26;
   109629       break;
   109630     }
   109631    case 26:
   109632     $46 = HEAP32[$list155 >> 2] | 0;
   109633     $47 = HEAP32[$46 >> 2] | 0;
   109634     $tobool163244 = ($47 | 0) == 0;
   109635     if ($tobool163244) {
   109636       $n_edges_5 = $n_edges_2_lcssa;
   109637       $edges_6 = $edges_2_lcssa;
   109638       label = 33;
   109639       break;
   109640     } else {
   109641       $edges_4245 = $edges_2_lcssa;
   109642       $n_edges_4246 = $n_edges_2_lcssa;
   109643       $k_1247 = 0;
   109644       $48 = $47;
   109645       label = 27;
   109646       break;
   109647     }
   109648    case 27:
   109649     _setflags($48, 2, 0, 128);
   109650     $inc165 = $n_edges_4246 + 1 | 0;
   109651     $arrayidx166 = $edges_4245 + ($n_edges_4246 << 2) | 0;
   109652     HEAP32[$arrayidx166 >> 2] = $48;
   109653     $rem167212 = $inc165 & 127;
   109654     $cmp168 = ($rem167212 | 0) == 0;
   109655     if ($cmp168) {
   109656       label = 28;
   109657       break;
   109658     } else {
   109659       $edges_5 = $edges_4245;
   109660       label = 32;
   109661       break;
   109662     }
   109663    case 28:
   109664     $tobool171 = ($edges_4245 | 0) == 0;
   109665     if ($tobool171) {
   109666       label = 30;
   109667       break;
   109668     } else {
   109669       label = 29;
   109670       break;
   109671     }
   109672    case 29:
   109673     $49 = $edges_4245;
   109674     $add173 = $n_edges_4246 << 2;
   109675     $mul174 = $add173 + 516 | 0;
   109676     $call175 = _grealloc($49, $mul174) | 0;
   109677     $call175_sink = $call175;
   109678     label = 31;
   109679     break;
   109680    case 30:
   109681     $add177 = $n_edges_4246 << 2;
   109682     $mul178 = $add177 + 516 | 0;
   109683     $call179 = _gmalloc($mul178) | 0;
   109684     $call175_sink = $call179;
   109685     label = 31;
   109686     break;
   109687    case 31:
   109688     $50 = $call175_sink;
   109689     $edges_5 = $50;
   109690     label = 32;
   109691     break;
   109692    case 32:
   109693     $inc184 = $k_1247 + 1 | 0;
   109694     $51 = HEAP32[$list155 >> 2] | 0;
   109695     $arrayidx162 = $51 + ($inc184 << 2) | 0;
   109696     $52 = HEAP32[$arrayidx162 >> 2] | 0;
   109697     $tobool163 = ($52 | 0) == 0;
   109698     if ($tobool163) {
   109699       $n_edges_5 = $inc165;
   109700       $edges_6 = $edges_5;
   109701       label = 33;
   109702       break;
   109703     } else {
   109704       $edges_4245 = $edges_5;
   109705       $n_edges_4246 = $inc165;
   109706       $k_1247 = $inc184;
   109707       $48 = $52;
   109708       label = 27;
   109709       break;
   109710     }
   109711    case 33:
   109712     $list188 = $28 + 208 | 0;
   109713     $53 = HEAP32[$list188 >> 2] | 0;
   109714     $tobool189 = ($53 | 0) == 0;
   109715     if ($tobool189) {
   109716       $n_edges_7 = $n_edges_5;
   109717       $edges_9 = $edges_6;
   109718       label = 43;
   109719       break;
   109720     } else {
   109721       label = 34;
   109722       break;
   109723     }
   109724    case 34:
   109725     $54 = HEAP8[$node_type] | 0;
   109726     $cmp194 = $54 << 24 >> 24 == 0;
   109727     if ($cmp194) {
   109728       label = 35;
   109729       break;
   109730     } else {
   109731       label = 36;
   109732       break;
   109733     }
   109734    case 35:
   109735     $rw198 = $28 + 112 | 0;
   109736     $55 = +HEAPF64[$rw198 >> 3];
   109737     $mval = $28 + 244 | 0;
   109738     $56 = HEAP32[$mval >> 2] | 0;
   109739     $conv200 = +($56 | 0);
   109740     HEAPF64[$rw198 >> 3] = $conv200;
   109741     $conv203 = ~~$55;
   109742     HEAP32[$mval >> 2] = $conv203;
   109743     label = 36;
   109744     break;
   109745    case 36:
   109746     $57 = HEAP32[$list188 >> 2] | 0;
   109747     $58 = HEAP32[$57 >> 2] | 0;
   109748     $tobool212251 = ($58 | 0) == 0;
   109749     if ($tobool212251) {
   109750       $n_edges_7 = $n_edges_5;
   109751       $edges_9 = $edges_6;
   109752       label = 43;
   109753       break;
   109754     } else {
   109755       $edges_7252 = $edges_6;
   109756       $n_edges_6253 = $n_edges_5;
   109757       $k_2254 = 0;
   109758       $59 = $58;
   109759       label = 37;
   109760       break;
   109761     }
   109762    case 37:
   109763     _setflags($59, 0, 0, 128);
   109764     $inc214 = $n_edges_6253 + 1 | 0;
   109765     $arrayidx215 = $edges_7252 + ($n_edges_6253 << 2) | 0;
   109766     HEAP32[$arrayidx215 >> 2] = $59;
   109767     $rem216211 = $inc214 & 127;
   109768     $cmp217 = ($rem216211 | 0) == 0;
   109769     if ($cmp217) {
   109770       label = 38;
   109771       break;
   109772     } else {
   109773       $edges_8 = $edges_7252;
   109774       label = 42;
   109775       break;
   109776     }
   109777    case 38:
   109778     $tobool220 = ($edges_7252 | 0) == 0;
   109779     if ($tobool220) {
   109780       label = 40;
   109781       break;
   109782     } else {
   109783       label = 39;
   109784       break;
   109785     }
   109786    case 39:
   109787     $60 = $edges_7252;
   109788     $add222 = $n_edges_6253 << 2;
   109789     $mul223 = $add222 + 516 | 0;
   109790     $call224 = _grealloc($60, $mul223) | 0;
   109791     $call224_sink = $call224;
   109792     label = 41;
   109793     break;
   109794    case 40:
   109795     $add226 = $n_edges_6253 << 2;
   109796     $mul227 = $add226 + 516 | 0;
   109797     $call228 = _gmalloc($mul227) | 0;
   109798     $call224_sink = $call228;
   109799     label = 41;
   109800     break;
   109801    case 41:
   109802     $61 = $call224_sink;
   109803     $edges_8 = $61;
   109804     label = 42;
   109805     break;
   109806    case 42:
   109807     $inc233 = $k_2254 + 1 | 0;
   109808     $62 = HEAP32[$list188 >> 2] | 0;
   109809     $arrayidx211 = $62 + ($inc233 << 2) | 0;
   109810     $63 = HEAP32[$arrayidx211 >> 2] | 0;
   109811     $tobool212 = ($63 | 0) == 0;
   109812     if ($tobool212) {
   109813       $n_edges_7 = $inc214;
   109814       $edges_9 = $edges_8;
   109815       label = 43;
   109816       break;
   109817     } else {
   109818       $edges_7252 = $edges_8;
   109819       $n_edges_6253 = $inc214;
   109820       $k_2254 = $inc233;
   109821       $59 = $63;
   109822       label = 37;
   109823       break;
   109824     }
   109825    case 43:
   109826     $inc237 = $j_0263 + 1 | 0;
   109827     $64 = HEAP32[$rank >> 2] | 0;
   109828     $n85 = $64 + ($i_0276 * 44 & -1) | 0;
   109829     $65 = HEAP32[$n85 >> 2] | 0;
   109830     $cmp86 = ($inc237 | 0) < ($65 | 0);
   109831     if ($cmp86) {
   109832       $edges_1261 = $edges_9;
   109833       $n_edges_1262 = $n_edges_7;
   109834       $j_0263 = $inc237;
   109835       $26 = $64;
   109836       label = 11;
   109837       break;
   109838     } else {
   109839       $edges_1_lcssa = $edges_9;
   109840       $n_edges_1_lcssa = $n_edges_7;
   109841       label = 44;
   109842       break;
   109843     }
   109844    case 44:
   109845     $inc240 = $i_0276 + 1 | 0;
   109846     $66 = HEAP16[$maxrank >> 1] | 0;
   109847     $conv9 = $66 << 16 >> 16;
   109848     $cmp10 = ($inc240 | 0) > ($conv9 | 0);
   109849     if ($cmp10) {
   109850       label = 45;
   109851       break;
   109852     } else {
   109853       $edges_0273 = $edges_1_lcssa;
   109854       $n_edges_0274 = $n_edges_1_lcssa;
   109855       $n_nodes_0275 = $add;
   109856       $i_0276 = $inc240;
   109857       label = 5;
   109858       break;
   109859     }
   109860    case 45:
   109861     $phitmp = $add << 5;
   109862     $phitmp283 = $phitmp + 11520 | 0;
   109863     $edges_0_lcssa = $edges_1_lcssa;
   109864     $n_edges_0_lcssa = $n_edges_1_lcssa;
   109865     $n_nodes_0_lcssa = $phitmp283;
   109866     $i_0_lcssa = $inc240;
   109867     label = 46;
   109868     break;
   109869    case 46:
   109870     $67 = $edges_0_lcssa;
   109871     _qsort($67 | 0, $n_edges_0_lcssa | 0, 4, 576);
   109872     $call245 = _zmalloc($n_nodes_0_lcssa) | 0;
   109873     $68 = $call245;
   109874     $boxes = $call + 84 | 0;
   109875     $69 = $boxes;
   109876     HEAP32[$69 >> 2] = $68;
   109877     $mul246 = $i_0_lcssa << 5;
   109878     $call247 = _zmalloc($mul246) | 0;
   109879     $70 = $call247;
   109880     $Rank_box = $sd + 16 | 0;
   109881     HEAP32[$Rank_box >> 2] = $70;
   109882     $cmp248 = ($and | 0) == 2;
   109883     if ($cmp248) {
   109884       label = 49;
   109885       break;
   109886     } else {
   109887       label = 47;
   109888       break;
   109889     }
   109890    case 47:
   109891     $cmp271231 = ($n_edges_0_lcssa | 0) > 0;
   109892     if ($cmp271231) {
   109893       label = 48;
   109894       break;
   109895     } else {
   109896       label = 88;
   109897       break;
   109898     }
   109899    case 48:
   109900     $rank424 = $g + 224 | 0;
   109901     $71 = $edges_0_lcssa;
   109902     $72 = $g;
   109903     $rank450 = $g + 224 | 0;
   109904     $rank463 = $g + 224 | 0;
   109905     $73 = $fwdedgeb | 0;
   109906     $tail345 = $fwdedgeb + 16 | 0;
   109907     $head347 = $fwdedgeb + 12 | 0;
   109908     $tail_port349 = $fwdedgeb + 32 | 0;
   109909     $74 = $tail_port349;
   109910     $head_port353 = $fwdedgeb + 72 | 0;
   109911     $75 = $head_port353;
   109912     $edge_type357 = $fwdedgeb + 128 | 0;
   109913     $to_orig359 = $fwdedgeb + 132 | 0;
   109914     $76 = $fwdedgea | 0;
   109915     $tail = $fwdedgea + 16 | 0;
   109916     $head295 = $fwdedgea + 12 | 0;
   109917     $tail_port297 = $fwdedgea + 32 | 0;
   109918     $77 = $tail_port297;
   109919     $head_port301 = $fwdedgea + 72 | 0;
   109920     $78 = $head_port301;
   109921     $edge_type305 = $fwdedgea + 128 | 0;
   109922     $to_orig = $fwdedgea + 132 | 0;
   109923     $i_1232 = 0;
   109924     label = 54;
   109925     break;
   109926    case 49:
   109927     $nlist = $g + 220 | 0;
   109928     $n_0233 = HEAP32[$nlist >> 2] | 0;
   109929     $tobool253234 = ($n_0233 | 0) == 0;
   109930     if ($tobool253234) {
   109931       label = 47;
   109932       break;
   109933     } else {
   109934       $n_0235 = $n_0233;
   109935       label = 50;
   109936       break;
   109937     }
   109938    case 50:
   109939     $node_type256 = $n_0235 + 162 | 0;
   109940     $79 = HEAP8[$node_type256] | 0;
   109941     $cmp258 = $79 << 24 >> 24 == 1;
   109942     if ($cmp258) {
   109943       label = 51;
   109944       break;
   109945     } else {
   109946       label = 53;
   109947       break;
   109948     }
   109949    case 51:
   109950     $label262 = $n_0235 + 120 | 0;
   109951     $80 = HEAP32[$label262 >> 2] | 0;
   109952     $tobool263 = ($80 | 0) == 0;
   109953     if ($tobool263) {
   109954       label = 53;
   109955       break;
   109956     } else {
   109957       label = 52;
   109958       break;
   109959     }
   109960    case 52:
   109961     _place_vnlabel($n_0235);
   109962     label = 53;
   109963     break;
   109964    case 53:
   109965     $next = $n_0235 + 168 | 0;
   109966     $n_0 = HEAP32[$next >> 2] | 0;
   109967     $tobool253 = ($n_0 | 0) == 0;
   109968     if ($tobool253) {
   109969       label = 47;
   109970       break;
   109971     } else {
   109972       $n_0235 = $n_0;
   109973       label = 50;
   109974       break;
   109975     }
   109976    case 54:
   109977     $arrayidx275 = $edges_0_lcssa + ($i_1232 << 2) | 0;
   109978     $81 = HEAP32[$arrayidx275 >> 2] | 0;
   109979     $call276 = _getmainedge($81) | 0;
   109980     $defined = $81 + 60 | 0;
   109981     $82 = HEAP8[$defined] | 0;
   109982     $tobool279 = $82 << 24 >> 24 == 0;
   109983     if ($tobool279) {
   109984       label = 55;
   109985       break;
   109986     } else {
   109987       $cond288 = $81;
   109988       label = 56;
   109989       break;
   109990     }
   109991    case 55:
   109992     $defined282 = $81 + 100 | 0;
   109993     $83 = HEAP8[$defined282] | 0;
   109994     $tobool284 = $83 << 24 >> 24 == 0;
   109995     $call276_ = $tobool284 ? $call276 : $81;
   109996     $cond288 = $call276_;
   109997     label = 56;
   109998     break;
   109999    case 56:
   110000     $tree_index = $cond288 + 180 | 0;
   110001     $84 = HEAP32[$tree_index >> 2] | 0;
   110002     $and290 = $84 & 32;
   110003     $tobool291 = ($and290 | 0) == 0;
   110004     if ($tobool291) {
   110005       $ea_0 = $cond288;
   110006       label = 58;
   110007       break;
   110008     } else {
   110009       label = 57;
   110010       break;
   110011     }
   110012    case 57:
   110013     $85 = $cond288 | 0;
   110014     _memcpy($76 | 0, $85 | 0, 192);
   110015     $head = $cond288 + 12 | 0;
   110016     $86 = HEAP32[$head >> 2] | 0;
   110017     HEAP32[$tail >> 2] = $86;
   110018     $tail294 = $cond288 + 16 | 0;
   110019     $87 = HEAP32[$tail294 >> 2] | 0;
   110020     HEAP32[$head295 >> 2] = $87;
   110021     $head_port299 = $cond288 + 72 | 0;
   110022     $88 = $head_port299;
   110023     HEAP32[$77 >> 2] = HEAP32[$88 >> 2] | 0;
   110024     HEAP32[$77 + 4 >> 2] = HEAP32[$88 + 4 >> 2] | 0;
   110025     HEAP32[$77 + 8 >> 2] = HEAP32[$88 + 8 >> 2] | 0;
   110026     HEAP32[$77 + 12 >> 2] = HEAP32[$88 + 12 >> 2] | 0;
   110027     HEAP32[$77 + 16 >> 2] = HEAP32[$88 + 16 >> 2] | 0;
   110028     HEAP32[$77 + 20 >> 2] = HEAP32[$88 + 20 >> 2] | 0;
   110029     HEAP32[$77 + 24 >> 2] = HEAP32[$88 + 24 >> 2] | 0;
   110030     HEAP32[$77 + 28 >> 2] = HEAP32[$88 + 28 >> 2] | 0;
   110031     HEAP32[$77 + 32 >> 2] = HEAP32[$88 + 32 >> 2] | 0;
   110032     HEAP32[$77 + 36 >> 2] = HEAP32[$88 + 36 >> 2] | 0;
   110033     $tail_port303 = $cond288 + 32 | 0;
   110034     $89 = $tail_port303;
   110035     HEAP32[$78 >> 2] = HEAP32[$89 >> 2] | 0;
   110036     HEAP32[$78 + 4 >> 2] = HEAP32[$89 + 4 >> 2] | 0;
   110037     HEAP32[$78 + 8 >> 2] = HEAP32[$89 + 8 >> 2] | 0;
   110038     HEAP32[$78 + 12 >> 2] = HEAP32[$89 + 12 >> 2] | 0;
   110039     HEAP32[$78 + 16 >> 2] = HEAP32[$89 + 16 >> 2] | 0;
   110040     HEAP32[$78 + 20 >> 2] = HEAP32[$89 + 20 >> 2] | 0;
   110041     HEAP32[$78 + 24 >> 2] = HEAP32[$89 + 24 >> 2] | 0;
   110042     HEAP32[$78 + 28 >> 2] = HEAP32[$89 + 28 >> 2] | 0;
   110043     HEAP32[$78 + 32 >> 2] = HEAP32[$89 + 32 >> 2] | 0;
   110044     HEAP32[$78 + 36 >> 2] = HEAP32[$89 + 36 >> 2] | 0;
   110045     HEAP8[$edge_type305] = 1;
   110046     HEAP32[$to_orig >> 2] = $cond288;
   110047     $ea_0 = $fwdedgea;
   110048     label = 58;
   110049     break;
   110050    case 58:
   110051     $head_port370 = $ea_0 + 72 | 0;
   110052     $label384 = $81 + 112 | 0;
   110053     $i_2223 = $i_1232 + 1 | 0;
   110054     $cmp309224 = ($i_2223 | 0) < ($n_edges_0_lcssa | 0);
   110055     if ($cmp309224) {
   110056       label = 59;
   110057       break;
   110058     } else {
   110059       $cnt_0_lcssa = 1;
   110060       $i_2_lcssa = $i_2223;
   110061       label = 72;
   110062       break;
   110063     }
   110064    case 59:
   110065     $adjacent = $81 + 129 | 0;
   110066     $tail_port362 = $ea_0 + 32 | 0;
   110067     $tree_index378 = $81 + 180 | 0;
   110068     $cnt_0225 = 1;
   110069     $i_2227 = $i_2223;
   110070     label = 60;
   110071     break;
   110072    case 60:
   110073     $arrayidx312 = $edges_0_lcssa + ($i_2227 << 2) | 0;
   110074     $90 = HEAP32[$arrayidx312 >> 2] | 0;
   110075     $call313 = _getmainedge($90) | 0;
   110076     $cmp314 = ($call276 | 0) == ($call313 | 0);
   110077     if ($cmp314) {
   110078       label = 61;
   110079       break;
   110080     } else {
   110081       $cnt_0_lcssa = $cnt_0225;
   110082       $i_2_lcssa = $i_2227;
   110083       label = 72;
   110084       break;
   110085     }
   110086    case 61:
   110087     $91 = HEAP8[$adjacent] | 0;
   110088     $tobool319 = $91 << 24 >> 24 == 0;
   110089     if ($tobool319) {
   110090       label = 62;
   110091       break;
   110092     } else {
   110093       label = 71;
   110094       break;
   110095     }
   110096    case 62:
   110097     $defined324 = $90 + 60 | 0;
   110098     $92 = HEAP8[$defined324] | 0;
   110099     $tobool326 = $92 << 24 >> 24 == 0;
   110100     if ($tobool326) {
   110101       label = 63;
   110102       break;
   110103     } else {
   110104       $cond336 = $90;
   110105       label = 64;
   110106       break;
   110107     }
   110108    case 63:
   110109     $defined330 = $90 + 100 | 0;
   110110     $93 = HEAP8[$defined330] | 0;
   110111     $tobool332 = $93 << 24 >> 24 == 0;
   110112     $call313_ = $tobool332 ? $call313 : $90;
   110113     $cond336 = $call313_;
   110114     label = 64;
   110115     break;
   110116    case 64:
   110117     $tree_index338 = $cond336 + 180 | 0;
   110118     $94 = HEAP32[$tree_index338 >> 2] | 0;
   110119     $and339 = $94 & 32;
   110120     $tobool340 = ($and339 | 0) == 0;
   110121     if ($tobool340) {
   110122       $eb_0 = $cond336;
   110123       label = 66;
   110124       break;
   110125     } else {
   110126       label = 65;
   110127       break;
   110128     }
   110129    case 65:
   110130     $95 = $cond336 | 0;
   110131     _memcpy($73 | 0, $95 | 0, 192);
   110132     $head344 = $cond336 + 12 | 0;
   110133     $96 = HEAP32[$head344 >> 2] | 0;
   110134     HEAP32[$tail345 >> 2] = $96;
   110135     $tail346 = $cond336 + 16 | 0;
   110136     $97 = HEAP32[$tail346 >> 2] | 0;
   110137     HEAP32[$head347 >> 2] = $97;
   110138     $head_port351 = $cond336 + 72 | 0;
   110139     $98 = $head_port351;
   110140     HEAP32[$74 >> 2] = HEAP32[$98 >> 2] | 0;
   110141     HEAP32[$74 + 4 >> 2] = HEAP32[$98 + 4 >> 2] | 0;
   110142     HEAP32[$74 + 8 >> 2] = HEAP32[$98 + 8 >> 2] | 0;
   110143     HEAP32[$74 + 12 >> 2] = HEAP32[$98 + 12 >> 2] | 0;
   110144     HEAP32[$74 + 16 >> 2] = HEAP32[$98 + 16 >> 2] | 0;
   110145     HEAP32[$74 + 20 >> 2] = HEAP32[$98 + 20 >> 2] | 0;
   110146     HEAP32[$74 + 24 >> 2] = HEAP32[$98 + 24 >> 2] | 0;
   110147     HEAP32[$74 + 28 >> 2] = HEAP32[$98 + 28 >> 2] | 0;
   110148     HEAP32[$74 + 32 >> 2] = HEAP32[$98 + 32 >> 2] | 0;
   110149     HEAP32[$74 + 36 >> 2] = HEAP32[$98 + 36 >> 2] | 0;
   110150     $tail_port355 = $cond336 + 32 | 0;
   110151     $99 = $tail_port355;
   110152     HEAP32[$75 >> 2] = HEAP32[$99 >> 2] | 0;
   110153     HEAP32[$75 + 4 >> 2] = HEAP32[$99 + 4 >> 2] | 0;
   110154     HEAP32[$75 + 8 >> 2] = HEAP32[$99 + 8 >> 2] | 0;
   110155     HEAP32[$75 + 12 >> 2] = HEAP32[$99 + 12 >> 2] | 0;
   110156     HEAP32[$75 + 16 >> 2] = HEAP32[$99 + 16 >> 2] | 0;
   110157     HEAP32[$75 + 20 >> 2] = HEAP32[$99 + 20 >> 2] | 0;
   110158     HEAP32[$75 + 24 >> 2] = HEAP32[$99 + 24 >> 2] | 0;
   110159     HEAP32[$75 + 28 >> 2] = HEAP32[$99 + 28 >> 2] | 0;
   110160     HEAP32[$75 + 32 >> 2] = HEAP32[$99 + 32 >> 2] | 0;
   110161     HEAP32[$75 + 36 >> 2] = HEAP32[$99 + 36 >> 2] | 0;
   110162     HEAP8[$edge_type357] = 1;
   110163     HEAP32[$to_orig359 >> 2] = $cond336;
   110164     $eb_0 = $fwdedgeb;
   110165     label = 66;
   110166     break;
   110167    case 66:
   110168     $tail_port364 = $eb_0 + 32 | 0;
   110169     $call365 = _portcmp($tail_port362, $tail_port364) | 0;
   110170     $tobool366 = ($call365 | 0) == 0;
   110171     if ($tobool366) {
   110172       label = 67;
   110173       break;
   110174     } else {
   110175       $cnt_0_lcssa = $cnt_0225;
   110176       $i_2_lcssa = $i_2227;
   110177       label = 72;
   110178       break;
   110179     }
   110180    case 67:
   110181     $head_port372 = $eb_0 + 72 | 0;
   110182     $call373 = _portcmp($head_port370, $head_port372) | 0;
   110183     $tobool374 = ($call373 | 0) == 0;
   110184     if ($tobool374) {
   110185       label = 68;
   110186       break;
   110187     } else {
   110188       $cnt_0_lcssa = $cnt_0225;
   110189       $i_2_lcssa = $i_2227;
   110190       label = 72;
   110191       break;
   110192     }
   110193    case 68:
   110194     $100 = HEAP32[$tree_index378 >> 2] | 0;
   110195     $and379 = $100 & 15;
   110196     $cmp380 = ($and379 | 0) == 2;
   110197     if ($cmp380) {
   110198       label = 69;
   110199       break;
   110200     } else {
   110201       label = 70;
   110202       break;
   110203     }
   110204    case 69:
   110205     $101 = HEAP32[$label384 >> 2] | 0;
   110206     $label386 = $90 + 112 | 0;
   110207     $102 = HEAP32[$label386 >> 2] | 0;
   110208     $cmp387 = ($101 | 0) == ($102 | 0);
   110209     if ($cmp387) {
   110210       label = 70;
   110211       break;
   110212     } else {
   110213       $cnt_0_lcssa = $cnt_0225;
   110214       $i_2_lcssa = $i_2227;
   110215       label = 72;
   110216       break;
   110217     }
   110218    case 70:
   110219     $103 = HEAP32[$arrayidx312 >> 2] | 0;
   110220     $tree_index393 = $103 + 180 | 0;
   110221     $104 = HEAP32[$tree_index393 >> 2] | 0;
   110222     $and394 = $104 & 64;
   110223     $tobool395 = ($and394 | 0) == 0;
   110224     if ($tobool395) {
   110225       label = 71;
   110226       break;
   110227     } else {
   110228       $cnt_0_lcssa = $cnt_0225;
   110229       $i_2_lcssa = $i_2227;
   110230       label = 72;
   110231       break;
   110232     }
   110233    case 71:
   110234     $inc399 = $cnt_0225 + 1 | 0;
   110235     $i_2 = $i_2227 + 1 | 0;
   110236     $cmp309 = ($i_2 | 0) < ($n_edges_0_lcssa | 0);
   110237     if ($cmp309) {
   110238       $cnt_0225 = $inc399;
   110239       $i_2227 = $i_2;
   110240       label = 60;
   110241       break;
   110242     } else {
   110243       $cnt_0_lcssa = $inc399;
   110244       $i_2_lcssa = $i_2;
   110245       label = 72;
   110246       break;
   110247     }
   110248    case 72:
   110249     $tail402 = $81 + 16 | 0;
   110250     $105 = HEAP32[$tail402 >> 2] | 0;
   110251     $head403 = $81 + 12 | 0;
   110252     $106 = HEAP32[$head403 >> 2] | 0;
   110253     $cmp404 = ($105 | 0) == ($106 | 0);
   110254     $rank412 = $105 + 236 | 0;
   110255     $107 = HEAP32[$rank412 >> 2] | 0;
   110256     if ($cmp404) {
   110257       label = 73;
   110258       break;
   110259     } else {
   110260       label = 84;
   110261       break;
   110262     }
   110263    case 73:
   110264     $108 = HEAP16[$maxrank >> 1] | 0;
   110265     $conv415 = $108 << 16 >> 16;
   110266     $cmp416 = ($107 | 0) == ($conv415 | 0);
   110267     if ($cmp416) {
   110268       label = 74;
   110269       break;
   110270     } else {
   110271       label = 77;
   110272       break;
   110273     }
   110274    case 74:
   110275     $cmp419 = ($107 | 0) > 0;
   110276     if ($cmp419) {
   110277       label = 75;
   110278       break;
   110279     } else {
   110280       label = 76;
   110281       break;
   110282     }
   110283    case 75:
   110284     $sub422 = $107 - 1 | 0;
   110285     $109 = HEAP32[$rank424 >> 2] | 0;
   110286     $v426 = $109 + ($sub422 * 44 & -1) + 4 | 0;
   110287     $110 = HEAP32[$v426 >> 2] | 0;
   110288     $111 = HEAP32[$110 >> 2] | 0;
   110289     $y = $111 + 40 | 0;
   110290     $112 = +HEAPF64[$y >> 3];
   110291     $y432 = $105 + 40 | 0;
   110292     $113 = +HEAPF64[$y432 >> 3];
   110293     $sub433 = $112 - $113;
   110294     $conv434 = ~~$sub433;
   110295     $sizey_0 = $conv434;
   110296     label = 80;
   110297     break;
   110298    case 76:
   110299     $ht = $105 + 96 | 0;
   110300     $114 = +HEAPF64[$ht >> 3];
   110301     $conv436 = ~~$114;
   110302     $sizey_0 = $conv436;
   110303     label = 80;
   110304     break;
   110305    case 77:
   110306     $115 = HEAP16[$minrank >> 1] | 0;
   110307     $conv441 = $115 << 16 >> 16;
   110308     $cmp442 = ($107 | 0) == ($conv441 | 0);
   110309     if ($cmp442) {
   110310       label = 78;
   110311       break;
   110312     } else {
   110313       label = 79;
   110314       break;
   110315     }
   110316    case 78:
   110317     $y447 = $105 + 40 | 0;
   110318     $116 = +HEAPF64[$y447 >> 3];
   110319     $add448 = $107 + 1 | 0;
   110320     $117 = HEAP32[$rank450 >> 2] | 0;
   110321     $v452 = $117 + ($add448 * 44 & -1) + 4 | 0;
   110322     $118 = HEAP32[$v452 >> 2] | 0;
   110323     $119 = HEAP32[$118 >> 2] | 0;
   110324     $y456 = $119 + 40 | 0;
   110325     $120 = +HEAPF64[$y456 >> 3];
   110326     $sub457 = $116 - $120;
   110327     $conv458 = ~~$sub457;
   110328     $sizey_0 = $conv458;
   110329     label = 80;
   110330     break;
   110331    case 79:
   110332     $sub461 = $107 - 1 | 0;
   110333     $121 = HEAP32[$rank463 >> 2] | 0;
   110334     $v465 = $121 + ($sub461 * 44 & -1) + 4 | 0;
   110335     $122 = HEAP32[$v465 >> 2] | 0;
   110336     $123 = HEAP32[$122 >> 2] | 0;
   110337     $y469 = $123 + 40 | 0;
   110338     $124 = +HEAPF64[$y469 >> 3];
   110339     $y472 = $105 + 40 | 0;
   110340     $125 = +HEAPF64[$y472 >> 3];
   110341     $sub473 = $124 - $125;
   110342     $conv474 = ~~$sub473;
   110343     $add479 = $107 + 1 | 0;
   110344     $v483 = $121 + ($add479 * 44 & -1) + 4 | 0;
   110345     $126 = HEAP32[$v483 >> 2] | 0;
   110346     $127 = HEAP32[$126 >> 2] | 0;
   110347     $y487 = $127 + 40 | 0;
   110348     $128 = +HEAPF64[$y487 >> 3];
   110349     $sub488 = $125 - $128;
   110350     $conv489 = ~~$sub488;
   110351     $cmp490 = ($conv474 | 0) < ($conv489 | 0);
   110352     $cond495 = $cmp490 ? $conv474 : $conv489;
   110353     $sizey_0 = $cond495;
   110354     label = 80;
   110355     break;
   110356    case 80:
   110357     $129 = HEAP32[$Multisep >> 2] | 0;
   110358     $conv499 = +($129 | 0);
   110359     $div500 = ($sizey_0 | 0) / 2 & -1;
   110360     $conv501 = +($div500 | 0);
   110361     _makeSelfEdge($2, $71, $i_1232, $cnt_0_lcssa, $conv499, $conv501, 4216);
   110362     $cmp503229 = ($cnt_0_lcssa | 0) > 0;
   110363     if ($cmp503229) {
   110364       $b_0230 = 0;
   110365       label = 81;
   110366       break;
   110367     } else {
   110368       label = 86;
   110369       break;
   110370     }
   110371    case 81:
   110372     $add506 = $b_0230 + $i_1232 | 0;
   110373     $arrayidx507 = $edges_0_lcssa + ($add506 << 2) | 0;
   110374     $130 = HEAP32[$arrayidx507 >> 2] | 0;
   110375     $label509 = $130 + 112 | 0;
   110376     $131 = HEAP32[$label509 >> 2] | 0;
   110377     $tobool510 = ($131 | 0) == 0;
   110378     if ($tobool510) {
   110379       label = 83;
   110380       break;
   110381     } else {
   110382       label = 82;
   110383       break;
   110384     }
   110385    case 82:
   110386     _updateBB($72, $131);
   110387     label = 83;
   110388     break;
   110389    case 83:
   110390     $inc516 = $b_0230 + 1 | 0;
   110391     $cmp503 = ($inc516 | 0) < ($cnt_0_lcssa | 0);
   110392     if ($cmp503) {
   110393       $b_0230 = $inc516;
   110394       label = 81;
   110395       break;
   110396     } else {
   110397       label = 86;
   110398       break;
   110399     }
   110400    case 84:
   110401     $rank524 = $106 + 236 | 0;
   110402     $132 = HEAP32[$rank524 >> 2] | 0;
   110403     $cmp525 = ($107 | 0) == ($132 | 0);
   110404     if ($cmp525) {
   110405       label = 85;
   110406       break;
   110407     } else {
   110408       label = 87;
   110409       break;
   110410     }
   110411    case 85:
   110412     _make_flat_edge($sd, $2, $edges_0_lcssa, $i_1232, $cnt_0_lcssa, $and);
   110413     label = 86;
   110414     break;
   110415    case 86:
   110416     $cmp271 = ($i_2_lcssa | 0) < ($n_edges_0_lcssa | 0);
   110417     if ($cmp271) {
   110418       $i_1232 = $i_2_lcssa;
   110419       label = 54;
   110420       break;
   110421     } else {
   110422       label = 88;
   110423       break;
   110424     }
   110425    case 87:
   110426     _make_regular_edge($sd, $2, $edges_0_lcssa, $i_1232, $cnt_0_lcssa, $and);
   110427     label = 86;
   110428     break;
   110429    case 88:
   110430     $nlist533 = $g + 220 | 0;
   110431     $n_1220 = HEAP32[$nlist533 >> 2] | 0;
   110432     $tobool535221 = ($n_1220 | 0) == 0;
   110433     if ($tobool535221) {
   110434       label = 94;
   110435       break;
   110436     } else {
   110437       label = 89;
   110438       break;
   110439     }
   110440    case 89:
   110441     $133 = $g;
   110442     $n_1222 = $n_1220;
   110443     label = 90;
   110444     break;
   110445    case 90:
   110446     $node_type538 = $n_1222 + 162 | 0;
   110447     $134 = HEAP8[$node_type538] | 0;
   110448     $cmp540 = $134 << 24 >> 24 == 1;
   110449     if ($cmp540) {
   110450       label = 91;
   110451       break;
   110452     } else {
   110453       label = 93;
   110454       break;
   110455     }
   110456    case 91:
   110457     $label544 = $n_1222 + 120 | 0;
   110458     $135 = HEAP32[$label544 >> 2] | 0;
   110459     $tobool545 = ($135 | 0) == 0;
   110460     if ($tobool545) {
   110461       label = 93;
   110462       break;
   110463     } else {
   110464       label = 92;
   110465       break;
   110466     }
   110467    case 92:
   110468     _place_vnlabel($n_1222);
   110469     $136 = HEAP32[$label544 >> 2] | 0;
   110470     _updateBB($133, $136);
   110471     label = 93;
   110472     break;
   110473    case 93:
   110474     $next552 = $n_1222 + 168 | 0;
   110475     $n_1 = HEAP32[$next552 >> 2] | 0;
   110476     $tobool535 = ($n_1 | 0) == 0;
   110477     if ($tobool535) {
   110478       label = 94;
   110479       break;
   110480     } else {
   110481       $n_1222 = $n_1;
   110482       label = 90;
   110483       break;
   110484     }
   110485    case 94:
   110486     $tobool554 = ($normalize | 0) == 0;
   110487     if ($tobool554) {
   110488       label = 96;
   110489       break;
   110490     } else {
   110491       label = 95;
   110492       break;
   110493     }
   110494    case 95:
   110495     _edge_normalize($g);
   110496     label = 96;
   110497     break;
   110498    case 96:
   110499     $137 = HEAP32[41936] | 0;
   110500     $tobool557 = ($137 | 0) != 0;
   110501     $138 = HEAP32[41900] | 0;
   110502     $tobool559 = ($138 | 0) != 0;
   110503     $or_cond = $tobool557 | $tobool559;
   110504     if ($or_cond) {
   110505       label = 97;
   110506       break;
   110507     } else {
   110508       label = 110;
   110509       break;
   110510     }
   110511    case 97:
   110512     $139 = $g;
   110513     $call561 = _agfstnode($139) | 0;
   110514     $tobool563218 = ($call561 | 0) == 0;
   110515     if ($tobool563218) {
   110516       label = 110;
   110517       break;
   110518     } else {
   110519       label = 98;
   110520       break;
   110521     }
   110522    case 98:
   110523     $140 = $g;
   110524     $141 = $g;
   110525     $n_2_in219 = $call561;
   110526     label = 99;
   110527     break;
   110528    case 99:
   110529     $142 = HEAP32[41936] | 0;
   110530     $tobool565 = ($142 | 0) == 0;
   110531     if ($tobool565) {
   110532       label = 104;
   110533       break;
   110534     } else {
   110535       label = 100;
   110536       break;
   110537     }
   110538    case 100:
   110539     $call567 = _agfstin($139, $n_2_in219) | 0;
   110540     $tobool569214 = ($call567 | 0) == 0;
   110541     if ($tobool569214) {
   110542       label = 104;
   110543       break;
   110544     } else {
   110545       $e_0_in215 = $call567;
   110546       label = 101;
   110547       break;
   110548     }
   110549    case 101:
   110550     $head_label = $e_0_in215 + 116 | 0;
   110551     $143 = HEAP32[$head_label >> 2] | 0;
   110552     $tobool572 = ($143 | 0) == 0;
   110553     if ($tobool572) {
   110554       label = 103;
   110555       break;
   110556     } else {
   110557       label = 102;
   110558       break;
   110559     }
   110560    case 102:
   110561     $144 = $e_0_in215;
   110562     _place_portlabel($144, 1);
   110563     $145 = HEAP32[$head_label >> 2] | 0;
   110564     $146 = $145;
   110565     _updateBB($141, $146);
   110566     label = 103;
   110567     break;
   110568    case 103:
   110569     $call578 = _agnxtin($139, $e_0_in215) | 0;
   110570     $tobool569 = ($call578 | 0) == 0;
   110571     if ($tobool569) {
   110572       label = 104;
   110573       break;
   110574     } else {
   110575       $e_0_in215 = $call578;
   110576       label = 101;
   110577       break;
   110578     }
   110579    case 104:
   110580     $147 = HEAP32[41900] | 0;
   110581     $tobool581 = ($147 | 0) == 0;
   110582     if ($tobool581) {
   110583       label = 109;
   110584       break;
   110585     } else {
   110586       label = 105;
   110587       break;
   110588     }
   110589    case 105:
   110590     $call583 = _agfstout($139, $n_2_in219) | 0;
   110591     $tobool585216 = ($call583 | 0) == 0;
   110592     if ($tobool585216) {
   110593       label = 109;
   110594       break;
   110595     } else {
   110596       $e_1_in217 = $call583;
   110597       label = 106;
   110598       break;
   110599     }
   110600    case 106:
   110601     $tail_label = $e_1_in217 + 120 | 0;
   110602     $148 = HEAP32[$tail_label >> 2] | 0;
   110603     $tobool588 = ($148 | 0) == 0;
   110604     if ($tobool588) {
   110605       label = 108;
   110606       break;
   110607     } else {
   110608       label = 107;
   110609       break;
   110610     }
   110611    case 107:
   110612     $149 = $e_1_in217;
   110613     _place_portlabel($149, 0);
   110614     $150 = HEAP32[$tail_label >> 2] | 0;
   110615     $151 = $150;
   110616     _updateBB($140, $151);
   110617     label = 108;
   110618     break;
   110619    case 108:
   110620     $call594 = _agnxtout($139, $e_1_in217) | 0;
   110621     $tobool585 = ($call594 | 0) == 0;
   110622     if ($tobool585) {
   110623       label = 109;
   110624       break;
   110625     } else {
   110626       $e_1_in217 = $call594;
   110627       label = 106;
   110628       break;
   110629     }
   110630    case 109:
   110631     $call598 = _agnxtnode($139, $n_2_in219) | 0;
   110632     $tobool563 = ($call598 | 0) == 0;
   110633     if ($tobool563) {
   110634       label = 110;
   110635       break;
   110636     } else {
   110637       $n_2_in219 = $call598;
   110638       label = 99;
   110639       break;
   110640     }
   110641    case 110:
   110642     _free($67);
   110643     $152 = HEAP32[$69 >> 2] | 0;
   110644     $153 = $152;
   110645     _free($153);
   110646     _free($call);
   110647     $154 = HEAP32[$Rank_box >> 2] | 0;
   110648     $155 = $154;
   110649     _free($155);
   110650     _routesplinesterm();
   110651     HEAP32[41356] = 1;
   110652     label = 111;
   110653     break;
   110654    case 111:
   110655     STACKTOP = __stackBase__;
   110656     return;
   110657   }
   110658 }
   110659 function _place_vnlabel($n) {
   110660   $n = $n | 0;
   110661   var $size = 0, $0 = 0, $cmp = 0, $list = 0, $1 = 0, $e_0_in = 0, $e_0 = 0, $edge_type = 0, $2 = 0, $cmp3 = 0, $to_orig = 0, $label = 0, $3 = 0, $graph = 0, $4 = 0, $rankdir = 0, $5 = 0, $and9 = 0, $tobool = 0, $dimen_sroa_1_8__idx5 = 0, $dimen_sroa_0_0__idx = 0, $cond_in = 0, $cond = 0.0, $x11 = 0, $6 = 0.0, $div = 0.0, $add = 0.0, $x14 = 0, $y17 = 0, $7 = 0.0, $8 = 0, $y21 = 0, $9 = 0, $set = 0, label = 0;
   110662   label = 2;
   110663   while (1) switch (label | 0) {
   110664    case 2:
   110665     $size = $n + 180 | 0;
   110666     $0 = HEAP32[$size >> 2] | 0;
   110667     $cmp = ($0 | 0) == 0;
   110668     if ($cmp) {
   110669       label = 6;
   110670       break;
   110671     } else {
   110672       label = 3;
   110673       break;
   110674     }
   110675    case 3:
   110676     $list = $n + 184 | 0;
   110677     $1 = HEAP32[$list >> 2] | 0;
   110678     $e_0_in = $1;
   110679     label = 4;
   110680     break;
   110681    case 4:
   110682     $e_0 = HEAP32[$e_0_in >> 2] | 0;
   110683     $edge_type = $e_0 + 128 | 0;
   110684     $2 = HEAP8[$edge_type] | 0;
   110685     $cmp3 = $2 << 24 >> 24 == 0;
   110686     $to_orig = $e_0 + 132 | 0;
   110687     if ($cmp3) {
   110688       label = 5;
   110689       break;
   110690     } else {
   110691       $e_0_in = $to_orig;
   110692       label = 4;
   110693       break;
   110694     }
   110695    case 5:
   110696     $label = $e_0 + 112 | 0;
   110697     $3 = HEAP32[$label >> 2] | 0;
   110698     $graph = $n + 20 | 0;
   110699     $4 = HEAP32[$graph >> 2] | 0;
   110700     $rankdir = $4 + 156 | 0;
   110701     $5 = HEAP32[$rankdir >> 2] | 0;
   110702     $and9 = $5 & 1;
   110703     $tobool = ($and9 | 0) == 0;
   110704     $dimen_sroa_1_8__idx5 = $3 + 32 | 0;
   110705     $dimen_sroa_0_0__idx = $3 + 24 | 0;
   110706     $cond_in = $tobool ? $dimen_sroa_0_0__idx : $dimen_sroa_1_8__idx5;
   110707     $cond = +HEAPF64[$cond_in >> 3];
   110708     $x11 = $n + 32 | 0;
   110709     $6 = +HEAPF64[$x11 >> 3];
   110710     $div = $cond * .5;
   110711     $add = $6 + $div;
   110712     $x14 = $3 + 56 | 0;
   110713     HEAPF64[$x14 >> 3] = $add;
   110714     $y17 = $n + 40 | 0;
   110715     $7 = +HEAPF64[$y17 >> 3];
   110716     $8 = HEAP32[$label >> 2] | 0;
   110717     $y21 = $8 + 64 | 0;
   110718     HEAPF64[$y21 >> 3] = $7;
   110719     $9 = HEAP32[$label >> 2] | 0;
   110720     $set = $9 + 81 | 0;
   110721     HEAP8[$set] = 1;
   110722     label = 6;
   110723     break;
   110724    case 6:
   110725     return;
   110726   }
   110727 }
   110728 function _getmainedge($e) {
   110729   $e = $e | 0;
   110730   var $le_0 = 0, $to_virt = 0, $0 = 0, $tobool = 0, $le_1 = 0, $to_orig = 0, $1 = 0, $tobool5 = 0, label = 0;
   110731   label = 2;
   110732   while (1) switch (label | 0) {
   110733    case 2:
   110734     $le_0 = $e;
   110735     label = 3;
   110736     break;
   110737    case 3:
   110738     $to_virt = $le_0 + 188 | 0;
   110739     $0 = HEAP32[$to_virt >> 2] | 0;
   110740     $tobool = ($0 | 0) == 0;
   110741     if ($tobool) {
   110742       $le_1 = $le_0;
   110743       label = 4;
   110744       break;
   110745     } else {
   110746       $le_0 = $0;
   110747       label = 3;
   110748       break;
   110749     }
   110750    case 4:
   110751     $to_orig = $le_1 + 132 | 0;
   110752     $1 = HEAP32[$to_orig >> 2] | 0;
   110753     $tobool5 = ($1 | 0) == 0;
   110754     if ($tobool5) {
   110755       label = 5;
   110756       break;
   110757     } else {
   110758       $le_1 = $1;
   110759       label = 4;
   110760       break;
   110761     }
   110762    case 5:
   110763     return $le_1 | 0;
   110764   }
   110765   return 0;
   110766 }
   110767 function _edgecmp($ptr0, $ptr1) {
   110768   $ptr0 = $ptr0 | 0;
   110769   $ptr1 = $ptr1 | 0;
   110770   var $fwdedgea = 0, $fwdedgeb = 0, $0 = 0, $1 = 0, $tree_index = 0, $2 = 0, $and = 0, $tree_index2 = 0, $3 = 0, $and3 = 0, $cmp = 0, $sub = 0, $call = 0, $call4 = 0, $tail = 0, $4 = 0, $rank = 0, $5 = 0, $head = 0, $6 = 0, $rank7 = 0, $7 = 0, $sub8 = 0, $tail9 = 0, $8 = 0, $rank11 = 0, $9 = 0, $head12 = 0, $10 = 0, $rank14 = 0, $11 = 0, $sub15 = 0, $cmp18 = 0, $sub22 = 0, $cond = 0, $cmp24 = 0, $sub30 = 0, $cond32 = 0, $cmp33 = 0, $sub36 = 0, $x = 0, $12 = 0.0, $x43 = 0, $13 = 0.0, $sub44 = 0.0, $x48 = 0, $14 = 0.0, $x52 = 0, $15 = 0.0, $sub53 = 0.0, $conv54 = 0, $cmp55 = 0, $sub61 = 0, $cond63 = 0, $conv64 = 0, $cmp65 = 0, $sub71 = 0, $cond73 = 0, $cmp74 = 0, $sub77 = 0, $id = 0, $16 = 0, $id79 = 0, $17 = 0, $cmp80 = 0, $sub85 = 0, $defined = 0, $18 = 0, $tobool = 0, $defined90 = 0, $19 = 0, $tobool92 = 0, $call_ = 0, $cond96 = 0, $tree_index98 = 0, $20 = 0, $and99 = 0, $tobool100 = 0, $21 = 0, $22 = 0, $head102 = 0, $23 = 0, $tail103 = 0, $tail104 = 0, $24 = 0, $head105 = 0, $tail_port107 = 0, $head_port109 = 0, $25 = 0, $26 = 0, $head_port111 = 0, $tail_port113 = 0, $27 = 0, $28 = 0, $edge_type = 0, $to_orig = 0, $ea_0 = 0, $defined119 = 0, $29 = 0, $tobool121 = 0, $defined125 = 0, $30 = 0, $tobool127 = 0, $call4_ = 0, $cond131 = 0, $tree_index133 = 0, $31 = 0, $and134 = 0, $tobool135 = 0, $32 = 0, $33 = 0, $head138 = 0, $34 = 0, $tail139 = 0, $tail140 = 0, $35 = 0, $head141 = 0, $tail_port143 = 0, $head_port145 = 0, $36 = 0, $37 = 0, $head_port147 = 0, $tail_port149 = 0, $38 = 0, $39 = 0, $edge_type151 = 0, $to_orig153 = 0, $eb_0 = 0, $tail_port156 = 0, $tail_port158 = 0, $call159 = 0, $tobool160 = 0, $head_port164 = 0, $head_port166 = 0, $call167 = 0, $tobool168 = 0, $40 = 0, $and173 = 0, $41 = 0, $and176 = 0, $cmp177 = 0, $sub180 = 0, $id195 = 0, $42 = 0, $id196 = 0, $43 = 0, $sub197 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   110771   __stackBase__ = STACKTOP;
   110772   STACKTOP = STACKTOP + 384 | 0;
   110773   label = 2;
   110774   while (1) switch (label | 0) {
   110775    case 2:
   110776     $fwdedgea = __stackBase__ | 0;
   110777     $fwdedgeb = __stackBase__ + 192 | 0;
   110778     $0 = HEAP32[$ptr0 >> 2] | 0;
   110779     $1 = HEAP32[$ptr1 >> 2] | 0;
   110780     $tree_index = $0 + 180 | 0;
   110781     $2 = HEAP32[$tree_index >> 2] | 0;
   110782     $and = $2 & 15;
   110783     $tree_index2 = $1 + 180 | 0;
   110784     $3 = HEAP32[$tree_index2 >> 2] | 0;
   110785     $and3 = $3 & 15;
   110786     $cmp = ($and | 0) == ($and3 | 0);
   110787     if ($cmp) {
   110788       label = 4;
   110789       break;
   110790     } else {
   110791       label = 3;
   110792       break;
   110793     }
   110794    case 3:
   110795     $sub = $and3 - $and | 0;
   110796     $retval_0 = $sub;
   110797     label = 23;
   110798     break;
   110799    case 4:
   110800     $call = _getmainedge($0) | 0;
   110801     $call4 = _getmainedge($1) | 0;
   110802     $tail = $call + 16 | 0;
   110803     $4 = HEAP32[$tail >> 2] | 0;
   110804     $rank = $4 + 236 | 0;
   110805     $5 = HEAP32[$rank >> 2] | 0;
   110806     $head = $call + 12 | 0;
   110807     $6 = HEAP32[$head >> 2] | 0;
   110808     $rank7 = $6 + 236 | 0;
   110809     $7 = HEAP32[$rank7 >> 2] | 0;
   110810     $sub8 = $5 - $7 | 0;
   110811     $tail9 = $call4 + 16 | 0;
   110812     $8 = HEAP32[$tail9 >> 2] | 0;
   110813     $rank11 = $8 + 236 | 0;
   110814     $9 = HEAP32[$rank11 >> 2] | 0;
   110815     $head12 = $call4 + 12 | 0;
   110816     $10 = HEAP32[$head12 >> 2] | 0;
   110817     $rank14 = $10 + 236 | 0;
   110818     $11 = HEAP32[$rank14 >> 2] | 0;
   110819     $sub15 = $9 - $11 | 0;
   110820     $cmp18 = ($sub8 | 0) > -1;
   110821     $sub22 = -$sub8 | 0;
   110822     $cond = $cmp18 ? $sub8 : $sub22;
   110823     $cmp24 = ($sub15 | 0) > -1;
   110824     $sub30 = -$sub15 | 0;
   110825     $cond32 = $cmp24 ? $sub15 : $sub30;
   110826     $cmp33 = ($cond | 0) == ($cond32 | 0);
   110827     if ($cmp33) {
   110828       label = 6;
   110829       break;
   110830     } else {
   110831       label = 5;
   110832       break;
   110833     }
   110834    case 5:
   110835     $sub36 = $cond - $cond32 | 0;
   110836     $retval_0 = $sub36;
   110837     label = 23;
   110838     break;
   110839    case 6:
   110840     $x = $4 + 32 | 0;
   110841     $12 = +HEAPF64[$x >> 3];
   110842     $x43 = $6 + 32 | 0;
   110843     $13 = +HEAPF64[$x43 >> 3];
   110844     $sub44 = $12 - $13;
   110845     $x48 = $8 + 32 | 0;
   110846     $14 = +HEAPF64[$x48 >> 3];
   110847     $x52 = $10 + 32 | 0;
   110848     $15 = +HEAPF64[$x52 >> 3];
   110849     $sub53 = $14 - $15;
   110850     $conv54 = ~~$sub44;
   110851     $cmp55 = ($conv54 | 0) > -1;
   110852     $sub61 = -$conv54 | 0;
   110853     $cond63 = $cmp55 ? $conv54 : $sub61;
   110854     $conv64 = ~~$sub53;
   110855     $cmp65 = ($conv64 | 0) > -1;
   110856     $sub71 = -$conv64 | 0;
   110857     $cond73 = $cmp65 ? $conv64 : $sub71;
   110858     $cmp74 = ($cond63 | 0) == ($cond73 | 0);
   110859     if ($cmp74) {
   110860       label = 8;
   110861       break;
   110862     } else {
   110863       label = 7;
   110864       break;
   110865     }
   110866    case 7:
   110867     $sub77 = $cond63 - $cond73 | 0;
   110868     $retval_0 = $sub77;
   110869     label = 23;
   110870     break;
   110871    case 8:
   110872     $id = $call + 20 | 0;
   110873     $16 = HEAP32[$id >> 2] | 0;
   110874     $id79 = $call4 + 20 | 0;
   110875     $17 = HEAP32[$id79 >> 2] | 0;
   110876     $cmp80 = ($16 | 0) == ($17 | 0);
   110877     if ($cmp80) {
   110878       label = 10;
   110879       break;
   110880     } else {
   110881       label = 9;
   110882       break;
   110883     }
   110884    case 9:
   110885     $sub85 = $16 - $17 | 0;
   110886     $retval_0 = $sub85;
   110887     label = 23;
   110888     break;
   110889    case 10:
   110890     $defined = $0 + 60 | 0;
   110891     $18 = HEAP8[$defined] | 0;
   110892     $tobool = $18 << 24 >> 24 == 0;
   110893     if ($tobool) {
   110894       label = 11;
   110895       break;
   110896     } else {
   110897       $cond96 = $0;
   110898       label = 12;
   110899       break;
   110900     }
   110901    case 11:
   110902     $defined90 = $0 + 100 | 0;
   110903     $19 = HEAP8[$defined90] | 0;
   110904     $tobool92 = $19 << 24 >> 24 == 0;
   110905     $call_ = $tobool92 ? $call : $0;
   110906     $cond96 = $call_;
   110907     label = 12;
   110908     break;
   110909    case 12:
   110910     $tree_index98 = $cond96 + 180 | 0;
   110911     $20 = HEAP32[$tree_index98 >> 2] | 0;
   110912     $and99 = $20 & 32;
   110913     $tobool100 = ($and99 | 0) == 0;
   110914     if ($tobool100) {
   110915       $ea_0 = $cond96;
   110916       label = 14;
   110917       break;
   110918     } else {
   110919       label = 13;
   110920       break;
   110921     }
   110922    case 13:
   110923     $21 = $fwdedgea | 0;
   110924     $22 = $cond96 | 0;
   110925     _memcpy($21 | 0, $22 | 0, 192);
   110926     $head102 = $cond96 + 12 | 0;
   110927     $23 = HEAP32[$head102 >> 2] | 0;
   110928     $tail103 = $fwdedgea + 16 | 0;
   110929     HEAP32[$tail103 >> 2] = $23;
   110930     $tail104 = $cond96 + 16 | 0;
   110931     $24 = HEAP32[$tail104 >> 2] | 0;
   110932     $head105 = $fwdedgea + 12 | 0;
   110933     HEAP32[$head105 >> 2] = $24;
   110934     $tail_port107 = $fwdedgea + 32 | 0;
   110935     $head_port109 = $cond96 + 72 | 0;
   110936     $25 = $tail_port107;
   110937     $26 = $head_port109;
   110938     HEAP32[$25 >> 2] = HEAP32[$26 >> 2] | 0;
   110939     HEAP32[$25 + 4 >> 2] = HEAP32[$26 + 4 >> 2] | 0;
   110940     HEAP32[$25 + 8 >> 2] = HEAP32[$26 + 8 >> 2] | 0;
   110941     HEAP32[$25 + 12 >> 2] = HEAP32[$26 + 12 >> 2] | 0;
   110942     HEAP32[$25 + 16 >> 2] = HEAP32[$26 + 16 >> 2] | 0;
   110943     HEAP32[$25 + 20 >> 2] = HEAP32[$26 + 20 >> 2] | 0;
   110944     HEAP32[$25 + 24 >> 2] = HEAP32[$26 + 24 >> 2] | 0;
   110945     HEAP32[$25 + 28 >> 2] = HEAP32[$26 + 28 >> 2] | 0;
   110946     HEAP32[$25 + 32 >> 2] = HEAP32[$26 + 32 >> 2] | 0;
   110947     HEAP32[$25 + 36 >> 2] = HEAP32[$26 + 36 >> 2] | 0;
   110948     $head_port111 = $fwdedgea + 72 | 0;
   110949     $tail_port113 = $cond96 + 32 | 0;
   110950     $27 = $head_port111;
   110951     $28 = $tail_port113;
   110952     HEAP32[$27 >> 2] = HEAP32[$28 >> 2] | 0;
   110953     HEAP32[$27 + 4 >> 2] = HEAP32[$28 + 4 >> 2] | 0;
   110954     HEAP32[$27 + 8 >> 2] = HEAP32[$28 + 8 >> 2] | 0;
   110955     HEAP32[$27 + 12 >> 2] = HEAP32[$28 + 12 >> 2] | 0;
   110956     HEAP32[$27 + 16 >> 2] = HEAP32[$28 + 16 >> 2] | 0;
   110957     HEAP32[$27 + 20 >> 2] = HEAP32[$28 + 20 >> 2] | 0;
   110958     HEAP32[$27 + 24 >> 2] = HEAP32[$28 + 24 >> 2] | 0;
   110959     HEAP32[$27 + 28 >> 2] = HEAP32[$28 + 28 >> 2] | 0;
   110960     HEAP32[$27 + 32 >> 2] = HEAP32[$28 + 32 >> 2] | 0;
   110961     HEAP32[$27 + 36 >> 2] = HEAP32[$28 + 36 >> 2] | 0;
   110962     $edge_type = $fwdedgea + 128 | 0;
   110963     HEAP8[$edge_type] = 1;
   110964     $to_orig = $fwdedgea + 132 | 0;
   110965     HEAP32[$to_orig >> 2] = $cond96;
   110966     $ea_0 = $fwdedgea;
   110967     label = 14;
   110968     break;
   110969    case 14:
   110970     $defined119 = $1 + 60 | 0;
   110971     $29 = HEAP8[$defined119] | 0;
   110972     $tobool121 = $29 << 24 >> 24 == 0;
   110973     if ($tobool121) {
   110974       label = 15;
   110975       break;
   110976     } else {
   110977       $cond131 = $1;
   110978       label = 16;
   110979       break;
   110980     }
   110981    case 15:
   110982     $defined125 = $1 + 100 | 0;
   110983     $30 = HEAP8[$defined125] | 0;
   110984     $tobool127 = $30 << 24 >> 24 == 0;
   110985     $call4_ = $tobool127 ? $call4 : $1;
   110986     $cond131 = $call4_;
   110987     label = 16;
   110988     break;
   110989    case 16:
   110990     $tree_index133 = $cond131 + 180 | 0;
   110991     $31 = HEAP32[$tree_index133 >> 2] | 0;
   110992     $and134 = $31 & 32;
   110993     $tobool135 = ($and134 | 0) == 0;
   110994     if ($tobool135) {
   110995       $eb_0 = $cond131;
   110996       label = 18;
   110997       break;
   110998     } else {
   110999       label = 17;
   111000       break;
   111001     }
   111002    case 17:
   111003     $32 = $fwdedgeb | 0;
   111004     $33 = $cond131 | 0;
   111005     _memcpy($32 | 0, $33 | 0, 192);
   111006     $head138 = $cond131 + 12 | 0;
   111007     $34 = HEAP32[$head138 >> 2] | 0;
   111008     $tail139 = $fwdedgeb + 16 | 0;
   111009     HEAP32[$tail139 >> 2] = $34;
   111010     $tail140 = $cond131 + 16 | 0;
   111011     $35 = HEAP32[$tail140 >> 2] | 0;
   111012     $head141 = $fwdedgeb + 12 | 0;
   111013     HEAP32[$head141 >> 2] = $35;
   111014     $tail_port143 = $fwdedgeb + 32 | 0;
   111015     $head_port145 = $cond131 + 72 | 0;
   111016     $36 = $tail_port143;
   111017     $37 = $head_port145;
   111018     HEAP32[$36 >> 2] = HEAP32[$37 >> 2] | 0;
   111019     HEAP32[$36 + 4 >> 2] = HEAP32[$37 + 4 >> 2] | 0;
   111020     HEAP32[$36 + 8 >> 2] = HEAP32[$37 + 8 >> 2] | 0;
   111021     HEAP32[$36 + 12 >> 2] = HEAP32[$37 + 12 >> 2] | 0;
   111022     HEAP32[$36 + 16 >> 2] = HEAP32[$37 + 16 >> 2] | 0;
   111023     HEAP32[$36 + 20 >> 2] = HEAP32[$37 + 20 >> 2] | 0;
   111024     HEAP32[$36 + 24 >> 2] = HEAP32[$37 + 24 >> 2] | 0;
   111025     HEAP32[$36 + 28 >> 2] = HEAP32[$37 + 28 >> 2] | 0;
   111026     HEAP32[$36 + 32 >> 2] = HEAP32[$37 + 32 >> 2] | 0;
   111027     HEAP32[$36 + 36 >> 2] = HEAP32[$37 + 36 >> 2] | 0;
   111028     $head_port147 = $fwdedgeb + 72 | 0;
   111029     $tail_port149 = $cond131 + 32 | 0;
   111030     $38 = $head_port147;
   111031     $39 = $tail_port149;
   111032     HEAP32[$38 >> 2] = HEAP32[$39 >> 2] | 0;
   111033     HEAP32[$38 + 4 >> 2] = HEAP32[$39 + 4 >> 2] | 0;
   111034     HEAP32[$38 + 8 >> 2] = HEAP32[$39 + 8 >> 2] | 0;
   111035     HEAP32[$38 + 12 >> 2] = HEAP32[$39 + 12 >> 2] | 0;
   111036     HEAP32[$38 + 16 >> 2] = HEAP32[$39 + 16 >> 2] | 0;
   111037     HEAP32[$38 + 20 >> 2] = HEAP32[$39 + 20 >> 2] | 0;
   111038     HEAP32[$38 + 24 >> 2] = HEAP32[$39 + 24 >> 2] | 0;
   111039     HEAP32[$38 + 28 >> 2] = HEAP32[$39 + 28 >> 2] | 0;
   111040     HEAP32[$38 + 32 >> 2] = HEAP32[$39 + 32 >> 2] | 0;
   111041     HEAP32[$38 + 36 >> 2] = HEAP32[$39 + 36 >> 2] | 0;
   111042     $edge_type151 = $fwdedgeb + 128 | 0;
   111043     HEAP8[$edge_type151] = 1;
   111044     $to_orig153 = $fwdedgeb + 132 | 0;
   111045     HEAP32[$to_orig153 >> 2] = $cond131;
   111046     $eb_0 = $fwdedgeb;
   111047     label = 18;
   111048     break;
   111049    case 18:
   111050     $tail_port156 = $ea_0 + 32 | 0;
   111051     $tail_port158 = $eb_0 + 32 | 0;
   111052     $call159 = _portcmp($tail_port156, $tail_port158) | 0;
   111053     $tobool160 = ($call159 | 0) == 0;
   111054     if ($tobool160) {
   111055       label = 19;
   111056       break;
   111057     } else {
   111058       $retval_0 = $call159;
   111059       label = 23;
   111060       break;
   111061     }
   111062    case 19:
   111063     $head_port164 = $ea_0 + 72 | 0;
   111064     $head_port166 = $eb_0 + 72 | 0;
   111065     $call167 = _portcmp($head_port164, $head_port166) | 0;
   111066     $tobool168 = ($call167 | 0) == 0;
   111067     if ($tobool168) {
   111068       label = 20;
   111069       break;
   111070     } else {
   111071       $retval_0 = $call167;
   111072       label = 23;
   111073       break;
   111074     }
   111075    case 20:
   111076     $40 = HEAP32[$tree_index >> 2] | 0;
   111077     $and173 = $40 & 192;
   111078     $41 = HEAP32[$tree_index2 >> 2] | 0;
   111079     $and176 = $41 & 192;
   111080     $cmp177 = ($and173 | 0) == ($and176 | 0);
   111081     if ($cmp177) {
   111082       label = 22;
   111083       break;
   111084     } else {
   111085       label = 21;
   111086       break;
   111087     }
   111088    case 21:
   111089     $sub180 = $and173 - $and176 | 0;
   111090     $retval_0 = $sub180;
   111091     label = 23;
   111092     break;
   111093    case 22:
   111094     $id195 = $0 + 20 | 0;
   111095     $42 = HEAP32[$id195 >> 2] | 0;
   111096     $id196 = $1 + 20 | 0;
   111097     $43 = HEAP32[$id196 >> 2] | 0;
   111098     $sub197 = $42 - $43 | 0;
   111099     $retval_0 = $sub197;
   111100     label = 23;
   111101     break;
   111102    case 23:
   111103     STACKTOP = __stackBase__;
   111104     return $retval_0 | 0;
   111105   }
   111106   return 0;
   111107 }
   111108 function _make_flat_edge($sp, $P, $edges, $ind, $cnt, $et) {
   111109   $sp = $sp | 0;
   111110   $P = $P | 0;
   111111   $edges = $edges | 0;
   111112   $ind = $ind | 0;
   111113   $cnt = $cnt | 0;
   111114   $et = $et | 0;
   111115   var $fwdedge = 0, $pn = 0, $tend = 0, $hend = 0, $arrayidx = 0, $0 = 0, $tree_index = 0, $1 = 0, $and = 0, $tobool = 0, $2 = 0, $3 = 0, $head = 0, $4 = 0, $tail = 0, $tail1 = 0, $5 = 0, $head2 = 0, $tail_port = 0, $head_port = 0, $6 = 0, $7 = 0, $head_port6 = 0, $tail_port8 = 0, $8 = 0, $9 = 0, $edge_type = 0, $to_orig = 0, $e_0 = 0, $10 = 0, $adjacent = 0, $11 = 0, $tobool13 = 0, $label = 0, $12 = 0, $tobool17 = 0, $cmp = 0, $tail21 = 0, $13 = 0, $head22 = 0, $14 = 0, $side = 0, $15 = 0, $side28 = 0, $16 = 0, $cmp30 = 0, $cmp32 = 0, $or_cond = 0, $cmp34 = 0, $cmp37 = 0, $or_cond117 = 0, $cmp40 = 0, $conv41 = 0, $tail43 = 0, $17 = 0, $head44 = 0, $18 = 0, $graph = 0, $19 = 0, $rank = 0, $20 = 0, $cmp46 = 0, $has_labels = 0, $21 = 0, $and51 = 0, $tobool52 = 0, $rank55 = 0, $22 = 0, $sub_pn_v = 0, $sub_pn = 0, $v = 0, $23 = 0, $24 = 0, $y = 0, $25 = 0.0, $ht1 = 0, $26 = 0, $conv63 = 0.0, $sub64 = 0.0, $y67 = 0, $27 = 0.0, $sub68 = 0.0, $ht2 = 0, $28 = 0, $conv72 = 0.0, $sub73 = 0.0, $ranksep = 0, $29 = 0, $conv76 = 0.0, $vspace_0 = 0.0, $Multisep = 0, $30 = 0, $conv78 = 0.0, $add = 0, $conv79 = 0.0, $div = 0.0, $div82 = 0.0, $cmp83124 = 0, $boxn87 = 0, $boxn130 = 0, $cmp204 = 0, $nbox = 0, $i_0125 = 0, $add85 = 0, $arrayidx86 = 0, $31 = 0, $32 = 0, $sub88 = 0, $b_sroa_0_0__idx1 = 0, $b_sroa_0_0_copyload2 = 0.0, $b_sroa_2_16__idx8 = 0, $b_sroa_2_16_copyload9 = 0.0, $b_sroa_3_24__idx12 = 0, $b_sroa_3_24_copyload13 = 0.0, $add99 = 0, $conv100 = 0.0, $mul = 0.0, $add101 = 0.0, $mul109 = 0.0, $add110 = 0.0, $33 = 0, $sub115 = 0, $x119 = 0, $34 = 0.0, $35 = 0.0, $36 = 0, $sub131 = 0, $x135 = 0, $37 = 0.0, $38 = 0.0, $add142 = 0.0, $39 = 0, $sub148 = 0, $b_sroa_0_0__idx = 0, $b_sroa_0_0_copyload = 0.0, $b_sroa_2_16__idx7 = 0, $b_sroa_2_16_copyload = 0.0, $b_sroa_3_24__idx11 = 0, $b_sroa_3_24_copyload = 0.0, $sub166 = 0.0, $40 = 0.0, $41 = 0, $cmp180118 = 0, $j_0119 = 0, $arrayidx184 = 0, $inc185 = 0, $42 = 0, $cmp180 = 0, $43 = 0, $cmp197122 = 0, $j_2123_in = 0, $j_2123 = 0, $arrayidx201 = 0, $cmp197 = 0, $call = 0, $call208 = 0, $ps_0 = 0, $44 = 0, $cmp210 = 0, $head214 = 0, $45 = 0, $46 = 0, $47 = 0, $cmp83 = 0, label = 0, __stackBase__ = 0;
   111116   __stackBase__ = STACKTOP;
   111117   STACKTOP = STACKTOP + 1592 | 0;
   111118   label = 2;
   111119   while (1) switch (label | 0) {
   111120    case 2:
   111121     $fwdedge = __stackBase__ | 0;
   111122     $pn = __stackBase__ + 192 | 0;
   111123     $tend = __stackBase__ + 200 | 0;
   111124     $hend = __stackBase__ + 896 | 0;
   111125     $arrayidx = $edges + ($ind << 2) | 0;
   111126     $0 = HEAP32[$arrayidx >> 2] | 0;
   111127     $tree_index = $0 + 180 | 0;
   111128     $1 = HEAP32[$tree_index >> 2] | 0;
   111129     $and = $1 & 32;
   111130     $tobool = ($and | 0) == 0;
   111131     if ($tobool) {
   111132       $e_0 = $0;
   111133       label = 4;
   111134       break;
   111135     } else {
   111136       label = 3;
   111137       break;
   111138     }
   111139    case 3:
   111140     $2 = $fwdedge | 0;
   111141     $3 = $0 | 0;
   111142     _memcpy($2 | 0, $3 | 0, 192);
   111143     $head = $0 + 12 | 0;
   111144     $4 = HEAP32[$head >> 2] | 0;
   111145     $tail = $fwdedge + 16 | 0;
   111146     HEAP32[$tail >> 2] = $4;
   111147     $tail1 = $0 + 16 | 0;
   111148     $5 = HEAP32[$tail1 >> 2] | 0;
   111149     $head2 = $fwdedge + 12 | 0;
   111150     HEAP32[$head2 >> 2] = $5;
   111151     $tail_port = $fwdedge + 32 | 0;
   111152     $head_port = $0 + 72 | 0;
   111153     $6 = $tail_port;
   111154     $7 = $head_port;
   111155     HEAP32[$6 >> 2] = HEAP32[$7 >> 2] | 0;
   111156     HEAP32[$6 + 4 >> 2] = HEAP32[$7 + 4 >> 2] | 0;
   111157     HEAP32[$6 + 8 >> 2] = HEAP32[$7 + 8 >> 2] | 0;
   111158     HEAP32[$6 + 12 >> 2] = HEAP32[$7 + 12 >> 2] | 0;
   111159     HEAP32[$6 + 16 >> 2] = HEAP32[$7 + 16 >> 2] | 0;
   111160     HEAP32[$6 + 20 >> 2] = HEAP32[$7 + 20 >> 2] | 0;
   111161     HEAP32[$6 + 24 >> 2] = HEAP32[$7 + 24 >> 2] | 0;
   111162     HEAP32[$6 + 28 >> 2] = HEAP32[$7 + 28 >> 2] | 0;
   111163     HEAP32[$6 + 32 >> 2] = HEAP32[$7 + 32 >> 2] | 0;
   111164     HEAP32[$6 + 36 >> 2] = HEAP32[$7 + 36 >> 2] | 0;
   111165     $head_port6 = $fwdedge + 72 | 0;
   111166     $tail_port8 = $0 + 32 | 0;
   111167     $8 = $head_port6;
   111168     $9 = $tail_port8;
   111169     HEAP32[$8 >> 2] = HEAP32[$9 >> 2] | 0;
   111170     HEAP32[$8 + 4 >> 2] = HEAP32[$9 + 4 >> 2] | 0;
   111171     HEAP32[$8 + 8 >> 2] = HEAP32[$9 + 8 >> 2] | 0;
   111172     HEAP32[$8 + 12 >> 2] = HEAP32[$9 + 12 >> 2] | 0;
   111173     HEAP32[$8 + 16 >> 2] = HEAP32[$9 + 16 >> 2] | 0;
   111174     HEAP32[$8 + 20 >> 2] = HEAP32[$9 + 20 >> 2] | 0;
   111175     HEAP32[$8 + 24 >> 2] = HEAP32[$9 + 24 >> 2] | 0;
   111176     HEAP32[$8 + 28 >> 2] = HEAP32[$9 + 28 >> 2] | 0;
   111177     HEAP32[$8 + 32 >> 2] = HEAP32[$9 + 32 >> 2] | 0;
   111178     HEAP32[$8 + 36 >> 2] = HEAP32[$9 + 36 >> 2] | 0;
   111179     $edge_type = $fwdedge + 128 | 0;
   111180     HEAP8[$edge_type] = 1;
   111181     $to_orig = $fwdedge + 132 | 0;
   111182     HEAP32[$to_orig >> 2] = $0;
   111183     $e_0 = $fwdedge;
   111184     label = 4;
   111185     break;
   111186    case 4:
   111187     $10 = HEAP32[$arrayidx >> 2] | 0;
   111188     $adjacent = $10 + 129 | 0;
   111189     $11 = HEAP8[$adjacent] | 0;
   111190     $tobool13 = $11 << 24 >> 24 == 0;
   111191     if ($tobool13) {
   111192       label = 6;
   111193       break;
   111194     } else {
   111195       label = 5;
   111196       break;
   111197     }
   111198    case 5:
   111199     _make_flat_adj_edges($edges, $ind, $cnt, $e_0, $et);
   111200     label = 27;
   111201     break;
   111202    case 6:
   111203     $label = $e_0 + 112 | 0;
   111204     $12 = HEAP32[$label >> 2] | 0;
   111205     $tobool17 = ($12 | 0) == 0;
   111206     if ($tobool17) {
   111207       label = 8;
   111208       break;
   111209     } else {
   111210       label = 7;
   111211       break;
   111212     }
   111213    case 7:
   111214     _make_flat_labeled_edge($sp, $P, $e_0, $et);
   111215     label = 27;
   111216     break;
   111217    case 8:
   111218     $cmp = ($et | 0) == 2;
   111219     if ($cmp) {
   111220       label = 9;
   111221       break;
   111222     } else {
   111223       label = 10;
   111224       break;
   111225     }
   111226    case 9:
   111227     $tail21 = $e_0 + 16 | 0;
   111228     $13 = HEAP32[$tail21 >> 2] | 0;
   111229     $head22 = $e_0 + 12 | 0;
   111230     $14 = HEAP32[$head22 >> 2] | 0;
   111231     _makeSimpleFlat($13, $14, $edges, $ind, $cnt, $et);
   111232     label = 27;
   111233     break;
   111234    case 10:
   111235     $side = $e_0 + 65 | 0;
   111236     $15 = HEAP8[$side] | 0;
   111237     $side28 = $e_0 + 105 | 0;
   111238     $16 = HEAP8[$side28] | 0;
   111239     $cmp30 = $15 << 24 >> 24 != 1;
   111240     $cmp32 = $16 << 24 >> 24 == 4;
   111241     $or_cond = $cmp30 | $cmp32;
   111242     if ($or_cond) {
   111243       label = 11;
   111244       break;
   111245     } else {
   111246       label = 12;
   111247       break;
   111248     }
   111249    case 11:
   111250     $cmp34 = $16 << 24 >> 24 != 1;
   111251     $cmp37 = $15 << 24 >> 24 == 4;
   111252     $or_cond117 = $cmp34 | $cmp37;
   111253     if ($or_cond117) {
   111254       label = 13;
   111255       break;
   111256     } else {
   111257       label = 12;
   111258       break;
   111259     }
   111260    case 12:
   111261     $cmp40 = ($et | 0) == 8;
   111262     $conv41 = $cmp40 & 1;
   111263     _make_flat_bottom_edges($sp, $P, $edges, $ind, $cnt, $e_0, $conv41);
   111264     label = 27;
   111265     break;
   111266    case 13:
   111267     $tail43 = $e_0 + 16 | 0;
   111268     $17 = HEAP32[$tail43 >> 2] | 0;
   111269     $head44 = $e_0 + 12 | 0;
   111270     $18 = HEAP32[$head44 >> 2] | 0;
   111271     $graph = $17 + 20 | 0;
   111272     $19 = HEAP32[$graph >> 2] | 0;
   111273     $rank = $17 + 236 | 0;
   111274     $20 = HEAP32[$rank >> 2] | 0;
   111275     $cmp46 = ($20 | 0) > 0;
   111276     if ($cmp46) {
   111277       label = 14;
   111278       break;
   111279     } else {
   111280       label = 15;
   111281       break;
   111282     }
   111283    case 14:
   111284     $has_labels = $19 + 153 | 0;
   111285     $21 = HEAP8[$has_labels] | 0;
   111286     $and51 = $21 & 1;
   111287     $tobool52 = $and51 << 24 >> 24 == 0;
   111288     $rank55 = $19 + 224 | 0;
   111289     $22 = HEAP32[$rank55 >> 2] | 0;
   111290     $sub_pn_v = $tobool52 ? -1 : -2;
   111291     $sub_pn = $sub_pn_v + $20 | 0;
   111292     $v = $22 + ($sub_pn * 44 & -1) + 4 | 0;
   111293     $23 = HEAP32[$v >> 2] | 0;
   111294     $24 = HEAP32[$23 >> 2] | 0;
   111295     $y = $24 + 40 | 0;
   111296     $25 = +HEAPF64[$y >> 3];
   111297     $ht1 = $22 + ($sub_pn * 44 & -1) + 16 | 0;
   111298     $26 = HEAP32[$ht1 >> 2] | 0;
   111299     $conv63 = +($26 | 0);
   111300     $sub64 = $25 - $conv63;
   111301     $y67 = $17 + 40 | 0;
   111302     $27 = +HEAPF64[$y67 >> 3];
   111303     $sub68 = $sub64 - $27;
   111304     $ht2 = $22 + ($20 * 44 & -1) + 20 | 0;
   111305     $28 = HEAP32[$ht2 >> 2] | 0;
   111306     $conv72 = +($28 | 0);
   111307     $sub73 = $sub68 - $conv72;
   111308     $vspace_0 = $sub73;
   111309     label = 16;
   111310     break;
   111311    case 15:
   111312     $ranksep = $19 + 264 | 0;
   111313     $29 = HEAP32[$ranksep >> 2] | 0;
   111314     $conv76 = +($29 | 0);
   111315     $vspace_0 = $conv76;
   111316     label = 16;
   111317     break;
   111318    case 16:
   111319     $Multisep = $sp + 12 | 0;
   111320     $30 = HEAP32[$Multisep >> 2] | 0;
   111321     $conv78 = +($30 | 0);
   111322     $add = $cnt + 1 | 0;
   111323     $conv79 = +($add | 0);
   111324     $div = $conv78 / $conv79;
   111325     $div82 = $vspace_0 / $conv79;
   111326     _makeFlatEnd($sp, $P, $17, $e_0, $tend, 1);
   111327     _makeFlatEnd($sp, $P, $18, $e_0, $hend, 0);
   111328     $cmp83124 = ($cnt | 0) > 0;
   111329     if ($cmp83124) {
   111330       label = 17;
   111331       break;
   111332     } else {
   111333       label = 27;
   111334       break;
   111335     }
   111336    case 17:
   111337     $boxn87 = $tend + 52 | 0;
   111338     $boxn130 = $hend + 52 | 0;
   111339     $cmp204 = ($et | 0) == 8;
   111340     $nbox = $P + 80 | 0;
   111341     $i_0125 = 0;
   111342     label = 18;
   111343     break;
   111344    case 18:
   111345     $add85 = $i_0125 + $ind | 0;
   111346     $arrayidx86 = $edges + ($add85 << 2) | 0;
   111347     $31 = HEAP32[$arrayidx86 >> 2] | 0;
   111348     $32 = HEAP32[$boxn87 >> 2] | 0;
   111349     $sub88 = $32 - 1 | 0;
   111350     $b_sroa_0_0__idx1 = $tend + 56 + ($sub88 << 5) | 0;
   111351     $b_sroa_0_0_copyload2 = +HEAPF64[$b_sroa_0_0__idx1 >> 3];
   111352     $b_sroa_2_16__idx8 = $tend + 56 + ($sub88 << 5) + 16 | 0;
   111353     $b_sroa_2_16_copyload9 = +HEAPF64[$b_sroa_2_16__idx8 >> 3];
   111354     $b_sroa_3_24__idx12 = $tend + 56 + ($sub88 << 5) + 24 | 0;
   111355     $b_sroa_3_24_copyload13 = +HEAPF64[$b_sroa_3_24__idx12 >> 3];
   111356     HEAPF64[6678] = $b_sroa_0_0_copyload2;
   111357     HEAPF64[6679] = $b_sroa_3_24_copyload13;
   111358     $add99 = $i_0125 + 1 | 0;
   111359     $conv100 = +($add99 | 0);
   111360     $mul = $div * $conv100;
   111361     $add101 = $mul + $b_sroa_2_16_copyload9;
   111362     HEAPF64[6680] = $add101;
   111363     $mul109 = $div82 * $conv100;
   111364     $add110 = $mul109 + $b_sroa_3_24_copyload13;
   111365     HEAPF64[6681] = $add110;
   111366     $33 = HEAP32[$boxn87 >> 2] | 0;
   111367     $sub115 = $33 - 1 | 0;
   111368     $x119 = $tend + 56 + ($sub115 << 5) | 0;
   111369     $34 = +HEAPF64[$x119 >> 3];
   111370     HEAPF64[6682] = $34;
   111371     $35 = +HEAPF64[6681];
   111372     HEAPF64[6683] = $35;
   111373     $36 = HEAP32[$boxn130 >> 2] | 0;
   111374     $sub131 = $36 - 1 | 0;
   111375     $x135 = $hend + 56 + ($sub131 << 5) + 16 | 0;
   111376     $37 = +HEAPF64[$x135 >> 3];
   111377     HEAPF64[6684] = $37;
   111378     $38 = +HEAPF64[6683];
   111379     $add142 = $div82 + $38;
   111380     HEAPF64[6685] = $add142;
   111381     $39 = HEAP32[$boxn130 >> 2] | 0;
   111382     $sub148 = $39 - 1 | 0;
   111383     $b_sroa_0_0__idx = $hend + 56 + ($sub148 << 5) | 0;
   111384     $b_sroa_0_0_copyload = +HEAPF64[$b_sroa_0_0__idx >> 3];
   111385     $b_sroa_2_16__idx7 = $hend + 56 + ($sub148 << 5) + 16 | 0;
   111386     $b_sroa_2_16_copyload = +HEAPF64[$b_sroa_2_16__idx7 >> 3];
   111387     $b_sroa_3_24__idx11 = $hend + 56 + ($sub148 << 5) + 24 | 0;
   111388     $b_sroa_3_24_copyload = +HEAPF64[$b_sroa_3_24__idx11 >> 3];
   111389     HEAPF64[6688] = $b_sroa_2_16_copyload;
   111390     HEAPF64[6687] = $b_sroa_3_24_copyload;
   111391     $sub166 = $b_sroa_0_0_copyload - $mul;
   111392     HEAPF64[6686] = $sub166;
   111393     $40 = +HEAPF64[6683];
   111394     HEAPF64[6689] = $40;
   111395     $41 = HEAP32[$boxn87 >> 2] | 0;
   111396     $cmp180118 = ($41 | 0) > 0;
   111397     if ($cmp180118) {
   111398       $j_0119 = 0;
   111399       label = 19;
   111400       break;
   111401     } else {
   111402       label = 20;
   111403       break;
   111404     }
   111405    case 19:
   111406     $arrayidx184 = $tend + 56 + ($j_0119 << 5) | 0;
   111407     _add_box($P, $arrayidx184);
   111408     $inc185 = $j_0119 + 1 | 0;
   111409     $42 = HEAP32[$boxn87 >> 2] | 0;
   111410     $cmp180 = ($inc185 | 0) < ($42 | 0);
   111411     if ($cmp180) {
   111412       $j_0119 = $inc185;
   111413       label = 19;
   111414       break;
   111415     } else {
   111416       label = 20;
   111417       break;
   111418     }
   111419    case 20:
   111420     _add_box($P, 53424);
   111421     _add_box($P, 53456);
   111422     _add_box($P, 53488);
   111423     $43 = HEAP32[$boxn130 >> 2] | 0;
   111424     $cmp197122 = ($43 | 0) > 0;
   111425     if ($cmp197122) {
   111426       $j_2123_in = $43;
   111427       label = 21;
   111428       break;
   111429     } else {
   111430       label = 22;
   111431       break;
   111432     }
   111433    case 21:
   111434     $j_2123 = $j_2123_in - 1 | 0;
   111435     $arrayidx201 = $hend + 56 + ($j_2123 << 5) | 0;
   111436     _add_box($P, $arrayidx201);
   111437     $cmp197 = ($j_2123 | 0) > 0;
   111438     if ($cmp197) {
   111439       $j_2123_in = $j_2123;
   111440       label = 21;
   111441       break;
   111442     } else {
   111443       label = 22;
   111444       break;
   111445     }
   111446    case 22:
   111447     if ($cmp204) {
   111448       label = 23;
   111449       break;
   111450     } else {
   111451       label = 24;
   111452       break;
   111453     }
   111454    case 23:
   111455     $call = _routesplines($P, $pn) | 0;
   111456     $ps_0 = $call;
   111457     label = 25;
   111458     break;
   111459    case 24:
   111460     $call208 = _routepolylines($P, $pn) | 0;
   111461     $ps_0 = $call208;
   111462     label = 25;
   111463     break;
   111464    case 25:
   111465     $44 = HEAP32[$pn >> 2] | 0;
   111466     $cmp210 = ($44 | 0) == 0;
   111467     if ($cmp210) {
   111468       label = 27;
   111469       break;
   111470     } else {
   111471       label = 26;
   111472       break;
   111473     }
   111474    case 26:
   111475     $head214 = $31 + 12 | 0;
   111476     $45 = HEAP32[$head214 >> 2] | 0;
   111477     $46 = $31;
   111478     $47 = $45;
   111479     _clip_and_install($46, $47, $ps_0, $44, 4216);
   111480     HEAP32[$nbox >> 2] = 0;
   111481     $cmp83 = ($add99 | 0) < ($cnt | 0);
   111482     if ($cmp83) {
   111483       $i_0125 = $add99;
   111484       label = 18;
   111485       break;
   111486     } else {
   111487       label = 27;
   111488       break;
   111489     }
   111490    case 27:
   111491     STACKTOP = __stackBase__;
   111492     return;
   111493   }
   111494 }
   111495 function _make_regular_edge($sp, $P, $edges, $ind, $cnt, $et) {
   111496   $sp = $sp | 0;
   111497   $P = $P | 0;
   111498   $edges = $edges | 0;
   111499   $ind = $ind | 0;
   111500   $cnt = $cnt | 0;
   111501   $et = $et | 0;
   111502   var $hn = 0, $fwdedgea = 0, $fwdedgeb = 0, $fwdedge = 0, $tend = 0, $hend = 0, $b = 0, $pn = 0, $pointn = 0, $tmp = 0, $tmp122 = 0, $tmp153 = 0, $tmp175 = 0, $tmp188 = 0, $tmp275 = 0, $tmp322 = 0, $tmp324 = 0, $tmp361 = 0, $0 = 0, $tobool = 0, $call = 0, $1 = 0, $call1 = 0, $2 = 0, $arrayidx = 0, $3 = 0, $tail = 0, $4 = 0, $graph = 0, $5 = 0, $rank = 0, $6 = 0, $head = 0, $7 = 0, $rank4 = 0, $8 = 0, $sub = 0, $cmp = 0, $sub19 = 0, $cond = 0, $cmp20 = 0, $9 = 0, $10 = 0, $tree_index = 0, $11 = 0, $and = 0, $tobool23 = 0, $12 = 0, $13 = 0, $tail26 = 0, $14 = 0, $head28 = 0, $tail_port = 0, $head_port = 0, $15 = 0, $16 = 0, $head_port32 = 0, $tail_port34 = 0, $17 = 0, $18 = 0, $edge_type = 0, $to_orig = 0, $19 = 0, $tail38 = 0, $tail_port40 = 0, $20 = 0, $21 = 0, $tail44 = 0, $call46 = 0, $le_0 = 0, $to_virt = 0, $22 = 0, $tobool48 = 0, $head51 = 0, $23 = 0, $head52 = 0, $defined = 0, $edge_type56 = 0, $x = 0, $to_orig63 = 0, $24 = 0, $tree_index66 = 0, $25 = 0, $and67 = 0, $tobool68 = 0, $26 = 0, $27 = 0, $28 = 0, $tail72 = 0, $29 = 0, $head74 = 0, $tail_port76 = 0, $head_port78 = 0, $30 = 0, $31 = 0, $head_port80 = 0, $tail_port82 = 0, $32 = 0, $33 = 0, $edge_type84 = 0, $to_orig86 = 0, $hackflag_0 = 0, $e_0 = 0, $cmp89 = 0, $34 = 0, $call90 = 0, $tobool91 = 0, $cmp94 = 0, $tail95 = 0, $35 = 0, $head96 = 0, $36 = 0, $37 = 0, $38 = 0, $39 = 0, $call97 = 0, $40 = 0, $boxn98 = 0, $41 = 0, $sub99 = 0, $y101 = 0, $42 = 0.0, $y103 = 0, $43 = 0, $sub105 = 0, $y108 = 0, $44 = 0.0, $y110 = 0, $y112 = 0, $45 = 0.0, $rank114 = 0, $46 = 0, $graph115 = 0, $47 = 0, $rank117 = 0, $48 = 0, $ht1 = 0, $49 = 0, $conv119 = 0.0, $sub120 = 0.0, $conv121 = 0, $50 = 0, $x124 = 0, $51 = 0.0, $x126 = 0, $52 = 0.0, $cmp127 = 0, $53 = 0.0, $54 = 0.0, $cmp134 = 0, $55 = 0, $inc = 0, $arrayidx139 = 0, $56 = 0, $57 = 0, $node_type194215 = 0, $58 = 0, $cmp144195216 = 0, $59 = 0, $has_labels = 0, $60 = 0, $61 = 0, $62 = 0, $boxn191 = 0, $theta = 0, $constrained = 0, $63 = 0, $theta314 = 0, $constrained316 = 0, $64 = 0, $tn_0_ph221 = 0, $e_1_ph220 = 0, $si_0_ph218 = 0, $sl_0_ph217 = 0, $65 = 0, $tn_0201 = 0, $e_1200 = 0, $smode_0199 = 0, $si_0198 = 0, $sl_0197 = 0, $boxn_0196 = 0, $66 = 0, $call146 = 0, $lnot = 0, $inc149225 = 0, $arrayidx150 = 0, $rank152 = 0, $67 = 0, $68 = 0, $tobool154 = 0, $69 = 0, $call156 = 0, $70 = 0, $and159 = 0, $tobool160 = 0, $cond161 = 0, $cmp162 = 0, $sub165 = 0, $call156_sub165 = 0, $si_0_ = 0, $smode_0_ = 0, $sl_1 = 0, $si_1 = 0, $smode_1 = 0, $tobool167 = 0, $cmp168 = 0, $or_cond = 0, $dec = 0, $inc171 = 0, $arrayidx172 = 0, $71 = 0, $list = 0, $72 = 0, $73 = 0, $74 = 0, $75 = 0, $list178 = 0, $76 = 0, $77 = 0, $tail180 = 0, $78 = 0, $head181 = 0, $79 = 0, $node_type = 0, $80 = 0, $cmp144 = 0, $81 = 0, $list186 = 0, $82 = 0, $83 = 0, $head189 = 0, $84 = 0, $call190 = 0, $85 = 0, $86 = 0, $sub192 = 0, $arrayidx194 = 0, $87 = 0, $y197 = 0, $88 = 0.0, $rank199 = 0, $89 = 0, $graph200 = 0, $90 = 0, $rank202 = 0, $91 = 0, $ht2 = 0, $92 = 0, $conv204 = 0.0, $add = 0.0, $conv205 = 0, $93 = 0.0, $94 = 0.0, $cmp211 = 0, $95 = 0.0, $96 = 0.0, $cmp218 = 0, $97 = 0, $inc222 = 0, $arrayidx224 = 0, $98 = 0, $call229 = 0, $call231 = 0, $99 = 0, $cmp235 = 0, $arrayidx238 = 0, $100 = 0, $101 = 0, $arrayidx240 = 0, $arrayidx241 = 0, $102 = 0, $sub242 = 0, $arrayidx243 = 0, $103 = 0, $104 = 0, $105 = 0, $ps_0_ph = 0, $_pr = 0, $106 = 0, $ps_0 = 0, $cmp246 = 0, $ps_0165 = 0, $107 = 0, $108 = 0, $add250 = 0, $109 = 0, $cmp251 = 0, $mul = 0, $110 = 0, $111 = 0, $mul255 = 0, $call256 = 0, $112 = 0, $113 = 0, $cmp258186 = 0, $114 = 0, $115 = 0, $pointn_promoted188 = 0, $116 = 0, $smax236 = 0, $inc260189 = 0, $i_0187 = 0, $inc260 = 0, $arrayidx261 = 0, $arrayidx262 = 0, $117 = 0, $118 = 0, $inc263 = 0, $cmp258 = 0, $119 = 0, $120 = 0, $list266 = 0, $121 = 0, $122 = 0, $123 = 0, $call268 = 0, $tail269 = 0, $124 = 0, $head270 = 0, $125 = 0, $list273 = 0, $126 = 0, $127 = 0, $call276 = 0, $128 = 0, $129 = 0, $sub278 = 0, $arrayidx280 = 0, $y283 = 0, $130 = 0.0, $rank285 = 0, $131 = 0, $graph286 = 0, $132 = 0, $rank288 = 0, $133 = 0, $ht1290 = 0, $134 = 0, $conv291 = 0.0, $sub292 = 0.0, $conv293 = 0, $135 = 0.0, $136 = 0.0, $cmp299 = 0, $137 = 0.0, $138 = 0.0, $cmp306 = 0, $139 = 0, $inc310 = 0, $arrayidx312 = 0, $140 = 0, $141 = 0, $node_type194 = 0, $142 = 0, $cmp144195 = 0, $segfirst_0_ph208 = 0, $tn_0_lcssa = 0, $e_1_lcssa = 0, $boxn_0_lcssa = 0, $inc318 = 0, $arrayidx319 = 0, $rank321 = 0, $143 = 0, $144 = 0, $145 = 0, $146 = 0, $147 = 0, $148 = 0, $tobool325 = 0, $cond329 = 0, $head330 = 0, $149 = 0, $call331 = 0, $150 = 0, $boxn332 = 0, $151 = 0, $sub333 = 0, $y337 = 0, $152 = 0.0, $153 = 0, $sub341 = 0, $y345 = 0, $154 = 0.0, $155 = 0, $y350 = 0, $156 = 0.0, $rank352 = 0, $157 = 0, $graph353 = 0, $158 = 0, $rank355 = 0, $159 = 0, $ht2357 = 0, $160 = 0, $conv358 = 0.0, $add359 = 0.0, $conv360 = 0, $161 = 0, $162 = 0.0, $163 = 0.0, $cmp366 = 0, $164 = 0.0, $165 = 0.0, $cmp373 = 0, $166 = 0, $inc377 = 0, $arrayidx379 = 0, $167 = 0, $call383 = 0, $call385 = 0, $ps_1 = 0, $168 = 0, $cmp390 = 0, $or_cond168 = 0, $arrayidx393 = 0, $169 = 0, $170 = 0, $arrayidx395 = 0, $arrayidx396 = 0, $171 = 0, $sub397 = 0, $arrayidx398 = 0, $172 = 0, $173 = 0, $174 = 0, $cmp400 = 0, $175 = 0, $176 = 0, $add404 = 0, $177 = 0, $cmp405 = 0, $mul409 = 0, $178 = 0, $179 = 0, $mul410 = 0, $call411 = 0, $180 = 0, $181 = 0, $cmp414183 = 0, $182 = 0, $183 = 0, $pointn_promoted = 0, $184 = 0, $smax = 0, $inc417185 = 0, $i_1184 = 0, $inc417 = 0, $arrayidx418 = 0, $arrayidx419 = 0, $185 = 0, $186 = 0, $inc421 = 0, $cmp414 = 0, $187 = 0, $head425 = 0, $188 = 0, $189 = 0, $cond429 = 0, $cmp431 = 0, $190 = 0, $191 = 0, $192 = 0, $193 = 0, $194 = 0, $Multisep = 0, $195 = 0, $sub438180 = 0, $cmp439181 = 0, $sub435 = 0, $196 = 0, $mul436 = 0, $div = 0, $conv442 = 0.0, $197 = 0, $198 = 0, $sub438 = 0, $i_2182 = 0, $x444 = 0, $199 = 0.0, $sub445 = 0.0, $inc447 = 0, $cmp439 = 0, $200 = 0, $201 = 0, $cmp449 = 0, $202 = 0, $203 = 0, $mul452 = 0, $call453 = 0, $204 = 0, $205 = 0, $cmp456177 = 0, $206 = 0, $207 = 0, $208 = 0, $i_3178 = 0, $arrayidx459 = 0, $arrayidx460 = 0, $209 = 0, $210 = 0, $inc462 = 0, $cmp456 = 0, $_lcssa176 = 0, $211 = 0, $212 = 0, $213 = 0, $214 = 0, $cmp465174 = 0, $215 = 0, $sub495169 = 0, $cmp496170 = 0, $cmp508172 = 0, $sub495 = 0, $216 = 0, $tail478 = 0, $head480 = 0, $tail_port482 = 0, $217 = 0, $head_port486 = 0, $218 = 0, $edge_type490 = 0, $to_orig492 = 0, $j_0175 = 0, $add468 = 0, $arrayidx469 = 0, $219 = 0, $tree_index471 = 0, $220 = 0, $and472 = 0, $tobool473 = 0, $221 = 0, $head477 = 0, $222 = 0, $tail479 = 0, $223 = 0, $head_port484 = 0, $224 = 0, $tail_port488 = 0, $225 = 0, $e_2 = 0, $i_4171 = 0, $226 = 0, $conv500 = 0.0, $227 = 0, $x502 = 0, $228 = 0.0, $add503 = 0.0, $inc505 = 0, $cmp496 = 0, $229 = 0, $230 = 0, $i_5173 = 0, $arrayidx511 = 0, $arrayidx512 = 0, $231 = 0, $232 = 0, $inc514 = 0, $cmp508 = 0, $head516 = 0, $233 = 0, $234 = 0, $235 = 0, $236 = 0, $inc518 = 0, $cmp465 = 0, label = 0, __stackBase__ = 0;
   111503   __stackBase__ = STACKTOP;
   111504   STACKTOP = STACKTOP + 2312 | 0;
   111505   label = 2;
   111506   while (1) switch (label | 0) {
   111507    case 2:
   111508     $hn = __stackBase__ | 0;
   111509     $fwdedgea = __stackBase__ + 8 | 0;
   111510     $fwdedgeb = __stackBase__ + 200 | 0;
   111511     $fwdedge = __stackBase__ + 392 | 0;
   111512     $tend = __stackBase__ + 584 | 0;
   111513     $hend = __stackBase__ + 1280 | 0;
   111514     $b = __stackBase__ + 1976 | 0;
   111515     $pn = __stackBase__ + 2008 | 0;
   111516     $pointn = __stackBase__ + 2016 | 0;
   111517     $tmp = __stackBase__ + 2024 | 0;
   111518     $tmp122 = __stackBase__ + 2056 | 0;
   111519     $tmp153 = __stackBase__ + 2088 | 0;
   111520     $tmp175 = __stackBase__ + 2120 | 0;
   111521     $tmp188 = __stackBase__ + 2152 | 0;
   111522     $tmp275 = __stackBase__ + 2184 | 0;
   111523     $tmp322 = __stackBase__ + 2216 | 0;
   111524     $tmp324 = __stackBase__ + 2248 | 0;
   111525     $tmp361 = __stackBase__ + 2280 | 0;
   111526     $0 = HEAP32[2854] | 0;
   111527     $tobool = ($0 | 0) == 0;
   111528     if ($tobool) {
   111529       label = 3;
   111530       break;
   111531     } else {
   111532       label = 4;
   111533       break;
   111534     }
   111535    case 3:
   111536     $call = _gmalloc(32e3) | 0;
   111537     $1 = $call;
   111538     HEAP32[2854] = $1;
   111539     $call1 = _gmalloc(32e3) | 0;
   111540     $2 = $call1;
   111541     HEAP32[2852] = $2;
   111542     HEAP32[2858] = 2e3;
   111543     HEAP32[2856] = 2e3;
   111544     label = 4;
   111545     break;
   111546    case 4:
   111547     $arrayidx = $edges + ($ind << 2) | 0;
   111548     $3 = HEAP32[$arrayidx >> 2] | 0;
   111549     $tail = $3 + 16 | 0;
   111550     $4 = HEAP32[$tail >> 2] | 0;
   111551     $graph = $4 + 20 | 0;
   111552     $5 = HEAP32[$graph >> 2] | 0;
   111553     $rank = $4 + 236 | 0;
   111554     $6 = HEAP32[$rank >> 2] | 0;
   111555     $head = $3 + 12 | 0;
   111556     $7 = HEAP32[$head >> 2] | 0;
   111557     $rank4 = $7 + 236 | 0;
   111558     $8 = HEAP32[$rank4 >> 2] | 0;
   111559     $sub = $6 - $8 | 0;
   111560     $cmp = ($sub | 0) > -1;
   111561     $sub19 = -$sub | 0;
   111562     $cond = $cmp ? $sub : $sub19;
   111563     $cmp20 = ($cond | 0) > 1;
   111564     if ($cmp20) {
   111565       label = 5;
   111566       break;
   111567     } else {
   111568       label = 11;
   111569       break;
   111570     }
   111571    case 5:
   111572     $9 = $fwdedgea | 0;
   111573     $10 = $3 | 0;
   111574     _memcpy($9 | 0, $10 | 0, 192);
   111575     $tree_index = $3 + 180 | 0;
   111576     $11 = HEAP32[$tree_index >> 2] | 0;
   111577     $and = $11 & 32;
   111578     $tobool23 = ($and | 0) == 0;
   111579     $12 = $fwdedgeb | 0;
   111580     _memcpy($12 | 0, $10 | 0, 192);
   111581     if ($tobool23) {
   111582       label = 7;
   111583       break;
   111584     } else {
   111585       label = 6;
   111586       break;
   111587     }
   111588    case 6:
   111589     $13 = HEAP32[$head >> 2] | 0;
   111590     $tail26 = $fwdedgeb + 16 | 0;
   111591     HEAP32[$tail26 >> 2] = $13;
   111592     $14 = HEAP32[$tail >> 2] | 0;
   111593     $head28 = $fwdedgeb + 12 | 0;
   111594     HEAP32[$head28 >> 2] = $14;
   111595     $tail_port = $fwdedgeb + 32 | 0;
   111596     $head_port = $3 + 72 | 0;
   111597     $15 = $tail_port;
   111598     $16 = $head_port;
   111599     HEAP32[$15 >> 2] = HEAP32[$16 >> 2] | 0;
   111600     HEAP32[$15 + 4 >> 2] = HEAP32[$16 + 4 >> 2] | 0;
   111601     HEAP32[$15 + 8 >> 2] = HEAP32[$16 + 8 >> 2] | 0;
   111602     HEAP32[$15 + 12 >> 2] = HEAP32[$16 + 12 >> 2] | 0;
   111603     HEAP32[$15 + 16 >> 2] = HEAP32[$16 + 16 >> 2] | 0;
   111604     HEAP32[$15 + 20 >> 2] = HEAP32[$16 + 20 >> 2] | 0;
   111605     HEAP32[$15 + 24 >> 2] = HEAP32[$16 + 24 >> 2] | 0;
   111606     HEAP32[$15 + 28 >> 2] = HEAP32[$16 + 28 >> 2] | 0;
   111607     HEAP32[$15 + 32 >> 2] = HEAP32[$16 + 32 >> 2] | 0;
   111608     HEAP32[$15 + 36 >> 2] = HEAP32[$16 + 36 >> 2] | 0;
   111609     $head_port32 = $fwdedgeb + 72 | 0;
   111610     $tail_port34 = $3 + 32 | 0;
   111611     $17 = $head_port32;
   111612     $18 = $tail_port34;
   111613     HEAP32[$17 >> 2] = HEAP32[$18 >> 2] | 0;
   111614     HEAP32[$17 + 4 >> 2] = HEAP32[$18 + 4 >> 2] | 0;
   111615     HEAP32[$17 + 8 >> 2] = HEAP32[$18 + 8 >> 2] | 0;
   111616     HEAP32[$17 + 12 >> 2] = HEAP32[$18 + 12 >> 2] | 0;
   111617     HEAP32[$17 + 16 >> 2] = HEAP32[$18 + 16 >> 2] | 0;
   111618     HEAP32[$17 + 20 >> 2] = HEAP32[$18 + 20 >> 2] | 0;
   111619     HEAP32[$17 + 24 >> 2] = HEAP32[$18 + 24 >> 2] | 0;
   111620     HEAP32[$17 + 28 >> 2] = HEAP32[$18 + 28 >> 2] | 0;
   111621     HEAP32[$17 + 32 >> 2] = HEAP32[$18 + 32 >> 2] | 0;
   111622     HEAP32[$17 + 36 >> 2] = HEAP32[$18 + 36 >> 2] | 0;
   111623     $edge_type = $fwdedgeb + 128 | 0;
   111624     HEAP8[$edge_type] = 1;
   111625     $to_orig = $fwdedgeb + 132 | 0;
   111626     HEAP32[$to_orig >> 2] = $3;
   111627     $19 = HEAP32[$head >> 2] | 0;
   111628     $tail38 = $fwdedgea + 16 | 0;
   111629     HEAP32[$tail38 >> 2] = $19;
   111630     $tail_port40 = $fwdedgea + 32 | 0;
   111631     $20 = $tail_port40;
   111632     HEAP32[$20 >> 2] = HEAP32[$16 >> 2] | 0;
   111633     HEAP32[$20 + 4 >> 2] = HEAP32[$16 + 4 >> 2] | 0;
   111634     HEAP32[$20 + 8 >> 2] = HEAP32[$16 + 8 >> 2] | 0;
   111635     HEAP32[$20 + 12 >> 2] = HEAP32[$16 + 12 >> 2] | 0;
   111636     HEAP32[$20 + 16 >> 2] = HEAP32[$16 + 16 >> 2] | 0;
   111637     HEAP32[$20 + 20 >> 2] = HEAP32[$16 + 20 >> 2] | 0;
   111638     HEAP32[$20 + 24 >> 2] = HEAP32[$16 + 24 >> 2] | 0;
   111639     HEAP32[$20 + 28 >> 2] = HEAP32[$16 + 28 >> 2] | 0;
   111640     HEAP32[$20 + 32 >> 2] = HEAP32[$16 + 32 >> 2] | 0;
   111641     HEAP32[$20 + 36 >> 2] = HEAP32[$16 + 36 >> 2] | 0;
   111642     label = 8;
   111643     break;
   111644    case 7:
   111645     $21 = HEAP32[$tail >> 2] | 0;
   111646     $tail44 = $fwdedgea + 16 | 0;
   111647     HEAP32[$tail44 >> 2] = $21;
   111648     label = 8;
   111649     break;
   111650    case 8:
   111651     $call46 = _getmainedge($3) | 0;
   111652     $le_0 = $call46;
   111653     label = 9;
   111654     break;
   111655    case 9:
   111656     $to_virt = $le_0 + 188 | 0;
   111657     $22 = HEAP32[$to_virt >> 2] | 0;
   111658     $tobool48 = ($22 | 0) == 0;
   111659     if ($tobool48) {
   111660       label = 10;
   111661       break;
   111662     } else {
   111663       $le_0 = $22;
   111664       label = 9;
   111665       break;
   111666     }
   111667    case 10:
   111668     $head51 = $le_0 + 12 | 0;
   111669     $23 = HEAP32[$head51 >> 2] | 0;
   111670     $head52 = $fwdedgea + 12 | 0;
   111671     HEAP32[$head52 >> 2] = $23;
   111672     $defined = $fwdedgea + 100 | 0;
   111673     HEAP8[$defined] = 0;
   111674     $edge_type56 = $fwdedgea + 128 | 0;
   111675     HEAP8[$edge_type56] = 1;
   111676     $x = $fwdedgea + 72 | 0;
   111677     $to_orig63 = $fwdedgea + 132 | 0;
   111678     $24 = $x;
   111679     _memset($24 | 0, 0, 16);
   111680     HEAP32[$to_orig63 >> 2] = $3;
   111681     $e_0 = $fwdedgea;
   111682     $hackflag_0 = 1;
   111683     label = 13;
   111684     break;
   111685    case 11:
   111686     $tree_index66 = $3 + 180 | 0;
   111687     $25 = HEAP32[$tree_index66 >> 2] | 0;
   111688     $and67 = $25 & 32;
   111689     $tobool68 = ($and67 | 0) == 0;
   111690     if ($tobool68) {
   111691       $e_0 = $3;
   111692       $hackflag_0 = 0;
   111693       label = 13;
   111694       break;
   111695     } else {
   111696       label = 12;
   111697       break;
   111698     }
   111699    case 12:
   111700     $26 = $fwdedgea | 0;
   111701     $27 = $3 | 0;
   111702     _memcpy($26 | 0, $27 | 0, 192);
   111703     $28 = HEAP32[$head >> 2] | 0;
   111704     $tail72 = $fwdedgea + 16 | 0;
   111705     HEAP32[$tail72 >> 2] = $28;
   111706     $29 = HEAP32[$tail >> 2] | 0;
   111707     $head74 = $fwdedgea + 12 | 0;
   111708     HEAP32[$head74 >> 2] = $29;
   111709     $tail_port76 = $fwdedgea + 32 | 0;
   111710     $head_port78 = $3 + 72 | 0;
   111711     $30 = $tail_port76;
   111712     $31 = $head_port78;
   111713     HEAP32[$30 >> 2] = HEAP32[$31 >> 2] | 0;
   111714     HEAP32[$30 + 4 >> 2] = HEAP32[$31 + 4 >> 2] | 0;
   111715     HEAP32[$30 + 8 >> 2] = HEAP32[$31 + 8 >> 2] | 0;
   111716     HEAP32[$30 + 12 >> 2] = HEAP32[$31 + 12 >> 2] | 0;
   111717     HEAP32[$30 + 16 >> 2] = HEAP32[$31 + 16 >> 2] | 0;
   111718     HEAP32[$30 + 20 >> 2] = HEAP32[$31 + 20 >> 2] | 0;
   111719     HEAP32[$30 + 24 >> 2] = HEAP32[$31 + 24 >> 2] | 0;
   111720     HEAP32[$30 + 28 >> 2] = HEAP32[$31 + 28 >> 2] | 0;
   111721     HEAP32[$30 + 32 >> 2] = HEAP32[$31 + 32 >> 2] | 0;
   111722     HEAP32[$30 + 36 >> 2] = HEAP32[$31 + 36 >> 2] | 0;
   111723     $head_port80 = $fwdedgea + 72 | 0;
   111724     $tail_port82 = $3 + 32 | 0;
   111725     $32 = $head_port80;
   111726     $33 = $tail_port82;
   111727     HEAP32[$32 >> 2] = HEAP32[$33 >> 2] | 0;
   111728     HEAP32[$32 + 4 >> 2] = HEAP32[$33 + 4 >> 2] | 0;
   111729     HEAP32[$32 + 8 >> 2] = HEAP32[$33 + 8 >> 2] | 0;
   111730     HEAP32[$32 + 12 >> 2] = HEAP32[$33 + 12 >> 2] | 0;
   111731     HEAP32[$32 + 16 >> 2] = HEAP32[$33 + 16 >> 2] | 0;
   111732     HEAP32[$32 + 20 >> 2] = HEAP32[$33 + 20 >> 2] | 0;
   111733     HEAP32[$32 + 24 >> 2] = HEAP32[$33 + 24 >> 2] | 0;
   111734     HEAP32[$32 + 28 >> 2] = HEAP32[$33 + 28 >> 2] | 0;
   111735     HEAP32[$32 + 32 >> 2] = HEAP32[$33 + 32 >> 2] | 0;
   111736     HEAP32[$32 + 36 >> 2] = HEAP32[$33 + 36 >> 2] | 0;
   111737     $edge_type84 = $fwdedgea + 128 | 0;
   111738     HEAP8[$edge_type84] = 1;
   111739     $to_orig86 = $fwdedgea + 132 | 0;
   111740     HEAP32[$to_orig86 >> 2] = $3;
   111741     $e_0 = $fwdedgea;
   111742     $hackflag_0 = 0;
   111743     label = 13;
   111744     break;
   111745    case 13:
   111746     $cmp89 = ($et | 0) == 2;
   111747     if ($cmp89) {
   111748       label = 14;
   111749       break;
   111750     } else {
   111751       label = 15;
   111752       break;
   111753     }
   111754    case 14:
   111755     $34 = HEAP32[2854] | 0;
   111756     $call90 = _makeLineEdge($e_0, $34, $hn) | 0;
   111757     HEAP32[$pointn >> 2] = $call90;
   111758     $tobool91 = ($call90 | 0) == 0;
   111759     if ($tobool91) {
   111760       label = 15;
   111761       break;
   111762     } else {
   111763       label = 65;
   111764       break;
   111765     }
   111766    case 15:
   111767     $cmp94 = ($et | 0) == 8;
   111768     HEAP32[$pointn >> 2] = 0;
   111769     $tail95 = $e_0 + 16 | 0;
   111770     $35 = HEAP32[$tail95 >> 2] | 0;
   111771     $head96 = $e_0 + 12 | 0;
   111772     $36 = HEAP32[$head96 >> 2] | 0;
   111773     HEAP32[$hn >> 2] = $36;
   111774     _maximal_bbox($tmp, $sp, $35, 0, $e_0);
   111775     $37 = $tend;
   111776     $38 = $tmp;
   111777     HEAP32[$37 >> 2] = HEAP32[$38 >> 2] | 0;
   111778     HEAP32[$37 + 4 >> 2] = HEAP32[$38 + 4 >> 2] | 0;
   111779     HEAP32[$37 + 8 >> 2] = HEAP32[$38 + 8 >> 2] | 0;
   111780     HEAP32[$37 + 12 >> 2] = HEAP32[$38 + 12 >> 2] | 0;
   111781     HEAP32[$37 + 16 >> 2] = HEAP32[$38 + 16 >> 2] | 0;
   111782     HEAP32[$37 + 20 >> 2] = HEAP32[$38 + 20 >> 2] | 0;
   111783     HEAP32[$37 + 24 >> 2] = HEAP32[$38 + 24 >> 2] | 0;
   111784     HEAP32[$37 + 28 >> 2] = HEAP32[$38 + 28 >> 2] | 0;
   111785     $39 = $b;
   111786     HEAP32[$39 >> 2] = HEAP32[$38 >> 2] | 0;
   111787     HEAP32[$39 + 4 >> 2] = HEAP32[$38 + 4 >> 2] | 0;
   111788     HEAP32[$39 + 8 >> 2] = HEAP32[$38 + 8 >> 2] | 0;
   111789     HEAP32[$39 + 12 >> 2] = HEAP32[$38 + 12 >> 2] | 0;
   111790     HEAP32[$39 + 16 >> 2] = HEAP32[$38 + 16 >> 2] | 0;
   111791     HEAP32[$39 + 20 >> 2] = HEAP32[$38 + 20 >> 2] | 0;
   111792     $call97 = _spline_merge($35) | 0;
   111793     $40 = $e_0;
   111794     _beginpath($P, $40, 1, $tend, $call97);
   111795     $boxn98 = $tend + 52 | 0;
   111796     $41 = HEAP32[$boxn98 >> 2] | 0;
   111797     $sub99 = $41 - 1 | 0;
   111798     $y101 = $tend + 56 + ($sub99 << 5) + 24 | 0;
   111799     $42 = +HEAPF64[$y101 >> 3];
   111800     $y103 = $b + 24 | 0;
   111801     HEAPF64[$y103 >> 3] = $42;
   111802     $43 = HEAP32[$boxn98 >> 2] | 0;
   111803     $sub105 = $43 - 1 | 0;
   111804     $y108 = $tend + 56 + ($sub105 << 5) + 8 | 0;
   111805     $44 = +HEAPF64[$y108 >> 3];
   111806     $y110 = $b + 8 | 0;
   111807     HEAPF64[$y110 >> 3] = $44;
   111808     $y112 = $35 + 40 | 0;
   111809     $45 = +HEAPF64[$y112 >> 3];
   111810     $rank114 = $35 + 236 | 0;
   111811     $46 = HEAP32[$rank114 >> 2] | 0;
   111812     $graph115 = $35 + 20 | 0;
   111813     $47 = HEAP32[$graph115 >> 2] | 0;
   111814     $rank117 = $47 + 224 | 0;
   111815     $48 = HEAP32[$rank117 >> 2] | 0;
   111816     $ht1 = $48 + ($46 * 44 & -1) + 16 | 0;
   111817     $49 = HEAP32[$ht1 >> 2] | 0;
   111818     $conv119 = +($49 | 0);
   111819     $sub120 = $45 - $conv119;
   111820     $conv121 = ~~$sub120;
   111821     _makeregularend($tmp122, $b, 1, $conv121);
   111822     $50 = $tmp122;
   111823     HEAP32[$39 >> 2] = HEAP32[$50 >> 2] | 0;
   111824     HEAP32[$39 + 4 >> 2] = HEAP32[$50 + 4 >> 2] | 0;
   111825     HEAP32[$39 + 8 >> 2] = HEAP32[$50 + 8 >> 2] | 0;
   111826     HEAP32[$39 + 12 >> 2] = HEAP32[$50 + 12 >> 2] | 0;
   111827     HEAP32[$39 + 16 >> 2] = HEAP32[$50 + 16 >> 2] | 0;
   111828     HEAP32[$39 + 20 >> 2] = HEAP32[$50 + 20 >> 2] | 0;
   111829     HEAP32[$39 + 24 >> 2] = HEAP32[$50 + 24 >> 2] | 0;
   111830     HEAP32[$39 + 28 >> 2] = HEAP32[$50 + 28 >> 2] | 0;
   111831     $x124 = $b | 0;
   111832     $51 = +HEAPF64[$x124 >> 3];
   111833     $x126 = $b + 16 | 0;
   111834     $52 = +HEAPF64[$x126 >> 3];
   111835     $cmp127 = $51 < $52;
   111836     if ($cmp127) {
   111837       label = 16;
   111838       break;
   111839     } else {
   111840       label = 18;
   111841       break;
   111842     }
   111843    case 16:
   111844     $53 = +HEAPF64[$y110 >> 3];
   111845     $54 = +HEAPF64[$y103 >> 3];
   111846     $cmp134 = $53 < $54;
   111847     if ($cmp134) {
   111848       label = 17;
   111849       break;
   111850     } else {
   111851       label = 18;
   111852       break;
   111853     }
   111854    case 17:
   111855     $55 = HEAP32[$boxn98 >> 2] | 0;
   111856     $inc = $55 + 1 | 0;
   111857     HEAP32[$boxn98 >> 2] = $inc;
   111858     $arrayidx139 = $tend + 56 + ($55 << 5) | 0;
   111859     $56 = $arrayidx139;
   111860     HEAP32[$56 >> 2] = HEAP32[$39 >> 2] | 0;
   111861     HEAP32[$56 + 4 >> 2] = HEAP32[$39 + 4 >> 2] | 0;
   111862     HEAP32[$56 + 8 >> 2] = HEAP32[$39 + 8 >> 2] | 0;
   111863     HEAP32[$56 + 12 >> 2] = HEAP32[$39 + 12 >> 2] | 0;
   111864     HEAP32[$56 + 16 >> 2] = HEAP32[$39 + 16 >> 2] | 0;
   111865     HEAP32[$56 + 20 >> 2] = HEAP32[$39 + 20 >> 2] | 0;
   111866     HEAP32[$56 + 24 >> 2] = HEAP32[$39 + 24 >> 2] | 0;
   111867     HEAP32[$56 + 28 >> 2] = HEAP32[$39 + 28 >> 2] | 0;
   111868     label = 18;
   111869     break;
   111870    case 18:
   111871     $57 = HEAP32[$hn >> 2] | 0;
   111872     $node_type194215 = $57 + 162 | 0;
   111873     $58 = HEAP8[$node_type194215] | 0;
   111874     $cmp144195216 = $58 << 24 >> 24 == 1;
   111875     if ($cmp144195216) {
   111876       label = 19;
   111877       break;
   111878     } else {
   111879       $boxn_0_lcssa = 0;
   111880       $e_1_lcssa = $e_0;
   111881       $tn_0_lcssa = $35;
   111882       $segfirst_0_ph208 = $e_0;
   111883       label = 46;
   111884       break;
   111885     }
   111886    case 19:
   111887     $59 = $tmp153;
   111888     $has_labels = $5 + 153 | 0;
   111889     $60 = $tmp175;
   111890     $61 = $hend;
   111891     $62 = $tmp188;
   111892     $boxn191 = $hend + 52 | 0;
   111893     $theta = $P + 56 | 0;
   111894     $constrained = $P + 69 | 0;
   111895     $63 = $tmp275;
   111896     $theta314 = $P + 16 | 0;
   111897     $constrained316 = $P + 29 | 0;
   111898     $sl_0_ph217 = 0;
   111899     $si_0_ph218 = -1;
   111900     $e_1_ph220 = $e_0;
   111901     $tn_0_ph221 = $35;
   111902     $64 = $57;
   111903     label = 20;
   111904     break;
   111905    case 20:
   111906     $boxn_0196 = 0;
   111907     $sl_0197 = $sl_0_ph217;
   111908     $si_0198 = $si_0_ph218;
   111909     $smode_0199 = 0;
   111910     $e_1200 = $e_1_ph220;
   111911     $tn_0201 = $tn_0_ph221;
   111912     $65 = $64;
   111913     label = 21;
   111914     break;
   111915    case 21:
   111916     $66 = HEAP32[1055] | 0;
   111917     $call146 = FUNCTION_TABLE_ii[$66 & 1023]($65) | 0;
   111918     $lnot = $call146 << 24 >> 24 == 0;
   111919     if ($lnot) {
   111920       label = 22;
   111921       break;
   111922     } else {
   111923       $boxn_0_lcssa = $boxn_0196;
   111924       $e_1_lcssa = $e_1200;
   111925       $tn_0_lcssa = $tn_0201;
   111926       $segfirst_0_ph208 = $e_1_ph220;
   111927       label = 46;
   111928       break;
   111929     }
   111930    case 22:
   111931     $inc149225 = $boxn_0196 | 1;
   111932     $arrayidx150 = 53424 + ($boxn_0196 << 5) | 0;
   111933     $rank152 = $tn_0201 + 236 | 0;
   111934     $67 = HEAP32[$rank152 >> 2] | 0;
   111935     _rank_box($tmp153, $sp, $5, $67);
   111936     $68 = $arrayidx150;
   111937     HEAP32[$68 >> 2] = HEAP32[$59 >> 2] | 0;
   111938     HEAP32[$68 + 4 >> 2] = HEAP32[$59 + 4 >> 2] | 0;
   111939     HEAP32[$68 + 8 >> 2] = HEAP32[$59 + 8 >> 2] | 0;
   111940     HEAP32[$68 + 12 >> 2] = HEAP32[$59 + 12 >> 2] | 0;
   111941     HEAP32[$68 + 16 >> 2] = HEAP32[$59 + 16 >> 2] | 0;
   111942     HEAP32[$68 + 20 >> 2] = HEAP32[$59 + 20 >> 2] | 0;
   111943     HEAP32[$68 + 24 >> 2] = HEAP32[$59 + 24 >> 2] | 0;
   111944     HEAP32[$68 + 28 >> 2] = HEAP32[$59 + 28 >> 2] | 0;
   111945     $tobool154 = ($smode_0199 | 0) == 0;
   111946     if ($tobool154) {
   111947       label = 23;
   111948       break;
   111949     } else {
   111950       $smode_1 = $smode_0199;
   111951       $si_1 = $si_0198;
   111952       $sl_1 = $sl_0197;
   111953       label = 24;
   111954       break;
   111955     }
   111956    case 23:
   111957     $69 = HEAP32[$hn >> 2] | 0;
   111958     $call156 = _straight_len($69) | 0;
   111959     $70 = HEAP8[$has_labels] | 0;
   111960     $and159 = $70 & 1;
   111961     $tobool160 = $and159 << 24 >> 24 != 0;
   111962     $cond161 = $tobool160 ? 5 : 3;
   111963     $cmp162 = ($call156 | 0) < ($cond161 | 0);
   111964     $sub165 = $call156 - 2 | 0;
   111965     $call156_sub165 = $cmp162 ? $call156 : $sub165;
   111966     $si_0_ = $cmp162 ? $si_0198 : 1;
   111967     $smode_0_ = $cmp162 ? $smode_0199 : 1;
   111968     $smode_1 = $smode_0_;
   111969     $si_1 = $si_0_;
   111970     $sl_1 = $call156_sub165;
   111971     label = 24;
   111972     break;
   111973    case 24:
   111974     $tobool167 = ($smode_1 | 0) == 0;
   111975     $cmp168 = ($si_1 | 0) > 0;
   111976     $or_cond = $tobool167 | $cmp168;
   111977     if ($or_cond) {
   111978       label = 25;
   111979       break;
   111980     } else {
   111981       label = 26;
   111982       break;
   111983     }
   111984    case 25:
   111985     $dec = $si_1 - 1 | 0;
   111986     $inc171 = $boxn_0196 + 2 | 0;
   111987     $arrayidx172 = 53424 + ($inc149225 << 5) | 0;
   111988     $71 = HEAP32[$hn >> 2] | 0;
   111989     $list = $71 + 184 | 0;
   111990     $72 = HEAP32[$list >> 2] | 0;
   111991     $73 = HEAP32[$72 >> 2] | 0;
   111992     _maximal_bbox($tmp175, $sp, $71, $e_1200, $73);
   111993     $74 = $arrayidx172;
   111994     HEAP32[$74 >> 2] = HEAP32[$60 >> 2] | 0;
   111995     HEAP32[$74 + 4 >> 2] = HEAP32[$60 + 4 >> 2] | 0;
   111996     HEAP32[$74 + 8 >> 2] = HEAP32[$60 + 8 >> 2] | 0;
   111997     HEAP32[$74 + 12 >> 2] = HEAP32[$60 + 12 >> 2] | 0;
   111998     HEAP32[$74 + 16 >> 2] = HEAP32[$60 + 16 >> 2] | 0;
   111999     HEAP32[$74 + 20 >> 2] = HEAP32[$60 + 20 >> 2] | 0;
   112000     HEAP32[$74 + 24 >> 2] = HEAP32[$60 + 24 >> 2] | 0;
   112001     HEAP32[$74 + 28 >> 2] = HEAP32[$60 + 28 >> 2] | 0;
   112002     $75 = HEAP32[$hn >> 2] | 0;
   112003     $list178 = $75 + 184 | 0;
   112004     $76 = HEAP32[$list178 >> 2] | 0;
   112005     $77 = HEAP32[$76 >> 2] | 0;
   112006     $tail180 = $77 + 16 | 0;
   112007     $78 = HEAP32[$tail180 >> 2] | 0;
   112008     $head181 = $77 + 12 | 0;
   112009     $79 = HEAP32[$head181 >> 2] | 0;
   112010     HEAP32[$hn >> 2] = $79;
   112011     $node_type = $79 + 162 | 0;
   112012     $80 = HEAP8[$node_type] | 0;
   112013     $cmp144 = $80 << 24 >> 24 == 1;
   112014     if ($cmp144) {
   112015       $boxn_0196 = $inc171;
   112016       $sl_0197 = $sl_1;
   112017       $si_0198 = $dec;
   112018       $smode_0199 = $smode_1;
   112019       $e_1200 = $77;
   112020       $tn_0201 = $78;
   112021       $65 = $79;
   112022       label = 21;
   112023       break;
   112024     } else {
   112025       $boxn_0_lcssa = $inc171;
   112026       $e_1_lcssa = $77;
   112027       $tn_0_lcssa = $78;
   112028       $segfirst_0_ph208 = $e_1_ph220;
   112029       label = 46;
   112030       break;
   112031     }
   112032    case 26:
   112033     $81 = HEAP32[$hn >> 2] | 0;
   112034     $list186 = $81 + 184 | 0;
   112035     $82 = HEAP32[$list186 >> 2] | 0;
   112036     $83 = HEAP32[$82 >> 2] | 0;
   112037     _maximal_bbox($tmp188, $sp, $81, $e_1200, $83);
   112038     HEAP32[$61 >> 2] = HEAP32[$62 >> 2] | 0;
   112039     HEAP32[$61 + 4 >> 2] = HEAP32[$62 + 4 >> 2] | 0;
   112040     HEAP32[$61 + 8 >> 2] = HEAP32[$62 + 8 >> 2] | 0;
   112041     HEAP32[$61 + 12 >> 2] = HEAP32[$62 + 12 >> 2] | 0;
   112042     HEAP32[$61 + 16 >> 2] = HEAP32[$62 + 16 >> 2] | 0;
   112043     HEAP32[$61 + 20 >> 2] = HEAP32[$62 + 20 >> 2] | 0;
   112044     HEAP32[$61 + 24 >> 2] = HEAP32[$62 + 24 >> 2] | 0;
   112045     HEAP32[$61 + 28 >> 2] = HEAP32[$62 + 28 >> 2] | 0;
   112046     $head189 = $e_1200 + 12 | 0;
   112047     $84 = HEAP32[$head189 >> 2] | 0;
   112048     $call190 = _spline_merge($84) | 0;
   112049     $85 = $e_1200;
   112050     _endpath($P, $85, 1, $hend, $call190);
   112051     $86 = HEAP32[$boxn191 >> 2] | 0;
   112052     $sub192 = $86 - 1 | 0;
   112053     $arrayidx194 = $hend + 56 + ($sub192 << 5) | 0;
   112054     $87 = HEAP32[$hn >> 2] | 0;
   112055     $y197 = $87 + 40 | 0;
   112056     $88 = +HEAPF64[$y197 >> 3];
   112057     $rank199 = $87 + 236 | 0;
   112058     $89 = HEAP32[$rank199 >> 2] | 0;
   112059     $graph200 = $87 + 20 | 0;
   112060     $90 = HEAP32[$graph200 >> 2] | 0;
   112061     $rank202 = $90 + 224 | 0;
   112062     $91 = HEAP32[$rank202 >> 2] | 0;
   112063     $ht2 = $91 + ($89 * 44 & -1) + 20 | 0;
   112064     $92 = HEAP32[$ht2 >> 2] | 0;
   112065     $conv204 = +($92 | 0);
   112066     $add = $88 + $conv204;
   112067     $conv205 = ~~$add;
   112068     _makeregularend($b, $arrayidx194, 4, $conv205);
   112069     $93 = +HEAPF64[$x124 >> 3];
   112070     $94 = +HEAPF64[$x126 >> 3];
   112071     $cmp211 = $93 < $94;
   112072     if ($cmp211) {
   112073       label = 27;
   112074       break;
   112075     } else {
   112076       label = 29;
   112077       break;
   112078     }
   112079    case 27:
   112080     $95 = +HEAPF64[$y110 >> 3];
   112081     $96 = +HEAPF64[$y103 >> 3];
   112082     $cmp218 = $95 < $96;
   112083     if ($cmp218) {
   112084       label = 28;
   112085       break;
   112086     } else {
   112087       label = 29;
   112088       break;
   112089     }
   112090    case 28:
   112091     $97 = HEAP32[$boxn191 >> 2] | 0;
   112092     $inc222 = $97 + 1 | 0;
   112093     HEAP32[$boxn191 >> 2] = $inc222;
   112094     $arrayidx224 = $hend + 56 + ($97 << 5) | 0;
   112095     $98 = $arrayidx224;
   112096     HEAP32[$98 >> 2] = HEAP32[$39 >> 2] | 0;
   112097     HEAP32[$98 + 4 >> 2] = HEAP32[$39 + 4 >> 2] | 0;
   112098     HEAP32[$98 + 8 >> 2] = HEAP32[$39 + 8 >> 2] | 0;
   112099     HEAP32[$98 + 12 >> 2] = HEAP32[$39 + 12 >> 2] | 0;
   112100     HEAP32[$98 + 16 >> 2] = HEAP32[$39 + 16 >> 2] | 0;
   112101     HEAP32[$98 + 20 >> 2] = HEAP32[$39 + 20 >> 2] | 0;
   112102     HEAP32[$98 + 24 >> 2] = HEAP32[$39 + 24 >> 2] | 0;
   112103     HEAP32[$98 + 28 >> 2] = HEAP32[$39 + 28 >> 2] | 0;
   112104     label = 29;
   112105     break;
   112106    case 29:
   112107     HEAPF64[$theta >> 3] = 1.5707963267948966;
   112108     HEAP8[$constrained] = 1;
   112109     _completeregularpath($P, $e_1_ph220, $e_1200, $tend, $hend, $inc149225);
   112110     if ($cmp94) {
   112111       label = 30;
   112112       break;
   112113     } else {
   112114       label = 31;
   112115       break;
   112116     }
   112117    case 30:
   112118     $call229 = _routesplines($P, $pn) | 0;
   112119     $ps_0_ph = $call229;
   112120     label = 34;
   112121     break;
   112122    case 31:
   112123     $call231 = _routepolylines($P, $pn) | 0;
   112124     if ($cmp89) {
   112125       label = 32;
   112126       break;
   112127     } else {
   112128       $ps_0_ph = $call231;
   112129       label = 34;
   112130       break;
   112131     }
   112132    case 32:
   112133     $99 = HEAP32[$pn >> 2] | 0;
   112134     $cmp235 = ($99 | 0) > 4;
   112135     if ($cmp235) {
   112136       label = 33;
   112137       break;
   112138     } else {
   112139       $ps_0 = $call231;
   112140       $106 = $99;
   112141       label = 35;
   112142       break;
   112143     }
   112144    case 33:
   112145     $arrayidx238 = $call231 + 16 | 0;
   112146     $100 = $arrayidx238;
   112147     $101 = $call231;
   112148     HEAP32[$100 >> 2] = HEAP32[$101 >> 2] | 0;
   112149     HEAP32[$100 + 4 >> 2] = HEAP32[$101 + 4 >> 2] | 0;
   112150     HEAP32[$100 + 8 >> 2] = HEAP32[$101 + 8 >> 2] | 0;
   112151     HEAP32[$100 + 12 >> 2] = HEAP32[$101 + 12 >> 2] | 0;
   112152     $arrayidx240 = $call231 + 48 | 0;
   112153     $arrayidx241 = $call231 + 32 | 0;
   112154     $102 = HEAP32[$pn >> 2] | 0;
   112155     $sub242 = $102 - 1 | 0;
   112156     $arrayidx243 = $call231 + ($sub242 << 4) | 0;
   112157     $103 = $arrayidx241;
   112158     $104 = $arrayidx243;
   112159     HEAP32[$103 >> 2] = HEAP32[$104 >> 2] | 0;
   112160     HEAP32[$103 + 4 >> 2] = HEAP32[$104 + 4 >> 2] | 0;
   112161     HEAP32[$103 + 8 >> 2] = HEAP32[$104 + 8 >> 2] | 0;
   112162     HEAP32[$103 + 12 >> 2] = HEAP32[$104 + 12 >> 2] | 0;
   112163     $105 = $arrayidx240;
   112164     _memmove($105 | 0, $104 | 0, 16);
   112165     HEAP32[$pn >> 2] = 4;
   112166     $107 = 4;
   112167     $ps_0165 = $call231;
   112168     label = 36;
   112169     break;
   112170    case 34:
   112171     $_pr = HEAP32[$pn >> 2] | 0;
   112172     $ps_0 = $ps_0_ph;
   112173     $106 = $_pr;
   112174     label = 35;
   112175     break;
   112176    case 35:
   112177     $cmp246 = ($106 | 0) == 0;
   112178     if ($cmp246) {
   112179       label = 85;
   112180       break;
   112181     } else {
   112182       $107 = $106;
   112183       $ps_0165 = $ps_0;
   112184       label = 36;
   112185       break;
   112186     }
   112187    case 36:
   112188     $108 = HEAP32[$pointn >> 2] | 0;
   112189     $add250 = $108 + $107 | 0;
   112190     $109 = HEAP32[2858] | 0;
   112191     $cmp251 = ($add250 | 0) > ($109 | 0);
   112192     if ($cmp251) {
   112193       label = 37;
   112194       break;
   112195     } else {
   112196       label = 38;
   112197       break;
   112198     }
   112199    case 37:
   112200     $mul = $add250 << 1;
   112201     HEAP32[2858] = $mul;
   112202     $110 = HEAP32[2854] | 0;
   112203     $111 = $110;
   112204     $mul255 = $add250 << 5;
   112205     $call256 = _grealloc($111, $mul255) | 0;
   112206     $112 = $call256;
   112207     HEAP32[2854] = $112;
   112208     label = 38;
   112209     break;
   112210    case 38:
   112211     $113 = HEAP32[$pn >> 2] | 0;
   112212     $cmp258186 = ($113 | 0) > 0;
   112213     if ($cmp258186) {
   112214       label = 39;
   112215       break;
   112216     } else {
   112217       label = 42;
   112218       break;
   112219     }
   112220    case 39:
   112221     $114 = HEAP32[2854] | 0;
   112222     $115 = HEAP32[$pn >> 2] | 0;
   112223     $pointn_promoted188 = HEAP32[$pointn >> 2] | 0;
   112224     $116 = ($115 | 0) > 1;
   112225     $smax236 = $116 ? $115 : 1;
   112226     $i_0187 = 0;
   112227     $inc260189 = $pointn_promoted188;
   112228     label = 40;
   112229     break;
   112230    case 40:
   112231     $inc260 = $inc260189 + 1 | 0;
   112232     $arrayidx261 = $114 + ($inc260189 << 4) | 0;
   112233     $arrayidx262 = $ps_0165 + ($i_0187 << 4) | 0;
   112234     $117 = $arrayidx261;
   112235     $118 = $arrayidx262;
   112236     HEAP32[$117 >> 2] = HEAP32[$118 >> 2] | 0;
   112237     HEAP32[$117 + 4 >> 2] = HEAP32[$118 + 4 >> 2] | 0;
   112238     HEAP32[$117 + 8 >> 2] = HEAP32[$118 + 8 >> 2] | 0;
   112239     HEAP32[$117 + 12 >> 2] = HEAP32[$118 + 12 >> 2] | 0;
   112240     $inc263 = $i_0187 + 1 | 0;
   112241     $cmp258 = ($inc263 | 0) < ($115 | 0);
   112242     if ($cmp258) {
   112243       $i_0187 = $inc263;
   112244       $inc260189 = $inc260;
   112245       label = 40;
   112246       break;
   112247     } else {
   112248       label = 41;
   112249       break;
   112250     }
   112251    case 41:
   112252     $119 = $pointn_promoted188 + $smax236 | 0;
   112253     HEAP32[$pointn >> 2] = $119;
   112254     label = 42;
   112255     break;
   112256    case 42:
   112257     $120 = HEAP32[$hn >> 2] | 0;
   112258     $list266 = $120 + 184 | 0;
   112259     $121 = HEAP32[$list266 >> 2] | 0;
   112260     $122 = HEAP32[$121 >> 2] | 0;
   112261     $123 = HEAP32[2854] | 0;
   112262     $call268 = _straight_path($122, $sl_1, $123, $pointn) | 0;
   112263     _recover_slack($e_1_ph220, $P);
   112264     $tail269 = $call268 + 16 | 0;
   112265     $124 = HEAP32[$tail269 >> 2] | 0;
   112266     $head270 = $call268 + 12 | 0;
   112267     $125 = HEAP32[$head270 >> 2] | 0;
   112268     HEAP32[$hn >> 2] = $125;
   112269     $list273 = $124 + 176 | 0;
   112270     $126 = HEAP32[$list273 >> 2] | 0;
   112271     $127 = HEAP32[$126 >> 2] | 0;
   112272     _maximal_bbox($tmp275, $sp, $124, $127, $call268);
   112273     HEAP32[$37 >> 2] = HEAP32[$63 >> 2] | 0;
   112274     HEAP32[$37 + 4 >> 2] = HEAP32[$63 + 4 >> 2] | 0;
   112275     HEAP32[$37 + 8 >> 2] = HEAP32[$63 + 8 >> 2] | 0;
   112276     HEAP32[$37 + 12 >> 2] = HEAP32[$63 + 12 >> 2] | 0;
   112277     HEAP32[$37 + 16 >> 2] = HEAP32[$63 + 16 >> 2] | 0;
   112278     HEAP32[$37 + 20 >> 2] = HEAP32[$63 + 20 >> 2] | 0;
   112279     HEAP32[$37 + 24 >> 2] = HEAP32[$63 + 24 >> 2] | 0;
   112280     HEAP32[$37 + 28 >> 2] = HEAP32[$63 + 28 >> 2] | 0;
   112281     $call276 = _spline_merge($124) | 0;
   112282     $128 = $call268;
   112283     _beginpath($P, $128, 1, $tend, $call276);
   112284     $129 = HEAP32[$boxn98 >> 2] | 0;
   112285     $sub278 = $129 - 1 | 0;
   112286     $arrayidx280 = $tend + 56 + ($sub278 << 5) | 0;
   112287     $y283 = $124 + 40 | 0;
   112288     $130 = +HEAPF64[$y283 >> 3];
   112289     $rank285 = $124 + 236 | 0;
   112290     $131 = HEAP32[$rank285 >> 2] | 0;
   112291     $graph286 = $124 + 20 | 0;
   112292     $132 = HEAP32[$graph286 >> 2] | 0;
   112293     $rank288 = $132 + 224 | 0;
   112294     $133 = HEAP32[$rank288 >> 2] | 0;
   112295     $ht1290 = $133 + ($131 * 44 & -1) + 16 | 0;
   112296     $134 = HEAP32[$ht1290 >> 2] | 0;
   112297     $conv291 = +($134 | 0);
   112298     $sub292 = $130 - $conv291;
   112299     $conv293 = ~~$sub292;
   112300     _makeregularend($b, $arrayidx280, 1, $conv293);
   112301     $135 = +HEAPF64[$x124 >> 3];
   112302     $136 = +HEAPF64[$x126 >> 3];
   112303     $cmp299 = $135 < $136;
   112304     if ($cmp299) {
   112305       label = 43;
   112306       break;
   112307     } else {
   112308       label = 45;
   112309       break;
   112310     }
   112311    case 43:
   112312     $137 = +HEAPF64[$y110 >> 3];
   112313     $138 = +HEAPF64[$y103 >> 3];
   112314     $cmp306 = $137 < $138;
   112315     if ($cmp306) {
   112316       label = 44;
   112317       break;
   112318     } else {
   112319       label = 45;
   112320       break;
   112321     }
   112322    case 44:
   112323     $139 = HEAP32[$boxn98 >> 2] | 0;
   112324     $inc310 = $139 + 1 | 0;
   112325     HEAP32[$boxn98 >> 2] = $inc310;
   112326     $arrayidx312 = $tend + 56 + ($139 << 5) | 0;
   112327     $140 = $arrayidx312;
   112328     HEAP32[$140 >> 2] = HEAP32[$39 >> 2] | 0;
   112329     HEAP32[$140 + 4 >> 2] = HEAP32[$39 + 4 >> 2] | 0;
   112330     HEAP32[$140 + 8 >> 2] = HEAP32[$39 + 8 >> 2] | 0;
   112331     HEAP32[$140 + 12 >> 2] = HEAP32[$39 + 12 >> 2] | 0;
   112332     HEAP32[$140 + 16 >> 2] = HEAP32[$39 + 16 >> 2] | 0;
   112333     HEAP32[$140 + 20 >> 2] = HEAP32[$39 + 20 >> 2] | 0;
   112334     HEAP32[$140 + 24 >> 2] = HEAP32[$39 + 24 >> 2] | 0;
   112335     HEAP32[$140 + 28 >> 2] = HEAP32[$39 + 28 >> 2] | 0;
   112336     label = 45;
   112337     break;
   112338    case 45:
   112339     HEAPF64[$theta314 >> 3] = -1.5707963267948966;
   112340     HEAP8[$constrained316] = 1;
   112341     $141 = HEAP32[$hn >> 2] | 0;
   112342     $node_type194 = $141 + 162 | 0;
   112343     $142 = HEAP8[$node_type194] | 0;
   112344     $cmp144195 = $142 << 24 >> 24 == 1;
   112345     if ($cmp144195) {
   112346       $sl_0_ph217 = $sl_1;
   112347       $si_0_ph218 = $si_1;
   112348       $e_1_ph220 = $call268;
   112349       $tn_0_ph221 = $124;
   112350       $64 = $141;
   112351       label = 20;
   112352       break;
   112353     } else {
   112354       $boxn_0_lcssa = 0;
   112355       $e_1_lcssa = $call268;
   112356       $tn_0_lcssa = $124;
   112357       $segfirst_0_ph208 = $call268;
   112358       label = 46;
   112359       break;
   112360     }
   112361    case 46:
   112362     $inc318 = $boxn_0_lcssa + 1 | 0;
   112363     $arrayidx319 = 53424 + ($boxn_0_lcssa << 5) | 0;
   112364     $rank321 = $tn_0_lcssa + 236 | 0;
   112365     $143 = HEAP32[$rank321 >> 2] | 0;
   112366     _rank_box($tmp322, $sp, $5, $143);
   112367     $144 = $arrayidx319;
   112368     $145 = $tmp322;
   112369     HEAP32[$144 >> 2] = HEAP32[$145 >> 2] | 0;
   112370     HEAP32[$144 + 4 >> 2] = HEAP32[$145 + 4 >> 2] | 0;
   112371     HEAP32[$144 + 8 >> 2] = HEAP32[$145 + 8 >> 2] | 0;
   112372     HEAP32[$144 + 12 >> 2] = HEAP32[$145 + 12 >> 2] | 0;
   112373     HEAP32[$144 + 16 >> 2] = HEAP32[$145 + 16 >> 2] | 0;
   112374     HEAP32[$144 + 20 >> 2] = HEAP32[$145 + 20 >> 2] | 0;
   112375     HEAP32[$144 + 24 >> 2] = HEAP32[$145 + 24 >> 2] | 0;
   112376     HEAP32[$144 + 28 >> 2] = HEAP32[$145 + 28 >> 2] | 0;
   112377     $146 = HEAP32[$hn >> 2] | 0;
   112378     _maximal_bbox($tmp324, $sp, $146, $e_1_lcssa, 0);
   112379     $147 = $hend;
   112380     $148 = $tmp324;
   112381     HEAP32[$147 >> 2] = HEAP32[$148 >> 2] | 0;
   112382     HEAP32[$147 + 4 >> 2] = HEAP32[$148 + 4 >> 2] | 0;
   112383     HEAP32[$147 + 8 >> 2] = HEAP32[$148 + 8 >> 2] | 0;
   112384     HEAP32[$147 + 12 >> 2] = HEAP32[$148 + 12 >> 2] | 0;
   112385     HEAP32[$147 + 16 >> 2] = HEAP32[$148 + 16 >> 2] | 0;
   112386     HEAP32[$147 + 20 >> 2] = HEAP32[$148 + 20 >> 2] | 0;
   112387     HEAP32[$147 + 24 >> 2] = HEAP32[$148 + 24 >> 2] | 0;
   112388     HEAP32[$147 + 28 >> 2] = HEAP32[$148 + 28 >> 2] | 0;
   112389     HEAP32[$39 >> 2] = HEAP32[$148 >> 2] | 0;
   112390     HEAP32[$39 + 4 >> 2] = HEAP32[$148 + 4 >> 2] | 0;
   112391     HEAP32[$39 + 8 >> 2] = HEAP32[$148 + 8 >> 2] | 0;
   112392     HEAP32[$39 + 12 >> 2] = HEAP32[$148 + 12 >> 2] | 0;
   112393     HEAP32[$39 + 16 >> 2] = HEAP32[$148 + 16 >> 2] | 0;
   112394     HEAP32[$39 + 20 >> 2] = HEAP32[$148 + 20 >> 2] | 0;
   112395     HEAP32[$39 + 24 >> 2] = HEAP32[$148 + 24 >> 2] | 0;
   112396     HEAP32[$39 + 28 >> 2] = HEAP32[$148 + 28 >> 2] | 0;
   112397     $tobool325 = ($hackflag_0 | 0) != 0;
   112398     $cond329 = $tobool325 ? $fwdedgeb : $e_1_lcssa;
   112399     $head330 = $e_1_lcssa + 12 | 0;
   112400     $149 = HEAP32[$head330 >> 2] | 0;
   112401     $call331 = _spline_merge($149) | 0;
   112402     $150 = $cond329;
   112403     _endpath($P, $150, 1, $hend, $call331);
   112404     $boxn332 = $hend + 52 | 0;
   112405     $151 = HEAP32[$boxn332 >> 2] | 0;
   112406     $sub333 = $151 - 1 | 0;
   112407     $y337 = $hend + 56 + ($sub333 << 5) + 24 | 0;
   112408     $152 = +HEAPF64[$y337 >> 3];
   112409     HEAPF64[$y103 >> 3] = $152;
   112410     $153 = HEAP32[$boxn332 >> 2] | 0;
   112411     $sub341 = $153 - 1 | 0;
   112412     $y345 = $hend + 56 + ($sub341 << 5) + 8 | 0;
   112413     $154 = +HEAPF64[$y345 >> 3];
   112414     HEAPF64[$y110 >> 3] = $154;
   112415     $155 = HEAP32[$hn >> 2] | 0;
   112416     $y350 = $155 + 40 | 0;
   112417     $156 = +HEAPF64[$y350 >> 3];
   112418     $rank352 = $155 + 236 | 0;
   112419     $157 = HEAP32[$rank352 >> 2] | 0;
   112420     $graph353 = $155 + 20 | 0;
   112421     $158 = HEAP32[$graph353 >> 2] | 0;
   112422     $rank355 = $158 + 224 | 0;
   112423     $159 = HEAP32[$rank355 >> 2] | 0;
   112424     $ht2357 = $159 + ($157 * 44 & -1) + 20 | 0;
   112425     $160 = HEAP32[$ht2357 >> 2] | 0;
   112426     $conv358 = +($160 | 0);
   112427     $add359 = $156 + $conv358;
   112428     $conv360 = ~~$add359;
   112429     _makeregularend($tmp361, $b, 4, $conv360);
   112430     $161 = $tmp361;
   112431     HEAP32[$39 >> 2] = HEAP32[$161 >> 2] | 0;
   112432     HEAP32[$39 + 4 >> 2] = HEAP32[$161 + 4 >> 2] | 0;
   112433     HEAP32[$39 + 8 >> 2] = HEAP32[$161 + 8 >> 2] | 0;
   112434     HEAP32[$39 + 12 >> 2] = HEAP32[$161 + 12 >> 2] | 0;
   112435     HEAP32[$39 + 16 >> 2] = HEAP32[$161 + 16 >> 2] | 0;
   112436     HEAP32[$39 + 20 >> 2] = HEAP32[$161 + 20 >> 2] | 0;
   112437     HEAP32[$39 + 24 >> 2] = HEAP32[$161 + 24 >> 2] | 0;
   112438     HEAP32[$39 + 28 >> 2] = HEAP32[$161 + 28 >> 2] | 0;
   112439     $162 = +HEAPF64[$x124 >> 3];
   112440     $163 = +HEAPF64[$x126 >> 3];
   112441     $cmp366 = $162 < $163;
   112442     if ($cmp366) {
   112443       label = 47;
   112444       break;
   112445     } else {
   112446       label = 49;
   112447       break;
   112448     }
   112449    case 47:
   112450     $164 = +HEAPF64[$y110 >> 3];
   112451     $165 = +HEAPF64[$y103 >> 3];
   112452     $cmp373 = $164 < $165;
   112453     if ($cmp373) {
   112454       label = 48;
   112455       break;
   112456     } else {
   112457       label = 49;
   112458       break;
   112459     }
   112460    case 48:
   112461     $166 = HEAP32[$boxn332 >> 2] | 0;
   112462     $inc377 = $166 + 1 | 0;
   112463     HEAP32[$boxn332 >> 2] = $inc377;
   112464     $arrayidx379 = $hend + 56 + ($166 << 5) | 0;
   112465     $167 = $arrayidx379;
   112466     HEAP32[$167 >> 2] = HEAP32[$39 >> 2] | 0;
   112467     HEAP32[$167 + 4 >> 2] = HEAP32[$39 + 4 >> 2] | 0;
   112468     HEAP32[$167 + 8 >> 2] = HEAP32[$39 + 8 >> 2] | 0;
   112469     HEAP32[$167 + 12 >> 2] = HEAP32[$39 + 12 >> 2] | 0;
   112470     HEAP32[$167 + 16 >> 2] = HEAP32[$39 + 16 >> 2] | 0;
   112471     HEAP32[$167 + 20 >> 2] = HEAP32[$39 + 20 >> 2] | 0;
   112472     HEAP32[$167 + 24 >> 2] = HEAP32[$39 + 24 >> 2] | 0;
   112473     HEAP32[$167 + 28 >> 2] = HEAP32[$39 + 28 >> 2] | 0;
   112474     label = 49;
   112475     break;
   112476    case 49:
   112477     _completeregularpath($P, $segfirst_0_ph208, $e_1_lcssa, $tend, $hend, $inc318);
   112478     if ($cmp94) {
   112479       label = 50;
   112480       break;
   112481     } else {
   112482       label = 51;
   112483       break;
   112484     }
   112485    case 50:
   112486     $call383 = _routesplines($P, $pn) | 0;
   112487     $ps_1 = $call383;
   112488     label = 52;
   112489     break;
   112490    case 51:
   112491     $call385 = _routepolylines($P, $pn) | 0;
   112492     $ps_1 = $call385;
   112493     label = 52;
   112494     break;
   112495    case 52:
   112496     $168 = HEAP32[$pn >> 2] | 0;
   112497     $cmp390 = ($168 | 0) > 4;
   112498     $or_cond168 = $cmp89 & $cmp390;
   112499     if ($or_cond168) {
   112500       label = 53;
   112501       break;
   112502     } else {
   112503       label = 54;
   112504       break;
   112505     }
   112506    case 53:
   112507     $arrayidx393 = $ps_1 + 16 | 0;
   112508     $169 = $arrayidx393;
   112509     $170 = $ps_1;
   112510     HEAP32[$169 >> 2] = HEAP32[$170 >> 2] | 0;
   112511     HEAP32[$169 + 4 >> 2] = HEAP32[$170 + 4 >> 2] | 0;
   112512     HEAP32[$169 + 8 >> 2] = HEAP32[$170 + 8 >> 2] | 0;
   112513     HEAP32[$169 + 12 >> 2] = HEAP32[$170 + 12 >> 2] | 0;
   112514     $arrayidx395 = $ps_1 + 48 | 0;
   112515     $arrayidx396 = $ps_1 + 32 | 0;
   112516     $171 = HEAP32[$pn >> 2] | 0;
   112517     $sub397 = $171 - 1 | 0;
   112518     $arrayidx398 = $ps_1 + ($sub397 << 4) | 0;
   112519     $172 = $arrayidx396;
   112520     $173 = $arrayidx398;
   112521     HEAP32[$172 >> 2] = HEAP32[$173 >> 2] | 0;
   112522     HEAP32[$172 + 4 >> 2] = HEAP32[$173 + 4 >> 2] | 0;
   112523     HEAP32[$172 + 8 >> 2] = HEAP32[$173 + 8 >> 2] | 0;
   112524     HEAP32[$172 + 12 >> 2] = HEAP32[$173 + 12 >> 2] | 0;
   112525     $174 = $arrayidx395;
   112526     _memmove($174 | 0, $173 | 0, 16);
   112527     HEAP32[$pn >> 2] = 4;
   112528     $175 = 4;
   112529     label = 55;
   112530     break;
   112531    case 54:
   112532     $cmp400 = ($168 | 0) == 0;
   112533     if ($cmp400) {
   112534       label = 85;
   112535       break;
   112536     } else {
   112537       $175 = $168;
   112538       label = 55;
   112539       break;
   112540     }
   112541    case 55:
   112542     $176 = HEAP32[$pointn >> 2] | 0;
   112543     $add404 = $176 + $175 | 0;
   112544     $177 = HEAP32[2858] | 0;
   112545     $cmp405 = ($add404 | 0) > ($177 | 0);
   112546     if ($cmp405) {
   112547       label = 56;
   112548       break;
   112549     } else {
   112550       label = 57;
   112551       break;
   112552     }
   112553    case 56:
   112554     $mul409 = $add404 << 1;
   112555     HEAP32[2858] = $mul409;
   112556     $178 = HEAP32[2854] | 0;
   112557     $179 = $178;
   112558     $mul410 = $add404 << 5;
   112559     $call411 = _grealloc($179, $mul410) | 0;
   112560     $180 = $call411;
   112561     HEAP32[2854] = $180;
   112562     label = 57;
   112563     break;
   112564    case 57:
   112565     $181 = HEAP32[$pn >> 2] | 0;
   112566     $cmp414183 = ($181 | 0) > 0;
   112567     if ($cmp414183) {
   112568       label = 58;
   112569       break;
   112570     } else {
   112571       label = 61;
   112572       break;
   112573     }
   112574    case 58:
   112575     $182 = HEAP32[2854] | 0;
   112576     $183 = HEAP32[$pn >> 2] | 0;
   112577     $pointn_promoted = HEAP32[$pointn >> 2] | 0;
   112578     $184 = ($183 | 0) > 1;
   112579     $smax = $184 ? $183 : 1;
   112580     $i_1184 = 0;
   112581     $inc417185 = $pointn_promoted;
   112582     label = 59;
   112583     break;
   112584    case 59:
   112585     $inc417 = $inc417185 + 1 | 0;
   112586     $arrayidx418 = $182 + ($inc417185 << 4) | 0;
   112587     $arrayidx419 = $ps_1 + ($i_1184 << 4) | 0;
   112588     $185 = $arrayidx418;
   112589     $186 = $arrayidx419;
   112590     HEAP32[$185 >> 2] = HEAP32[$186 >> 2] | 0;
   112591     HEAP32[$185 + 4 >> 2] = HEAP32[$186 + 4 >> 2] | 0;
   112592     HEAP32[$185 + 8 >> 2] = HEAP32[$186 + 8 >> 2] | 0;
   112593     HEAP32[$185 + 12 >> 2] = HEAP32[$186 + 12 >> 2] | 0;
   112594     $inc421 = $i_1184 + 1 | 0;
   112595     $cmp414 = ($inc421 | 0) < ($183 | 0);
   112596     if ($cmp414) {
   112597       $i_1184 = $inc421;
   112598       $inc417185 = $inc417;
   112599       label = 59;
   112600       break;
   112601     } else {
   112602       label = 60;
   112603       break;
   112604     }
   112605    case 60:
   112606     $187 = $pointn_promoted + $smax | 0;
   112607     HEAP32[$pointn >> 2] = $187;
   112608     label = 61;
   112609     break;
   112610    case 61:
   112611     _recover_slack($segfirst_0_ph208, $P);
   112612     if ($tobool325) {
   112613       label = 62;
   112614       break;
   112615     } else {
   112616       label = 63;
   112617       break;
   112618     }
   112619    case 62:
   112620     $head425 = $fwdedgeb + 12 | 0;
   112621     $188 = HEAP32[$head425 >> 2] | 0;
   112622     $cond429 = $188;
   112623     label = 64;
   112624     break;
   112625    case 63:
   112626     $189 = HEAP32[$head330 >> 2] | 0;
   112627     $cond429 = $189;
   112628     label = 64;
   112629     break;
   112630    case 64:
   112631     HEAP32[$hn >> 2] = $cond429;
   112632     label = 65;
   112633     break;
   112634    case 65:
   112635     $cmp431 = ($cnt | 0) == 1;
   112636     if ($cmp431) {
   112637       label = 66;
   112638       break;
   112639     } else {
   112640       label = 67;
   112641       break;
   112642     }
   112643    case 66:
   112644     $190 = HEAP32[$hn >> 2] | 0;
   112645     $191 = HEAP32[2854] | 0;
   112646     $192 = HEAP32[$pointn >> 2] | 0;
   112647     $193 = $e_0;
   112648     $194 = $190;
   112649     _clip_and_install($193, $194, $191, $192, 4216);
   112650     label = 85;
   112651     break;
   112652    case 67:
   112653     $Multisep = $sp + 12 | 0;
   112654     $195 = HEAP32[$pointn >> 2] | 0;
   112655     $sub438180 = $195 - 1 | 0;
   112656     $cmp439181 = ($sub438180 | 0) > 1;
   112657     if ($cmp439181) {
   112658       label = 68;
   112659       break;
   112660     } else {
   112661       label = 70;
   112662       break;
   112663     }
   112664    case 68:
   112665     $sub435 = $cnt - 1 | 0;
   112666     $196 = HEAP32[$Multisep >> 2] | 0;
   112667     $mul436 = Math_imul($196, $sub435);
   112668     $div = ($mul436 | 0) / 2 & -1;
   112669     $conv442 = +($div | 0);
   112670     $197 = HEAP32[2854] | 0;
   112671     $198 = HEAP32[$pointn >> 2] | 0;
   112672     $sub438 = $198 - 1 | 0;
   112673     $i_2182 = 1;
   112674     label = 69;
   112675     break;
   112676    case 69:
   112677     $x444 = $197 + ($i_2182 << 4) | 0;
   112678     $199 = +HEAPF64[$x444 >> 3];
   112679     $sub445 = $199 - $conv442;
   112680     HEAPF64[$x444 >> 3] = $sub445;
   112681     $inc447 = $i_2182 + 1 | 0;
   112682     $cmp439 = ($inc447 | 0) < ($sub438 | 0);
   112683     if ($cmp439) {
   112684       $i_2182 = $inc447;
   112685       label = 69;
   112686       break;
   112687     } else {
   112688       label = 70;
   112689       break;
   112690     }
   112691    case 70:
   112692     $200 = HEAP32[2858] | 0;
   112693     $201 = HEAP32[2856] | 0;
   112694     $cmp449 = ($200 | 0) > ($201 | 0);
   112695     if ($cmp449) {
   112696       label = 71;
   112697       break;
   112698     } else {
   112699       label = 72;
   112700       break;
   112701     }
   112702    case 71:
   112703     HEAP32[2856] = $200;
   112704     $202 = HEAP32[2852] | 0;
   112705     $203 = $202;
   112706     $mul452 = $200 << 4;
   112707     $call453 = _grealloc($203, $mul452) | 0;
   112708     $204 = $call453;
   112709     HEAP32[2852] = $204;
   112710     label = 72;
   112711     break;
   112712    case 72:
   112713     $205 = HEAP32[$pointn >> 2] | 0;
   112714     $cmp456177 = ($205 | 0) > 0;
   112715     if ($cmp456177) {
   112716       label = 73;
   112717       break;
   112718     } else {
   112719       $_lcssa176 = $205;
   112720       label = 75;
   112721       break;
   112722     }
   112723    case 73:
   112724     $206 = HEAP32[2852] | 0;
   112725     $207 = HEAP32[2854] | 0;
   112726     $208 = HEAP32[$pointn >> 2] | 0;
   112727     $i_3178 = 0;
   112728     label = 74;
   112729     break;
   112730    case 74:
   112731     $arrayidx459 = $206 + ($i_3178 << 4) | 0;
   112732     $arrayidx460 = $207 + ($i_3178 << 4) | 0;
   112733     $209 = $arrayidx459;
   112734     $210 = $arrayidx460;
   112735     HEAP32[$209 >> 2] = HEAP32[$210 >> 2] | 0;
   112736     HEAP32[$209 + 4 >> 2] = HEAP32[$210 + 4 >> 2] | 0;
   112737     HEAP32[$209 + 8 >> 2] = HEAP32[$210 + 8 >> 2] | 0;
   112738     HEAP32[$209 + 12 >> 2] = HEAP32[$210 + 12 >> 2] | 0;
   112739     $inc462 = $i_3178 + 1 | 0;
   112740     $cmp456 = ($inc462 | 0) < ($208 | 0);
   112741     if ($cmp456) {
   112742       $i_3178 = $inc462;
   112743       label = 74;
   112744       break;
   112745     } else {
   112746       $_lcssa176 = $208;
   112747       label = 75;
   112748       break;
   112749     }
   112750    case 75:
   112751     $211 = HEAP32[$hn >> 2] | 0;
   112752     $212 = HEAP32[2852] | 0;
   112753     $213 = $e_0;
   112754     $214 = $211;
   112755     _clip_and_install($213, $214, $212, $_lcssa176, 4216);
   112756     $cmp465174 = ($cnt | 0) > 1;
   112757     if ($cmp465174) {
   112758       label = 76;
   112759       break;
   112760     } else {
   112761       label = 85;
   112762       break;
   112763     }
   112764    case 76:
   112765     $215 = HEAP32[$pointn >> 2] | 0;
   112766     $sub495169 = $215 - 1 | 0;
   112767     $cmp496170 = ($sub495169 | 0) > 1;
   112768     $cmp508172 = ($215 | 0) > 0;
   112769     $sub495 = $215 - 1 | 0;
   112770     $216 = $fwdedge | 0;
   112771     $tail478 = $fwdedge + 16 | 0;
   112772     $head480 = $fwdedge + 12 | 0;
   112773     $tail_port482 = $fwdedge + 32 | 0;
   112774     $217 = $tail_port482;
   112775     $head_port486 = $fwdedge + 72 | 0;
   112776     $218 = $head_port486;
   112777     $edge_type490 = $fwdedge + 128 | 0;
   112778     $to_orig492 = $fwdedge + 132 | 0;
   112779     $j_0175 = 1;
   112780     label = 77;
   112781     break;
   112782    case 77:
   112783     $add468 = $j_0175 + $ind | 0;
   112784     $arrayidx469 = $edges + ($add468 << 2) | 0;
   112785     $219 = HEAP32[$arrayidx469 >> 2] | 0;
   112786     $tree_index471 = $219 + 180 | 0;
   112787     $220 = HEAP32[$tree_index471 >> 2] | 0;
   112788     $and472 = $220 & 32;
   112789     $tobool473 = ($and472 | 0) == 0;
   112790     if ($tobool473) {
   112791       $e_2 = $219;
   112792       label = 79;
   112793       break;
   112794     } else {
   112795       label = 78;
   112796       break;
   112797     }
   112798    case 78:
   112799     $221 = $219 | 0;
   112800     _memcpy($216 | 0, $221 | 0, 192);
   112801     $head477 = $219 + 12 | 0;
   112802     $222 = HEAP32[$head477 >> 2] | 0;
   112803     HEAP32[$tail478 >> 2] = $222;
   112804     $tail479 = $219 + 16 | 0;
   112805     $223 = HEAP32[$tail479 >> 2] | 0;
   112806     HEAP32[$head480 >> 2] = $223;
   112807     $head_port484 = $219 + 72 | 0;
   112808     $224 = $head_port484;
   112809     HEAP32[$217 >> 2] = HEAP32[$224 >> 2] | 0;
   112810     HEAP32[$217 + 4 >> 2] = HEAP32[$224 + 4 >> 2] | 0;
   112811     HEAP32[$217 + 8 >> 2] = HEAP32[$224 + 8 >> 2] | 0;
   112812     HEAP32[$217 + 12 >> 2] = HEAP32[$224 + 12 >> 2] | 0;
   112813     HEAP32[$217 + 16 >> 2] = HEAP32[$224 + 16 >> 2] | 0;
   112814     HEAP32[$217 + 20 >> 2] = HEAP32[$224 + 20 >> 2] | 0;
   112815     HEAP32[$217 + 24 >> 2] = HEAP32[$224 + 24 >> 2] | 0;
   112816     HEAP32[$217 + 28 >> 2] = HEAP32[$224 + 28 >> 2] | 0;
   112817     HEAP32[$217 + 32 >> 2] = HEAP32[$224 + 32 >> 2] | 0;
   112818     HEAP32[$217 + 36 >> 2] = HEAP32[$224 + 36 >> 2] | 0;
   112819     $tail_port488 = $219 + 32 | 0;
   112820     $225 = $tail_port488;
   112821     HEAP32[$218 >> 2] = HEAP32[$225 >> 2] | 0;
   112822     HEAP32[$218 + 4 >> 2] = HEAP32[$225 + 4 >> 2] | 0;
   112823     HEAP32[$218 + 8 >> 2] = HEAP32[$225 + 8 >> 2] | 0;
   112824     HEAP32[$218 + 12 >> 2] = HEAP32[$225 + 12 >> 2] | 0;
   112825     HEAP32[$218 + 16 >> 2] = HEAP32[$225 + 16 >> 2] | 0;
   112826     HEAP32[$218 + 20 >> 2] = HEAP32[$225 + 20 >> 2] | 0;
   112827     HEAP32[$218 + 24 >> 2] = HEAP32[$225 + 24 >> 2] | 0;
   112828     HEAP32[$218 + 28 >> 2] = HEAP32[$225 + 28 >> 2] | 0;
   112829     HEAP32[$218 + 32 >> 2] = HEAP32[$225 + 32 >> 2] | 0;
   112830     HEAP32[$218 + 36 >> 2] = HEAP32[$225 + 36 >> 2] | 0;
   112831     HEAP8[$edge_type490] = 1;
   112832     HEAP32[$to_orig492 >> 2] = $219;
   112833     $e_2 = $fwdedge;
   112834     label = 79;
   112835     break;
   112836    case 79:
   112837     if ($cmp496170) {
   112838       $i_4171 = 1;
   112839       label = 80;
   112840       break;
   112841     } else {
   112842       label = 81;
   112843       break;
   112844     }
   112845    case 80:
   112846     $226 = HEAP32[$Multisep >> 2] | 0;
   112847     $conv500 = +($226 | 0);
   112848     $227 = HEAP32[2854] | 0;
   112849     $x502 = $227 + ($i_4171 << 4) | 0;
   112850     $228 = +HEAPF64[$x502 >> 3];
   112851     $add503 = $conv500 + $228;
   112852     HEAPF64[$x502 >> 3] = $add503;
   112853     $inc505 = $i_4171 + 1 | 0;
   112854     $cmp496 = ($inc505 | 0) < ($sub495 | 0);
   112855     if ($cmp496) {
   112856       $i_4171 = $inc505;
   112857       label = 80;
   112858       break;
   112859     } else {
   112860       label = 81;
   112861       break;
   112862     }
   112863    case 81:
   112864     if ($cmp508172) {
   112865       label = 82;
   112866       break;
   112867     } else {
   112868       label = 84;
   112869       break;
   112870     }
   112871    case 82:
   112872     $229 = HEAP32[2852] | 0;
   112873     $230 = HEAP32[2854] | 0;
   112874     $i_5173 = 0;
   112875     label = 83;
   112876     break;
   112877    case 83:
   112878     $arrayidx511 = $229 + ($i_5173 << 4) | 0;
   112879     $arrayidx512 = $230 + ($i_5173 << 4) | 0;
   112880     $231 = $arrayidx511;
   112881     $232 = $arrayidx512;
   112882     HEAP32[$231 >> 2] = HEAP32[$232 >> 2] | 0;
   112883     HEAP32[$231 + 4 >> 2] = HEAP32[$232 + 4 >> 2] | 0;
   112884     HEAP32[$231 + 8 >> 2] = HEAP32[$232 + 8 >> 2] | 0;
   112885     HEAP32[$231 + 12 >> 2] = HEAP32[$232 + 12 >> 2] | 0;
   112886     $inc514 = $i_5173 + 1 | 0;
   112887     $cmp508 = ($inc514 | 0) < ($215 | 0);
   112888     if ($cmp508) {
   112889       $i_5173 = $inc514;
   112890       label = 83;
   112891       break;
   112892     } else {
   112893       label = 84;
   112894       break;
   112895     }
   112896    case 84:
   112897     $head516 = $e_2 + 12 | 0;
   112898     $233 = HEAP32[$head516 >> 2] | 0;
   112899     $234 = HEAP32[2852] | 0;
   112900     $235 = $e_2;
   112901     $236 = $233;
   112902     _clip_and_install($235, $236, $234, $215, 4216);
   112903     $inc518 = $j_0175 + 1 | 0;
   112904     $cmp465 = ($inc518 | 0) < ($cnt | 0);
   112905     if ($cmp465) {
   112906       $j_0175 = $inc518;
   112907       label = 77;
   112908       break;
   112909     } else {
   112910       label = 85;
   112911       break;
   112912     }
   112913    case 85:
   112914     STACKTOP = __stackBase__;
   112915     return;
   112916   }
   112917 }
   112918 function _edge_normalize($g) {
   112919   $g = $g | 0;
   112920   var $0 = 0, $call = 0, $tobool12 = 0, $n_0_in13 = 0, $call1 = 0, $tobool310 = 0, $e_0_in11 = 0, $e_0 = 0, $1 = 0, $call5 = 0, $tobool6 = 0, $u = 0, $spl = 0, $2 = 0, $tobool7 = 0, $call10 = 0, $tobool3 = 0, $call12 = 0, $tobool = 0, label = 0;
   112921   label = 2;
   112922   while (1) switch (label | 0) {
   112923    case 2:
   112924     $0 = $g;
   112925     $call = _agfstnode($0) | 0;
   112926     $tobool12 = ($call | 0) == 0;
   112927     if ($tobool12) {
   112928       label = 9;
   112929       break;
   112930     } else {
   112931       $n_0_in13 = $call;
   112932       label = 3;
   112933       break;
   112934     }
   112935    case 3:
   112936     $call1 = _agfstout($0, $n_0_in13) | 0;
   112937     $tobool310 = ($call1 | 0) == 0;
   112938     if ($tobool310) {
   112939       label = 8;
   112940       break;
   112941     } else {
   112942       $e_0_in11 = $call1;
   112943       label = 4;
   112944       break;
   112945     }
   112946    case 4:
   112947     $e_0 = $e_0_in11;
   112948     $1 = HEAP32[1054] | 0;
   112949     $call5 = FUNCTION_TABLE_ii[$1 & 1023]($e_0) | 0;
   112950     $tobool6 = $call5 << 24 >> 24 == 0;
   112951     if ($tobool6) {
   112952       label = 7;
   112953       break;
   112954     } else {
   112955       label = 5;
   112956       break;
   112957     }
   112958    case 5:
   112959     $u = $e_0_in11 + 24 | 0;
   112960     $spl = $u;
   112961     $2 = HEAP32[$spl >> 2] | 0;
   112962     $tobool7 = ($2 | 0) == 0;
   112963     if ($tobool7) {
   112964       label = 7;
   112965       break;
   112966     } else {
   112967       label = 6;
   112968       break;
   112969     }
   112970    case 6:
   112971     _swap_spline($2);
   112972     label = 7;
   112973     break;
   112974    case 7:
   112975     $call10 = _agnxtout($0, $e_0_in11) | 0;
   112976     $tobool3 = ($call10 | 0) == 0;
   112977     if ($tobool3) {
   112978       label = 8;
   112979       break;
   112980     } else {
   112981       $e_0_in11 = $call10;
   112982       label = 4;
   112983       break;
   112984     }
   112985    case 8:
   112986     $call12 = _agnxtnode($0, $n_0_in13) | 0;
   112987     $tobool = ($call12 | 0) == 0;
   112988     if ($tobool) {
   112989       label = 9;
   112990       break;
   112991     } else {
   112992       $n_0_in13 = $call12;
   112993       label = 3;
   112994       break;
   112995     }
   112996    case 9:
   112997     return;
   112998   }
   112999 }
   113000 function _spline_merge($n) {
   113001   $n = $n | 0;
   113002   var $node_type = 0, $0 = 0, $cmp = 0, $size = 0, $1 = 0, $cmp3 = 0, $size6 = 0, $2 = 0, $cmp7 = 0, $phitmp = 0, $3 = 0, label = 0;
   113003   label = 2;
   113004   while (1) switch (label | 0) {
   113005    case 2:
   113006     $node_type = $n + 162 | 0;
   113007     $0 = HEAP8[$node_type] | 0;
   113008     $cmp = $0 << 24 >> 24 == 1;
   113009     if ($cmp) {
   113010       label = 3;
   113011       break;
   113012     } else {
   113013       $3 = 0;
   113014       label = 5;
   113015       break;
   113016     }
   113017    case 3:
   113018     $size = $n + 180 | 0;
   113019     $1 = HEAP32[$size >> 2] | 0;
   113020     $cmp3 = ($1 | 0) > 1;
   113021     if ($cmp3) {
   113022       $3 = 1;
   113023       label = 5;
   113024       break;
   113025     } else {
   113026       label = 4;
   113027       break;
   113028     }
   113029    case 4:
   113030     $size6 = $n + 188 | 0;
   113031     $2 = HEAP32[$size6 >> 2] | 0;
   113032     $cmp7 = ($2 | 0) > 1;
   113033     $phitmp = $cmp7 & 1;
   113034     $3 = $phitmp;
   113035     label = 5;
   113036     break;
   113037    case 5:
   113038     return $3 | 0;
   113039   }
   113040   return 0;
   113041 }
   113042 function _rank_box($agg_result, $sp, $g, $r) {
   113043   $agg_result = $agg_result | 0;
   113044   $sp = $sp | 0;
   113045   $g = $g | 0;
   113046   $r = $r | 0;
   113047   var $Rank_box = 0, $0 = 0, $b_sroa_0_0__idx3 = 0, $b_sroa_0_0_copyload4 = 0.0, $b_sroa_1_8__idx8 = 0, $b_sroa_1_8_copyload9 = 0.0, $b_sroa_2_16__idx13 = 0, $b_sroa_2_16_copyload14 = 0.0, $b_sroa_3_24__idx18 = 0, $b_sroa_3_24_copyload19 = 0.0, $cmp = 0, $rank = 0, $1 = 0, $v = 0, $2 = 0, $3 = 0, $add = 0, $v7 = 0, $4 = 0, $5 = 0, $LeftBound = 0, $6 = 0, $conv = 0.0, $y = 0, $7 = 0.0, $ht2 = 0, $8 = 0, $conv16 = 0.0, $add17 = 0.0, $RightBound = 0, $9 = 0, $conv20 = 0.0, $y25 = 0, $10 = 0.0, $ht1 = 0, $11 = 0, $conv29 = 0.0, $sub = 0.0, $b_sroa_1_0 = 0.0, $b_sroa_0_0 = 0.0, $b_sroa_2_0 = 0.0, $b_sroa_3_0 = 0.0, $b_sroa_0_0__idx = 0, $b_sroa_1_8__idx5 = 0, $b_sroa_2_16__idx10 = 0, $b_sroa_3_24__idx15 = 0, label = 0;
   113048   label = 2;
   113049   while (1) switch (label | 0) {
   113050    case 2:
   113051     $Rank_box = $sp + 16 | 0;
   113052     $0 = HEAP32[$Rank_box >> 2] | 0;
   113053     $b_sroa_0_0__idx3 = $0 + ($r << 5) | 0;
   113054     $b_sroa_0_0_copyload4 = +HEAPF64[$b_sroa_0_0__idx3 >> 3];
   113055     $b_sroa_1_8__idx8 = $0 + ($r << 5) + 8 | 0;
   113056     $b_sroa_1_8_copyload9 = +HEAPF64[$b_sroa_1_8__idx8 >> 3];
   113057     $b_sroa_2_16__idx13 = $0 + ($r << 5) + 16 | 0;
   113058     $b_sroa_2_16_copyload14 = +HEAPF64[$b_sroa_2_16__idx13 >> 3];
   113059     $b_sroa_3_24__idx18 = $0 + ($r << 5) + 24 | 0;
   113060     $b_sroa_3_24_copyload19 = +HEAPF64[$b_sroa_3_24__idx18 >> 3];
   113061     $cmp = $b_sroa_0_0_copyload4 == $b_sroa_2_16_copyload14;
   113062     if ($cmp) {
   113063       label = 3;
   113064       break;
   113065     } else {
   113066       $b_sroa_3_0 = $b_sroa_3_24_copyload19;
   113067       $b_sroa_2_0 = $b_sroa_2_16_copyload14;
   113068       $b_sroa_0_0 = $b_sroa_0_0_copyload4;
   113069       $b_sroa_1_0 = $b_sroa_1_8_copyload9;
   113070       label = 4;
   113071       break;
   113072     }
   113073    case 3:
   113074     $rank = $g + 224 | 0;
   113075     $1 = HEAP32[$rank >> 2] | 0;
   113076     $v = $1 + ($r * 44 & -1) + 4 | 0;
   113077     $2 = HEAP32[$v >> 2] | 0;
   113078     $3 = HEAP32[$2 >> 2] | 0;
   113079     $add = $r + 1 | 0;
   113080     $v7 = $1 + ($add * 44 & -1) + 4 | 0;
   113081     $4 = HEAP32[$v7 >> 2] | 0;
   113082     $5 = HEAP32[$4 >> 2] | 0;
   113083     $LeftBound = $sp | 0;
   113084     $6 = HEAP32[$LeftBound >> 2] | 0;
   113085     $conv = +($6 | 0);
   113086     $y = $5 + 40 | 0;
   113087     $7 = +HEAPF64[$y >> 3];
   113088     $ht2 = $1 + ($add * 44 & -1) + 20 | 0;
   113089     $8 = HEAP32[$ht2 >> 2] | 0;
   113090     $conv16 = +($8 | 0);
   113091     $add17 = $7 + $conv16;
   113092     $RightBound = $sp + 4 | 0;
   113093     $9 = HEAP32[$RightBound >> 2] | 0;
   113094     $conv20 = +($9 | 0);
   113095     $y25 = $3 + 40 | 0;
   113096     $10 = +HEAPF64[$y25 >> 3];
   113097     $ht1 = $1 + ($r * 44 & -1) + 16 | 0;
   113098     $11 = HEAP32[$ht1 >> 2] | 0;
   113099     $conv29 = +($11 | 0);
   113100     $sub = $10 - $conv29;
   113101     HEAPF64[$b_sroa_0_0__idx3 >> 3] = $conv;
   113102     HEAPF64[$b_sroa_1_8__idx8 >> 3] = $add17;
   113103     HEAPF64[$b_sroa_2_16__idx13 >> 3] = $conv20;
   113104     HEAPF64[$b_sroa_3_24__idx18 >> 3] = $sub;
   113105     $b_sroa_3_0 = $sub;
   113106     $b_sroa_2_0 = $conv20;
   113107     $b_sroa_0_0 = $conv;
   113108     $b_sroa_1_0 = $add17;
   113109     label = 4;
   113110     break;
   113111    case 4:
   113112     $b_sroa_0_0__idx = $agg_result | 0;
   113113     HEAPF64[$b_sroa_0_0__idx >> 3] = $b_sroa_0_0;
   113114     $b_sroa_1_8__idx5 = $agg_result + 8 | 0;
   113115     HEAPF64[$b_sroa_1_8__idx5 >> 3] = $b_sroa_1_0;
   113116     $b_sroa_2_16__idx10 = $agg_result + 16 | 0;
   113117     HEAPF64[$b_sroa_2_16__idx10 >> 3] = $b_sroa_2_0;
   113118     $b_sroa_3_24__idx15 = $agg_result + 24 | 0;
   113119     HEAPF64[$b_sroa_3_24__idx15 >> 3] = $b_sroa_3_0;
   113120     return;
   113121   }
   113122 }
   113123 function _straight_len($n) {
   113124   $n = $n | 0;
   113125   var $x16 = 0, $cnt_0 = 0, $v_0 = 0, $list = 0, $0 = 0, $1 = 0, $head = 0, $2 = 0, $node_type = 0, $3 = 0, $cmp = 0, $size = 0, $4 = 0, $cmp5 = 0, $size8 = 0, $5 = 0, $cmp9 = 0, $x = 0, $6 = 0.0, $7 = 0.0, $cmp17 = 0, $inc = 0, label = 0;
   113126   label = 2;
   113127   while (1) switch (label | 0) {
   113128    case 2:
   113129     $x16 = $n + 32 | 0;
   113130     $v_0 = $n;
   113131     $cnt_0 = 0;
   113132     label = 3;
   113133     break;
   113134    case 3:
   113135     $list = $v_0 + 184 | 0;
   113136     $0 = HEAP32[$list >> 2] | 0;
   113137     $1 = HEAP32[$0 >> 2] | 0;
   113138     $head = $1 + 12 | 0;
   113139     $2 = HEAP32[$head >> 2] | 0;
   113140     $node_type = $2 + 162 | 0;
   113141     $3 = HEAP8[$node_type] | 0;
   113142     $cmp = $3 << 24 >> 24 == 1;
   113143     if ($cmp) {
   113144       label = 4;
   113145       break;
   113146     } else {
   113147       label = 7;
   113148       break;
   113149     }
   113150    case 4:
   113151     $size = $2 + 188 | 0;
   113152     $4 = HEAP32[$size >> 2] | 0;
   113153     $cmp5 = ($4 | 0) == 1;
   113154     if ($cmp5) {
   113155       label = 5;
   113156       break;
   113157     } else {
   113158       label = 7;
   113159       break;
   113160     }
   113161    case 5:
   113162     $size8 = $2 + 180 | 0;
   113163     $5 = HEAP32[$size8 >> 2] | 0;
   113164     $cmp9 = ($5 | 0) == 1;
   113165     if ($cmp9) {
   113166       label = 6;
   113167       break;
   113168     } else {
   113169       label = 7;
   113170       break;
   113171     }
   113172    case 6:
   113173     $x = $2 + 32 | 0;
   113174     $6 = +HEAPF64[$x >> 3];
   113175     $7 = +HEAPF64[$x16 >> 3];
   113176     $cmp17 = $6 != $7;
   113177     $inc = $cnt_0 + 1 | 0;
   113178     if ($cmp17) {
   113179       label = 7;
   113180       break;
   113181     } else {
   113182       $v_0 = $2;
   113183       $cnt_0 = $inc;
   113184       label = 3;
   113185       break;
   113186     }
   113187    case 7:
   113188     return $cnt_0 | 0;
   113189   }
   113190   return 0;
   113191 }
   113192 function _resize_vn($vn, $lx, $cx, $rx) {
   113193   $vn = $vn | 0;
   113194   $lx = $lx | 0;
   113195   $cx = $cx | 0;
   113196   $rx = $rx | 0;
   113197   HEAPF64[$vn + 32 >> 3] = +($cx | 0);
   113198   HEAPF64[$vn + 104 >> 3] = +($cx - $lx | 0);
   113199   HEAPF64[$vn + 112 >> 3] = +($rx - $cx | 0);
   113200   return;
   113201 }
   113202 function _swap_spline($s) {
   113203   $s = $s | 0;
   113204   var $size = 0, $0 = 0, $mul = 0, $call = 0, $1 = 0, $list1 = 0, $cmp15 = 0, $2 = 0, $sub = 0, $3 = 0, $add_ptr = 0, $cmp413 = 0, $4 = 0, $lp_018 = 0, $i_017 = 0, $olp_016 = 0, $incdec_ptr = 0, $incdec_ptr2 = 0, $inc = 0, $cmp = 0, $5 = 0, $i_114 = 0, $list7 = 0, $6 = 0, $7 = 0, $inc9 = 0, $cmp4 = 0, $8 = 0, $_lcssa = 0, $9 = 0, label = 0;
   113205   label = 2;
   113206   while (1) switch (label | 0) {
   113207    case 2:
   113208     $size = $s + 4 | 0;
   113209     $0 = HEAP32[$size >> 2] | 0;
   113210     $mul = $0 * 48 & -1;
   113211     $call = _gmalloc($mul) | 0;
   113212     $1 = $call;
   113213     $list1 = $s | 0;
   113214     $cmp15 = ($0 | 0) > 0;
   113215     if ($cmp15) {
   113216       label = 4;
   113217       break;
   113218     } else {
   113219       label = 3;
   113220       break;
   113221     }
   113222    case 3:
   113223     $2 = HEAP32[$list1 >> 2] | 0;
   113224     $_lcssa = $2;
   113225     label = 8;
   113226     break;
   113227    case 4:
   113228     $sub = $0 - 1 | 0;
   113229     $3 = HEAP32[$list1 >> 2] | 0;
   113230     $add_ptr = $3 + ($sub * 48 & -1) | 0;
   113231     $olp_016 = $add_ptr;
   113232     $i_017 = 0;
   113233     $lp_018 = $1;
   113234     label = 6;
   113235     break;
   113236    case 5:
   113237     $cmp413 = ($0 | 0) > 0;
   113238     $4 = HEAP32[$list1 >> 2] | 0;
   113239     if ($cmp413) {
   113240       $i_114 = 0;
   113241       $5 = $4;
   113242       label = 7;
   113243       break;
   113244     } else {
   113245       $_lcssa = $4;
   113246       label = 8;
   113247       break;
   113248     }
   113249    case 6:
   113250     $incdec_ptr = $olp_016 - 48 | 0;
   113251     $incdec_ptr2 = $lp_018 + 48 | 0;
   113252     _swap_bezier($olp_016, $lp_018);
   113253     $inc = $i_017 + 1 | 0;
   113254     $cmp = ($inc | 0) < ($0 | 0);
   113255     if ($cmp) {
   113256       $olp_016 = $incdec_ptr;
   113257       $i_017 = $inc;
   113258       $lp_018 = $incdec_ptr2;
   113259       label = 6;
   113260       break;
   113261     } else {
   113262       label = 5;
   113263       break;
   113264     }
   113265    case 7:
   113266     $list7 = $5 + ($i_114 * 48 & -1) | 0;
   113267     $6 = HEAP32[$list7 >> 2] | 0;
   113268     $7 = $6;
   113269     _free($7);
   113270     $inc9 = $i_114 + 1 | 0;
   113271     $cmp4 = ($inc9 | 0) < ($0 | 0);
   113272     $8 = HEAP32[$list1 >> 2] | 0;
   113273     if ($cmp4) {
   113274       $i_114 = $inc9;
   113275       $5 = $8;
   113276       label = 7;
   113277       break;
   113278     } else {
   113279       $_lcssa = $8;
   113280       label = 8;
   113281       break;
   113282     }
   113283    case 8:
   113284     $9 = $_lcssa;
   113285     _free($9);
   113286     HEAP32[$list1 >> 2] = $1;
   113287     return;
   113288   }
   113289 }
   113290 function _swap_bezier($old, $new) {
   113291   $old = $old | 0;
   113292   $new = $new | 0;
   113293   var $size = 0, $0 = 0, $mul = 0, $call = 0, $1 = 0, $cmp16 = 0, $list1 = 0, $sub = 0, $2 = 0, $add_ptr = 0, $lp_019 = 0, $i_018 = 0, $olp_017 = 0, $incdec_ptr = 0, $incdec_ptr2 = 0, $3 = 0, $4 = 0, $inc = 0, $cmp = 0, $list3 = 0, $size4 = 0, $eflag = 0, $5 = 0, $sflag = 0, $sflag5 = 0, $6 = 0, $eflag6 = 0, $sp = 0, $ep = 0, $7 = 0, $8 = 0, $ep7 = 0, $sp8 = 0, $9 = 0, $10 = 0, label = 0;
   113294   label = 2;
   113295   while (1) switch (label | 0) {
   113296    case 2:
   113297     $size = $old + 4 | 0;
   113298     $0 = HEAP32[$size >> 2] | 0;
   113299     $mul = $0 << 4;
   113300     $call = _gmalloc($mul) | 0;
   113301     $1 = $call;
   113302     $cmp16 = ($0 | 0) > 0;
   113303     if ($cmp16) {
   113304       label = 3;
   113305       break;
   113306     } else {
   113307       label = 5;
   113308       break;
   113309     }
   113310    case 3:
   113311     $list1 = $old | 0;
   113312     $sub = $0 - 1 | 0;
   113313     $2 = HEAP32[$list1 >> 2] | 0;
   113314     $add_ptr = $2 + ($sub << 4) | 0;
   113315     $olp_017 = $add_ptr;
   113316     $i_018 = 0;
   113317     $lp_019 = $1;
   113318     label = 4;
   113319     break;
   113320    case 4:
   113321     $incdec_ptr = $lp_019 + 16 | 0;
   113322     $incdec_ptr2 = $olp_017 - 16 | 0;
   113323     $3 = $lp_019;
   113324     $4 = $olp_017;
   113325     HEAP32[$3 >> 2] = HEAP32[$4 >> 2] | 0;
   113326     HEAP32[$3 + 4 >> 2] = HEAP32[$4 + 4 >> 2] | 0;
   113327     HEAP32[$3 + 8 >> 2] = HEAP32[$4 + 8 >> 2] | 0;
   113328     HEAP32[$3 + 12 >> 2] = HEAP32[$4 + 12 >> 2] | 0;
   113329     $inc = $i_018 + 1 | 0;
   113330     $cmp = ($inc | 0) < ($0 | 0);
   113331     if ($cmp) {
   113332       $olp_017 = $incdec_ptr2;
   113333       $i_018 = $inc;
   113334       $lp_019 = $incdec_ptr;
   113335       label = 4;
   113336       break;
   113337     } else {
   113338       label = 5;
   113339       break;
   113340     }
   113341    case 5:
   113342     $list3 = $new | 0;
   113343     HEAP32[$list3 >> 2] = $1;
   113344     $size4 = $new + 4 | 0;
   113345     HEAP32[$size4 >> 2] = $0;
   113346     $eflag = $old + 12 | 0;
   113347     $5 = HEAP32[$eflag >> 2] | 0;
   113348     $sflag = $new + 8 | 0;
   113349     HEAP32[$sflag >> 2] = $5;
   113350     $sflag5 = $old + 8 | 0;
   113351     $6 = HEAP32[$sflag5 >> 2] | 0;
   113352     $eflag6 = $new + 12 | 0;
   113353     HEAP32[$eflag6 >> 2] = $6;
   113354     $sp = $new + 16 | 0;
   113355     $ep = $old + 32 | 0;
   113356     $7 = $sp;
   113357     $8 = $ep;
   113358     HEAP32[$7 >> 2] = HEAP32[$8 >> 2] | 0;
   113359     HEAP32[$7 + 4 >> 2] = HEAP32[$8 + 4 >> 2] | 0;
   113360     HEAP32[$7 + 8 >> 2] = HEAP32[$8 + 8 >> 2] | 0;
   113361     HEAP32[$7 + 12 >> 2] = HEAP32[$8 + 12 >> 2] | 0;
   113362     $ep7 = $new + 32 | 0;
   113363     $sp8 = $old + 16 | 0;
   113364     $9 = $ep7;
   113365     $10 = $sp8;
   113366     HEAP32[$9 >> 2] = HEAP32[$10 >> 2] | 0;
   113367     HEAP32[$9 + 4 >> 2] = HEAP32[$10 + 4 >> 2] | 0;
   113368     HEAP32[$9 + 8 >> 2] = HEAP32[$10 + 8 >> 2] | 0;
   113369     HEAP32[$9 + 12 >> 2] = HEAP32[$10 + 12 >> 2] | 0;
   113370     return;
   113371   }
   113372 }
   113373 function _makeLineEdge($fe, $points, $hp) {
   113374   $fe = $fe | 0;
   113375   $points = $points | 0;
   113376   $hp = $hp | 0;
   113377   var $startp = 0, $endp = 0, $lp = 0, $tmp = 0, $tmp36 = 0, $tmp42 = 0, $tmp48 = 0, $edge_type38 = 0, $0 = 0, $cmp39 = 0, $e_040 = 0, $to_orig = 0, $1 = 0, $edge_type = 0, $2 = 0, $cmp = 0, $e_0_lcssa = 0, $head = 0, $3 = 0, $tail = 0, $4 = 0, $rank = 0, $5 = 0, $rank5 = 0, $6 = 0, $sub = 0, $cmp6 = 0, $sub18 = 0, $cond = 0, $graph = 0, $7 = 0, $has_labels = 0, $8 = 0, $and = 0, $tobool = 0, $tail25 = 0, $9 = 0, $10 = 0, $cmp27 = 0, $coord = 0, $p = 0, $11 = 0, $12 = 0, $coord33 = 0, $p35 = 0, $13 = 0, $14 = 0, $coord38 = 0, $p41 = 0, $15 = 0, $16 = 0, $coord44 = 0, $p47 = 0, $17 = 0, $18 = 0, $label = 0, $19 = 0, $tobool51 = 0, $dimen_sroa_0_0__idx = 0, $dimen_sroa_0_0_copyload = 0.0, $dimen_sroa_1_8__idx4 = 0, $dimen_sroa_1_8_copyload = 0.0, $graph56 = 0, $20 = 0, $rankdir = 0, $21 = 0, $and59 = 0, $tobool60 = 0, $dimen_sroa_0_0_copyload_dimen_sroa_1_8_copyload = 0.0, $dimen_sroa_1_8_copyload_dimen_sroa_0_0_copyload = 0.0, $pos = 0, $22 = 0, $23 = 0, $call = 0, $tobool68 = 0, $div = 0.0, $x70 = 0, $24 = 0.0, $add = 0.0, $div71 = 0.0, $y72 = 0, $25 = 0.0, $sub73 = 0.0, $sub77 = 0.0, $div78 = 0.0, $y79 = 0, $26 = 0.0, $add80 = 0.0, $arrayidx = 0, $27 = 0, $28 = 0, $29 = 0, $arrayidx83 = 0, $arrayidx84 = 0, $arrayidx85 = 0, $30 = 0, $31 = 0, $32 = 0, $arrayidx86 = 0, $arrayidx87 = 0, $33 = 0, $34 = 0, $35 = 0, $arrayidx89 = 0, $36 = 0, $37 = 0, $38 = 0, $arrayidx91 = 0, $arrayidx92 = 0, $39 = 0, $40 = 0, $41 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   113378   __stackBase__ = STACKTOP;
   113379   STACKTOP = STACKTOP + 112 | 0;
   113380   label = 2;
   113381   while (1) switch (label | 0) {
   113382    case 2:
   113383     $startp = __stackBase__ | 0;
   113384     $endp = __stackBase__ + 16 | 0;
   113385     $lp = __stackBase__ + 32 | 0;
   113386     $tmp = __stackBase__ + 48 | 0;
   113387     $tmp36 = __stackBase__ + 64 | 0;
   113388     $tmp42 = __stackBase__ + 80 | 0;
   113389     $tmp48 = __stackBase__ + 96 | 0;
   113390     $edge_type38 = $fe + 128 | 0;
   113391     $0 = HEAP8[$edge_type38] | 0;
   113392     $cmp39 = $0 << 24 >> 24 == 0;
   113393     if ($cmp39) {
   113394       $e_0_lcssa = $fe;
   113395       label = 4;
   113396       break;
   113397     } else {
   113398       $e_040 = $fe;
   113399       label = 3;
   113400       break;
   113401     }
   113402    case 3:
   113403     $to_orig = $e_040 + 132 | 0;
   113404     $1 = HEAP32[$to_orig >> 2] | 0;
   113405     $edge_type = $1 + 128 | 0;
   113406     $2 = HEAP8[$edge_type] | 0;
   113407     $cmp = $2 << 24 >> 24 == 0;
   113408     if ($cmp) {
   113409       $e_0_lcssa = $1;
   113410       label = 4;
   113411       break;
   113412     } else {
   113413       $e_040 = $1;
   113414       label = 3;
   113415       break;
   113416     }
   113417    case 4:
   113418     $head = $e_0_lcssa + 12 | 0;
   113419     $3 = HEAP32[$head >> 2] | 0;
   113420     $tail = $e_0_lcssa + 16 | 0;
   113421     $4 = HEAP32[$tail >> 2] | 0;
   113422     $rank = $3 + 236 | 0;
   113423     $5 = HEAP32[$rank >> 2] | 0;
   113424     $rank5 = $4 + 236 | 0;
   113425     $6 = HEAP32[$rank5 >> 2] | 0;
   113426     $sub = $5 - $6 | 0;
   113427     $cmp6 = ($sub | 0) > -1;
   113428     $sub18 = -$sub | 0;
   113429     $cond = $cmp6 ? $sub : $sub18;
   113430     if (($cond | 0) == 2) {
   113431       label = 5;
   113432       break;
   113433     } else if (($cond | 0) == 1) {
   113434       $retval_0 = 0;
   113435       label = 15;
   113436       break;
   113437     } else {
   113438       label = 6;
   113439       break;
   113440     }
   113441    case 5:
   113442     $graph = $3 + 20 | 0;
   113443     $7 = HEAP32[$graph >> 2] | 0;
   113444     $has_labels = $7 + 153 | 0;
   113445     $8 = HEAP8[$has_labels] | 0;
   113446     $and = $8 & 1;
   113447     $tobool = $and << 24 >> 24 == 0;
   113448     if ($tobool) {
   113449       label = 6;
   113450       break;
   113451     } else {
   113452       $retval_0 = 0;
   113453       label = 15;
   113454       break;
   113455     }
   113456    case 6:
   113457     $tail25 = $fe + 16 | 0;
   113458     $9 = HEAP32[$tail25 >> 2] | 0;
   113459     $10 = HEAP32[$tail >> 2] | 0;
   113460     $cmp27 = ($9 | 0) == ($10 | 0);
   113461     if ($cmp27) {
   113462       label = 7;
   113463       break;
   113464     } else {
   113465       label = 8;
   113466       break;
   113467     }
   113468    case 7:
   113469     HEAP32[$hp >> 2] = $3;
   113470     $coord = $4 + 32 | 0;
   113471     $p = $e_0_lcssa + 32 | 0;
   113472     _add_pointf3016($tmp, $coord, $p);
   113473     $11 = $startp;
   113474     $12 = $tmp;
   113475     HEAP32[$11 >> 2] = HEAP32[$12 >> 2] | 0;
   113476     HEAP32[$11 + 4 >> 2] = HEAP32[$12 + 4 >> 2] | 0;
   113477     HEAP32[$11 + 8 >> 2] = HEAP32[$12 + 8 >> 2] | 0;
   113478     HEAP32[$11 + 12 >> 2] = HEAP32[$12 + 12 >> 2] | 0;
   113479     $coord33 = $3 + 32 | 0;
   113480     $p35 = $e_0_lcssa + 72 | 0;
   113481     _add_pointf3016($tmp36, $coord33, $p35);
   113482     $13 = $endp;
   113483     $14 = $tmp36;
   113484     HEAP32[$13 >> 2] = HEAP32[$14 >> 2] | 0;
   113485     HEAP32[$13 + 4 >> 2] = HEAP32[$14 + 4 >> 2] | 0;
   113486     HEAP32[$13 + 8 >> 2] = HEAP32[$14 + 8 >> 2] | 0;
   113487     HEAP32[$13 + 12 >> 2] = HEAP32[$14 + 12 >> 2] | 0;
   113488     label = 9;
   113489     break;
   113490    case 8:
   113491     HEAP32[$hp >> 2] = $4;
   113492     $coord38 = $3 + 32 | 0;
   113493     $p41 = $e_0_lcssa + 72 | 0;
   113494     _add_pointf3016($tmp42, $coord38, $p41);
   113495     $15 = $startp;
   113496     $16 = $tmp42;
   113497     HEAP32[$15 >> 2] = HEAP32[$16 >> 2] | 0;
   113498     HEAP32[$15 + 4 >> 2] = HEAP32[$16 + 4 >> 2] | 0;
   113499     HEAP32[$15 + 8 >> 2] = HEAP32[$16 + 8 >> 2] | 0;
   113500     HEAP32[$15 + 12 >> 2] = HEAP32[$16 + 12 >> 2] | 0;
   113501     $coord44 = $4 + 32 | 0;
   113502     $p47 = $e_0_lcssa + 32 | 0;
   113503     _add_pointf3016($tmp48, $coord44, $p47);
   113504     $17 = $endp;
   113505     $18 = $tmp48;
   113506     HEAP32[$17 >> 2] = HEAP32[$18 >> 2] | 0;
   113507     HEAP32[$17 + 4 >> 2] = HEAP32[$18 + 4 >> 2] | 0;
   113508     HEAP32[$17 + 8 >> 2] = HEAP32[$18 + 8 >> 2] | 0;
   113509     HEAP32[$17 + 12 >> 2] = HEAP32[$18 + 12 >> 2] | 0;
   113510     label = 9;
   113511     break;
   113512    case 9:
   113513     $label = $e_0_lcssa + 112 | 0;
   113514     $19 = HEAP32[$label >> 2] | 0;
   113515     $tobool51 = ($19 | 0) == 0;
   113516     if ($tobool51) {
   113517       label = 14;
   113518       break;
   113519     } else {
   113520       label = 10;
   113521       break;
   113522     }
   113523    case 10:
   113524     $dimen_sroa_0_0__idx = $19 + 24 | 0;
   113525     $dimen_sroa_0_0_copyload = +HEAPF64[$dimen_sroa_0_0__idx >> 3];
   113526     $dimen_sroa_1_8__idx4 = $19 + 32 | 0;
   113527     $dimen_sroa_1_8_copyload = +HEAPF64[$dimen_sroa_1_8__idx4 >> 3];
   113528     $graph56 = $3 + 20 | 0;
   113529     $20 = HEAP32[$graph56 >> 2] | 0;
   113530     $rankdir = $20 + 156 | 0;
   113531     $21 = HEAP32[$rankdir >> 2] | 0;
   113532     $and59 = $21 & 1;
   113533     $tobool60 = ($and59 | 0) == 0;
   113534     $dimen_sroa_0_0_copyload_dimen_sroa_1_8_copyload = $tobool60 ? $dimen_sroa_0_0_copyload : $dimen_sroa_1_8_copyload;
   113535     $dimen_sroa_1_8_copyload_dimen_sroa_0_0_copyload = $tobool60 ? $dimen_sroa_1_8_copyload : $dimen_sroa_0_0_copyload;
   113536     $pos = $19 + 56 | 0;
   113537     $22 = $lp;
   113538     $23 = $pos;
   113539     HEAP32[$22 >> 2] = HEAP32[$23 >> 2] | 0;
   113540     HEAP32[$22 + 4 >> 2] = HEAP32[$23 + 4 >> 2] | 0;
   113541     HEAP32[$22 + 8 >> 2] = HEAP32[$23 + 8 >> 2] | 0;
   113542     HEAP32[$22 + 12 >> 2] = HEAP32[$23 + 12 >> 2] | 0;
   113543     $call = _leftOf($endp, $startp, $lp) | 0;
   113544     $tobool68 = ($call | 0) == 0;
   113545     $div = $dimen_sroa_0_0_copyload_dimen_sroa_1_8_copyload * .5;
   113546     $x70 = $lp | 0;
   113547     $24 = +HEAPF64[$x70 >> 3];
   113548     if ($tobool68) {
   113549       label = 12;
   113550       break;
   113551     } else {
   113552       label = 11;
   113553       break;
   113554     }
   113555    case 11:
   113556     $add = $24 + $div;
   113557     HEAPF64[$x70 >> 3] = $add;
   113558     $div71 = $dimen_sroa_1_8_copyload_dimen_sroa_0_0_copyload * .5;
   113559     $y72 = $lp + 8 | 0;
   113560     $25 = +HEAPF64[$y72 >> 3];
   113561     $sub73 = $25 - $div71;
   113562     HEAPF64[$y72 >> 3] = $sub73;
   113563     label = 13;
   113564     break;
   113565    case 12:
   113566     $sub77 = $24 - $div;
   113567     HEAPF64[$x70 >> 3] = $sub77;
   113568     $div78 = $dimen_sroa_1_8_copyload_dimen_sroa_0_0_copyload * .5;
   113569     $y79 = $lp + 8 | 0;
   113570     $26 = +HEAPF64[$y79 >> 3];
   113571     $add80 = $div78 + $26;
   113572     HEAPF64[$y79 >> 3] = $add80;
   113573     label = 13;
   113574     break;
   113575    case 13:
   113576     $arrayidx = $points + 16 | 0;
   113577     $27 = $points;
   113578     $28 = $startp;
   113579     HEAP32[$27 >> 2] = HEAP32[$28 >> 2] | 0;
   113580     HEAP32[$27 + 4 >> 2] = HEAP32[$28 + 4 >> 2] | 0;
   113581     HEAP32[$27 + 8 >> 2] = HEAP32[$28 + 8 >> 2] | 0;
   113582     HEAP32[$27 + 12 >> 2] = HEAP32[$28 + 12 >> 2] | 0;
   113583     $29 = $arrayidx;
   113584     HEAP32[$29 >> 2] = HEAP32[$28 >> 2] | 0;
   113585     HEAP32[$29 + 4 >> 2] = HEAP32[$28 + 4 >> 2] | 0;
   113586     HEAP32[$29 + 8 >> 2] = HEAP32[$28 + 8 >> 2] | 0;
   113587     HEAP32[$29 + 12 >> 2] = HEAP32[$28 + 12 >> 2] | 0;
   113588     $arrayidx83 = $points + 32 | 0;
   113589     $arrayidx84 = $points + 48 | 0;
   113590     $arrayidx85 = $points + 64 | 0;
   113591     $30 = $arrayidx85;
   113592     HEAP32[$30 >> 2] = HEAP32[$22 >> 2] | 0;
   113593     HEAP32[$30 + 4 >> 2] = HEAP32[$22 + 4 >> 2] | 0;
   113594     HEAP32[$30 + 8 >> 2] = HEAP32[$22 + 8 >> 2] | 0;
   113595     HEAP32[$30 + 12 >> 2] = HEAP32[$22 + 12 >> 2] | 0;
   113596     $31 = $arrayidx84;
   113597     HEAP32[$31 >> 2] = HEAP32[$22 >> 2] | 0;
   113598     HEAP32[$31 + 4 >> 2] = HEAP32[$22 + 4 >> 2] | 0;
   113599     HEAP32[$31 + 8 >> 2] = HEAP32[$22 + 8 >> 2] | 0;
   113600     HEAP32[$31 + 12 >> 2] = HEAP32[$22 + 12 >> 2] | 0;
   113601     $32 = $arrayidx83;
   113602     HEAP32[$32 >> 2] = HEAP32[$22 >> 2] | 0;
   113603     HEAP32[$32 + 4 >> 2] = HEAP32[$22 + 4 >> 2] | 0;
   113604     HEAP32[$32 + 8 >> 2] = HEAP32[$22 + 8 >> 2] | 0;
   113605     HEAP32[$32 + 12 >> 2] = HEAP32[$22 + 12 >> 2] | 0;
   113606     $arrayidx86 = $points + 80 | 0;
   113607     $arrayidx87 = $points + 96 | 0;
   113608     $33 = $arrayidx87;
   113609     $34 = $endp;
   113610     HEAP32[$33 >> 2] = HEAP32[$34 >> 2] | 0;
   113611     HEAP32[$33 + 4 >> 2] = HEAP32[$34 + 4 >> 2] | 0;
   113612     HEAP32[$33 + 8 >> 2] = HEAP32[$34 + 8 >> 2] | 0;
   113613     HEAP32[$33 + 12 >> 2] = HEAP32[$34 + 12 >> 2] | 0;
   113614     $35 = $arrayidx86;
   113615     HEAP32[$35 >> 2] = HEAP32[$34 >> 2] | 0;
   113616     HEAP32[$35 + 4 >> 2] = HEAP32[$34 + 4 >> 2] | 0;
   113617     HEAP32[$35 + 8 >> 2] = HEAP32[$34 + 8 >> 2] | 0;
   113618     HEAP32[$35 + 12 >> 2] = HEAP32[$34 + 12 >> 2] | 0;
   113619     $retval_0 = 7;
   113620     label = 15;
   113621     break;
   113622    case 14:
   113623     $arrayidx89 = $points + 16 | 0;
   113624     $36 = $points;
   113625     $37 = $startp;
   113626     HEAP32[$36 >> 2] = HEAP32[$37 >> 2] | 0;
   113627     HEAP32[$36 + 4 >> 2] = HEAP32[$37 + 4 >> 2] | 0;
   113628     HEAP32[$36 + 8 >> 2] = HEAP32[$37 + 8 >> 2] | 0;
   113629     HEAP32[$36 + 12 >> 2] = HEAP32[$37 + 12 >> 2] | 0;
   113630     $38 = $arrayidx89;
   113631     HEAP32[$38 >> 2] = HEAP32[$37 >> 2] | 0;
   113632     HEAP32[$38 + 4 >> 2] = HEAP32[$37 + 4 >> 2] | 0;
   113633     HEAP32[$38 + 8 >> 2] = HEAP32[$37 + 8 >> 2] | 0;
   113634     HEAP32[$38 + 12 >> 2] = HEAP32[$37 + 12 >> 2] | 0;
   113635     $arrayidx91 = $points + 48 | 0;
   113636     $arrayidx92 = $points + 32 | 0;
   113637     $39 = $arrayidx92;
   113638     $40 = $endp;
   113639     HEAP32[$39 >> 2] = HEAP32[$40 >> 2] | 0;
   113640     HEAP32[$39 + 4 >> 2] = HEAP32[$40 + 4 >> 2] | 0;
   113641     HEAP32[$39 + 8 >> 2] = HEAP32[$40 + 8 >> 2] | 0;
   113642     HEAP32[$39 + 12 >> 2] = HEAP32[$40 + 12 >> 2] | 0;
   113643     $41 = $arrayidx91;
   113644     HEAP32[$41 >> 2] = HEAP32[$40 >> 2] | 0;
   113645     HEAP32[$41 + 4 >> 2] = HEAP32[$40 + 4 >> 2] | 0;
   113646     HEAP32[$41 + 8 >> 2] = HEAP32[$40 + 8 >> 2] | 0;
   113647     HEAP32[$41 + 12 >> 2] = HEAP32[$40 + 12 >> 2] | 0;
   113648     $retval_0 = 4;
   113649     label = 15;
   113650     break;
   113651    case 15:
   113652     STACKTOP = __stackBase__;
   113653     return $retval_0 | 0;
   113654   }
   113655   return 0;
   113656 }
   113657 function _maximal_bbox($agg_result, $sp, $vn, $ie, $oe) {
   113658   $agg_result = $agg_result | 0;
   113659   $sp = $sp | 0;
   113660   $vn = $vn | 0;
   113661   $ie = $ie | 0;
   113662   $oe = $oe | 0;
   113663   var $graph = 0, $0 = 0, $x = 0, $1 = 0.0, $lw = 0, $2 = 0.0, $sub = 0.0, $sub2 = 0.0, $call = 0, $tobool = 0, $call3 = 0, $tobool4 = 0, $x7 = 0, $3 = 0.0, $Splinesep = 0, $4 = 0, $conv = 0.0, $add = 0.0, $x10 = 0, $5 = 0.0, $mval = 0, $6 = 0, $conv12 = 0.0, $add13 = 0.0, $node_type = 0, $7 = 0, $cmp = 0, $nodesep = 0, $8 = 0, $conv19 = 0.0, $div = 0.0, $add20 = 0.0, $Splinesep22 = 0, $9 = 0, $conv23 = 0.0, $add24 = 0.0, $nb_0 = 0.0, $cmp26 = 0, $b_0 = 0.0, $cmp30 = 0, $add32 = 0.0, $sub34 = 0.0, $add32_sink = 0.0, $conv33 = 0, $cmp39 = 0, $add42 = 0.0, $sub45 = 0.0, $add42_sink = 0.0, $conv43 = 0, $LeftBound = 0, $10 = 0, $cmp49 = 0, $add55 = 0.0, $sub58 = 0.0, $add55_sink = 0.0, $conv56 = 0, $rv_sroa_0_0_in = 0, $rv_sroa_0_0 = 0.0, $node_type71 = 0, $11 = 0, $cmp73 = 0, $label = 0, $12 = 0, $tobool76 = 0, $13 = 0.0, $add81 = 0.0, $14 = 0.0, $rw = 0, $15 = 0.0, $add87 = 0.0, $add88 = 0.0, $b_1 = 0.0, $call90 = 0, $tobool91 = 0, $call93 = 0, $tobool94 = 0, $x99 = 0, $16 = 0.0, $Splinesep100 = 0, $17 = 0, $conv101 = 0.0, $sub102 = 0.0, $x106 = 0, $18 = 0.0, $lw108 = 0, $19 = 0.0, $sub109 = 0.0, $node_type111 = 0, $20 = 0, $cmp113 = 0, $nodesep117 = 0, $21 = 0, $conv118 = 0.0, $div119 = 0.0, $sub120 = 0.0, $Splinesep122 = 0, $22 = 0, $conv123 = 0.0, $sub124 = 0.0, $nb_1 = 0.0, $cmp127 = 0, $b_2 = 0.0, $cmp131 = 0, $add134 = 0.0, $sub137 = 0.0, $add134_sink = 0.0, $conv135 = 0, $cmp145 = 0, $add148 = 0.0, $sub151 = 0.0, $add148_sink = 0.0, $conv149 = 0, $RightBound = 0, $23 = 0, $cmp155 = 0, $add161 = 0.0, $sub164 = 0.0, $add161_sink = 0.0, $conv162 = 0, $rv_sroa_2_0_in = 0, $rv_sroa_2_0 = 0.0, $24 = 0, $cmp179 = 0, $label183 = 0, $25 = 0, $tobool184 = 0, $rw187 = 0, $26 = 0.0, $sub190 = 0.0, $rv_sroa_2_1 = 0.0, $y = 0, $27 = 0.0, $rank = 0, $28 = 0, $rank196 = 0, $29 = 0, $ht1 = 0, $30 = 0, $conv197 = 0.0, $sub198 = 0.0, $ht2 = 0, $31 = 0, $conv209 = 0.0, $add210 = 0.0, $rv_sroa_0_0__idx = 0, $rv_sroa_1_8__idx1 = 0, $rv_sroa_2_16__idx2 = 0, $rv_sroa_3_24__idx3 = 0, label = 0;
   113664   label = 2;
   113665   while (1) switch (label | 0) {
   113666    case 2:
   113667     $graph = $vn + 20 | 0;
   113668     $0 = HEAP32[$graph >> 2] | 0;
   113669     $x = $vn + 32 | 0;
   113670     $1 = +HEAPF64[$x >> 3];
   113671     $lw = $vn + 104 | 0;
   113672     $2 = +HEAPF64[$lw >> 3];
   113673     $sub = $1 - $2;
   113674     $sub2 = $sub + -4.0;
   113675     $call = _neighbor($vn, $ie, $oe, -1) | 0;
   113676     $tobool = ($call | 0) == 0;
   113677     if ($tobool) {
   113678       label = 12;
   113679       break;
   113680     } else {
   113681       label = 3;
   113682       break;
   113683     }
   113684    case 3:
   113685     $call3 = _cl_bound($vn, $call) | 0;
   113686     $tobool4 = ($call3 | 0) == 0;
   113687     if ($tobool4) {
   113688       label = 5;
   113689       break;
   113690     } else {
   113691       label = 4;
   113692       break;
   113693     }
   113694    case 4:
   113695     $x7 = $call3 + 72 | 0;
   113696     $3 = +HEAPF64[$x7 >> 3];
   113697     $Splinesep = $sp + 8 | 0;
   113698     $4 = HEAP32[$Splinesep >> 2] | 0;
   113699     $conv = +($4 | 0);
   113700     $add = $3 + $conv;
   113701     $nb_0 = $add;
   113702     label = 8;
   113703     break;
   113704    case 5:
   113705     $x10 = $call + 32 | 0;
   113706     $5 = +HEAPF64[$x10 >> 3];
   113707     $mval = $call + 244 | 0;
   113708     $6 = HEAP32[$mval >> 2] | 0;
   113709     $conv12 = +($6 | 0);
   113710     $add13 = $5 + $conv12;
   113711     $node_type = $call + 162 | 0;
   113712     $7 = HEAP8[$node_type] | 0;
   113713     $cmp = $7 << 24 >> 24 == 0;
   113714     if ($cmp) {
   113715       label = 6;
   113716       break;
   113717     } else {
   113718       label = 7;
   113719       break;
   113720     }
   113721    case 6:
   113722     $nodesep = $0 + 260 | 0;
   113723     $8 = HEAP32[$nodesep >> 2] | 0;
   113724     $conv19 = +($8 | 0);
   113725     $div = $conv19 * .5;
   113726     $add20 = $add13 + $div;
   113727     $nb_0 = $add20;
   113728     label = 8;
   113729     break;
   113730    case 7:
   113731     $Splinesep22 = $sp + 8 | 0;
   113732     $9 = HEAP32[$Splinesep22 >> 2] | 0;
   113733     $conv23 = +($9 | 0);
   113734     $add24 = $add13 + $conv23;
   113735     $nb_0 = $add24;
   113736     label = 8;
   113737     break;
   113738    case 8:
   113739     $cmp26 = $nb_0 < $sub2;
   113740     $b_0 = $cmp26 ? $nb_0 : $sub2;
   113741     $cmp30 = $b_0 < 0.0;
   113742     if ($cmp30) {
   113743       label = 10;
   113744       break;
   113745     } else {
   113746       label = 9;
   113747       break;
   113748     }
   113749    case 9:
   113750     $add32 = $b_0 + .5;
   113751     $add32_sink = $add32;
   113752     label = 11;
   113753     break;
   113754    case 10:
   113755     $sub34 = $b_0 + -.5;
   113756     $add32_sink = $sub34;
   113757     label = 11;
   113758     break;
   113759    case 11:
   113760     $conv33 = ~~$add32_sink;
   113761     $rv_sroa_0_0_in = $conv33;
   113762     label = 20;
   113763     break;
   113764    case 12:
   113765     $cmp39 = $sub2 >= 0.0;
   113766     if ($cmp39) {
   113767       label = 13;
   113768       break;
   113769     } else {
   113770       label = 14;
   113771       break;
   113772     }
   113773    case 13:
   113774     $add42 = $sub2 + .5;
   113775     $add42_sink = $add42;
   113776     label = 15;
   113777     break;
   113778    case 14:
   113779     $sub45 = $sub2 + -.5;
   113780     $add42_sink = $sub45;
   113781     label = 15;
   113782     break;
   113783    case 15:
   113784     $conv43 = ~~$add42_sink;
   113785     $LeftBound = $sp | 0;
   113786     $10 = HEAP32[$LeftBound >> 2] | 0;
   113787     $cmp49 = ($conv43 | 0) < ($10 | 0);
   113788     if ($cmp49) {
   113789       label = 16;
   113790       break;
   113791     } else {
   113792       $rv_sroa_0_0_in = $10;
   113793       label = 20;
   113794       break;
   113795     }
   113796    case 16:
   113797     if ($cmp39) {
   113798       label = 17;
   113799       break;
   113800     } else {
   113801       label = 18;
   113802       break;
   113803     }
   113804    case 17:
   113805     $add55 = $sub2 + .5;
   113806     $add55_sink = $add55;
   113807     label = 19;
   113808     break;
   113809    case 18:
   113810     $sub58 = $sub2 + -.5;
   113811     $add55_sink = $sub58;
   113812     label = 19;
   113813     break;
   113814    case 19:
   113815     $conv56 = ~~$add55_sink;
   113816     $rv_sroa_0_0_in = $conv56;
   113817     label = 20;
   113818     break;
   113819    case 20:
   113820     $rv_sroa_0_0 = +($rv_sroa_0_0_in | 0);
   113821     $node_type71 = $vn + 162 | 0;
   113822     $11 = HEAP8[$node_type71] | 0;
   113823     $cmp73 = $11 << 24 >> 24 == 1;
   113824     if ($cmp73) {
   113825       label = 21;
   113826       break;
   113827     } else {
   113828       label = 23;
   113829       break;
   113830     }
   113831    case 21:
   113832     $label = $vn + 120 | 0;
   113833     $12 = HEAP32[$label >> 2] | 0;
   113834     $tobool76 = ($12 | 0) == 0;
   113835     if ($tobool76) {
   113836       label = 23;
   113837       break;
   113838     } else {
   113839       label = 22;
   113840       break;
   113841     }
   113842    case 22:
   113843     $13 = +HEAPF64[$x >> 3];
   113844     $add81 = $13 + 10.0;
   113845     $b_1 = $add81;
   113846     label = 24;
   113847     break;
   113848    case 23:
   113849     $14 = +HEAPF64[$x >> 3];
   113850     $rw = $vn + 112 | 0;
   113851     $15 = +HEAPF64[$rw >> 3];
   113852     $add87 = $14 + $15;
   113853     $add88 = $add87 + 4.0;
   113854     $b_1 = $add88;
   113855     label = 24;
   113856     break;
   113857    case 24:
   113858     $call90 = _neighbor($vn, $ie, $oe, 1) | 0;
   113859     $tobool91 = ($call90 | 0) == 0;
   113860     if ($tobool91) {
   113861       label = 34;
   113862       break;
   113863     } else {
   113864       label = 25;
   113865       break;
   113866     }
   113867    case 25:
   113868     $call93 = _cl_bound($vn, $call90) | 0;
   113869     $tobool94 = ($call93 | 0) == 0;
   113870     if ($tobool94) {
   113871       label = 27;
   113872       break;
   113873     } else {
   113874       label = 26;
   113875       break;
   113876     }
   113877    case 26:
   113878     $x99 = $call93 + 56 | 0;
   113879     $16 = +HEAPF64[$x99 >> 3];
   113880     $Splinesep100 = $sp + 8 | 0;
   113881     $17 = HEAP32[$Splinesep100 >> 2] | 0;
   113882     $conv101 = +($17 | 0);
   113883     $sub102 = $16 - $conv101;
   113884     $nb_1 = $sub102;
   113885     label = 30;
   113886     break;
   113887    case 27:
   113888     $x106 = $call90 + 32 | 0;
   113889     $18 = +HEAPF64[$x106 >> 3];
   113890     $lw108 = $call90 + 104 | 0;
   113891     $19 = +HEAPF64[$lw108 >> 3];
   113892     $sub109 = $18 - $19;
   113893     $node_type111 = $call90 + 162 | 0;
   113894     $20 = HEAP8[$node_type111] | 0;
   113895     $cmp113 = $20 << 24 >> 24 == 0;
   113896     if ($cmp113) {
   113897       label = 28;
   113898       break;
   113899     } else {
   113900       label = 29;
   113901       break;
   113902     }
   113903    case 28:
   113904     $nodesep117 = $0 + 260 | 0;
   113905     $21 = HEAP32[$nodesep117 >> 2] | 0;
   113906     $conv118 = +($21 | 0);
   113907     $div119 = $conv118 * .5;
   113908     $sub120 = $sub109 - $div119;
   113909     $nb_1 = $sub120;
   113910     label = 30;
   113911     break;
   113912    case 29:
   113913     $Splinesep122 = $sp + 8 | 0;
   113914     $22 = HEAP32[$Splinesep122 >> 2] | 0;
   113915     $conv123 = +($22 | 0);
   113916     $sub124 = $sub109 - $conv123;
   113917     $nb_1 = $sub124;
   113918     label = 30;
   113919     break;
   113920    case 30:
   113921     $cmp127 = $nb_1 > $b_1;
   113922     $b_2 = $cmp127 ? $nb_1 : $b_1;
   113923     $cmp131 = $b_2 < 0.0;
   113924     if ($cmp131) {
   113925       label = 32;
   113926       break;
   113927     } else {
   113928       label = 31;
   113929       break;
   113930     }
   113931    case 31:
   113932     $add134 = $b_2 + .5;
   113933     $add134_sink = $add134;
   113934     label = 33;
   113935     break;
   113936    case 32:
   113937     $sub137 = $b_2 + -.5;
   113938     $add134_sink = $sub137;
   113939     label = 33;
   113940     break;
   113941    case 33:
   113942     $conv135 = ~~$add134_sink;
   113943     $rv_sroa_2_0_in = $conv135;
   113944     label = 42;
   113945     break;
   113946    case 34:
   113947     $cmp145 = $b_1 >= 0.0;
   113948     if ($cmp145) {
   113949       label = 35;
   113950       break;
   113951     } else {
   113952       label = 36;
   113953       break;
   113954     }
   113955    case 35:
   113956     $add148 = $b_1 + .5;
   113957     $add148_sink = $add148;
   113958     label = 37;
   113959     break;
   113960    case 36:
   113961     $sub151 = $b_1 + -.5;
   113962     $add148_sink = $sub151;
   113963     label = 37;
   113964     break;
   113965    case 37:
   113966     $conv149 = ~~$add148_sink;
   113967     $RightBound = $sp + 4 | 0;
   113968     $23 = HEAP32[$RightBound >> 2] | 0;
   113969     $cmp155 = ($conv149 | 0) > ($23 | 0);
   113970     if ($cmp155) {
   113971       label = 38;
   113972       break;
   113973     } else {
   113974       $rv_sroa_2_0_in = $23;
   113975       label = 42;
   113976       break;
   113977     }
   113978    case 38:
   113979     if ($cmp145) {
   113980       label = 39;
   113981       break;
   113982     } else {
   113983       label = 40;
   113984       break;
   113985     }
   113986    case 39:
   113987     $add161 = $b_1 + .5;
   113988     $add161_sink = $add161;
   113989     label = 41;
   113990     break;
   113991    case 40:
   113992     $sub164 = $b_1 + -.5;
   113993     $add161_sink = $sub164;
   113994     label = 41;
   113995     break;
   113996    case 41:
   113997     $conv162 = ~~$add161_sink;
   113998     $rv_sroa_2_0_in = $conv162;
   113999     label = 42;
   114000     break;
   114001    case 42:
   114002     $rv_sroa_2_0 = +($rv_sroa_2_0_in | 0);
   114003     $24 = HEAP8[$node_type71] | 0;
   114004     $cmp179 = $24 << 24 >> 24 == 1;
   114005     if ($cmp179) {
   114006       label = 43;
   114007       break;
   114008     } else {
   114009       $rv_sroa_2_1 = $rv_sroa_2_0;
   114010       label = 45;
   114011       break;
   114012     }
   114013    case 43:
   114014     $label183 = $vn + 120 | 0;
   114015     $25 = HEAP32[$label183 >> 2] | 0;
   114016     $tobool184 = ($25 | 0) == 0;
   114017     if ($tobool184) {
   114018       $rv_sroa_2_1 = $rv_sroa_2_0;
   114019       label = 45;
   114020       break;
   114021     } else {
   114022       label = 44;
   114023       break;
   114024     }
   114025    case 44:
   114026     $rw187 = $vn + 112 | 0;
   114027     $26 = +HEAPF64[$rw187 >> 3];
   114028     $sub190 = $rv_sroa_2_0 - $26;
   114029     $rv_sroa_2_1 = $sub190;
   114030     label = 45;
   114031     break;
   114032    case 45:
   114033     $y = $vn + 40 | 0;
   114034     $27 = +HEAPF64[$y >> 3];
   114035     $rank = $vn + 236 | 0;
   114036     $28 = HEAP32[$rank >> 2] | 0;
   114037     $rank196 = $0 + 224 | 0;
   114038     $29 = HEAP32[$rank196 >> 2] | 0;
   114039     $ht1 = $29 + ($28 * 44 & -1) + 16 | 0;
   114040     $30 = HEAP32[$ht1 >> 2] | 0;
   114041     $conv197 = +($30 | 0);
   114042     $sub198 = $27 - $conv197;
   114043     $ht2 = $29 + ($28 * 44 & -1) + 20 | 0;
   114044     $31 = HEAP32[$ht2 >> 2] | 0;
   114045     $conv209 = +($31 | 0);
   114046     $add210 = $27 + $conv209;
   114047     $rv_sroa_0_0__idx = $agg_result | 0;
   114048     HEAPF64[$rv_sroa_0_0__idx >> 3] = $rv_sroa_0_0;
   114049     $rv_sroa_1_8__idx1 = $agg_result + 8 | 0;
   114050     HEAPF64[$rv_sroa_1_8__idx1 >> 3] = $sub198;
   114051     $rv_sroa_2_16__idx2 = $agg_result + 16 | 0;
   114052     HEAPF64[$rv_sroa_2_16__idx2 >> 3] = $rv_sroa_2_1;
   114053     $rv_sroa_3_24__idx3 = $agg_result + 24 | 0;
   114054     HEAPF64[$rv_sroa_3_24__idx3 >> 3] = $add210;
   114055     return;
   114056   }
   114057 }
   114058 function _makeregularend($agg_result, $b, $side, $y) {
   114059   $agg_result = $agg_result | 0;
   114060   $b = $b | 0;
   114061   $side = $side | 0;
   114062   $y = $y | 0;
   114063   var $newb = 0, $x = 0, $0 = 0.0, $conv = 0.0, $x1 = 0, $1 = 0.0, $y3 = 0, $2 = 0.0, $x6 = 0, $3 = 0.0, $y8 = 0, $4 = 0.0, $x10 = 0, $5 = 0.0, $conv11 = 0.0, $6 = 0, $7 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   114064   __stackBase__ = STACKTOP;
   114065   STACKTOP = STACKTOP + 32 | 0;
   114066   tempParam = $b;
   114067   $b = STACKTOP;
   114068   STACKTOP = STACKTOP + 32 | 0;
   114069   _memcpy($b, tempParam, 32);
   114070   label = 2;
   114071   while (1) switch (label | 0) {
   114072    case 2:
   114073     $newb = __stackBase__ | 0;
   114074     if (($side | 0) == 1) {
   114075       label = 3;
   114076       break;
   114077     } else if (($side | 0) == 4) {
   114078       label = 4;
   114079       break;
   114080     } else {
   114081       label = 5;
   114082       break;
   114083     }
   114084    case 3:
   114085     $x = $b | 0;
   114086     $0 = +HEAPF64[$x >> 3];
   114087     $conv = +($y | 0);
   114088     $x1 = $b + 16 | 0;
   114089     $1 = +HEAPF64[$x1 >> 3];
   114090     $y3 = $b + 8 | 0;
   114091     $2 = +HEAPF64[$y3 >> 3];
   114092     _boxfof3015($newb, $0, $conv, $1, $2);
   114093     label = 5;
   114094     break;
   114095    case 4:
   114096     $x6 = $b | 0;
   114097     $3 = +HEAPF64[$x6 >> 3];
   114098     $y8 = $b + 24 | 0;
   114099     $4 = +HEAPF64[$y8 >> 3];
   114100     $x10 = $b + 16 | 0;
   114101     $5 = +HEAPF64[$x10 >> 3];
   114102     $conv11 = +($y | 0);
   114103     _boxfof3015($newb, $3, $4, $5, $conv11);
   114104     label = 5;
   114105     break;
   114106    case 5:
   114107     $6 = $agg_result;
   114108     $7 = $newb;
   114109     HEAP32[$6 >> 2] = HEAP32[$7 >> 2] | 0;
   114110     HEAP32[$6 + 4 >> 2] = HEAP32[$7 + 4 >> 2] | 0;
   114111     HEAP32[$6 + 8 >> 2] = HEAP32[$7 + 8 >> 2] | 0;
   114112     HEAP32[$6 + 12 >> 2] = HEAP32[$7 + 12 >> 2] | 0;
   114113     HEAP32[$6 + 16 >> 2] = HEAP32[$7 + 16 >> 2] | 0;
   114114     HEAP32[$6 + 20 >> 2] = HEAP32[$7 + 20 >> 2] | 0;
   114115     HEAP32[$6 + 24 >> 2] = HEAP32[$7 + 24 >> 2] | 0;
   114116     HEAP32[$6 + 28 >> 2] = HEAP32[$7 + 28 >> 2] | 0;
   114117     STACKTOP = __stackBase__;
   114118     return;
   114119   }
   114120 }
   114121 function _completeregularpath($P, $first, $last, $tendp, $hendp, $boxn) {
   114122   $P = $P | 0;
   114123   $first = $first | 0;
   114124   $last = $last | 0;
   114125   $tendp = $tendp | 0;
   114126   $hendp = $hendp | 0;
   114127   $boxn = $boxn | 0;
   114128   var $call = 0, $call1 = 0, $tobool = 0, $0 = 0, $call2 = 0, $tobool6 = 0, $1 = 0, $call8 = 0, $call16 = 0, $call17 = 0, $tobool18 = 0, $2 = 0, $call20 = 0, $tobool31 = 0, $3 = 0, $call33 = 0, $boxn45 = 0, $4 = 0, $cmp6 = 0, $i_07 = 0, $arrayidx47 = 0, $inc = 0, $5 = 0, $cmp = 0, $nbox = 0, $6 = 0, $add = 0, $add48 = 0, $sub49 = 0, $cmp514 = 0, $i_15 = 0, $arrayidx53 = 0, $inc55 = 0, $cmp51 = 0, $boxn57 = 0, $7 = 0, $cmp602 = 0, $i_23_in = 0, $i_23 = 0, $arrayidx63 = 0, $cmp60 = 0, label = 0;
   114129   label = 2;
   114130   while (1) switch (label | 0) {
   114131    case 2:
   114132     $call = _top_bound($first, -1) | 0;
   114133     $call1 = _top_bound($first, 1) | 0;
   114134     $tobool = ($call | 0) == 0;
   114135     if ($tobool) {
   114136       label = 4;
   114137       break;
   114138     } else {
   114139       label = 3;
   114140       break;
   114141     }
   114142    case 3:
   114143     $0 = $call;
   114144     $call2 = _getsplinepoints($0) | 0;
   114145     label = 4;
   114146     break;
   114147    case 4:
   114148     $tobool6 = ($call1 | 0) == 0;
   114149     if ($tobool6) {
   114150       label = 6;
   114151       break;
   114152     } else {
   114153       label = 5;
   114154       break;
   114155     }
   114156    case 5:
   114157     $1 = $call1;
   114158     $call8 = _getsplinepoints($1) | 0;
   114159     label = 6;
   114160     break;
   114161    case 6:
   114162     $call16 = _bot_bound($last, -1) | 0;
   114163     $call17 = _bot_bound($last, 1) | 0;
   114164     $tobool18 = ($call16 | 0) == 0;
   114165     if ($tobool18) {
   114166       label = 8;
   114167       break;
   114168     } else {
   114169       label = 7;
   114170       break;
   114171     }
   114172    case 7:
   114173     $2 = $call16;
   114174     $call20 = _getsplinepoints($2) | 0;
   114175     label = 8;
   114176     break;
   114177    case 8:
   114178     $tobool31 = ($call17 | 0) == 0;
   114179     if ($tobool31) {
   114180       label = 10;
   114181       break;
   114182     } else {
   114183       label = 9;
   114184       break;
   114185     }
   114186    case 9:
   114187     $3 = $call17;
   114188     $call33 = _getsplinepoints($3) | 0;
   114189     label = 10;
   114190     break;
   114191    case 10:
   114192     $boxn45 = $tendp + 52 | 0;
   114193     $4 = HEAP32[$boxn45 >> 2] | 0;
   114194     $cmp6 = ($4 | 0) > 0;
   114195     if ($cmp6) {
   114196       $i_07 = 0;
   114197       label = 11;
   114198       break;
   114199     } else {
   114200       label = 12;
   114201       break;
   114202     }
   114203    case 11:
   114204     $arrayidx47 = $tendp + 56 + ($i_07 << 5) | 0;
   114205     _add_box($P, $arrayidx47);
   114206     $inc = $i_07 + 1 | 0;
   114207     $5 = HEAP32[$boxn45 >> 2] | 0;
   114208     $cmp = ($inc | 0) < ($5 | 0);
   114209     if ($cmp) {
   114210       $i_07 = $inc;
   114211       label = 11;
   114212       break;
   114213     } else {
   114214       label = 12;
   114215       break;
   114216     }
   114217    case 12:
   114218     $nbox = $P + 80 | 0;
   114219     $6 = HEAP32[$nbox >> 2] | 0;
   114220     $add = $6 + 1 | 0;
   114221     $add48 = $boxn - 3 | 0;
   114222     $sub49 = $add48 + $add | 0;
   114223     $cmp514 = ($boxn | 0) > 0;
   114224     if ($cmp514) {
   114225       $i_15 = 0;
   114226       label = 13;
   114227       break;
   114228     } else {
   114229       label = 14;
   114230       break;
   114231     }
   114232    case 13:
   114233     $arrayidx53 = 53424 + ($i_15 << 5) | 0;
   114234     _add_box($P, $arrayidx53);
   114235     $inc55 = $i_15 + 1 | 0;
   114236     $cmp51 = ($inc55 | 0) < ($boxn | 0);
   114237     if ($cmp51) {
   114238       $i_15 = $inc55;
   114239       label = 13;
   114240       break;
   114241     } else {
   114242       label = 14;
   114243       break;
   114244     }
   114245    case 14:
   114246     $boxn57 = $hendp + 52 | 0;
   114247     $7 = HEAP32[$boxn57 >> 2] | 0;
   114248     $cmp602 = ($7 | 0) > 0;
   114249     if ($cmp602) {
   114250       $i_23_in = $7;
   114251       label = 15;
   114252       break;
   114253     } else {
   114254       label = 16;
   114255       break;
   114256     }
   114257    case 15:
   114258     $i_23 = $i_23_in - 1 | 0;
   114259     $arrayidx63 = $hendp + 56 + ($i_23 << 5) | 0;
   114260     _add_box($P, $arrayidx63);
   114261     $cmp60 = ($i_23 | 0) > 0;
   114262     if ($cmp60) {
   114263       $i_23_in = $i_23;
   114264       label = 15;
   114265       break;
   114266     } else {
   114267       label = 16;
   114268       break;
   114269     }
   114270    case 16:
   114271     _adjustregularpath($P, $add, $sub49);
   114272     return;
   114273   }
   114274 }
   114275 function _straight_path($e, $cnt, $plist, $np) {
   114276   $e = $e | 0;
   114277   $cnt = $cnt | 0;
   114278   $plist = $plist | 0;
   114279   $np = $np | 0;
   114280   var $0 = 0, $tobool11 = 0, $f_013 = 0, $cnt_addr_012 = 0, $dec = 0, $head = 0, $1 = 0, $list = 0, $2 = 0, $3 = 0, $tobool = 0, $f_0_lcssa = 0, $4 = 0, $inc = 0, $arrayidx1 = 0, $sub = 0, $arrayidx2 = 0, $5 = 0, $6 = 0, $7 = 0, $inc3 = 0, $arrayidx4 = 0, $8 = 0, $9 = 0, $arrayidx7 = 0, $tail = 0, $10 = 0, $coord = 0, $11 = 0, $12 = 0, label = 0;
   114281   label = 2;
   114282   while (1) switch (label | 0) {
   114283    case 2:
   114284     $0 = HEAP32[$np >> 2] | 0;
   114285     $tobool11 = ($cnt | 0) == 0;
   114286     if ($tobool11) {
   114287       $f_0_lcssa = $e;
   114288       label = 4;
   114289       break;
   114290     } else {
   114291       $cnt_addr_012 = $cnt;
   114292       $f_013 = $e;
   114293       label = 3;
   114294       break;
   114295     }
   114296    case 3:
   114297     $dec = $cnt_addr_012 - 1 | 0;
   114298     $head = $f_013 + 12 | 0;
   114299     $1 = HEAP32[$head >> 2] | 0;
   114300     $list = $1 + 184 | 0;
   114301     $2 = HEAP32[$list >> 2] | 0;
   114302     $3 = HEAP32[$2 >> 2] | 0;
   114303     $tobool = ($dec | 0) == 0;
   114304     if ($tobool) {
   114305       $f_0_lcssa = $3;
   114306       label = 4;
   114307       break;
   114308     } else {
   114309       $cnt_addr_012 = $dec;
   114310       $f_013 = $3;
   114311       label = 3;
   114312       break;
   114313     }
   114314    case 4:
   114315     $4 = HEAP32[$np >> 2] | 0;
   114316     $inc = $4 + 1 | 0;
   114317     HEAP32[$np >> 2] = $inc;
   114318     $arrayidx1 = $plist + ($4 << 4) | 0;
   114319     $sub = $0 - 1 | 0;
   114320     $arrayidx2 = $plist + ($sub << 4) | 0;
   114321     $5 = $arrayidx1;
   114322     $6 = $arrayidx2;
   114323     HEAP32[$5 >> 2] = HEAP32[$6 >> 2] | 0;
   114324     HEAP32[$5 + 4 >> 2] = HEAP32[$6 + 4 >> 2] | 0;
   114325     HEAP32[$5 + 8 >> 2] = HEAP32[$6 + 8 >> 2] | 0;
   114326     HEAP32[$5 + 12 >> 2] = HEAP32[$6 + 12 >> 2] | 0;
   114327     $7 = HEAP32[$np >> 2] | 0;
   114328     $inc3 = $7 + 1 | 0;
   114329     HEAP32[$np >> 2] = $inc3;
   114330     $arrayidx4 = $plist + ($7 << 4) | 0;
   114331     $8 = $arrayidx4;
   114332     HEAP32[$8 >> 2] = HEAP32[$6 >> 2] | 0;
   114333     HEAP32[$8 + 4 >> 2] = HEAP32[$6 + 4 >> 2] | 0;
   114334     HEAP32[$8 + 8 >> 2] = HEAP32[$6 + 8 >> 2] | 0;
   114335     HEAP32[$8 + 12 >> 2] = HEAP32[$6 + 12 >> 2] | 0;
   114336     $9 = HEAP32[$np >> 2] | 0;
   114337     $arrayidx7 = $plist + ($9 << 4) | 0;
   114338     $tail = $f_0_lcssa + 16 | 0;
   114339     $10 = HEAP32[$tail >> 2] | 0;
   114340     $coord = $10 + 32 | 0;
   114341     $11 = $arrayidx7;
   114342     $12 = $coord;
   114343     HEAP32[$11 >> 2] = HEAP32[$12 >> 2] | 0;
   114344     HEAP32[$11 + 4 >> 2] = HEAP32[$12 + 4 >> 2] | 0;
   114345     HEAP32[$11 + 8 >> 2] = HEAP32[$12 + 8 >> 2] | 0;
   114346     HEAP32[$11 + 12 >> 2] = HEAP32[$12 + 12 >> 2] | 0;
   114347     return $f_0_lcssa | 0;
   114348   }
   114349   return 0;
   114350 }
   114351 function _recover_slack($e, $p) {
   114352   $e = $e | 0;
   114353   $p = $p | 0;
   114354   var $vn_0_in24 = 0, $vn_025 = 0, $node_type26 = 0, $0 = 0, $cmp27 = 0, $boxes = 0, $nbox = 0, $boxes13 = 0, $vn_029 = 0, $b_028 = 0, $1 = 0, $call = 0, $lnot = 0, $2 = 0, $y6 = 0, $b_1 = 0, $cmp2 = 0, $3 = 0, $y = 0, $4 = 0.0, $5 = 0.0, $cmp7 = 0, $inc = 0, $6 = 0, $cmp11 = 0, $7 = 0, $y15 = 0, $8 = 0.0, $y18 = 0, $9 = 0.0, $cmp19 = 0, $label = 0, $10 = 0, $tobool24 = 0, $x = 0, $11 = 0.0, $conv29 = 0, $x53 = 0, $12 = 0.0, $conv34 = 0, $rw = 0, $13 = 0.0, $add = 0.0, $conv40 = 0, $add54 = 0.0, $div = 0.0, $conv55 = 0, $conv60 = 0, $list = 0, $14 = 0, $15 = 0, $vn_0_in = 0, $vn_0 = 0, $node_type = 0, $16 = 0, $cmp = 0, label = 0;
   114355   label = 2;
   114356   while (1) switch (label | 0) {
   114357    case 2:
   114358     $vn_0_in24 = $e + 12 | 0;
   114359     $vn_025 = HEAP32[$vn_0_in24 >> 2] | 0;
   114360     $node_type26 = $vn_025 + 162 | 0;
   114361     $0 = HEAP8[$node_type26] | 0;
   114362     $cmp27 = $0 << 24 >> 24 == 1;
   114363     if ($cmp27) {
   114364       label = 3;
   114365       break;
   114366     } else {
   114367       label = 14;
   114368       break;
   114369     }
   114370    case 3:
   114371     $boxes = $p + 84 | 0;
   114372     $nbox = $p + 80 | 0;
   114373     $boxes13 = $p + 84 | 0;
   114374     $b_028 = 0;
   114375     $vn_029 = $vn_025;
   114376     label = 4;
   114377     break;
   114378    case 4:
   114379     $1 = HEAP32[1055] | 0;
   114380     $call = FUNCTION_TABLE_ii[$1 & 1023]($vn_029) | 0;
   114381     $lnot = $call << 24 >> 24 == 0;
   114382     if ($lnot) {
   114383       label = 5;
   114384       break;
   114385     } else {
   114386       label = 14;
   114387       break;
   114388     }
   114389    case 5:
   114390     $2 = HEAP32[$nbox >> 2] | 0;
   114391     $y6 = $vn_029 + 40 | 0;
   114392     $b_1 = $b_028;
   114393     label = 6;
   114394     break;
   114395    case 6:
   114396     $cmp2 = ($b_1 | 0) < ($2 | 0);
   114397     if ($cmp2) {
   114398       label = 7;
   114399       break;
   114400     } else {
   114401       label = 8;
   114402       break;
   114403     }
   114404    case 7:
   114405     $3 = HEAP32[$boxes >> 2] | 0;
   114406     $y = $3 + ($b_1 << 5) + 8 | 0;
   114407     $4 = +HEAPF64[$y >> 3];
   114408     $5 = +HEAPF64[$y6 >> 3];
   114409     $cmp7 = $4 > $5;
   114410     $inc = $b_1 + 1 | 0;
   114411     if ($cmp7) {
   114412       $b_1 = $inc;
   114413       label = 6;
   114414       break;
   114415     } else {
   114416       label = 8;
   114417       break;
   114418     }
   114419    case 8:
   114420     $6 = HEAP32[$nbox >> 2] | 0;
   114421     $cmp11 = ($b_1 | 0) < ($6 | 0);
   114422     if ($cmp11) {
   114423       label = 9;
   114424       break;
   114425     } else {
   114426       label = 14;
   114427       break;
   114428     }
   114429    case 9:
   114430     $7 = HEAP32[$boxes13 >> 2] | 0;
   114431     $y15 = $7 + ($b_1 << 5) + 24 | 0;
   114432     $8 = +HEAPF64[$y15 >> 3];
   114433     $y18 = $vn_029 + 40 | 0;
   114434     $9 = +HEAPF64[$y18 >> 3];
   114435     $cmp19 = $8 < $9;
   114436     if ($cmp19) {
   114437       label = 13;
   114438       break;
   114439     } else {
   114440       label = 10;
   114441       break;
   114442     }
   114443    case 10:
   114444     $label = $vn_029 + 120 | 0;
   114445     $10 = HEAP32[$label >> 2] | 0;
   114446     $tobool24 = ($10 | 0) == 0;
   114447     $x = $7 + ($b_1 << 5) | 0;
   114448     $11 = +HEAPF64[$x >> 3];
   114449     $conv29 = ~~$11;
   114450     $x53 = $7 + ($b_1 << 5) + 16 | 0;
   114451     $12 = +HEAPF64[$x53 >> 3];
   114452     if ($tobool24) {
   114453       label = 12;
   114454       break;
   114455     } else {
   114456       label = 11;
   114457       break;
   114458     }
   114459    case 11:
   114460     $conv34 = ~~$12;
   114461     $rw = $vn_029 + 112 | 0;
   114462     $13 = +HEAPF64[$rw >> 3];
   114463     $add = $12 + $13;
   114464     $conv40 = ~~$add;
   114465     _resize_vn($vn_029, $conv29, $conv34, $conv40);
   114466     label = 13;
   114467     break;
   114468    case 12:
   114469     $add54 = $11 + $12;
   114470     $div = $add54 * .5;
   114471     $conv55 = ~~$div;
   114472     $conv60 = ~~$12;
   114473     _resize_vn($vn_029, $conv29, $conv55, $conv60);
   114474     label = 13;
   114475     break;
   114476    case 13:
   114477     $list = $vn_029 + 184 | 0;
   114478     $14 = HEAP32[$list >> 2] | 0;
   114479     $15 = HEAP32[$14 >> 2] | 0;
   114480     $vn_0_in = $15 + 12 | 0;
   114481     $vn_0 = HEAP32[$vn_0_in >> 2] | 0;
   114482     $node_type = $vn_0 + 162 | 0;
   114483     $16 = HEAP8[$node_type] | 0;
   114484     $cmp = $16 << 24 >> 24 == 1;
   114485     if ($cmp) {
   114486       $b_028 = $b_1;
   114487       $vn_029 = $vn_0;
   114488       label = 4;
   114489       break;
   114490     } else {
   114491       label = 14;
   114492       break;
   114493     }
   114494    case 14:
   114495     return;
   114496   }
   114497 }
   114498 function _top_bound($e, $side) {
   114499   $e = $e | 0;
   114500   $side = $side | 0;
   114501   var $tail = 0, $0 = 0, $list11 = 0, $1 = 0, $2 = 0, $tobool12 = 0, $head2 = 0, $3 = 0, $order4 = 0, $4 = 0, $5 = 0, $list = 0, $6 = 0, $7 = 0, $i_014 = 0, $ans_013 = 0, $head = 0, $8 = 0, $order = 0, $9 = 0, $sub = 0, $mul = 0, $cmp = 0, $spl = 0, $10 = 0, $cmp6 = 0, $to_orig = 0, $11 = 0, $cmp8 = 0, $spl12 = 0, $12 = 0, $cmp13 = 0, $cmp16 = 0, $head18 = 0, $13 = 0, $order20 = 0, $14 = 0, $15 = 0, $order23 = 0, $16 = 0, $sub24 = 0, $mul25 = 0, $cmp26 = 0, $ans_1 = 0, $inc = 0, $arrayidx = 0, $17 = 0, $tobool = 0, $ans_0_lcssa = 0, label = 0;
   114502   label = 2;
   114503   while (1) switch (label | 0) {
   114504    case 2:
   114505     $tail = $e + 16 | 0;
   114506     $0 = HEAP32[$tail >> 2] | 0;
   114507     $list11 = $0 + 184 | 0;
   114508     $1 = HEAP32[$list11 >> 2] | 0;
   114509     $2 = HEAP32[$1 >> 2] | 0;
   114510     $tobool12 = ($2 | 0) == 0;
   114511     if ($tobool12) {
   114512       $ans_0_lcssa = 0;
   114513       label = 12;
   114514       break;
   114515     } else {
   114516       label = 3;
   114517       break;
   114518     }
   114519    case 3:
   114520     $head2 = $e + 12 | 0;
   114521     $3 = HEAP32[$head2 >> 2] | 0;
   114522     $order4 = $3 + 240 | 0;
   114523     $4 = HEAP32[$order4 >> 2] | 0;
   114524     $5 = HEAP32[$tail >> 2] | 0;
   114525     $list = $5 + 184 | 0;
   114526     $6 = HEAP32[$list >> 2] | 0;
   114527     $ans_013 = 0;
   114528     $i_014 = 0;
   114529     $7 = $2;
   114530     label = 4;
   114531     break;
   114532    case 4:
   114533     $head = $7 + 12 | 0;
   114534     $8 = HEAP32[$head >> 2] | 0;
   114535     $order = $8 + 240 | 0;
   114536     $9 = HEAP32[$order >> 2] | 0;
   114537     $sub = $9 - $4 | 0;
   114538     $mul = Math_imul($sub, $side);
   114539     $cmp = ($mul | 0) < 1;
   114540     if ($cmp) {
   114541       $ans_1 = $ans_013;
   114542       label = 11;
   114543       break;
   114544     } else {
   114545       label = 5;
   114546       break;
   114547     }
   114548    case 5:
   114549     $spl = $7 + 24 | 0;
   114550     $10 = HEAP32[$spl >> 2] | 0;
   114551     $cmp6 = ($10 | 0) == 0;
   114552     if ($cmp6) {
   114553       label = 6;
   114554       break;
   114555     } else {
   114556       label = 8;
   114557       break;
   114558     }
   114559    case 6:
   114560     $to_orig = $7 + 132 | 0;
   114561     $11 = HEAP32[$to_orig >> 2] | 0;
   114562     $cmp8 = ($11 | 0) == 0;
   114563     if ($cmp8) {
   114564       $ans_1 = $ans_013;
   114565       label = 11;
   114566       break;
   114567     } else {
   114568       label = 7;
   114569       break;
   114570     }
   114571    case 7:
   114572     $spl12 = $11 + 24 | 0;
   114573     $12 = HEAP32[$spl12 >> 2] | 0;
   114574     $cmp13 = ($12 | 0) == 0;
   114575     if ($cmp13) {
   114576       $ans_1 = $ans_013;
   114577       label = 11;
   114578       break;
   114579     } else {
   114580       label = 8;
   114581       break;
   114582     }
   114583    case 8:
   114584     $cmp16 = ($ans_013 | 0) == 0;
   114585     if ($cmp16) {
   114586       label = 10;
   114587       break;
   114588     } else {
   114589       label = 9;
   114590       break;
   114591     }
   114592    case 9:
   114593     $head18 = $ans_013 + 12 | 0;
   114594     $13 = HEAP32[$head18 >> 2] | 0;
   114595     $order20 = $13 + 240 | 0;
   114596     $14 = HEAP32[$order20 >> 2] | 0;
   114597     $15 = HEAP32[$head >> 2] | 0;
   114598     $order23 = $15 + 240 | 0;
   114599     $16 = HEAP32[$order23 >> 2] | 0;
   114600     $sub24 = $14 - $16 | 0;
   114601     $mul25 = Math_imul($sub24, $side);
   114602     $cmp26 = ($mul25 | 0) > 0;
   114603     if ($cmp26) {
   114604       label = 10;
   114605       break;
   114606     } else {
   114607       $ans_1 = $ans_013;
   114608       label = 11;
   114609       break;
   114610     }
   114611    case 10:
   114612     $ans_1 = $7;
   114613     label = 11;
   114614     break;
   114615    case 11:
   114616     $inc = $i_014 + 1 | 0;
   114617     $arrayidx = $6 + ($inc << 2) | 0;
   114618     $17 = HEAP32[$arrayidx >> 2] | 0;
   114619     $tobool = ($17 | 0) == 0;
   114620     if ($tobool) {
   114621       $ans_0_lcssa = $ans_1;
   114622       label = 12;
   114623       break;
   114624     } else {
   114625       $ans_013 = $ans_1;
   114626       $i_014 = $inc;
   114627       $7 = $17;
   114628       label = 4;
   114629       break;
   114630     }
   114631    case 12:
   114632     return $ans_0_lcssa | 0;
   114633   }
   114634   return 0;
   114635 }
   114636 function _bot_bound($e, $side) {
   114637   $e = $e | 0;
   114638   $side = $side | 0;
   114639   var $head = 0, $0 = 0, $list11 = 0, $1 = 0, $2 = 0, $tobool12 = 0, $tail2 = 0, $3 = 0, $order4 = 0, $4 = 0, $5 = 0, $list = 0, $6 = 0, $7 = 0, $i_014 = 0, $ans_013 = 0, $tail = 0, $8 = 0, $order = 0, $9 = 0, $sub = 0, $mul = 0, $cmp = 0, $spl = 0, $10 = 0, $cmp6 = 0, $to_orig = 0, $11 = 0, $cmp8 = 0, $spl12 = 0, $12 = 0, $cmp13 = 0, $cmp16 = 0, $tail18 = 0, $13 = 0, $order20 = 0, $14 = 0, $15 = 0, $order23 = 0, $16 = 0, $sub24 = 0, $mul25 = 0, $cmp26 = 0, $ans_1 = 0, $inc = 0, $arrayidx = 0, $17 = 0, $tobool = 0, $ans_0_lcssa = 0, label = 0;
   114640   label = 2;
   114641   while (1) switch (label | 0) {
   114642    case 2:
   114643     $head = $e + 12 | 0;
   114644     $0 = HEAP32[$head >> 2] | 0;
   114645     $list11 = $0 + 176 | 0;
   114646     $1 = HEAP32[$list11 >> 2] | 0;
   114647     $2 = HEAP32[$1 >> 2] | 0;
   114648     $tobool12 = ($2 | 0) == 0;
   114649     if ($tobool12) {
   114650       $ans_0_lcssa = 0;
   114651       label = 12;
   114652       break;
   114653     } else {
   114654       label = 3;
   114655       break;
   114656     }
   114657    case 3:
   114658     $tail2 = $e + 16 | 0;
   114659     $3 = HEAP32[$tail2 >> 2] | 0;
   114660     $order4 = $3 + 240 | 0;
   114661     $4 = HEAP32[$order4 >> 2] | 0;
   114662     $5 = HEAP32[$head >> 2] | 0;
   114663     $list = $5 + 176 | 0;
   114664     $6 = HEAP32[$list >> 2] | 0;
   114665     $ans_013 = 0;
   114666     $i_014 = 0;
   114667     $7 = $2;
   114668     label = 4;
   114669     break;
   114670    case 4:
   114671     $tail = $7 + 16 | 0;
   114672     $8 = HEAP32[$tail >> 2] | 0;
   114673     $order = $8 + 240 | 0;
   114674     $9 = HEAP32[$order >> 2] | 0;
   114675     $sub = $9 - $4 | 0;
   114676     $mul = Math_imul($sub, $side);
   114677     $cmp = ($mul | 0) < 1;
   114678     if ($cmp) {
   114679       $ans_1 = $ans_013;
   114680       label = 11;
   114681       break;
   114682     } else {
   114683       label = 5;
   114684       break;
   114685     }
   114686    case 5:
   114687     $spl = $7 + 24 | 0;
   114688     $10 = HEAP32[$spl >> 2] | 0;
   114689     $cmp6 = ($10 | 0) == 0;
   114690     if ($cmp6) {
   114691       label = 6;
   114692       break;
   114693     } else {
   114694       label = 8;
   114695       break;
   114696     }
   114697    case 6:
   114698     $to_orig = $7 + 132 | 0;
   114699     $11 = HEAP32[$to_orig >> 2] | 0;
   114700     $cmp8 = ($11 | 0) == 0;
   114701     if ($cmp8) {
   114702       $ans_1 = $ans_013;
   114703       label = 11;
   114704       break;
   114705     } else {
   114706       label = 7;
   114707       break;
   114708     }
   114709    case 7:
   114710     $spl12 = $11 + 24 | 0;
   114711     $12 = HEAP32[$spl12 >> 2] | 0;
   114712     $cmp13 = ($12 | 0) == 0;
   114713     if ($cmp13) {
   114714       $ans_1 = $ans_013;
   114715       label = 11;
   114716       break;
   114717     } else {
   114718       label = 8;
   114719       break;
   114720     }
   114721    case 8:
   114722     $cmp16 = ($ans_013 | 0) == 0;
   114723     if ($cmp16) {
   114724       label = 10;
   114725       break;
   114726     } else {
   114727       label = 9;
   114728       break;
   114729     }
   114730    case 9:
   114731     $tail18 = $ans_013 + 16 | 0;
   114732     $13 = HEAP32[$tail18 >> 2] | 0;
   114733     $order20 = $13 + 240 | 0;
   114734     $14 = HEAP32[$order20 >> 2] | 0;
   114735     $15 = HEAP32[$tail >> 2] | 0;
   114736     $order23 = $15 + 240 | 0;
   114737     $16 = HEAP32[$order23 >> 2] | 0;
   114738     $sub24 = $14 - $16 | 0;
   114739     $mul25 = Math_imul($sub24, $side);
   114740     $cmp26 = ($mul25 | 0) > 0;
   114741     if ($cmp26) {
   114742       label = 10;
   114743       break;
   114744     } else {
   114745       $ans_1 = $ans_013;
   114746       label = 11;
   114747       break;
   114748     }
   114749    case 10:
   114750     $ans_1 = $7;
   114751     label = 11;
   114752     break;
   114753    case 11:
   114754     $inc = $i_014 + 1 | 0;
   114755     $arrayidx = $6 + ($inc << 2) | 0;
   114756     $17 = HEAP32[$arrayidx >> 2] | 0;
   114757     $tobool = ($17 | 0) == 0;
   114758     if ($tobool) {
   114759       $ans_0_lcssa = $ans_1;
   114760       label = 12;
   114761       break;
   114762     } else {
   114763       $ans_013 = $ans_1;
   114764       $i_014 = $inc;
   114765       $7 = $17;
   114766       label = 4;
   114767       break;
   114768     }
   114769    case 12:
   114770     return $ans_0_lcssa | 0;
   114771   }
   114772   return 0;
   114773 }
   114774 function _adjustregularpath($P, $fb, $lb) {
   114775   $P = $P | 0;
   114776   $fb = $fb | 0;
   114777   $lb = $lb | 0;
   114778   var $sub = 0, $add = 0, $cmp59 = 0, $boxes = 0, $nbox = 0, $0 = 0, $sub4656 = 0, $cmp4757 = 0, $boxes50 = 0, $i_060 = 0, $1 = 0, $sub1 = 0, $rem54 = 0, $cmp2 = 0, $x3 = 0, $2 = 0.0, $x4 = 0, $3 = 0.0, $cmp5 = 0, $add11 = 0.0, $div = 0.0, $conv = 0, $sub12 = 0, $conv13 = 0.0, $add16 = 0, $conv17 = 0.0, $add22 = 0.0, $x24 = 0, $4 = 0.0, $cmp25 = 0, $add32 = 0.0, $div33 = 0.0, $conv34 = 0, $sub35 = 0, $conv36 = 0.0, $add39 = 0, $conv40 = 0.0, $inc = 0, $cmp = 0, $i_158 = 0, $5 = 0, $arrayidx51 = 0, $add52 = 0, $arrayidx54 = 0, $cmp55 = 0, $cmp57 = 0, $or_cond = 0, $sub60 = 0, $rem6153 = 0, $cmp62 = 0, $x66 = 0, $6 = 0.0, $add67 = 0.0, $x69 = 0, $7 = 0.0, $cmp70 = 0, $x80 = 0, $8 = 0.0, $sub81 = 0.0, $x83 = 0, $9 = 0.0, $cmp84 = 0, $cmp95 = 0, $cmp98 = 0, $or_cond55 = 0, $10 = 0, $sub46 = 0, $cmp47 = 0, $sub102 = 0, $rem10352 = 0, $cmp104 = 0, $x108 = 0, $11 = 0.0, $add109 = 0.0, $x111 = 0, $12 = 0.0, $cmp112 = 0, $sub117 = 0.0, $x122 = 0, $13 = 0.0, $sub123 = 0.0, $x125 = 0, $14 = 0.0, $cmp126 = 0, $add131 = 0.0, label = 0;
   114779   label = 2;
   114780   while (1) switch (label | 0) {
   114781    case 2:
   114782     $sub = $fb - 1 | 0;
   114783     $add = $lb + 1 | 0;
   114784     $cmp59 = ($sub | 0) < ($add | 0);
   114785     if ($cmp59) {
   114786       label = 3;
   114787       break;
   114788     } else {
   114789       label = 4;
   114790       break;
   114791     }
   114792    case 3:
   114793     $boxes = $P + 84 | 0;
   114794     $i_060 = $sub;
   114795     label = 6;
   114796     break;
   114797    case 4:
   114798     $nbox = $P + 80 | 0;
   114799     $0 = HEAP32[$nbox >> 2] | 0;
   114800     $sub4656 = $0 - 1 | 0;
   114801     $cmp4757 = ($sub4656 | 0) > 0;
   114802     if ($cmp4757) {
   114803       label = 5;
   114804       break;
   114805     } else {
   114806       label = 25;
   114807       break;
   114808     }
   114809    case 5:
   114810     $boxes50 = $P + 84 | 0;
   114811     $i_158 = 0;
   114812     label = 12;
   114813     break;
   114814    case 6:
   114815     $1 = HEAP32[$boxes >> 2] | 0;
   114816     $sub1 = $i_060 - $fb | 0;
   114817     $rem54 = $sub1 & 1;
   114818     $cmp2 = ($rem54 | 0) == 0;
   114819     $x3 = $1 + ($i_060 << 5) | 0;
   114820     $2 = +HEAPF64[$x3 >> 3];
   114821     if ($cmp2) {
   114822       label = 7;
   114823       break;
   114824     } else {
   114825       label = 9;
   114826       break;
   114827     }
   114828    case 7:
   114829     $x4 = $1 + ($i_060 << 5) + 16 | 0;
   114830     $3 = +HEAPF64[$x4 >> 3];
   114831     $cmp5 = $2 < $3;
   114832     if ($cmp5) {
   114833       label = 11;
   114834       break;
   114835     } else {
   114836       label = 8;
   114837       break;
   114838     }
   114839    case 8:
   114840     $add11 = $2 + $3;
   114841     $div = $add11 * .5;
   114842     $conv = ~~$div;
   114843     $sub12 = $conv - 8 | 0;
   114844     $conv13 = +($sub12 | 0);
   114845     HEAPF64[$x3 >> 3] = $conv13;
   114846     $add16 = $conv + 8 | 0;
   114847     $conv17 = +($add16 | 0);
   114848     HEAPF64[$x4 >> 3] = $conv17;
   114849     label = 11;
   114850     break;
   114851    case 9:
   114852     $add22 = $2 + 16.0;
   114853     $x24 = $1 + ($i_060 << 5) + 16 | 0;
   114854     $4 = +HEAPF64[$x24 >> 3];
   114855     $cmp25 = $add22 > $4;
   114856     if ($cmp25) {
   114857       label = 10;
   114858       break;
   114859     } else {
   114860       label = 11;
   114861       break;
   114862     }
   114863    case 10:
   114864     $add32 = $2 + $4;
   114865     $div33 = $add32 * .5;
   114866     $conv34 = ~~$div33;
   114867     $sub35 = $conv34 - 8 | 0;
   114868     $conv36 = +($sub35 | 0);
   114869     HEAPF64[$x3 >> 3] = $conv36;
   114870     $add39 = $conv34 + 8 | 0;
   114871     $conv40 = +($add39 | 0);
   114872     HEAPF64[$x24 >> 3] = $conv40;
   114873     label = 11;
   114874     break;
   114875    case 11:
   114876     $inc = $i_060 + 1 | 0;
   114877     $cmp = ($inc | 0) < ($add | 0);
   114878     if ($cmp) {
   114879       $i_060 = $inc;
   114880       label = 6;
   114881       break;
   114882     } else {
   114883       label = 4;
   114884       break;
   114885     }
   114886    case 12:
   114887     $5 = HEAP32[$boxes50 >> 2] | 0;
   114888     $arrayidx51 = $5 + ($i_158 << 5) | 0;
   114889     $add52 = $i_158 + 1 | 0;
   114890     $arrayidx54 = $5 + ($add52 << 5) | 0;
   114891     $cmp55 = ($i_158 | 0) < ($fb | 0);
   114892     $cmp57 = ($i_158 | 0) > ($lb | 0);
   114893     $or_cond = $cmp55 | $cmp57;
   114894     if ($or_cond) {
   114895       label = 18;
   114896       break;
   114897     } else {
   114898       label = 13;
   114899       break;
   114900     }
   114901    case 13:
   114902     $sub60 = $i_158 - $fb | 0;
   114903     $rem6153 = $sub60 & 1;
   114904     $cmp62 = ($rem6153 | 0) == 0;
   114905     if ($cmp62) {
   114906       label = 14;
   114907       break;
   114908     } else {
   114909       label = 18;
   114910       break;
   114911     }
   114912    case 14:
   114913     $x66 = $arrayidx51 | 0;
   114914     $6 = +HEAPF64[$x66 >> 3];
   114915     $add67 = $6 + 16.0;
   114916     $x69 = $5 + ($add52 << 5) + 16 | 0;
   114917     $7 = +HEAPF64[$x69 >> 3];
   114918     $cmp70 = $add67 > $7;
   114919     if ($cmp70) {
   114920       label = 15;
   114921       break;
   114922     } else {
   114923       label = 16;
   114924       break;
   114925     }
   114926    case 15:
   114927     HEAPF64[$x69 >> 3] = $add67;
   114928     label = 16;
   114929     break;
   114930    case 16:
   114931     $x80 = $5 + ($i_158 << 5) + 16 | 0;
   114932     $8 = +HEAPF64[$x80 >> 3];
   114933     $sub81 = $8 + -16.0;
   114934     $x83 = $arrayidx54 | 0;
   114935     $9 = +HEAPF64[$x83 >> 3];
   114936     $cmp84 = $sub81 < $9;
   114937     if ($cmp84) {
   114938       label = 17;
   114939       break;
   114940     } else {
   114941       label = 19;
   114942       break;
   114943     }
   114944    case 17:
   114945     HEAPF64[$x83 >> 3] = $sub81;
   114946     label = 19;
   114947     break;
   114948    case 18:
   114949     $cmp95 = ($add52 | 0) >= ($fb | 0);
   114950     $cmp98 = ($i_158 | 0) < ($lb | 0);
   114951     $or_cond55 = $cmp95 & $cmp98;
   114952     if ($or_cond55) {
   114953       label = 20;
   114954       break;
   114955     } else {
   114956       label = 19;
   114957       break;
   114958     }
   114959    case 19:
   114960     $10 = HEAP32[$nbox >> 2] | 0;
   114961     $sub46 = $10 - 1 | 0;
   114962     $cmp47 = ($add52 | 0) < ($sub46 | 0);
   114963     if ($cmp47) {
   114964       $i_158 = $add52;
   114965       label = 12;
   114966       break;
   114967     } else {
   114968       label = 25;
   114969       break;
   114970     }
   114971    case 20:
   114972     $sub102 = $add52 - $fb | 0;
   114973     $rem10352 = $sub102 & 1;
   114974     $cmp104 = ($rem10352 | 0) == 0;
   114975     if ($cmp104) {
   114976       label = 21;
   114977       break;
   114978     } else {
   114979       label = 19;
   114980       break;
   114981     }
   114982    case 21:
   114983     $x108 = $arrayidx51 | 0;
   114984     $11 = +HEAPF64[$x108 >> 3];
   114985     $add109 = $11 + 16.0;
   114986     $x111 = $5 + ($add52 << 5) + 16 | 0;
   114987     $12 = +HEAPF64[$x111 >> 3];
   114988     $cmp112 = $add109 > $12;
   114989     if ($cmp112) {
   114990       label = 22;
   114991       break;
   114992     } else {
   114993       label = 23;
   114994       break;
   114995     }
   114996    case 22:
   114997     $sub117 = $12 + -16.0;
   114998     HEAPF64[$x108 >> 3] = $sub117;
   114999     label = 23;
   115000     break;
   115001    case 23:
   115002     $x122 = $5 + ($i_158 << 5) + 16 | 0;
   115003     $13 = +HEAPF64[$x122 >> 3];
   115004     $sub123 = $13 + -16.0;
   115005     $x125 = $arrayidx54 | 0;
   115006     $14 = +HEAPF64[$x125 >> 3];
   115007     $cmp126 = $sub123 < $14;
   115008     if ($cmp126) {
   115009       label = 24;
   115010       break;
   115011     } else {
   115012       label = 19;
   115013       break;
   115014     }
   115015    case 24:
   115016     $add131 = $14 + 16.0;
   115017     HEAPF64[$x122 >> 3] = $add131;
   115018     label = 19;
   115019     break;
   115020    case 25:
   115021     return;
   115022   }
   115023 }
   115024 function _boxfof3015($agg_result, $llx, $lly, $urx, $ury) {
   115025   $agg_result = $agg_result | 0;
   115026   $llx = +$llx;
   115027   $lly = +$lly;
   115028   $urx = +$urx;
   115029   $ury = +$ury;
   115030   HEAPF64[$agg_result >> 3] = $llx;
   115031   HEAPF64[$agg_result + 8 >> 3] = $lly;
   115032   HEAPF64[$agg_result + 16 >> 3] = $urx;
   115033   HEAPF64[$agg_result + 24 >> 3] = $ury;
   115034   return;
   115035 }
   115036 function _cl_vninside($cl, $n) {
   115037   $cl = $cl | 0;
   115038   $n = $n | 0;
   115039   var $x = 0, $0 = 0.0, $x2 = 0, $1 = 0.0, $cmp = 0, $x8 = 0, $2 = 0.0, $cmp9 = 0, $y = 0, $3 = 0.0, $y15 = 0, $4 = 0.0, $cmp16 = 0, $y24 = 0, $5 = 0.0, $cmp25 = 0, $phitmp = 0, $6 = 0, label = 0;
   115040   label = 2;
   115041   while (1) switch (label | 0) {
   115042    case 2:
   115043     $x = $cl + 56 | 0;
   115044     $0 = +HEAPF64[$x >> 3];
   115045     $x2 = $n + 32 | 0;
   115046     $1 = +HEAPF64[$x2 >> 3];
   115047     $cmp = $0 > $1;
   115048     if ($cmp) {
   115049       $6 = 0;
   115050       label = 6;
   115051       break;
   115052     } else {
   115053       label = 3;
   115054       break;
   115055     }
   115056    case 3:
   115057     $x8 = $cl + 72 | 0;
   115058     $2 = +HEAPF64[$x8 >> 3];
   115059     $cmp9 = $1 > $2;
   115060     if ($cmp9) {
   115061       $6 = 0;
   115062       label = 6;
   115063       break;
   115064     } else {
   115065       label = 4;
   115066       break;
   115067     }
   115068    case 4:
   115069     $y = $cl + 64 | 0;
   115070     $3 = +HEAPF64[$y >> 3];
   115071     $y15 = $n + 40 | 0;
   115072     $4 = +HEAPF64[$y15 >> 3];
   115073     $cmp16 = $3 > $4;
   115074     if ($cmp16) {
   115075       $6 = 0;
   115076       label = 6;
   115077       break;
   115078     } else {
   115079       label = 5;
   115080       break;
   115081     }
   115082    case 5:
   115083     $y24 = $cl + 80 | 0;
   115084     $5 = +HEAPF64[$y24 >> 3];
   115085     $cmp25 = $4 <= $5;
   115086     $phitmp = $cmp25 & 1;
   115087     $6 = $phitmp;
   115088     label = 6;
   115089     break;
   115090    case 6:
   115091     return $6 | 0;
   115092   }
   115093   return 0;
   115094 }
   115095 function _pathscross($n0, $n1, $ie1, $oe1) {
   115096   $n0 = $n0 | 0;
   115097   $n1 = $n1 | 0;
   115098   $ie1 = $ie1 | 0;
   115099   $oe1 = $oe1 | 0;
   115100   var $order1 = 0, $0 = 0, $order3 = 0, $1 = 0, $cmp = 0, $size = 0, $2 = 0, $cmp5 = 0, $tobool = 0, $or_cond = 0, $list = 0, $3 = 0, $4 = 0, $head = 0, $5 = 0, $head23 = 0, $6 = 0, $cmp24 = 0, $order29 = 0, $7 = 0, $order31 = 0, $8 = 0, $cmp32 = 0, $cmp34 = 0, $size40 = 0, $9 = 0, $cmp41 = 0, $node_type = 0, $10 = 0, $cmp45 = 0, $list51 = 0, $11 = 0, $12 = 0, $size55 = 0, $13 = 0, $cmp56 = 0, $node_type60 = 0, $14 = 0, $cmp62 = 0, $list68 = 0, $15 = 0, $16 = 0, $head_1 = 0, $17 = 0, $head23_1 = 0, $18 = 0, $cmp24_1 = 0, $size72 = 0, $19 = 0, $cmp73 = 0, $tobool76 = 0, $or_cond29 = 0, $list80 = 0, $20 = 0, $21 = 0, $tail = 0, $22 = 0, $tail86 = 0, $23 = 0, $cmp87 = 0, $order92 = 0, $24 = 0, $order94 = 0, $25 = 0, $cmp95 = 0, $cmp97 = 0, $size103 = 0, $26 = 0, $cmp104 = 0, $node_type108 = 0, $27 = 0, $cmp110 = 0, $list116 = 0, $28 = 0, $29 = 0, $size120 = 0, $30 = 0, $cmp121 = 0, $node_type125 = 0, $31 = 0, $cmp127 = 0, $list133 = 0, $32 = 0, $33 = 0, $tail_1 = 0, $34 = 0, $tail86_1 = 0, $35 = 0, $cmp87_1 = 0, $retval_0 = 0, $order92_1 = 0, $36 = 0, $order94_1 = 0, $37 = 0, $cmp95_1 = 0, $cmp97_1 = 0, $retval = 0, $order29_1 = 0, $38 = 0, $order31_1 = 0, $39 = 0, $cmp32_1 = 0, $cmp34_1 = 0, label = 0;
   115101   label = 2;
   115102   while (1) switch (label | 0) {
   115103    case 2:
   115104     $order1 = $n0 + 240 | 0;
   115105     $0 = HEAP32[$order1 >> 2] | 0;
   115106     $order3 = $n1 + 240 | 0;
   115107     $1 = HEAP32[$order3 >> 2] | 0;
   115108     $cmp = ($0 | 0) > ($1 | 0);
   115109     $size = $n0 + 188 | 0;
   115110     $2 = HEAP32[$size >> 2] | 0;
   115111     $cmp5 = ($2 | 0) != 1;
   115112     if ($cmp5) {
   115113       $retval_0 = 0;
   115114       label = 19;
   115115       break;
   115116     } else {
   115117       label = 3;
   115118       break;
   115119     }
   115120    case 3:
   115121     $tobool = ($oe1 | 0) == 0;
   115122     $or_cond = $cmp5 | $tobool;
   115123     if ($or_cond) {
   115124       label = 11;
   115125       break;
   115126     } else {
   115127       label = 4;
   115128       break;
   115129     }
   115130    case 4:
   115131     $list = $n0 + 184 | 0;
   115132     $3 = HEAP32[$list >> 2] | 0;
   115133     $4 = HEAP32[$3 >> 2] | 0;
   115134     $head = $4 + 12 | 0;
   115135     $5 = HEAP32[$head >> 2] | 0;
   115136     $head23 = $oe1 + 12 | 0;
   115137     $6 = HEAP32[$head23 >> 2] | 0;
   115138     $cmp24 = ($5 | 0) == ($6 | 0);
   115139     if ($cmp24) {
   115140       label = 11;
   115141       break;
   115142     } else {
   115143       label = 5;
   115144       break;
   115145     }
   115146    case 5:
   115147     $order29 = $5 + 240 | 0;
   115148     $7 = HEAP32[$order29 >> 2] | 0;
   115149     $order31 = $6 + 240 | 0;
   115150     $8 = HEAP32[$order31 >> 2] | 0;
   115151     $cmp32 = ($7 | 0) > ($8 | 0);
   115152     $cmp34 = $cmp ^ $cmp32;
   115153     if ($cmp34) {
   115154       $retval_0 = 1;
   115155       label = 19;
   115156       break;
   115157     } else {
   115158       label = 6;
   115159       break;
   115160     }
   115161    case 6:
   115162     $size40 = $5 + 188 | 0;
   115163     $9 = HEAP32[$size40 >> 2] | 0;
   115164     $cmp41 = ($9 | 0) == 1;
   115165     if ($cmp41) {
   115166       label = 7;
   115167       break;
   115168     } else {
   115169       label = 11;
   115170       break;
   115171     }
   115172    case 7:
   115173     $node_type = $5 + 162 | 0;
   115174     $10 = HEAP8[$node_type] | 0;
   115175     $cmp45 = $10 << 24 >> 24 == 0;
   115176     if ($cmp45) {
   115177       label = 11;
   115178       break;
   115179     } else {
   115180       label = 8;
   115181       break;
   115182     }
   115183    case 8:
   115184     $list51 = $5 + 184 | 0;
   115185     $11 = HEAP32[$list51 >> 2] | 0;
   115186     $12 = HEAP32[$11 >> 2] | 0;
   115187     $size55 = $6 + 188 | 0;
   115188     $13 = HEAP32[$size55 >> 2] | 0;
   115189     $cmp56 = ($13 | 0) == 1;
   115190     if ($cmp56) {
   115191       label = 9;
   115192       break;
   115193     } else {
   115194       label = 11;
   115195       break;
   115196     }
   115197    case 9:
   115198     $node_type60 = $6 + 162 | 0;
   115199     $14 = HEAP8[$node_type60] | 0;
   115200     $cmp62 = $14 << 24 >> 24 == 0;
   115201     if ($cmp62) {
   115202       label = 11;
   115203       break;
   115204     } else {
   115205       label = 10;
   115206       break;
   115207     }
   115208    case 10:
   115209     $list68 = $6 + 184 | 0;
   115210     $15 = HEAP32[$list68 >> 2] | 0;
   115211     $16 = HEAP32[$15 >> 2] | 0;
   115212     $head_1 = $12 + 12 | 0;
   115213     $17 = HEAP32[$head_1 >> 2] | 0;
   115214     $head23_1 = $16 + 12 | 0;
   115215     $18 = HEAP32[$head23_1 >> 2] | 0;
   115216     $cmp24_1 = ($17 | 0) == ($18 | 0);
   115217     if ($cmp24_1) {
   115218       label = 11;
   115219       break;
   115220     } else {
   115221       label = 21;
   115222       break;
   115223     }
   115224    case 11:
   115225     $size72 = $n0 + 180 | 0;
   115226     $19 = HEAP32[$size72 >> 2] | 0;
   115227     $cmp73 = ($19 | 0) != 1;
   115228     $tobool76 = ($ie1 | 0) == 0;
   115229     $or_cond29 = $cmp73 | $tobool76;
   115230     if ($or_cond29) {
   115231       $retval_0 = 0;
   115232       label = 19;
   115233       break;
   115234     } else {
   115235       label = 12;
   115236       break;
   115237     }
   115238    case 12:
   115239     $list80 = $n0 + 176 | 0;
   115240     $20 = HEAP32[$list80 >> 2] | 0;
   115241     $21 = HEAP32[$20 >> 2] | 0;
   115242     $tail = $21 + 16 | 0;
   115243     $22 = HEAP32[$tail >> 2] | 0;
   115244     $tail86 = $ie1 + 16 | 0;
   115245     $23 = HEAP32[$tail86 >> 2] | 0;
   115246     $cmp87 = ($22 | 0) == ($23 | 0);
   115247     if ($cmp87) {
   115248       $retval_0 = 0;
   115249       label = 19;
   115250       break;
   115251     } else {
   115252       label = 13;
   115253       break;
   115254     }
   115255    case 13:
   115256     $order92 = $22 + 240 | 0;
   115257     $24 = HEAP32[$order92 >> 2] | 0;
   115258     $order94 = $23 + 240 | 0;
   115259     $25 = HEAP32[$order94 >> 2] | 0;
   115260     $cmp95 = ($24 | 0) > ($25 | 0);
   115261     $cmp97 = $cmp ^ $cmp95;
   115262     if ($cmp97) {
   115263       $retval_0 = 1;
   115264       label = 19;
   115265       break;
   115266     } else {
   115267       label = 14;
   115268       break;
   115269     }
   115270    case 14:
   115271     $size103 = $22 + 180 | 0;
   115272     $26 = HEAP32[$size103 >> 2] | 0;
   115273     $cmp104 = ($26 | 0) == 1;
   115274     if ($cmp104) {
   115275       label = 15;
   115276       break;
   115277     } else {
   115278       $retval_0 = 0;
   115279       label = 19;
   115280       break;
   115281     }
   115282    case 15:
   115283     $node_type108 = $22 + 162 | 0;
   115284     $27 = HEAP8[$node_type108] | 0;
   115285     $cmp110 = $27 << 24 >> 24 == 0;
   115286     if ($cmp110) {
   115287       $retval_0 = 0;
   115288       label = 19;
   115289       break;
   115290     } else {
   115291       label = 16;
   115292       break;
   115293     }
   115294    case 16:
   115295     $list116 = $22 + 176 | 0;
   115296     $28 = HEAP32[$list116 >> 2] | 0;
   115297     $29 = HEAP32[$28 >> 2] | 0;
   115298     $size120 = $23 + 180 | 0;
   115299     $30 = HEAP32[$size120 >> 2] | 0;
   115300     $cmp121 = ($30 | 0) == 1;
   115301     if ($cmp121) {
   115302       label = 17;
   115303       break;
   115304     } else {
   115305       $retval_0 = 0;
   115306       label = 19;
   115307       break;
   115308     }
   115309    case 17:
   115310     $node_type125 = $23 + 162 | 0;
   115311     $31 = HEAP8[$node_type125] | 0;
   115312     $cmp127 = $31 << 24 >> 24 == 0;
   115313     if ($cmp127) {
   115314       $retval_0 = 0;
   115315       label = 19;
   115316       break;
   115317     } else {
   115318       label = 18;
   115319       break;
   115320     }
   115321    case 18:
   115322     $list133 = $23 + 176 | 0;
   115323     $32 = HEAP32[$list133 >> 2] | 0;
   115324     $33 = HEAP32[$32 >> 2] | 0;
   115325     $tail_1 = $29 + 16 | 0;
   115326     $34 = HEAP32[$tail_1 >> 2] | 0;
   115327     $tail86_1 = $33 + 16 | 0;
   115328     $35 = HEAP32[$tail86_1 >> 2] | 0;
   115329     $cmp87_1 = ($34 | 0) == ($35 | 0);
   115330     if ($cmp87_1) {
   115331       $retval_0 = 0;
   115332       label = 19;
   115333       break;
   115334     } else {
   115335       label = 20;
   115336       break;
   115337     }
   115338    case 19:
   115339     return $retval_0 | 0;
   115340    case 20:
   115341     $order92_1 = $34 + 240 | 0;
   115342     $36 = HEAP32[$order92_1 >> 2] | 0;
   115343     $order94_1 = $35 + 240 | 0;
   115344     $37 = HEAP32[$order94_1 >> 2] | 0;
   115345     $cmp95_1 = ($36 | 0) > ($37 | 0);
   115346     $cmp97_1 = $cmp ^ $cmp95_1;
   115347     $retval = $cmp97_1 & 1;
   115348     return $retval | 0;
   115349    case 21:
   115350     $order29_1 = $17 + 240 | 0;
   115351     $38 = HEAP32[$order29_1 >> 2] | 0;
   115352     $order31_1 = $18 + 240 | 0;
   115353     $39 = HEAP32[$order31_1 >> 2] | 0;
   115354     $cmp32_1 = ($38 | 0) > ($39 | 0);
   115355     $cmp34_1 = $cmp ^ $cmp32_1;
   115356     if ($cmp34_1) {
   115357       $retval_0 = 1;
   115358       label = 19;
   115359       break;
   115360     } else {
   115361       label = 11;
   115362       break;
   115363     }
   115364   }
   115365   return 0;
   115366 }
   115367 function _add_pointf3016($agg_result, $p, $q) {
   115368   $agg_result = $agg_result | 0;
   115369   $p = $p | 0;
   115370   $q = $q | 0;
   115371   var $add4 = 0.0, tempParam = 0, __stackBase__ = 0;
   115372   __stackBase__ = STACKTOP;
   115373   tempParam = $p;
   115374   $p = STACKTOP;
   115375   STACKTOP = STACKTOP + 16 | 0;
   115376   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   115377   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   115378   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   115379   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   115380   tempParam = $q;
   115381   $q = STACKTOP;
   115382   STACKTOP = STACKTOP + 16 | 0;
   115383   HEAP32[$q >> 2] = HEAP32[tempParam >> 2] | 0;
   115384   HEAP32[$q + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   115385   HEAP32[$q + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   115386   HEAP32[$q + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   115387   $add4 = +HEAPF64[$p + 8 >> 3] + +HEAPF64[$q + 8 >> 3];
   115388   HEAPF64[$agg_result >> 3] = +HEAPF64[$p >> 3] + +HEAPF64[$q >> 3];
   115389   HEAPF64[$agg_result + 8 >> 3] = $add4;
   115390   STACKTOP = __stackBase__;
   115391   return;
   115392 }
   115393 function _leftOf($p1, $p2, $p3) {
   115394   $p1 = $p1 | 0;
   115395   $p2 = $p2 | 0;
   115396   $p3 = $p3 | 0;
   115397   var $1 = 0.0, $3 = 0.0, tempParam = 0, __stackBase__ = 0;
   115398   __stackBase__ = STACKTOP;
   115399   tempParam = $p1;
   115400   $p1 = STACKTOP;
   115401   STACKTOP = STACKTOP + 16 | 0;
   115402   HEAP32[$p1 >> 2] = HEAP32[tempParam >> 2] | 0;
   115403   HEAP32[$p1 + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   115404   HEAP32[$p1 + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   115405   HEAP32[$p1 + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   115406   tempParam = $p2;
   115407   $p2 = STACKTOP;
   115408   STACKTOP = STACKTOP + 16 | 0;
   115409   HEAP32[$p2 >> 2] = HEAP32[tempParam >> 2] | 0;
   115410   HEAP32[$p2 + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   115411   HEAP32[$p2 + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   115412   HEAP32[$p2 + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   115413   tempParam = $p3;
   115414   $p3 = STACKTOP;
   115415   STACKTOP = STACKTOP + 16 | 0;
   115416   HEAP32[$p3 >> 2] = HEAP32[tempParam >> 2] | 0;
   115417   HEAP32[$p3 + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   115418   HEAP32[$p3 + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   115419   HEAP32[$p3 + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   115420   $1 = +HEAPF64[$p2 + 8 >> 3];
   115421   $3 = +HEAPF64[$p2 >> 3];
   115422   STACKTOP = __stackBase__;
   115423   return (~~((+HEAPF64[$p1 + 8 >> 3] - $1) * (+HEAPF64[$p3 >> 3] - $3) - (+HEAPF64[$p3 + 8 >> 3] - $1) * (+HEAPF64[$p1 >> 3] - $3)) | 0) > 0 & 1 | 0;
   115424 }
   115425 function _neighbor($vn, $ie, $oe, $dir) {
   115426   $vn = $vn | 0;
   115427   $ie = $ie | 0;
   115428   $oe = $oe | 0;
   115429   $dir = $dir | 0;
   115430   var $rank1 = 0, $0 = 0, $graph = 0, $1 = 0, $rank3 = 0, $2 = 0, $order = 0, $3 = 0, $n5 = 0, $v = 0, $_pn = 0, $i_0 = 0, $cmp = 0, $4 = 0, $cmp6 = 0, $5 = 0, $arrayidx7 = 0, $6 = 0, $node_type = 0, $7 = 0, $cmp9 = 0, $label = 0, $8 = 0, $tobool = 0, $_pr = 0, $9 = 0, $cmp15 = 0, $call = 0, $cmp20 = 0, $rv_0 = 0, label = 0;
   115431   label = 2;
   115432   while (1) switch (label | 0) {
   115433    case 2:
   115434     $rank1 = $vn + 236 | 0;
   115435     $0 = HEAP32[$rank1 >> 2] | 0;
   115436     $graph = $vn + 20 | 0;
   115437     $1 = HEAP32[$graph >> 2] | 0;
   115438     $rank3 = $1 + 224 | 0;
   115439     $2 = HEAP32[$rank3 >> 2] | 0;
   115440     $order = $vn + 240 | 0;
   115441     $3 = HEAP32[$order >> 2] | 0;
   115442     $n5 = $2 + ($0 * 44 & -1) | 0;
   115443     $v = $2 + ($0 * 44 & -1) + 4 | 0;
   115444     $_pn = $3;
   115445     label = 3;
   115446     break;
   115447    case 3:
   115448     $i_0 = $_pn + $dir | 0;
   115449     $cmp = ($i_0 | 0) > -1;
   115450     if ($cmp) {
   115451       label = 4;
   115452       break;
   115453     } else {
   115454       $rv_0 = 0;
   115455       label = 10;
   115456       break;
   115457     }
   115458    case 4:
   115459     $4 = HEAP32[$n5 >> 2] | 0;
   115460     $cmp6 = ($i_0 | 0) < ($4 | 0);
   115461     if ($cmp6) {
   115462       label = 5;
   115463       break;
   115464     } else {
   115465       $rv_0 = 0;
   115466       label = 10;
   115467       break;
   115468     }
   115469    case 5:
   115470     $5 = HEAP32[$v >> 2] | 0;
   115471     $arrayidx7 = $5 + ($i_0 << 2) | 0;
   115472     $6 = HEAP32[$arrayidx7 >> 2] | 0;
   115473     $node_type = $6 + 162 | 0;
   115474     $7 = HEAP8[$node_type] | 0;
   115475     $cmp9 = $7 << 24 >> 24 == 1;
   115476     if ($cmp9) {
   115477       label = 6;
   115478       break;
   115479     } else {
   115480       $9 = $7;
   115481       label = 8;
   115482       break;
   115483     }
   115484    case 6:
   115485     $label = $6 + 120 | 0;
   115486     $8 = HEAP32[$label >> 2] | 0;
   115487     $tobool = ($8 | 0) == 0;
   115488     if ($tobool) {
   115489       label = 7;
   115490       break;
   115491     } else {
   115492       $rv_0 = $6;
   115493       label = 10;
   115494       break;
   115495     }
   115496    case 7:
   115497     $_pr = HEAP8[$node_type] | 0;
   115498     $9 = $_pr;
   115499     label = 8;
   115500     break;
   115501    case 8:
   115502     $cmp15 = $9 << 24 >> 24 == 0;
   115503     if ($cmp15) {
   115504       $rv_0 = $6;
   115505       label = 10;
   115506       break;
   115507     } else {
   115508       label = 9;
   115509       break;
   115510     }
   115511    case 9:
   115512     $call = _pathscross($6, $vn, $ie, $oe) | 0;
   115513     $cmp20 = $call << 24 >> 24 == 0;
   115514     if ($cmp20) {
   115515       $rv_0 = $6;
   115516       label = 10;
   115517       break;
   115518     } else {
   115519       $_pn = $i_0;
   115520       label = 3;
   115521       break;
   115522     }
   115523    case 10:
   115524     return $rv_0 | 0;
   115525   }
   115526   return 0;
   115527 }
   115528 function _cl_bound($n, $adj) {
   115529   $n = $n | 0;
   115530   $adj = $adj | 0;
   115531   var $node_type = 0, $0 = 0, $cmp = 0, $clust = 0, $1 = 0, $list = 0, $2 = 0, $3 = 0, $to_orig = 0, $4 = 0, $tail = 0, $5 = 0, $clust6 = 0, $6 = 0, $head = 0, $7 = 0, $clust8 = 0, $8 = 0, $tcl_0 = 0, $hcl_0 = 0, $node_type10 = 0, $9 = 0, $cmp12 = 0, $clust16 = 0, $10 = 0, $tobool = 0, $cmp17 = 0, $or_cond = 0, $cmp20 = 0, $or_cond25 = 0, $_30 = 0, $list27 = 0, $11 = 0, $12 = 0, $to_orig30 = 0, $13 = 0, $tail31 = 0, $14 = 0, $clust33 = 0, $15 = 0, $tobool34 = 0, $cmp36 = 0, $or_cond26 = 0, $cmp39 = 0, $or_cond27 = 0, $call = 0, $tobool42 = 0, $head45 = 0, $16 = 0, $clust47 = 0, $17 = 0, $tobool48 = 0, $cmp50 = 0, $or_cond28 = 0, $cmp53 = 0, $or_cond29 = 0, $call56 = 0, $tobool57 = 0, $_ = 0, $rv_0 = 0, label = 0;
   115532   label = 2;
   115533   while (1) switch (label | 0) {
   115534    case 2:
   115535     $node_type = $n + 162 | 0;
   115536     $0 = HEAP8[$node_type] | 0;
   115537     $cmp = $0 << 24 >> 24 == 0;
   115538     if ($cmp) {
   115539       label = 3;
   115540       break;
   115541     } else {
   115542       label = 4;
   115543       break;
   115544     }
   115545    case 3:
   115546     $clust = $n + 216 | 0;
   115547     $1 = HEAP32[$clust >> 2] | 0;
   115548     $hcl_0 = $1;
   115549     $tcl_0 = $1;
   115550     label = 5;
   115551     break;
   115552    case 4:
   115553     $list = $n + 184 | 0;
   115554     $2 = HEAP32[$list >> 2] | 0;
   115555     $3 = HEAP32[$2 >> 2] | 0;
   115556     $to_orig = $3 + 132 | 0;
   115557     $4 = HEAP32[$to_orig >> 2] | 0;
   115558     $tail = $4 + 16 | 0;
   115559     $5 = HEAP32[$tail >> 2] | 0;
   115560     $clust6 = $5 + 216 | 0;
   115561     $6 = HEAP32[$clust6 >> 2] | 0;
   115562     $head = $4 + 12 | 0;
   115563     $7 = HEAP32[$head >> 2] | 0;
   115564     $clust8 = $7 + 216 | 0;
   115565     $8 = HEAP32[$clust8 >> 2] | 0;
   115566     $hcl_0 = $8;
   115567     $tcl_0 = $6;
   115568     label = 5;
   115569     break;
   115570    case 5:
   115571     $node_type10 = $adj + 162 | 0;
   115572     $9 = HEAP8[$node_type10] | 0;
   115573     $cmp12 = $9 << 24 >> 24 == 0;
   115574     if ($cmp12) {
   115575       label = 6;
   115576       break;
   115577     } else {
   115578       label = 7;
   115579       break;
   115580     }
   115581    case 6:
   115582     $clust16 = $adj + 216 | 0;
   115583     $10 = HEAP32[$clust16 >> 2] | 0;
   115584     $tobool = ($10 | 0) == 0;
   115585     $cmp17 = ($10 | 0) == ($tcl_0 | 0);
   115586     $or_cond = $tobool | $cmp17;
   115587     $cmp20 = ($10 | 0) == ($hcl_0 | 0);
   115588     $or_cond25 = $or_cond | $cmp20;
   115589     $_30 = $or_cond25 ? 0 : $10;
   115590     return $_30 | 0;
   115591    case 7:
   115592     $list27 = $adj + 184 | 0;
   115593     $11 = HEAP32[$list27 >> 2] | 0;
   115594     $12 = HEAP32[$11 >> 2] | 0;
   115595     $to_orig30 = $12 + 132 | 0;
   115596     $13 = HEAP32[$to_orig30 >> 2] | 0;
   115597     $tail31 = $13 + 16 | 0;
   115598     $14 = HEAP32[$tail31 >> 2] | 0;
   115599     $clust33 = $14 + 216 | 0;
   115600     $15 = HEAP32[$clust33 >> 2] | 0;
   115601     $tobool34 = ($15 | 0) == 0;
   115602     $cmp36 = ($15 | 0) == ($tcl_0 | 0);
   115603     $or_cond26 = $tobool34 | $cmp36;
   115604     $cmp39 = ($15 | 0) == ($hcl_0 | 0);
   115605     $or_cond27 = $or_cond26 | $cmp39;
   115606     if ($or_cond27) {
   115607       label = 9;
   115608       break;
   115609     } else {
   115610       label = 8;
   115611       break;
   115612     }
   115613    case 8:
   115614     $call = _cl_vninside($15, $adj) | 0;
   115615     $tobool42 = ($call | 0) == 0;
   115616     if ($tobool42) {
   115617       label = 9;
   115618       break;
   115619     } else {
   115620       $rv_0 = $15;
   115621       label = 11;
   115622       break;
   115623     }
   115624    case 9:
   115625     $head45 = $13 + 12 | 0;
   115626     $16 = HEAP32[$head45 >> 2] | 0;
   115627     $clust47 = $16 + 216 | 0;
   115628     $17 = HEAP32[$clust47 >> 2] | 0;
   115629     $tobool48 = ($17 | 0) == 0;
   115630     $cmp50 = ($17 | 0) == ($tcl_0 | 0);
   115631     $or_cond28 = $tobool48 | $cmp50;
   115632     $cmp53 = ($17 | 0) == ($hcl_0 | 0);
   115633     $or_cond29 = $or_cond28 | $cmp53;
   115634     if ($or_cond29) {
   115635       $rv_0 = 0;
   115636       label = 11;
   115637       break;
   115638     } else {
   115639       label = 10;
   115640       break;
   115641     }
   115642    case 10:
   115643     $call56 = _cl_vninside($17, $adj) | 0;
   115644     $tobool57 = ($call56 | 0) == 0;
   115645     $_ = $tobool57 ? 0 : $17;
   115646     return $_ | 0;
   115647    case 11:
   115648     return $rv_0 | 0;
   115649   }
   115650   return 0;
   115651 }
   115652 function _make_flat_adj_edges($edges, $ind, $cnt, $e0, $et) {
   115653   $edges = $edges | 0;
   115654   $ind = $ind | 0;
   115655   $cnt = $cnt | 0;
   115656   $e0 = $e0 | 0;
   115657   $et = $et | 0;
   115658   var $del = 0, $tmp = 0, $tmp230 = 0, $cp = 0, $tmp246 = 0, $tmp262 = 0, $tmp273 = 0, $tmp282 = 0, $tmp298 = 0, $tail = 0, $0 = 0, $graph = 0, $1 = 0, $head = 0, $2 = 0, $cmp13 = 0, $labels_016 = 0, $ports_015 = 0, $i_014 = 0, $add = 0, $arrayidx = 0, $3 = 0, $label = 0, $4 = 0, $not_tobool = 0, $inc = 0, $labels_0_inc = 0, $defined = 0, $5 = 0, $tobool3 = 0, $defined5 = 0, $6 = 0, $tobool7 = 0, $ports_1 = 0, $inc10 = 0, $cmp = 0, $cmp11 = 0, $cmp14 = 0, $call = 0, $7 = 0, $call19 = 0, $8 = 0, $9 = 0, $call20 = 0, $x = 0, $10 = 0.0, $conv22 = 0, $x25 = 0, $11 = 0.0, $conv26 = 0, $rankdir = 0, $12 = 0, $and28 = 0, $tobool29 = 0, $_ = 0, $_2 = 0, $call37 = 0, $call42 = 0, $cmp4410 = 0, $hvye_012 = 0, $i_111 = 0, $add47 = 0, $arrayidx48 = 0, $e_0_in = 0, $e_0 = 0, $edge_type = 0, $13 = 0, $cmp52 = 0, $to_orig = 0, $tail58 = 0, $14 = 0, $cmp59 = 0, $call62 = 0, $call64 = 0, $auxe_0 = 0, $15 = 0, $alg = 0, $tobool67 = 0, $defined70 = 0, $16 = 0, $tobool71 = 0, $defined75 = 0, $17 = 0, $tobool76 = 0, $18 = 0, $alg79 = 0, $hvye_1 = 0, $inc82 = 0, $cmp44 = 0, $tobool84 = 0, $19 = 0, $20 = 0, $21 = 0, $call86 = 0, $22 = 0, $hvye_2 = 0, $23 = 0, $24 = 0, $index = 0, $25 = 0, $call88 = 0, $gvc = 0, $26 = 0, $gvc91 = 0, $27 = 0, $28 = 0, $x94 = 0, $x105 = 0, $29 = 0.0, $x108 = 0, $30 = 0.0, $add109 = 0.0, $div110 = 0.0, $conv111 = 0, $nlist = 0, $n_07 = 0, $tobool1148 = 0, $rw = 0, $x98 = 0, $31 = 0.0, $32 = 0.0, $lw = 0, $33 = 0.0, $sub = 0.0, $34 = 0.0, $add99 = 0.0, $add101 = 0.0, $div = 0.0, $conv102 = 0, $conv119 = 0.0, $conv122 = 0.0, $conv130 = 0.0, $conv134 = 0.0, $conv139 = 0.0, $n_09 = 0, $cmp116 = 0, $y = 0, $x125 = 0, $cmp127 = 0, $y133 = 0, $x137 = 0, $next = 0, $n_0 = 0, $tobool114 = 0, $35 = 0, $and151 = 0, $tobool152 = 0, $36 = 0.0, $y159 = 0, $37 = 0.0, $sub160 = 0.0, $x161 = 0, $y164 = 0, $38 = 0.0, $39 = 0.0, $add168 = 0.0, $y169 = 0, $40 = 0.0, $sub177 = 0.0, $x178 = 0, $y181 = 0, $41 = 0.0, $y184 = 0, $42 = 0.0, $sub185 = 0.0, $y186 = 0, $cmp1895 = 0, $43 = 0, $44 = 0, $45 = 0, $46 = 0, $47 = 0, $48 = 0, $arrayidx237 = 0, $arrayidx253 = 0, $49 = 0, $50 = 0, $arrayidx264 = 0, $51 = 0, $52 = 0, $arrayidx275 = 0, $53 = 0, $54 = 0, $bb = 0, $i_26 = 0, $add192 = 0, $arrayidx193 = 0, $e_1_in = 0, $e_1 = 0, $edge_type196 = 0, $55 = 0, $cmp198 = 0, $to_orig203 = 0, $alg206 = 0, $56 = 0, $57 = 0, $cmp207 = 0, $alg210 = 0, $58 = 0, $59 = 0, $lnot = 0, $and2121 = 0, $u209 = 0, $spl = 0, $60 = 0, $list = 0, $61 = 0, $size = 0, $62 = 0, $63 = 0, $call217 = 0, $sflag = 0, $64 = 0, $sflag218 = 0, $sp = 0, $sp219 = 0, $65 = 0, $and223 = 0, $66 = 0, $eflag = 0, $67 = 0, $eflag224 = 0, $ep = 0, $ep225 = 0, $68 = 0, $and229 = 0, $69 = 0, $list238 = 0, $70 = 0, $cmp2333 = 0, $list240 = 0, $j_04 = 0, $71 = 0, $arrayidx239 = 0, $72 = 0, $arrayidx241 = 0, $73 = 0, $and245 = 0, $74 = 0, $inc247 = 0, $75 = 0, $cmp249 = 0, $76 = 0, $arrayidx255 = 0, $77 = 0, $arrayidx257 = 0, $78 = 0, $and261 = 0, $79 = 0, $inc263 = 0, $80 = 0, $arrayidx266 = 0, $81 = 0, $arrayidx268 = 0, $82 = 0, $and272 = 0, $83 = 0, $inc274 = 0, $84 = 0, $arrayidx277 = 0, $85 = 0, $and281 = 0, $86 = 0, $cmp233 = 0, $label286 = 0, $87 = 0, $tobool287 = 0, $pos = 0, $label292 = 0, $88 = 0, $89 = 0, $pos293 = 0, $90 = 0, $and297 = 0, $91 = 0, $92 = 0, $set = 0, $93 = 0, $inc305 = 0, $cmp189 = 0, label = 0, __stackBase__ = 0;
   115659   __stackBase__ = STACKTOP;
   115660   STACKTOP = STACKTOP + 192 | 0;
   115661   label = 2;
   115662   while (1) switch (label | 0) {
   115663    case 2:
   115664     $del = __stackBase__ | 0;
   115665     $tmp = __stackBase__ + 16 | 0;
   115666     $tmp230 = __stackBase__ + 32 | 0;
   115667     $cp = __stackBase__ + 48 | 0;
   115668     $tmp246 = __stackBase__ + 112 | 0;
   115669     $tmp262 = __stackBase__ + 128 | 0;
   115670     $tmp273 = __stackBase__ + 144 | 0;
   115671     $tmp282 = __stackBase__ + 160 | 0;
   115672     $tmp298 = __stackBase__ + 176 | 0;
   115673     $tail = $e0 + 16 | 0;
   115674     $0 = HEAP32[$tail >> 2] | 0;
   115675     $graph = $0 + 20 | 0;
   115676     $1 = HEAP32[$graph >> 2] | 0;
   115677     $head = $e0 + 12 | 0;
   115678     $2 = HEAP32[$head >> 2] | 0;
   115679     $cmp13 = ($cnt | 0) > 0;
   115680     if ($cmp13) {
   115681       $i_014 = 0;
   115682       $ports_015 = 0;
   115683       $labels_016 = 0;
   115684       label = 3;
   115685       break;
   115686     } else {
   115687       label = 9;
   115688       break;
   115689     }
   115690    case 3:
   115691     $add = $i_014 + $ind | 0;
   115692     $arrayidx = $edges + ($add << 2) | 0;
   115693     $3 = HEAP32[$arrayidx >> 2] | 0;
   115694     $label = $3 + 112 | 0;
   115695     $4 = HEAP32[$label >> 2] | 0;
   115696     $not_tobool = ($4 | 0) != 0;
   115697     $inc = $not_tobool & 1;
   115698     $labels_0_inc = $inc + $labels_016 | 0;
   115699     $defined = $3 + 60 | 0;
   115700     $5 = HEAP8[$defined] | 0;
   115701     $tobool3 = $5 << 24 >> 24 == 0;
   115702     if ($tobool3) {
   115703       label = 4;
   115704       break;
   115705     } else {
   115706       label = 5;
   115707       break;
   115708     }
   115709    case 4:
   115710     $defined5 = $3 + 100 | 0;
   115711     $6 = HEAP8[$defined5] | 0;
   115712     $tobool7 = $6 << 24 >> 24 == 0;
   115713     if ($tobool7) {
   115714       $ports_1 = $ports_015;
   115715       label = 6;
   115716       break;
   115717     } else {
   115718       label = 5;
   115719       break;
   115720     }
   115721    case 5:
   115722     $ports_1 = 1;
   115723     label = 6;
   115724     break;
   115725    case 6:
   115726     $inc10 = $i_014 + 1 | 0;
   115727     $cmp = ($inc10 | 0) < ($cnt | 0);
   115728     if ($cmp) {
   115729       $i_014 = $inc10;
   115730       $ports_015 = $ports_1;
   115731       $labels_016 = $labels_0_inc;
   115732       label = 3;
   115733       break;
   115734     } else {
   115735       label = 7;
   115736       break;
   115737     }
   115738    case 7:
   115739     $cmp11 = ($ports_1 | 0) == 0;
   115740     if ($cmp11) {
   115741       label = 8;
   115742       break;
   115743     } else {
   115744       label = 11;
   115745       break;
   115746     }
   115747    case 8:
   115748     $cmp14 = ($labels_0_inc | 0) == 0;
   115749     if ($cmp14) {
   115750       label = 9;
   115751       break;
   115752     } else {
   115753       label = 10;
   115754       break;
   115755     }
   115756    case 9:
   115757     _makeSimpleFlat($0, $2, $edges, $ind, $cnt, $et);
   115758     label = 48;
   115759     break;
   115760    case 10:
   115761     _makeSimpleFlatLabels($0, $2, $edges, $ind, $cnt, $et, $labels_0_inc);
   115762     label = 48;
   115763     break;
   115764    case 11:
   115765     $call = _cloneGraph($1) | 0;
   115766     $7 = $call;
   115767     $call19 = _agsubg($7, 125768) | 0;
   115768     $8 = $call19;
   115769     $9 = $call19 | 0;
   115770     $call20 = _agset($9, 117048, 111736) | 0;
   115771     $x = $2 + 32 | 0;
   115772     $10 = +HEAPF64[$x >> 3];
   115773     $conv22 = ~~$10;
   115774     $x25 = $0 + 32 | 0;
   115775     $11 = +HEAPF64[$x25 >> 3];
   115776     $conv26 = ~~$11;
   115777     $rankdir = $1 + 156 | 0;
   115778     $12 = HEAP32[$rankdir >> 2] | 0;
   115779     $and28 = $12 & 1;
   115780     $tobool29 = ($and28 | 0) == 0;
   115781     $_ = $tobool29 ? $2 : $0;
   115782     $_2 = $tobool29 ? $0 : $2;
   115783     $call37 = _cloneNode($8, $_2) | 0;
   115784     $call42 = _cloneNode($call, $_) | 0;
   115785     $cmp4410 = ($cnt | 0) > 0;
   115786     if ($cmp4410) {
   115787       $i_111 = 0;
   115788       $hvye_012 = 0;
   115789       label = 12;
   115790       break;
   115791     } else {
   115792       label = 23;
   115793       break;
   115794     }
   115795    case 12:
   115796     $add47 = $i_111 + $ind | 0;
   115797     $arrayidx48 = $edges + ($add47 << 2) | 0;
   115798     $e_0_in = $arrayidx48;
   115799     label = 13;
   115800     break;
   115801    case 13:
   115802     $e_0 = HEAP32[$e_0_in >> 2] | 0;
   115803     $edge_type = $e_0 + 128 | 0;
   115804     $13 = HEAP8[$edge_type] | 0;
   115805     $cmp52 = $13 << 24 >> 24 == 0;
   115806     $to_orig = $e_0 + 132 | 0;
   115807     if ($cmp52) {
   115808       label = 14;
   115809       break;
   115810     } else {
   115811       $e_0_in = $to_orig;
   115812       label = 13;
   115813       break;
   115814     }
   115815    case 14:
   115816     $tail58 = $e_0 + 16 | 0;
   115817     $14 = HEAP32[$tail58 >> 2] | 0;
   115818     $cmp59 = ($14 | 0) == ($_2 | 0);
   115819     if ($cmp59) {
   115820       label = 15;
   115821       break;
   115822     } else {
   115823       label = 16;
   115824       break;
   115825     }
   115826    case 15:
   115827     $call62 = _cloneEdge3018($call, $call37, $call42, $e_0) | 0;
   115828     $auxe_0 = $call62;
   115829     label = 17;
   115830     break;
   115831    case 16:
   115832     $call64 = _cloneEdge3018($call, $call42, $call37, $e_0) | 0;
   115833     $auxe_0 = $call64;
   115834     label = 17;
   115835     break;
   115836    case 17:
   115837     $15 = $auxe_0 | 0;
   115838     $alg = $e_0 + 136 | 0;
   115839     HEAP32[$alg >> 2] = $15;
   115840     $tobool67 = ($hvye_012 | 0) == 0;
   115841     if ($tobool67) {
   115842       label = 18;
   115843       break;
   115844     } else {
   115845       $hvye_1 = $hvye_012;
   115846       label = 21;
   115847       break;
   115848     }
   115849    case 18:
   115850     $defined70 = $e_0 + 60 | 0;
   115851     $16 = HEAP8[$defined70] | 0;
   115852     $tobool71 = $16 << 24 >> 24 == 0;
   115853     if ($tobool71) {
   115854       label = 19;
   115855       break;
   115856     } else {
   115857       $hvye_1 = 0;
   115858       label = 21;
   115859       break;
   115860     }
   115861    case 19:
   115862     $defined75 = $e_0 + 100 | 0;
   115863     $17 = HEAP8[$defined75] | 0;
   115864     $tobool76 = $17 << 24 >> 24 == 0;
   115865     if ($tobool76) {
   115866       label = 20;
   115867       break;
   115868     } else {
   115869       $hvye_1 = $hvye_012;
   115870       label = 21;
   115871       break;
   115872     }
   115873    case 20:
   115874     $18 = $e_0 | 0;
   115875     $alg79 = $auxe_0 + 136 | 0;
   115876     HEAP32[$alg79 >> 2] = $18;
   115877     $hvye_1 = $auxe_0;
   115878     label = 21;
   115879     break;
   115880    case 21:
   115881     $inc82 = $i_111 + 1 | 0;
   115882     $cmp44 = ($inc82 | 0) < ($cnt | 0);
   115883     if ($cmp44) {
   115884       $i_111 = $inc82;
   115885       $hvye_012 = $hvye_1;
   115886       label = 12;
   115887       break;
   115888     } else {
   115889       label = 22;
   115890       break;
   115891     }
   115892    case 22:
   115893     $tobool84 = ($hvye_1 | 0) == 0;
   115894     if ($tobool84) {
   115895       label = 23;
   115896       break;
   115897     } else {
   115898       $hvye_2 = $hvye_1;
   115899       label = 24;
   115900       break;
   115901     }
   115902    case 23:
   115903     $19 = $call;
   115904     $20 = $call37;
   115905     $21 = $call42;
   115906     $call86 = _agedge($19, $20, $21) | 0;
   115907     $22 = $call86;
   115908     $hvye_2 = $22;
   115909     label = 24;
   115910     break;
   115911    case 24:
   115912     $23 = $hvye_2 | 0;
   115913     $24 = HEAP32[41894] | 0;
   115914     $index = $24 + 8 | 0;
   115915     $25 = HEAP32[$index >> 2] | 0;
   115916     $call88 = _agxset($23, $25, 107384) | 0;
   115917     $gvc = $1 + 176 | 0;
   115918     $26 = HEAP32[$gvc >> 2] | 0;
   115919     $gvc91 = $call + 176 | 0;
   115920     HEAP32[$gvc91 >> 2] = $26;
   115921     $27 = $call;
   115922     _setEdgeType($27, $et);
   115923     _dot_init_node_edge($call);
   115924     $28 = $call;
   115925     _dot_rank($28, 0);
   115926     _dot_mincross($27, 0);
   115927     _dot_position($27, 0);
   115928     $x94 = $_2 + 32 | 0;
   115929     $x105 = $call37 + 32 | 0;
   115930     $29 = +HEAPF64[$x105 >> 3];
   115931     $x108 = $call42 + 32 | 0;
   115932     $30 = +HEAPF64[$x108 >> 3];
   115933     $add109 = $29 + $30;
   115934     $div110 = $add109 * .5;
   115935     $conv111 = ~~$div110;
   115936     $nlist = $call + 220 | 0;
   115937     $n_07 = HEAP32[$nlist >> 2] | 0;
   115938     $tobool1148 = ($n_07 | 0) == 0;
   115939     if ($tobool1148) {
   115940       label = 32;
   115941       break;
   115942     } else {
   115943       label = 25;
   115944       break;
   115945     }
   115946    case 25:
   115947     $rw = $_2 + 112 | 0;
   115948     $x98 = $_ + 32 | 0;
   115949     $31 = +HEAPF64[$rw >> 3];
   115950     $32 = +HEAPF64[$x94 >> 3];
   115951     $lw = $_ + 104 | 0;
   115952     $33 = +HEAPF64[$x98 >> 3];
   115953     $sub = $32 - $31;
   115954     $34 = +HEAPF64[$lw >> 3];
   115955     $add99 = $sub + $33;
   115956     $add101 = $add99 + $34;
   115957     $div = $add101 * .5;
   115958     $conv102 = ~~$div;
   115959     $conv119 = +($conv22 | 0);
   115960     $conv122 = +($conv111 | 0);
   115961     $conv130 = +($conv26 | 0);
   115962     $conv134 = +($conv111 | 0);
   115963     $conv139 = +($conv102 | 0);
   115964     $n_09 = $n_07;
   115965     label = 26;
   115966     break;
   115967    case 26:
   115968     $cmp116 = ($n_09 | 0) == ($call37 | 0);
   115969     if ($cmp116) {
   115970       label = 27;
   115971       break;
   115972     } else {
   115973       label = 28;
   115974       break;
   115975     }
   115976    case 27:
   115977     $y = $n_09 + 40 | 0;
   115978     HEAPF64[$y >> 3] = $conv119;
   115979     $x125 = $n_09 + 32 | 0;
   115980     HEAPF64[$x125 >> 3] = $conv122;
   115981     label = 31;
   115982     break;
   115983    case 28:
   115984     $cmp127 = ($n_09 | 0) == ($call42 | 0);
   115985     $y133 = $n_09 + 40 | 0;
   115986     if ($cmp127) {
   115987       label = 29;
   115988       break;
   115989     } else {
   115990       label = 30;
   115991       break;
   115992     }
   115993    case 29:
   115994     HEAPF64[$y133 >> 3] = $conv130;
   115995     $x137 = $n_09 + 32 | 0;
   115996     HEAPF64[$x137 >> 3] = $conv134;
   115997     label = 31;
   115998     break;
   115999    case 30:
   116000     HEAPF64[$y133 >> 3] = $conv139;
   116001     label = 31;
   116002     break;
   116003    case 31:
   116004     $next = $n_09 + 168 | 0;
   116005     $n_0 = HEAP32[$next >> 2] | 0;
   116006     $tobool114 = ($n_0 | 0) == 0;
   116007     if ($tobool114) {
   116008       label = 32;
   116009       break;
   116010     } else {
   116011       $n_09 = $n_0;
   116012       label = 26;
   116013       break;
   116014     }
   116015    case 32:
   116016     _dot_sameports($call);
   116017     __dot_splines($call, 0);
   116018     _dotneato_postprocess($27);
   116019     $35 = HEAP32[$rankdir >> 2] | 0;
   116020     $and151 = $35 & 1;
   116021     $tobool152 = ($and151 | 0) == 0;
   116022     $36 = +HEAPF64[$x94 >> 3];
   116023     if ($tobool152) {
   116024       label = 34;
   116025       break;
   116026     } else {
   116027       label = 33;
   116028       break;
   116029     }
   116030    case 33:
   116031     $y159 = $call37 + 40 | 0;
   116032     $37 = +HEAPF64[$y159 >> 3];
   116033     $sub160 = $36 - $37;
   116034     $x161 = $del | 0;
   116035     HEAPF64[$x161 >> 3] = $sub160;
   116036     $y164 = $_2 + 40 | 0;
   116037     $38 = +HEAPF64[$y164 >> 3];
   116038     $39 = +HEAPF64[$x105 >> 3];
   116039     $add168 = $38 + $39;
   116040     $y169 = $del + 8 | 0;
   116041     HEAPF64[$y169 >> 3] = $add168;
   116042     label = 35;
   116043     break;
   116044    case 34:
   116045     $40 = +HEAPF64[$x105 >> 3];
   116046     $sub177 = $36 - $40;
   116047     $x178 = $del | 0;
   116048     HEAPF64[$x178 >> 3] = $sub177;
   116049     $y181 = $_2 + 40 | 0;
   116050     $41 = +HEAPF64[$y181 >> 3];
   116051     $y184 = $call37 + 40 | 0;
   116052     $42 = +HEAPF64[$y184 >> 3];
   116053     $sub185 = $41 - $42;
   116054     $y186 = $del + 8 | 0;
   116055     HEAPF64[$y186 >> 3] = $sub185;
   116056     label = 35;
   116057     break;
   116058    case 35:
   116059     $cmp1895 = ($cnt | 0) > 0;
   116060     if ($cmp1895) {
   116061       label = 36;
   116062       break;
   116063     } else {
   116064       label = 47;
   116065       break;
   116066     }
   116067    case 36:
   116068     $43 = $tmp;
   116069     $44 = $tmp230;
   116070     $45 = $tmp298;
   116071     $46 = $1;
   116072     $47 = $tmp246;
   116073     $48 = $cp;
   116074     $arrayidx237 = $cp | 0;
   116075     $arrayidx253 = $cp + 16 | 0;
   116076     $49 = $tmp262;
   116077     $50 = $arrayidx253;
   116078     $arrayidx264 = $cp + 32 | 0;
   116079     $51 = $tmp273;
   116080     $52 = $arrayidx264;
   116081     $arrayidx275 = $cp + 48 | 0;
   116082     $53 = $arrayidx275;
   116083     $54 = $tmp282;
   116084     $bb = $1 + 56 | 0;
   116085     $i_26 = 0;
   116086     label = 37;
   116087     break;
   116088    case 37:
   116089     $add192 = $i_26 + $ind | 0;
   116090     $arrayidx193 = $edges + ($add192 << 2) | 0;
   116091     $e_1_in = $arrayidx193;
   116092     label = 38;
   116093     break;
   116094    case 38:
   116095     $e_1 = HEAP32[$e_1_in >> 2] | 0;
   116096     $edge_type196 = $e_1 + 128 | 0;
   116097     $55 = HEAP8[$edge_type196] | 0;
   116098     $cmp198 = $55 << 24 >> 24 == 0;
   116099     $to_orig203 = $e_1 + 132 | 0;
   116100     if ($cmp198) {
   116101       label = 39;
   116102       break;
   116103     } else {
   116104       $e_1_in = $to_orig203;
   116105       label = 38;
   116106       break;
   116107     }
   116108    case 39:
   116109     $alg206 = $e_1 + 136 | 0;
   116110     $56 = HEAP32[$alg206 >> 2] | 0;
   116111     $57 = $56;
   116112     $cmp207 = ($57 | 0) == ($hvye_2 | 0);
   116113     $alg210 = $56 + 136 | 0;
   116114     $58 = $alg210;
   116115     $59 = HEAP32[$58 >> 2] | 0;
   116116     $lnot = ($59 | 0) == 0;
   116117     $and2121 = $cmp207 & $lnot;
   116118     if ($and2121) {
   116119       label = 46;
   116120       break;
   116121     } else {
   116122       label = 40;
   116123       break;
   116124     }
   116125    case 40:
   116126     $u209 = $56 + 24 | 0;
   116127     $spl = $u209;
   116128     $60 = HEAP32[$spl >> 2] | 0;
   116129     $list = $60 | 0;
   116130     $61 = HEAP32[$list >> 2] | 0;
   116131     $size = $61 + 4 | 0;
   116132     $62 = HEAP32[$size >> 2] | 0;
   116133     $63 = $e_1;
   116134     $call217 = _new_spline($63, $62) | 0;
   116135     $sflag = $61 + 8 | 0;
   116136     $64 = HEAP32[$sflag >> 2] | 0;
   116137     $sflag218 = $call217 + 8 | 0;
   116138     HEAP32[$sflag218 >> 2] = $64;
   116139     $sp = $call217 + 16 | 0;
   116140     $sp219 = $61 + 16 | 0;
   116141     $65 = HEAP32[$rankdir >> 2] | 0;
   116142     $and223 = $65 & 1;
   116143     _transformf($tmp, $sp219, $del, $and223);
   116144     $66 = $sp;
   116145     HEAP32[$66 >> 2] = HEAP32[$43 >> 2] | 0;
   116146     HEAP32[$66 + 4 >> 2] = HEAP32[$43 + 4 >> 2] | 0;
   116147     HEAP32[$66 + 8 >> 2] = HEAP32[$43 + 8 >> 2] | 0;
   116148     HEAP32[$66 + 12 >> 2] = HEAP32[$43 + 12 >> 2] | 0;
   116149     $eflag = $61 + 12 | 0;
   116150     $67 = HEAP32[$eflag >> 2] | 0;
   116151     $eflag224 = $call217 + 12 | 0;
   116152     HEAP32[$eflag224 >> 2] = $67;
   116153     $ep = $call217 + 32 | 0;
   116154     $ep225 = $61 + 32 | 0;
   116155     $68 = HEAP32[$rankdir >> 2] | 0;
   116156     $and229 = $68 & 1;
   116157     _transformf($tmp230, $ep225, $del, $and229);
   116158     $69 = $ep;
   116159     HEAP32[$69 >> 2] = HEAP32[$44 >> 2] | 0;
   116160     HEAP32[$69 + 4 >> 2] = HEAP32[$44 + 4 >> 2] | 0;
   116161     HEAP32[$69 + 8 >> 2] = HEAP32[$44 + 8 >> 2] | 0;
   116162     HEAP32[$69 + 12 >> 2] = HEAP32[$44 + 12 >> 2] | 0;
   116163     $list238 = $call217 | 0;
   116164     $70 = HEAP32[$size >> 2] | 0;
   116165     $cmp2333 = ($70 | 0) > 0;
   116166     if ($cmp2333) {
   116167       label = 41;
   116168       break;
   116169     } else {
   116170       label = 44;
   116171       break;
   116172     }
   116173    case 41:
   116174     $list240 = $61 | 0;
   116175     $j_04 = 0;
   116176     label = 42;
   116177     break;
   116178    case 42:
   116179     $71 = HEAP32[$list238 >> 2] | 0;
   116180     $arrayidx239 = $71 + ($j_04 << 4) | 0;
   116181     $72 = HEAP32[$list240 >> 2] | 0;
   116182     $arrayidx241 = $72 + ($j_04 << 4) | 0;
   116183     $73 = HEAP32[$rankdir >> 2] | 0;
   116184     $and245 = $73 & 1;
   116185     _transformf($tmp246, $arrayidx241, $del, $and245);
   116186     $74 = $arrayidx239;
   116187     HEAP32[$74 >> 2] = HEAP32[$47 >> 2] | 0;
   116188     HEAP32[$74 + 4 >> 2] = HEAP32[$47 + 4 >> 2] | 0;
   116189     HEAP32[$74 + 8 >> 2] = HEAP32[$47 + 8 >> 2] | 0;
   116190     HEAP32[$74 + 12 >> 2] = HEAP32[$47 + 12 >> 2] | 0;
   116191     HEAP32[$48 >> 2] = HEAP32[$47 >> 2] | 0;
   116192     HEAP32[$48 + 4 >> 2] = HEAP32[$47 + 4 >> 2] | 0;
   116193     HEAP32[$48 + 8 >> 2] = HEAP32[$47 + 8 >> 2] | 0;
   116194     HEAP32[$48 + 12 >> 2] = HEAP32[$47 + 12 >> 2] | 0;
   116195     $inc247 = $j_04 + 1 | 0;
   116196     $75 = HEAP32[$size >> 2] | 0;
   116197     $cmp249 = ($inc247 | 0) < ($75 | 0);
   116198     if ($cmp249) {
   116199       label = 43;
   116200       break;
   116201     } else {
   116202       label = 44;
   116203       break;
   116204     }
   116205    case 43:
   116206     $76 = HEAP32[$list238 >> 2] | 0;
   116207     $arrayidx255 = $76 + ($inc247 << 4) | 0;
   116208     $77 = HEAP32[$list240 >> 2] | 0;
   116209     $arrayidx257 = $77 + ($inc247 << 4) | 0;
   116210     $78 = HEAP32[$rankdir >> 2] | 0;
   116211     $and261 = $78 & 1;
   116212     _transformf($tmp262, $arrayidx257, $del, $and261);
   116213     $79 = $arrayidx255;
   116214     HEAP32[$79 >> 2] = HEAP32[$49 >> 2] | 0;
   116215     HEAP32[$79 + 4 >> 2] = HEAP32[$49 + 4 >> 2] | 0;
   116216     HEAP32[$79 + 8 >> 2] = HEAP32[$49 + 8 >> 2] | 0;
   116217     HEAP32[$79 + 12 >> 2] = HEAP32[$49 + 12 >> 2] | 0;
   116218     HEAP32[$50 >> 2] = HEAP32[$49 >> 2] | 0;
   116219     HEAP32[$50 + 4 >> 2] = HEAP32[$49 + 4 >> 2] | 0;
   116220     HEAP32[$50 + 8 >> 2] = HEAP32[$49 + 8 >> 2] | 0;
   116221     HEAP32[$50 + 12 >> 2] = HEAP32[$49 + 12 >> 2] | 0;
   116222     $inc263 = $j_04 + 2 | 0;
   116223     $80 = HEAP32[$list238 >> 2] | 0;
   116224     $arrayidx266 = $80 + ($inc263 << 4) | 0;
   116225     $81 = HEAP32[$list240 >> 2] | 0;
   116226     $arrayidx268 = $81 + ($inc263 << 4) | 0;
   116227     $82 = HEAP32[$rankdir >> 2] | 0;
   116228     $and272 = $82 & 1;
   116229     _transformf($tmp273, $arrayidx268, $del, $and272);
   116230     $83 = $arrayidx266;
   116231     HEAP32[$83 >> 2] = HEAP32[$51 >> 2] | 0;
   116232     HEAP32[$83 + 4 >> 2] = HEAP32[$51 + 4 >> 2] | 0;
   116233     HEAP32[$83 + 8 >> 2] = HEAP32[$51 + 8 >> 2] | 0;
   116234     HEAP32[$83 + 12 >> 2] = HEAP32[$51 + 12 >> 2] | 0;
   116235     HEAP32[$52 >> 2] = HEAP32[$51 >> 2] | 0;
   116236     HEAP32[$52 + 4 >> 2] = HEAP32[$51 + 4 >> 2] | 0;
   116237     HEAP32[$52 + 8 >> 2] = HEAP32[$51 + 8 >> 2] | 0;
   116238     HEAP32[$52 + 12 >> 2] = HEAP32[$51 + 12 >> 2] | 0;
   116239     $inc274 = $j_04 + 3 | 0;
   116240     $84 = HEAP32[$list240 >> 2] | 0;
   116241     $arrayidx277 = $84 + ($inc274 << 4) | 0;
   116242     $85 = HEAP32[$rankdir >> 2] | 0;
   116243     $and281 = $85 & 1;
   116244     _transformf($tmp282, $arrayidx277, $del, $and281);
   116245     HEAP32[$53 >> 2] = HEAP32[$54 >> 2] | 0;
   116246     HEAP32[$53 + 4 >> 2] = HEAP32[$54 + 4 >> 2] | 0;
   116247     HEAP32[$53 + 8 >> 2] = HEAP32[$54 + 8 >> 2] | 0;
   116248     HEAP32[$53 + 12 >> 2] = HEAP32[$54 + 12 >> 2] | 0;
   116249     _update_bb_bz($bb, $arrayidx237);
   116250     $86 = HEAP32[$size >> 2] | 0;
   116251     $cmp233 = ($inc274 | 0) < ($86 | 0);
   116252     if ($cmp233) {
   116253       $j_04 = $inc274;
   116254       label = 42;
   116255       break;
   116256     } else {
   116257       label = 44;
   116258       break;
   116259     }
   116260    case 44:
   116261     $label286 = $e_1 + 112 | 0;
   116262     $87 = HEAP32[$label286 >> 2] | 0;
   116263     $tobool287 = ($87 | 0) == 0;
   116264     if ($tobool287) {
   116265       label = 46;
   116266       break;
   116267     } else {
   116268       label = 45;
   116269       break;
   116270     }
   116271    case 45:
   116272     $pos = $87 + 56 | 0;
   116273     $label292 = $56 + 112 | 0;
   116274     $88 = $label292;
   116275     $89 = HEAP32[$88 >> 2] | 0;
   116276     $pos293 = $89 + 56 | 0;
   116277     $90 = HEAP32[$rankdir >> 2] | 0;
   116278     $and297 = $90 & 1;
   116279     _transformf($tmp298, $pos293, $del, $and297);
   116280     $91 = $pos;
   116281     HEAP32[$91 >> 2] = HEAP32[$45 >> 2] | 0;
   116282     HEAP32[$91 + 4 >> 2] = HEAP32[$45 + 4 >> 2] | 0;
   116283     HEAP32[$91 + 8 >> 2] = HEAP32[$45 + 8 >> 2] | 0;
   116284     HEAP32[$91 + 12 >> 2] = HEAP32[$45 + 12 >> 2] | 0;
   116285     $92 = HEAP32[$label286 >> 2] | 0;
   116286     $set = $92 + 81 | 0;
   116287     HEAP8[$set] = 1;
   116288     $93 = HEAP32[$label286 >> 2] | 0;
   116289     _updateBB($46, $93);
   116290     label = 46;
   116291     break;
   116292    case 46:
   116293     $inc305 = $i_26 + 1 | 0;
   116294     $cmp189 = ($inc305 | 0) < ($cnt | 0);
   116295     if ($cmp189) {
   116296       $i_26 = $inc305;
   116297       label = 37;
   116298       break;
   116299     } else {
   116300       label = 47;
   116301       break;
   116302     }
   116303    case 47:
   116304     _cleanupCloneGraph($call);
   116305     label = 48;
   116306     break;
   116307    case 48:
   116308     STACKTOP = __stackBase__;
   116309     return;
   116310   }
   116311 }
   116312 function _make_flat_labeled_edge($sp, $P, $e, $et) {
   116313   $sp = $sp | 0;
   116314   $P = $P | 0;
   116315   $e = $e | 0;
   116316   $et = $et | 0;
   116317   var $tend = 0, $hend = 0, $pn = 0, $points = 0, $startp = 0, $endp = 0, $tail = 0, $0 = 0, $head = 0, $1 = 0, $graph = 0, $2 = 0, $to_virt = 0, $3 = 0, $f_0 = 0, $to_virt2 = 0, $4 = 0, $tobool = 0, $tail5 = 0, $5 = 0, $label = 0, $6 = 0, $pos = 0, $coord = 0, $7 = 0, $8 = 0, $9 = 0, $set = 0, $cmp = 0, $coord11 = 0, $p = 0, $10 = 0, $coord14 = 0, $p16 = 0, $11 = 0, $12 = 0, $lp_sroa_0_0__idx1 = 0, $lp_sroa_0_0_copyload2 = 0.0, $lp_sroa_1_8__idx4 = 0, $lp_sroa_1_8_copyload5 = 0.0, $y = 0, $13 = 0.0, $div = 0.0, $sub = 0.0, $arrayidx = 0, $arrayidx24 = 0, $14 = 0, $15 = 0, $arrayidx25 = 0, $arrayidx26 = 0, $arrayidx27 = 0, $lp_sroa_0_0__idx = 0, $lp_sroa_1_8__idx3 = 0, $16 = 0, $17 = 0, $18 = 0, $arrayidx28 = 0, $arrayidx29 = 0, $19 = 0, $20 = 0, $x = 0, $21 = 0.0, $lw = 0, $22 = 0.0, $sub33 = 0.0, $rw = 0, $23 = 0.0, $add = 0.0, $y42 = 0, $24 = 0.0, $ht = 0, $25 = 0.0, $div44 = 0.0, $add45 = 0.0, $rank = 0, $26 = 0, $rank53 = 0, $27 = 0, $ht1 = 0, $28 = 0, $conv = 0.0, $sub55 = 0.0, $y58 = 0, $29 = 0.0, $sub59 = 0.0, $ht2 = 0, $30 = 0, $conv65 = 0.0, $add66 = 0.0, $conv67 = 0, $conv68 = 0.0, $div69 = 0.0, $conv70 = 0, $cmp74 = 0, $conv73 = 0.0, $cond = 0.0, $sub77 = 0.0, $boxn80 = 0, $31 = 0, $sub81 = 0, $x84 = 0, $32 = 0.0, $33 = 0, $sub89 = 0, $y93 = 0, $34 = 0.0, $35 = 0, $sub108 = 0, $x112 = 0, $36 = 0.0, $boxn121 = 0, $37 = 0, $sub122 = 0, $x126 = 0, $38 = 0.0, $39 = 0, $sub147 = 0, $y151 = 0, $40 = 0.0, $41 = 0, $sub156 = 0, $x160 = 0, $42 = 0.0, $43 = 0, $cmp16772 = 0, $i_073 = 0, $arrayidx171 = 0, $inc173 = 0, $44 = 0, $cmp167 = 0, $45 = 0, $cmp18669 = 0, $i_270_in = 0, $i_270 = 0, $arrayidx190 = 0, $cmp186 = 0, $cmp193 = 0, $call = 0, $call197 = 0, $ps_0 = 0, $46 = 0, $cmp198 = 0, $ps_1 = 0, $47 = 0, $48 = 0, $49 = 0, $50 = 0, label = 0, __stackBase__ = 0;
   116318   __stackBase__ = STACKTOP;
   116319   STACKTOP = STACKTOP + 1544 | 0;
   116320   label = 2;
   116321   while (1) switch (label | 0) {
   116322    case 2:
   116323     $tend = __stackBase__ | 0;
   116324     $hend = __stackBase__ + 696 | 0;
   116325     $pn = __stackBase__ + 1392 | 0;
   116326     $points = __stackBase__ + 1400 | 0;
   116327     $startp = __stackBase__ + 1512 | 0;
   116328     $endp = __stackBase__ + 1528 | 0;
   116329     $tail = $e + 16 | 0;
   116330     $0 = HEAP32[$tail >> 2] | 0;
   116331     $head = $e + 12 | 0;
   116332     $1 = HEAP32[$head >> 2] | 0;
   116333     $graph = $0 + 20 | 0;
   116334     $2 = HEAP32[$graph >> 2] | 0;
   116335     $to_virt = $e + 188 | 0;
   116336     $3 = HEAP32[$to_virt >> 2] | 0;
   116337     $f_0 = $3;
   116338     label = 3;
   116339     break;
   116340    case 3:
   116341     $to_virt2 = $f_0 + 188 | 0;
   116342     $4 = HEAP32[$to_virt2 >> 2] | 0;
   116343     $tobool = ($4 | 0) == 0;
   116344     if ($tobool) {
   116345       label = 4;
   116346       break;
   116347     } else {
   116348       $f_0 = $4;
   116349       label = 3;
   116350       break;
   116351     }
   116352    case 4:
   116353     $tail5 = $f_0 + 16 | 0;
   116354     $5 = HEAP32[$tail5 >> 2] | 0;
   116355     $label = $e + 112 | 0;
   116356     $6 = HEAP32[$label >> 2] | 0;
   116357     $pos = $6 + 56 | 0;
   116358     $coord = $5 + 32 | 0;
   116359     $7 = $pos;
   116360     $8 = $coord;
   116361     HEAP32[$7 >> 2] = HEAP32[$8 >> 2] | 0;
   116362     HEAP32[$7 + 4 >> 2] = HEAP32[$8 + 4 >> 2] | 0;
   116363     HEAP32[$7 + 8 >> 2] = HEAP32[$8 + 8 >> 2] | 0;
   116364     HEAP32[$7 + 12 >> 2] = HEAP32[$8 + 12 >> 2] | 0;
   116365     $9 = HEAP32[$label >> 2] | 0;
   116366     $set = $9 + 81 | 0;
   116367     HEAP8[$set] = 1;
   116368     $cmp = ($et | 0) == 2;
   116369     if ($cmp) {
   116370       label = 5;
   116371       break;
   116372     } else {
   116373       label = 6;
   116374       break;
   116375     }
   116376    case 5:
   116377     $coord11 = $0 + 32 | 0;
   116378     $p = $e + 32 | 0;
   116379     _add_pointf3016($startp, $coord11, $p);
   116380     $10 = $startp;
   116381     $coord14 = $1 + 32 | 0;
   116382     $p16 = $e + 72 | 0;
   116383     _add_pointf3016($endp, $coord14, $p16);
   116384     $11 = $endp;
   116385     $12 = HEAP32[$label >> 2] | 0;
   116386     $lp_sroa_0_0__idx1 = $12 + 56 | 0;
   116387     $lp_sroa_0_0_copyload2 = +HEAPF64[$lp_sroa_0_0__idx1 >> 3];
   116388     $lp_sroa_1_8__idx4 = $12 + 64 | 0;
   116389     $lp_sroa_1_8_copyload5 = +HEAPF64[$lp_sroa_1_8__idx4 >> 3];
   116390     $y = $12 + 32 | 0;
   116391     $13 = +HEAPF64[$y >> 3];
   116392     $div = $13 * .5;
   116393     $sub = $lp_sroa_1_8_copyload5 - $div;
   116394     $arrayidx = $points + 16 | 0;
   116395     $arrayidx24 = $points | 0;
   116396     $14 = $points;
   116397     HEAP32[$14 >> 2] = HEAP32[$10 >> 2] | 0;
   116398     HEAP32[$14 + 4 >> 2] = HEAP32[$10 + 4 >> 2] | 0;
   116399     HEAP32[$14 + 8 >> 2] = HEAP32[$10 + 8 >> 2] | 0;
   116400     HEAP32[$14 + 12 >> 2] = HEAP32[$10 + 12 >> 2] | 0;
   116401     $15 = $arrayidx;
   116402     HEAP32[$15 >> 2] = HEAP32[$10 >> 2] | 0;
   116403     HEAP32[$15 + 4 >> 2] = HEAP32[$10 + 4 >> 2] | 0;
   116404     HEAP32[$15 + 8 >> 2] = HEAP32[$10 + 8 >> 2] | 0;
   116405     HEAP32[$15 + 12 >> 2] = HEAP32[$10 + 12 >> 2] | 0;
   116406     $arrayidx25 = $points + 32 | 0;
   116407     $arrayidx26 = $points + 48 | 0;
   116408     $arrayidx27 = $points + 64 | 0;
   116409     $lp_sroa_0_0__idx = $points + 64 | 0;
   116410     HEAPF64[$lp_sroa_0_0__idx >> 3] = $lp_sroa_0_0_copyload2;
   116411     $lp_sroa_1_8__idx3 = $points + 72 | 0;
   116412     HEAPF64[$lp_sroa_1_8__idx3 >> 3] = $sub;
   116413     $16 = $arrayidx26;
   116414     $17 = $arrayidx27;
   116415     HEAP32[$16 >> 2] = HEAP32[$17 >> 2] | 0;
   116416     HEAP32[$16 + 4 >> 2] = HEAP32[$17 + 4 >> 2] | 0;
   116417     HEAP32[$16 + 8 >> 2] = HEAP32[$17 + 8 >> 2] | 0;
   116418     HEAP32[$16 + 12 >> 2] = HEAP32[$17 + 12 >> 2] | 0;
   116419     $18 = $arrayidx25;
   116420     HEAP32[$18 >> 2] = HEAP32[$17 >> 2] | 0;
   116421     HEAP32[$18 + 4 >> 2] = HEAP32[$17 + 4 >> 2] | 0;
   116422     HEAP32[$18 + 8 >> 2] = HEAP32[$17 + 8 >> 2] | 0;
   116423     HEAP32[$18 + 12 >> 2] = HEAP32[$17 + 12 >> 2] | 0;
   116424     $arrayidx28 = $points + 80 | 0;
   116425     $arrayidx29 = $points + 96 | 0;
   116426     $19 = $arrayidx29;
   116427     HEAP32[$19 >> 2] = HEAP32[$11 >> 2] | 0;
   116428     HEAP32[$19 + 4 >> 2] = HEAP32[$11 + 4 >> 2] | 0;
   116429     HEAP32[$19 + 8 >> 2] = HEAP32[$11 + 8 >> 2] | 0;
   116430     HEAP32[$19 + 12 >> 2] = HEAP32[$11 + 12 >> 2] | 0;
   116431     $20 = $arrayidx28;
   116432     HEAP32[$20 >> 2] = HEAP32[$11 >> 2] | 0;
   116433     HEAP32[$20 + 4 >> 2] = HEAP32[$11 + 4 >> 2] | 0;
   116434     HEAP32[$20 + 8 >> 2] = HEAP32[$11 + 8 >> 2] | 0;
   116435     HEAP32[$20 + 12 >> 2] = HEAP32[$11 + 12 >> 2] | 0;
   116436     HEAP32[$pn >> 2] = 7;
   116437     $ps_1 = $arrayidx24;
   116438     label = 16;
   116439     break;
   116440    case 6:
   116441     $x = $coord | 0;
   116442     $21 = +HEAPF64[$x >> 3];
   116443     $lw = $5 + 104 | 0;
   116444     $22 = +HEAPF64[$lw >> 3];
   116445     $sub33 = $21 - $22;
   116446     $rw = $5 + 112 | 0;
   116447     $23 = +HEAPF64[$rw >> 3];
   116448     $add = $21 + $23;
   116449     $y42 = $5 + 40 | 0;
   116450     $24 = +HEAPF64[$y42 >> 3];
   116451     $ht = $5 + 96 | 0;
   116452     $25 = +HEAPF64[$ht >> 3];
   116453     $div44 = $25 * .5;
   116454     $add45 = $24 + $div44;
   116455     $rank = $0 + 236 | 0;
   116456     $26 = HEAP32[$rank >> 2] | 0;
   116457     $rank53 = $2 + 224 | 0;
   116458     $27 = HEAP32[$rank53 >> 2] | 0;
   116459     $ht1 = $27 + ($26 * 44 & -1) + 16 | 0;
   116460     $28 = HEAP32[$ht1 >> 2] | 0;
   116461     $conv = +($28 | 0);
   116462     $sub55 = $24 - $conv;
   116463     $y58 = $0 + 40 | 0;
   116464     $29 = +HEAPF64[$y58 >> 3];
   116465     $sub59 = $sub55 - $29;
   116466     $ht2 = $27 + ($26 * 44 & -1) + 20 | 0;
   116467     $30 = HEAP32[$ht2 >> 2] | 0;
   116468     $conv65 = +($30 | 0);
   116469     $add66 = $sub59 + $conv65;
   116470     $conv67 = ~~$add66;
   116471     $conv68 = +($conv67 | 0);
   116472     $div69 = $conv68 / 6.0;
   116473     $conv70 = ~~$div69;
   116474     $cmp74 = ($conv70 | 0) < 5;
   116475     if ($cmp74) {
   116476       $cond = 5.0;
   116477       label = 8;
   116478       break;
   116479     } else {
   116480       label = 7;
   116481       break;
   116482     }
   116483    case 7:
   116484     $conv73 = +($conv70 | 0);
   116485     $cond = $conv73;
   116486     label = 8;
   116487     break;
   116488    case 8:
   116489     $sub77 = $add45 - $cond;
   116490     _makeFlatEnd($sp, $P, $0, $e, $tend, 1);
   116491     _makeFlatEnd($sp, $P, $1, $e, $hend, 0);
   116492     $boxn80 = $tend + 52 | 0;
   116493     $31 = HEAP32[$boxn80 >> 2] | 0;
   116494     $sub81 = $31 - 1 | 0;
   116495     $x84 = $tend + 56 + ($sub81 << 5) | 0;
   116496     $32 = +HEAPF64[$x84 >> 3];
   116497     HEAPF64[6678] = $32;
   116498     $33 = HEAP32[$boxn80 >> 2] | 0;
   116499     $sub89 = $33 - 1 | 0;
   116500     $y93 = $tend + 56 + ($sub89 << 5) + 24 | 0;
   116501     $34 = +HEAPF64[$y93 >> 3];
   116502     HEAPF64[6679] = $34;
   116503     HEAPF64[6680] = $sub33;
   116504     HEAPF64[6681] = $sub77;
   116505     $35 = HEAP32[$boxn80 >> 2] | 0;
   116506     $sub108 = $35 - 1 | 0;
   116507     $x112 = $tend + 56 + ($sub108 << 5) | 0;
   116508     $36 = +HEAPF64[$x112 >> 3];
   116509     HEAPF64[6682] = $36;
   116510     HEAPF64[6683] = $sub77;
   116511     $boxn121 = $hend + 52 | 0;
   116512     $37 = HEAP32[$boxn121 >> 2] | 0;
   116513     $sub122 = $37 - 1 | 0;
   116514     $x126 = $hend + 56 + ($sub122 << 5) + 16 | 0;
   116515     $38 = +HEAPF64[$x126 >> 3];
   116516     HEAPF64[6684] = $38;
   116517     HEAPF64[6685] = $add45;
   116518     HEAPF64[6686] = $add;
   116519     HEAPF64[6689] = $sub77;
   116520     $39 = HEAP32[$boxn121 >> 2] | 0;
   116521     $sub147 = $39 - 1 | 0;
   116522     $y151 = $hend + 56 + ($sub147 << 5) + 24 | 0;
   116523     $40 = +HEAPF64[$y151 >> 3];
   116524     HEAPF64[6687] = $40;
   116525     $41 = HEAP32[$boxn121 >> 2] | 0;
   116526     $sub156 = $41 - 1 | 0;
   116527     $x160 = $hend + 56 + ($sub156 << 5) + 16 | 0;
   116528     $42 = +HEAPF64[$x160 >> 3];
   116529     HEAPF64[6688] = $42;
   116530     $43 = HEAP32[$boxn80 >> 2] | 0;
   116531     $cmp16772 = ($43 | 0) > 0;
   116532     if ($cmp16772) {
   116533       $i_073 = 0;
   116534       label = 9;
   116535       break;
   116536     } else {
   116537       label = 10;
   116538       break;
   116539     }
   116540    case 9:
   116541     $arrayidx171 = $tend + 56 + ($i_073 << 5) | 0;
   116542     _add_box($P, $arrayidx171);
   116543     $inc173 = $i_073 + 1 | 0;
   116544     $44 = HEAP32[$boxn80 >> 2] | 0;
   116545     $cmp167 = ($inc173 | 0) < ($44 | 0);
   116546     if ($cmp167) {
   116547       $i_073 = $inc173;
   116548       label = 9;
   116549       break;
   116550     } else {
   116551       label = 10;
   116552       break;
   116553     }
   116554    case 10:
   116555     _add_box($P, 53424);
   116556     _add_box($P, 53456);
   116557     _add_box($P, 53488);
   116558     $45 = HEAP32[$boxn121 >> 2] | 0;
   116559     $cmp18669 = ($45 | 0) > 0;
   116560     if ($cmp18669) {
   116561       $i_270_in = $45;
   116562       label = 11;
   116563       break;
   116564     } else {
   116565       label = 12;
   116566       break;
   116567     }
   116568    case 11:
   116569     $i_270 = $i_270_in - 1 | 0;
   116570     $arrayidx190 = $hend + 56 + ($i_270 << 5) | 0;
   116571     _add_box($P, $arrayidx190);
   116572     $cmp186 = ($i_270 | 0) > 0;
   116573     if ($cmp186) {
   116574       $i_270_in = $i_270;
   116575       label = 11;
   116576       break;
   116577     } else {
   116578       label = 12;
   116579       break;
   116580     }
   116581    case 12:
   116582     $cmp193 = ($et | 0) == 8;
   116583     if ($cmp193) {
   116584       label = 13;
   116585       break;
   116586     } else {
   116587       label = 14;
   116588       break;
   116589     }
   116590    case 13:
   116591     $call = _routesplines($P, $pn) | 0;
   116592     $ps_0 = $call;
   116593     label = 15;
   116594     break;
   116595    case 14:
   116596     $call197 = _routepolylines($P, $pn) | 0;
   116597     $ps_0 = $call197;
   116598     label = 15;
   116599     break;
   116600    case 15:
   116601     $46 = HEAP32[$pn >> 2] | 0;
   116602     $cmp198 = ($46 | 0) == 0;
   116603     if ($cmp198) {
   116604       label = 17;
   116605       break;
   116606     } else {
   116607       $ps_1 = $ps_0;
   116608       label = 16;
   116609       break;
   116610     }
   116611    case 16:
   116612     $47 = HEAP32[$head >> 2] | 0;
   116613     $48 = HEAP32[$pn >> 2] | 0;
   116614     $49 = $e;
   116615     $50 = $47;
   116616     _clip_and_install($49, $50, $ps_1, $48, 4216);
   116617     label = 17;
   116618     break;
   116619    case 17:
   116620     STACKTOP = __stackBase__;
   116621     return;
   116622   }
   116623 }
   116624 function _makeSimpleFlat($tn, $hn, $edges, $ind, $cnt, $et) {
   116625   $tn = $tn | 0;
   116626   $hn = $hn | 0;
   116627   $edges = $edges | 0;
   116628   $ind = $ind | 0;
   116629   $cnt = $cnt | 0;
   116630   $et = $et | 0;
   116631   var $points = 0, $tmp = 0, $tmp6 = 0, $tmp31 = 0, $tmp39 = 0, $tmp53 = 0, $tmp61 = 0, $tmp69 = 0, $tmp77 = 0, $tmp85 = 0, $tmp93 = 0, $arrayidx = 0, $0 = 0, $coord = 0, $p = 0, $tp_sroa_0_0__idx52 = 0, $tp_sroa_0_0_copyload53 = 0.0, $tp_sroa_1_8__idx57 = 0, $tp_sroa_1_8_copyload58 = 0.0, $coord3 = 0, $p5 = 0, $hp_sroa_0_0__idx34 = 0, $hp_sroa_0_0_copyload35 = 0.0, $hp_sroa_1_8__idx41 = 0, $hp_sroa_1_8_copyload42 = 0.0, $cmp = 0, $ht = 0, $1 = 0.0, $sub = 0, $conv = 0.0, $div = 0.0, $div13 = 0.0, $cmp1872 = 0, $cond1677 = 0.0, $cond7076 = 0.0, $sub17 = 0.0, $arrayidx25 = 0, $tp_sroa_0_0__idx50 = 0, $tp_sroa_1_8__idx55 = 0, $arrayidx27 = 0, $tp_sroa_0_0__idx = 0, $tp_sroa_1_8__idx54 = 0, $arrayidx47 = 0, $mul49 = 0.0, $add51 = 0.0, $div52 = 0.0, $2 = 0, $3 = 0, $arrayidx55 = 0, $4 = 0, $5 = 0, $arrayidx63 = 0, $6 = 0, $7 = 0, $arrayidx71 = 0, $mul73 = 0.0, $add75 = 0.0, $div76 = 0.0, $8 = 0, $9 = 0, $arrayidx79 = 0, $10 = 0, $11 = 0, $arrayidx87 = 0, $12 = 0, $13 = 0, $hp_sroa_0_0__idx23 = 0, $hp_sroa_1_8__idx37 = 0, $hp_sroa_0_0__idx = 0, $hp_sroa_1_8__idx36 = 0, $mul = 0.0, $add29 = 0.0, $div30 = 0.0, $14 = 0, $15 = 0, $arrayidx33 = 0, $mul35 = 0.0, $add37 = 0.0, $div38 = 0.0, $16 = 0, $17 = 0, $hp_sroa_0_0__idx30 = 0, $hp_sroa_1_8__idx39 = 0, $dy_074 = 0.0, $i_073 = 0, $add = 0, $arrayidx20 = 0, $18 = 0, $pointn_0 = 0, $add98 = 0.0, $head = 0, $19 = 0, $20 = 0, $21 = 0, $inc99 = 0, $cmp18 = 0, label = 0, __stackBase__ = 0;
   116632   __stackBase__ = STACKTOP;
   116633   STACKTOP = STACKTOP + 320 | 0;
   116634   label = 2;
   116635   while (1) switch (label | 0) {
   116636    case 2:
   116637     $points = __stackBase__ | 0;
   116638     $tmp = __stackBase__ + 160 | 0;
   116639     $tmp6 = __stackBase__ + 176 | 0;
   116640     $tmp31 = __stackBase__ + 192 | 0;
   116641     $tmp39 = __stackBase__ + 208 | 0;
   116642     $tmp53 = __stackBase__ + 224 | 0;
   116643     $tmp61 = __stackBase__ + 240 | 0;
   116644     $tmp69 = __stackBase__ + 256 | 0;
   116645     $tmp77 = __stackBase__ + 272 | 0;
   116646     $tmp85 = __stackBase__ + 288 | 0;
   116647     $tmp93 = __stackBase__ + 304 | 0;
   116648     $arrayidx = $edges + ($ind << 2) | 0;
   116649     $0 = HEAP32[$arrayidx >> 2] | 0;
   116650     $coord = $tn + 32 | 0;
   116651     $p = $0 + 32 | 0;
   116652     _add_pointf3016($tmp, $coord, $p);
   116653     $tp_sroa_0_0__idx52 = $tmp | 0;
   116654     $tp_sroa_0_0_copyload53 = +HEAPF64[$tp_sroa_0_0__idx52 >> 3];
   116655     $tp_sroa_1_8__idx57 = $tmp + 8 | 0;
   116656     $tp_sroa_1_8_copyload58 = +HEAPF64[$tp_sroa_1_8__idx57 >> 3];
   116657     $coord3 = $hn + 32 | 0;
   116658     $p5 = $0 + 72 | 0;
   116659     _add_pointf3016($tmp6, $coord3, $p5);
   116660     $hp_sroa_0_0__idx34 = $tmp6 | 0;
   116661     $hp_sroa_0_0_copyload35 = +HEAPF64[$hp_sroa_0_0__idx34 >> 3];
   116662     $hp_sroa_1_8__idx41 = $tmp6 + 8 | 0;
   116663     $hp_sroa_1_8_copyload42 = +HEAPF64[$hp_sroa_1_8__idx41 >> 3];
   116664     $cmp = ($cnt | 0) > 1;
   116665     if ($cmp) {
   116666       label = 3;
   116667       break;
   116668     } else {
   116669       label = 4;
   116670       break;
   116671     }
   116672    case 3:
   116673     $ht = $tn + 96 | 0;
   116674     $1 = +HEAPF64[$ht >> 3];
   116675     $sub = $cnt - 1 | 0;
   116676     $conv = +($sub | 0);
   116677     $div = $1 / $conv;
   116678     $div13 = $1 * .5;
   116679     $cond7076 = $div;
   116680     $cond1677 = $div13;
   116681     label = 5;
   116682     break;
   116683    case 4:
   116684     $cmp1872 = ($cnt | 0) > 0;
   116685     if ($cmp1872) {
   116686       $cond7076 = 0.0;
   116687       $cond1677 = 0.0;
   116688       label = 5;
   116689       break;
   116690     } else {
   116691       label = 10;
   116692       break;
   116693     }
   116694    case 5:
   116695     $sub17 = $tp_sroa_1_8_copyload58 - $cond1677;
   116696     $arrayidx25 = $points | 0;
   116697     $tp_sroa_0_0__idx50 = $points | 0;
   116698     $tp_sroa_1_8__idx55 = $points + 8 | 0;
   116699     $arrayidx27 = $points + 16 | 0;
   116700     $tp_sroa_0_0__idx = $arrayidx27 | 0;
   116701     $tp_sroa_1_8__idx54 = $points + 24 | 0;
   116702     $arrayidx47 = $points + 32 | 0;
   116703     $mul49 = $tp_sroa_0_0_copyload53 * 2.0;
   116704     $add51 = $mul49 + $hp_sroa_0_0_copyload35;
   116705     $div52 = $add51 / 3.0;
   116706     $2 = $arrayidx47;
   116707     $3 = $tmp53;
   116708     $arrayidx55 = $points + 48 | 0;
   116709     $4 = $arrayidx55;
   116710     $5 = $tmp61;
   116711     $arrayidx63 = $points + 64 | 0;
   116712     $6 = $arrayidx63;
   116713     $7 = $tmp69;
   116714     $arrayidx71 = $points + 80 | 0;
   116715     $mul73 = $hp_sroa_0_0_copyload35 * 2.0;
   116716     $add75 = $tp_sroa_0_0_copyload53 + $mul73;
   116717     $div76 = $add75 / 3.0;
   116718     $8 = $arrayidx71;
   116719     $9 = $tmp77;
   116720     $arrayidx79 = $points + 96 | 0;
   116721     $10 = $arrayidx79;
   116722     $11 = $tmp85;
   116723     $arrayidx87 = $points + 112 | 0;
   116724     $12 = $arrayidx87;
   116725     $13 = $tmp93;
   116726     $hp_sroa_0_0__idx23 = $points + 128 | 0;
   116727     $hp_sroa_1_8__idx37 = $points + 136 | 0;
   116728     $hp_sroa_0_0__idx = $points + 144 | 0;
   116729     $hp_sroa_1_8__idx36 = $points + 152 | 0;
   116730     $mul = $tp_sroa_0_0_copyload53 * 2.0;
   116731     $add29 = $mul + $hp_sroa_0_0_copyload35;
   116732     $div30 = $add29 / 3.0;
   116733     $14 = $arrayidx27;
   116734     $15 = $tmp31;
   116735     $arrayidx33 = $points + 32 | 0;
   116736     $mul35 = $hp_sroa_0_0_copyload35 * 2.0;
   116737     $add37 = $tp_sroa_0_0_copyload53 + $mul35;
   116738     $div38 = $add37 / 3.0;
   116739     $16 = $arrayidx33;
   116740     $17 = $tmp39;
   116741     $hp_sroa_0_0__idx30 = $points + 48 | 0;
   116742     $hp_sroa_1_8__idx39 = $points + 56 | 0;
   116743     $i_073 = 0;
   116744     $dy_074 = $sub17;
   116745     label = 6;
   116746     break;
   116747    case 6:
   116748     $add = $i_073 + $ind | 0;
   116749     $arrayidx20 = $edges + ($add << 2) | 0;
   116750     $18 = HEAP32[$arrayidx20 >> 2] | 0;
   116751     HEAPF64[$tp_sroa_0_0__idx50 >> 3] = $tp_sroa_0_0_copyload53;
   116752     HEAPF64[$tp_sroa_1_8__idx55 >> 3] = $tp_sroa_1_8_copyload58;
   116753     if (($et | 0) == 8 | ($et | 0) == 2) {
   116754       label = 7;
   116755       break;
   116756     } else {
   116757       label = 8;
   116758       break;
   116759     }
   116760    case 7:
   116761     _pointfof3017($tmp31, $div30, $dy_074);
   116762     HEAP32[$14 >> 2] = HEAP32[$15 >> 2] | 0;
   116763     HEAP32[$14 + 4 >> 2] = HEAP32[$15 + 4 >> 2] | 0;
   116764     HEAP32[$14 + 8 >> 2] = HEAP32[$15 + 8 >> 2] | 0;
   116765     HEAP32[$14 + 12 >> 2] = HEAP32[$15 + 12 >> 2] | 0;
   116766     _pointfof3017($tmp39, $div38, $dy_074);
   116767     HEAP32[$16 >> 2] = HEAP32[$17 >> 2] | 0;
   116768     HEAP32[$16 + 4 >> 2] = HEAP32[$17 + 4 >> 2] | 0;
   116769     HEAP32[$16 + 8 >> 2] = HEAP32[$17 + 8 >> 2] | 0;
   116770     HEAP32[$16 + 12 >> 2] = HEAP32[$17 + 12 >> 2] | 0;
   116771     HEAPF64[$hp_sroa_0_0__idx30 >> 3] = $hp_sroa_0_0_copyload35;
   116772     HEAPF64[$hp_sroa_1_8__idx39 >> 3] = $hp_sroa_1_8_copyload42;
   116773     $pointn_0 = 4;
   116774     label = 9;
   116775     break;
   116776    case 8:
   116777     HEAPF64[$tp_sroa_0_0__idx >> 3] = $tp_sroa_0_0_copyload53;
   116778     HEAPF64[$tp_sroa_1_8__idx54 >> 3] = $tp_sroa_1_8_copyload58;
   116779     _pointfof3017($tmp53, $div52, $dy_074);
   116780     HEAP32[$2 >> 2] = HEAP32[$3 >> 2] | 0;
   116781     HEAP32[$2 + 4 >> 2] = HEAP32[$3 + 4 >> 2] | 0;
   116782     HEAP32[$2 + 8 >> 2] = HEAP32[$3 + 8 >> 2] | 0;
   116783     HEAP32[$2 + 12 >> 2] = HEAP32[$3 + 12 >> 2] | 0;
   116784     _pointfof3017($tmp61, $div52, $dy_074);
   116785     HEAP32[$4 >> 2] = HEAP32[$5 >> 2] | 0;
   116786     HEAP32[$4 + 4 >> 2] = HEAP32[$5 + 4 >> 2] | 0;
   116787     HEAP32[$4 + 8 >> 2] = HEAP32[$5 + 8 >> 2] | 0;
   116788     HEAP32[$4 + 12 >> 2] = HEAP32[$5 + 12 >> 2] | 0;
   116789     _pointfof3017($tmp69, $div52, $dy_074);
   116790     HEAP32[$6 >> 2] = HEAP32[$7 >> 2] | 0;
   116791     HEAP32[$6 + 4 >> 2] = HEAP32[$7 + 4 >> 2] | 0;
   116792     HEAP32[$6 + 8 >> 2] = HEAP32[$7 + 8 >> 2] | 0;
   116793     HEAP32[$6 + 12 >> 2] = HEAP32[$7 + 12 >> 2] | 0;
   116794     _pointfof3017($tmp77, $div76, $dy_074);
   116795     HEAP32[$8 >> 2] = HEAP32[$9 >> 2] | 0;
   116796     HEAP32[$8 + 4 >> 2] = HEAP32[$9 + 4 >> 2] | 0;
   116797     HEAP32[$8 + 8 >> 2] = HEAP32[$9 + 8 >> 2] | 0;
   116798     HEAP32[$8 + 12 >> 2] = HEAP32[$9 + 12 >> 2] | 0;
   116799     _pointfof3017($tmp85, $div76, $dy_074);
   116800     HEAP32[$10 >> 2] = HEAP32[$11 >> 2] | 0;
   116801     HEAP32[$10 + 4 >> 2] = HEAP32[$11 + 4 >> 2] | 0;
   116802     HEAP32[$10 + 8 >> 2] = HEAP32[$11 + 8 >> 2] | 0;
   116803     HEAP32[$10 + 12 >> 2] = HEAP32[$11 + 12 >> 2] | 0;
   116804     _pointfof3017($tmp93, $div76, $dy_074);
   116805     HEAP32[$12 >> 2] = HEAP32[$13 >> 2] | 0;
   116806     HEAP32[$12 + 4 >> 2] = HEAP32[$13 + 4 >> 2] | 0;
   116807     HEAP32[$12 + 8 >> 2] = HEAP32[$13 + 8 >> 2] | 0;
   116808     HEAP32[$12 + 12 >> 2] = HEAP32[$13 + 12 >> 2] | 0;
   116809     HEAPF64[$hp_sroa_0_0__idx23 >> 3] = $hp_sroa_0_0_copyload35;
   116810     HEAPF64[$hp_sroa_1_8__idx37 >> 3] = $hp_sroa_1_8_copyload42;
   116811     HEAPF64[$hp_sroa_0_0__idx >> 3] = $hp_sroa_0_0_copyload35;
   116812     HEAPF64[$hp_sroa_1_8__idx36 >> 3] = $hp_sroa_1_8_copyload42;
   116813     $pointn_0 = 10;
   116814     label = 9;
   116815     break;
   116816    case 9:
   116817     $add98 = $cond7076 + $dy_074;
   116818     $head = $18 + 12 | 0;
   116819     $19 = HEAP32[$head >> 2] | 0;
   116820     $20 = $18;
   116821     $21 = $19;
   116822     _clip_and_install($20, $21, $arrayidx25, $pointn_0, 4216);
   116823     $inc99 = $i_073 + 1 | 0;
   116824     $cmp18 = ($inc99 | 0) < ($cnt | 0);
   116825     if ($cmp18) {
   116826       $i_073 = $inc99;
   116827       $dy_074 = $add98;
   116828       label = 6;
   116829       break;
   116830     } else {
   116831       label = 10;
   116832       break;
   116833     }
   116834    case 10:
   116835     STACKTOP = __stackBase__;
   116836     return;
   116837   }
   116838 }
   116839 function _pointfof3017($agg_result, $x, $y) {
   116840   $agg_result = $agg_result | 0;
   116841   $x = +$x;
   116842   $y = +$y;
   116843   HEAPF64[$agg_result >> 3] = $x;
   116844   HEAPF64[$agg_result + 8 >> 3] = $y;
   116845   return;
   116846 }
   116847 function _make_flat_bottom_edges($sp, $P, $edges, $ind, $cnt, $e, $splines) {
   116848   $sp = $sp | 0;
   116849   $P = $P | 0;
   116850   $edges = $edges | 0;
   116851   $ind = $ind | 0;
   116852   $cnt = $cnt | 0;
   116853   $e = $e | 0;
   116854   $splines = $splines | 0;
   116855   var $pn = 0, $tend = 0, $hend = 0, $tail = 0, $0 = 0, $head = 0, $1 = 0, $graph = 0, $2 = 0, $rank = 0, $3 = 0, $maxrank = 0, $4 = 0, $conv = 0, $cmp = 0, $rank4 = 0, $5 = 0, $add = 0, $y = 0, $6 = 0.0, $pht1 = 0, $7 = 0, $conv8 = 0.0, $sub = 0.0, $v = 0, $8 = 0, $9 = 0, $y12 = 0, $10 = 0.0, $pht2 = 0, $11 = 0, $conv13 = 0.0, $add14 = 0.0, $sub15 = 0.0, $ranksep = 0, $12 = 0, $conv17 = 0.0, $vspace_0 = 0.0, $Multisep = 0, $13 = 0, $conv18 = 0.0, $add19 = 0, $conv20 = 0.0, $div = 0.0, $div23 = 0.0, $cmp2478 = 0, $boxn28 = 0, $boxn71 = 0, $tobool = 0, $nbox = 0, $i_079 = 0, $add26 = 0, $arrayidx27 = 0, $14 = 0, $15 = 0, $sub29 = 0, $b_sroa_0_0__idx1 = 0, $b_sroa_0_0_copyload2 = 0.0, $b_sroa_1_8__idx5 = 0, $b_sroa_1_8_copyload6 = 0.0, $b_sroa_2_16__idx10 = 0, $b_sroa_2_16_copyload11 = 0.0, $add40 = 0, $conv41 = 0.0, $mul = 0.0, $add42 = 0.0, $mul50 = 0.0, $sub51 = 0.0, $16 = 0, $sub56 = 0, $x60 = 0, $17 = 0.0, $18 = 0.0, $19 = 0, $sub72 = 0, $x76 = 0, $20 = 0.0, $21 = 0.0, $sub83 = 0.0, $22 = 0, $sub89 = 0, $b_sroa_0_0__idx = 0, $b_sroa_0_0_copyload = 0.0, $b_sroa_1_8__idx4 = 0, $b_sroa_1_8_copyload = 0.0, $b_sroa_2_16__idx9 = 0, $b_sroa_2_16_copyload = 0.0, $sub107 = 0.0, $23 = 0.0, $24 = 0, $cmp12172 = 0, $j_073 = 0, $arrayidx125 = 0, $inc126 = 0, $25 = 0, $cmp121 = 0, $26 = 0, $cmp13876 = 0, $j_277_in = 0, $j_277 = 0, $arrayidx142 = 0, $cmp138 = 0, $call = 0, $call147 = 0, $ps_0 = 0, $27 = 0, $cmp149 = 0, $head153 = 0, $28 = 0, $29 = 0, $30 = 0, $cmp24 = 0, label = 0, __stackBase__ = 0;
   116856   __stackBase__ = STACKTOP;
   116857   STACKTOP = STACKTOP + 1400 | 0;
   116858   label = 2;
   116859   while (1) switch (label | 0) {
   116860    case 2:
   116861     $pn = __stackBase__ | 0;
   116862     $tend = __stackBase__ + 8 | 0;
   116863     $hend = __stackBase__ + 704 | 0;
   116864     $tail = $e + 16 | 0;
   116865     $0 = HEAP32[$tail >> 2] | 0;
   116866     $head = $e + 12 | 0;
   116867     $1 = HEAP32[$head >> 2] | 0;
   116868     $graph = $0 + 20 | 0;
   116869     $2 = HEAP32[$graph >> 2] | 0;
   116870     $rank = $0 + 236 | 0;
   116871     $3 = HEAP32[$rank >> 2] | 0;
   116872     $maxrank = $2 + 250 | 0;
   116873     $4 = HEAP16[$maxrank >> 1] | 0;
   116874     $conv = $4 << 16 >> 16;
   116875     $cmp = ($3 | 0) < ($conv | 0);
   116876     if ($cmp) {
   116877       label = 3;
   116878       break;
   116879     } else {
   116880       label = 4;
   116881       break;
   116882     }
   116883    case 3:
   116884     $rank4 = $2 + 224 | 0;
   116885     $5 = HEAP32[$rank4 >> 2] | 0;
   116886     $add = $3 + 1 | 0;
   116887     $y = $0 + 40 | 0;
   116888     $6 = +HEAPF64[$y >> 3];
   116889     $pht1 = $5 + ($3 * 44 & -1) + 24 | 0;
   116890     $7 = HEAP32[$pht1 >> 2] | 0;
   116891     $conv8 = +($7 | 0);
   116892     $sub = $6 - $conv8;
   116893     $v = $5 + ($add * 44 & -1) + 4 | 0;
   116894     $8 = HEAP32[$v >> 2] | 0;
   116895     $9 = HEAP32[$8 >> 2] | 0;
   116896     $y12 = $9 + 40 | 0;
   116897     $10 = +HEAPF64[$y12 >> 3];
   116898     $pht2 = $5 + ($add * 44 & -1) + 28 | 0;
   116899     $11 = HEAP32[$pht2 >> 2] | 0;
   116900     $conv13 = +($11 | 0);
   116901     $add14 = $10 + $conv13;
   116902     $sub15 = $sub - $add14;
   116903     $vspace_0 = $sub15;
   116904     label = 5;
   116905     break;
   116906    case 4:
   116907     $ranksep = $2 + 264 | 0;
   116908     $12 = HEAP32[$ranksep >> 2] | 0;
   116909     $conv17 = +($12 | 0);
   116910     $vspace_0 = $conv17;
   116911     label = 5;
   116912     break;
   116913    case 5:
   116914     $Multisep = $sp + 12 | 0;
   116915     $13 = HEAP32[$Multisep >> 2] | 0;
   116916     $conv18 = +($13 | 0);
   116917     $add19 = $cnt + 1 | 0;
   116918     $conv20 = +($add19 | 0);
   116919     $div = $conv18 / $conv20;
   116920     $div23 = $vspace_0 / $conv20;
   116921     _makeBottomFlatEnd($sp, $P, $0, $e, $tend, 1);
   116922     _makeBottomFlatEnd($sp, $P, $1, $e, $hend, 0);
   116923     $cmp2478 = ($cnt | 0) > 0;
   116924     if ($cmp2478) {
   116925       label = 6;
   116926       break;
   116927     } else {
   116928       label = 16;
   116929       break;
   116930     }
   116931    case 6:
   116932     $boxn28 = $tend + 52 | 0;
   116933     $boxn71 = $hend + 52 | 0;
   116934     $tobool = ($splines | 0) == 0;
   116935     $nbox = $P + 80 | 0;
   116936     $i_079 = 0;
   116937     label = 7;
   116938     break;
   116939    case 7:
   116940     $add26 = $i_079 + $ind | 0;
   116941     $arrayidx27 = $edges + ($add26 << 2) | 0;
   116942     $14 = HEAP32[$arrayidx27 >> 2] | 0;
   116943     $15 = HEAP32[$boxn28 >> 2] | 0;
   116944     $sub29 = $15 - 1 | 0;
   116945     $b_sroa_0_0__idx1 = $tend + 56 + ($sub29 << 5) | 0;
   116946     $b_sroa_0_0_copyload2 = +HEAPF64[$b_sroa_0_0__idx1 >> 3];
   116947     $b_sroa_1_8__idx5 = $tend + 56 + ($sub29 << 5) + 8 | 0;
   116948     $b_sroa_1_8_copyload6 = +HEAPF64[$b_sroa_1_8__idx5 >> 3];
   116949     $b_sroa_2_16__idx10 = $tend + 56 + ($sub29 << 5) + 16 | 0;
   116950     $b_sroa_2_16_copyload11 = +HEAPF64[$b_sroa_2_16__idx10 >> 3];
   116951     HEAPF64[6678] = $b_sroa_0_0_copyload2;
   116952     HEAPF64[6681] = $b_sroa_1_8_copyload6;
   116953     $add40 = $i_079 + 1 | 0;
   116954     $conv41 = +($add40 | 0);
   116955     $mul = $div * $conv41;
   116956     $add42 = $mul + $b_sroa_2_16_copyload11;
   116957     HEAPF64[6680] = $add42;
   116958     $mul50 = $div23 * $conv41;
   116959     $sub51 = $b_sroa_1_8_copyload6 - $mul50;
   116960     HEAPF64[6679] = $sub51;
   116961     $16 = HEAP32[$boxn28 >> 2] | 0;
   116962     $sub56 = $16 - 1 | 0;
   116963     $x60 = $tend + 56 + ($sub56 << 5) | 0;
   116964     $17 = +HEAPF64[$x60 >> 3];
   116965     HEAPF64[6682] = $17;
   116966     $18 = +HEAPF64[6679];
   116967     HEAPF64[6685] = $18;
   116968     $19 = HEAP32[$boxn71 >> 2] | 0;
   116969     $sub72 = $19 - 1 | 0;
   116970     $x76 = $hend + 56 + ($sub72 << 5) + 16 | 0;
   116971     $20 = +HEAPF64[$x76 >> 3];
   116972     HEAPF64[6684] = $20;
   116973     $21 = +HEAPF64[6685];
   116974     $sub83 = $21 - $div23;
   116975     HEAPF64[6683] = $sub83;
   116976     $22 = HEAP32[$boxn71 >> 2] | 0;
   116977     $sub89 = $22 - 1 | 0;
   116978     $b_sroa_0_0__idx = $hend + 56 + ($sub89 << 5) | 0;
   116979     $b_sroa_0_0_copyload = +HEAPF64[$b_sroa_0_0__idx >> 3];
   116980     $b_sroa_1_8__idx4 = $hend + 56 + ($sub89 << 5) + 8 | 0;
   116981     $b_sroa_1_8_copyload = +HEAPF64[$b_sroa_1_8__idx4 >> 3];
   116982     $b_sroa_2_16__idx9 = $hend + 56 + ($sub89 << 5) + 16 | 0;
   116983     $b_sroa_2_16_copyload = +HEAPF64[$b_sroa_2_16__idx9 >> 3];
   116984     HEAPF64[6688] = $b_sroa_2_16_copyload;
   116985     HEAPF64[6689] = $b_sroa_1_8_copyload;
   116986     $sub107 = $b_sroa_0_0_copyload - $mul;
   116987     HEAPF64[6686] = $sub107;
   116988     $23 = +HEAPF64[6685];
   116989     HEAPF64[6687] = $23;
   116990     $24 = HEAP32[$boxn28 >> 2] | 0;
   116991     $cmp12172 = ($24 | 0) > 0;
   116992     if ($cmp12172) {
   116993       $j_073 = 0;
   116994       label = 8;
   116995       break;
   116996     } else {
   116997       label = 9;
   116998       break;
   116999     }
   117000    case 8:
   117001     $arrayidx125 = $tend + 56 + ($j_073 << 5) | 0;
   117002     _add_box($P, $arrayidx125);
   117003     $inc126 = $j_073 + 1 | 0;
   117004     $25 = HEAP32[$boxn28 >> 2] | 0;
   117005     $cmp121 = ($inc126 | 0) < ($25 | 0);
   117006     if ($cmp121) {
   117007       $j_073 = $inc126;
   117008       label = 8;
   117009       break;
   117010     } else {
   117011       label = 9;
   117012       break;
   117013     }
   117014    case 9:
   117015     _add_box($P, 53424);
   117016     _add_box($P, 53456);
   117017     _add_box($P, 53488);
   117018     $26 = HEAP32[$boxn71 >> 2] | 0;
   117019     $cmp13876 = ($26 | 0) > 0;
   117020     if ($cmp13876) {
   117021       $j_277_in = $26;
   117022       label = 10;
   117023       break;
   117024     } else {
   117025       label = 11;
   117026       break;
   117027     }
   117028    case 10:
   117029     $j_277 = $j_277_in - 1 | 0;
   117030     $arrayidx142 = $hend + 56 + ($j_277 << 5) | 0;
   117031     _add_box($P, $arrayidx142);
   117032     $cmp138 = ($j_277 | 0) > 0;
   117033     if ($cmp138) {
   117034       $j_277_in = $j_277;
   117035       label = 10;
   117036       break;
   117037     } else {
   117038       label = 11;
   117039       break;
   117040     }
   117041    case 11:
   117042     if ($tobool) {
   117043       label = 13;
   117044       break;
   117045     } else {
   117046       label = 12;
   117047       break;
   117048     }
   117049    case 12:
   117050     $call = _routesplines($P, $pn) | 0;
   117051     $ps_0 = $call;
   117052     label = 14;
   117053     break;
   117054    case 13:
   117055     $call147 = _routepolylines($P, $pn) | 0;
   117056     $ps_0 = $call147;
   117057     label = 14;
   117058     break;
   117059    case 14:
   117060     $27 = HEAP32[$pn >> 2] | 0;
   117061     $cmp149 = ($27 | 0) == 0;
   117062     if ($cmp149) {
   117063       label = 16;
   117064       break;
   117065     } else {
   117066       label = 15;
   117067       break;
   117068     }
   117069    case 15:
   117070     $head153 = $14 + 12 | 0;
   117071     $28 = HEAP32[$head153 >> 2] | 0;
   117072     $29 = $14;
   117073     $30 = $28;
   117074     _clip_and_install($29, $30, $ps_0, $27, 4216);
   117075     HEAP32[$nbox >> 2] = 0;
   117076     $cmp24 = ($add40 | 0) < ($cnt | 0);
   117077     if ($cmp24) {
   117078       $i_079 = $add40;
   117079       label = 7;
   117080       break;
   117081     } else {
   117082       label = 16;
   117083       break;
   117084     }
   117085    case 16:
   117086     STACKTOP = __stackBase__;
   117087     return;
   117088   }
   117089 }
   117090 function _makeFlatEnd($sp, $P, $n, $e, $endp, $isBegin) {
   117091   $sp = $sp | 0;
   117092   $P = $P | 0;
   117093   $n = $n | 0;
   117094   $e = $e | 0;
   117095   $endp = $endp | 0;
   117096   $isBegin = $isBegin | 0;
   117097   var $b = 0, $tmp = 0, $tmp16 = 0, $graph = 0, $0 = 0, $1 = 0, $2 = 0, $3 = 0, $sidemask = 0, $tobool = 0, $4 = 0, $boxn = 0, $5 = 0, $sub = 0, $y = 0, $6 = 0.0, $y2 = 0, $7 = 0, $sub4 = 0, $y7 = 0, $8 = 0.0, $y9 = 0, $y10 = 0, $9 = 0.0, $rank = 0, $10 = 0, $rank13 = 0, $11 = 0, $ht2 = 0, $12 = 0, $conv = 0.0, $add = 0.0, $conv15 = 0, $13 = 0, $x = 0, $14 = 0.0, $x19 = 0, $15 = 0.0, $cmp = 0, $16 = 0.0, $17 = 0.0, $cmp25 = 0, $18 = 0, $inc = 0, $arrayidx30 = 0, $19 = 0, label = 0, __stackBase__ = 0;
   117098   __stackBase__ = STACKTOP;
   117099   STACKTOP = STACKTOP + 96 | 0;
   117100   label = 2;
   117101   while (1) switch (label | 0) {
   117102    case 2:
   117103     $b = __stackBase__ | 0;
   117104     $tmp = __stackBase__ + 32 | 0;
   117105     $tmp16 = __stackBase__ + 64 | 0;
   117106     $graph = $n + 20 | 0;
   117107     $0 = HEAP32[$graph >> 2] | 0;
   117108     _maximal_bbox($tmp, $sp, $n, 0, $e);
   117109     $1 = $endp;
   117110     $2 = $tmp;
   117111     HEAP32[$1 >> 2] = HEAP32[$2 >> 2] | 0;
   117112     HEAP32[$1 + 4 >> 2] = HEAP32[$2 + 4 >> 2] | 0;
   117113     HEAP32[$1 + 8 >> 2] = HEAP32[$2 + 8 >> 2] | 0;
   117114     HEAP32[$1 + 12 >> 2] = HEAP32[$2 + 12 >> 2] | 0;
   117115     HEAP32[$1 + 16 >> 2] = HEAP32[$2 + 16 >> 2] | 0;
   117116     HEAP32[$1 + 20 >> 2] = HEAP32[$2 + 20 >> 2] | 0;
   117117     HEAP32[$1 + 24 >> 2] = HEAP32[$2 + 24 >> 2] | 0;
   117118     HEAP32[$1 + 28 >> 2] = HEAP32[$2 + 28 >> 2] | 0;
   117119     $3 = $b;
   117120     HEAP32[$3 >> 2] = HEAP32[$2 >> 2] | 0;
   117121     HEAP32[$3 + 4 >> 2] = HEAP32[$2 + 4 >> 2] | 0;
   117122     HEAP32[$3 + 8 >> 2] = HEAP32[$2 + 8 >> 2] | 0;
   117123     HEAP32[$3 + 12 >> 2] = HEAP32[$2 + 12 >> 2] | 0;
   117124     HEAP32[$3 + 16 >> 2] = HEAP32[$2 + 16 >> 2] | 0;
   117125     HEAP32[$3 + 20 >> 2] = HEAP32[$2 + 20 >> 2] | 0;
   117126     HEAP32[$3 + 24 >> 2] = HEAP32[$2 + 24 >> 2] | 0;
   117127     HEAP32[$3 + 28 >> 2] = HEAP32[$2 + 28 >> 2] | 0;
   117128     $sidemask = $endp + 48 | 0;
   117129     HEAP32[$sidemask >> 2] = 4;
   117130     $tobool = $isBegin << 24 >> 24 == 0;
   117131     $4 = $e;
   117132     if ($tobool) {
   117133       label = 4;
   117134       break;
   117135     } else {
   117136       label = 3;
   117137       break;
   117138     }
   117139    case 3:
   117140     _beginpath($P, $4, 2, $endp, 0);
   117141     label = 5;
   117142     break;
   117143    case 4:
   117144     _endpath($P, $4, 2, $endp, 0);
   117145     label = 5;
   117146     break;
   117147    case 5:
   117148     $boxn = $endp + 52 | 0;
   117149     $5 = HEAP32[$boxn >> 2] | 0;
   117150     $sub = $5 - 1 | 0;
   117151     $y = $endp + 56 + ($sub << 5) + 24 | 0;
   117152     $6 = +HEAPF64[$y >> 3];
   117153     $y2 = $b + 24 | 0;
   117154     HEAPF64[$y2 >> 3] = $6;
   117155     $7 = HEAP32[$boxn >> 2] | 0;
   117156     $sub4 = $7 - 1 | 0;
   117157     $y7 = $endp + 56 + ($sub4 << 5) + 8 | 0;
   117158     $8 = +HEAPF64[$y7 >> 3];
   117159     $y9 = $b + 8 | 0;
   117160     HEAPF64[$y9 >> 3] = $8;
   117161     $y10 = $n + 40 | 0;
   117162     $9 = +HEAPF64[$y10 >> 3];
   117163     $rank = $n + 236 | 0;
   117164     $10 = HEAP32[$rank >> 2] | 0;
   117165     $rank13 = $0 + 224 | 0;
   117166     $11 = HEAP32[$rank13 >> 2] | 0;
   117167     $ht2 = $11 + ($10 * 44 & -1) + 20 | 0;
   117168     $12 = HEAP32[$ht2 >> 2] | 0;
   117169     $conv = +($12 | 0);
   117170     $add = $9 + $conv;
   117171     $conv15 = ~~$add;
   117172     _makeregularend($tmp16, $b, 4, $conv15);
   117173     $13 = $tmp16;
   117174     HEAP32[$3 >> 2] = HEAP32[$13 >> 2] | 0;
   117175     HEAP32[$3 + 4 >> 2] = HEAP32[$13 + 4 >> 2] | 0;
   117176     HEAP32[$3 + 8 >> 2] = HEAP32[$13 + 8 >> 2] | 0;
   117177     HEAP32[$3 + 12 >> 2] = HEAP32[$13 + 12 >> 2] | 0;
   117178     HEAP32[$3 + 16 >> 2] = HEAP32[$13 + 16 >> 2] | 0;
   117179     HEAP32[$3 + 20 >> 2] = HEAP32[$13 + 20 >> 2] | 0;
   117180     HEAP32[$3 + 24 >> 2] = HEAP32[$13 + 24 >> 2] | 0;
   117181     HEAP32[$3 + 28 >> 2] = HEAP32[$13 + 28 >> 2] | 0;
   117182     $x = $b | 0;
   117183     $14 = +HEAPF64[$x >> 3];
   117184     $x19 = $b + 16 | 0;
   117185     $15 = +HEAPF64[$x19 >> 3];
   117186     $cmp = $14 < $15;
   117187     if ($cmp) {
   117188       label = 6;
   117189       break;
   117190     } else {
   117191       label = 8;
   117192       break;
   117193     }
   117194    case 6:
   117195     $16 = +HEAPF64[$y9 >> 3];
   117196     $17 = +HEAPF64[$y2 >> 3];
   117197     $cmp25 = $16 < $17;
   117198     if ($cmp25) {
   117199       label = 7;
   117200       break;
   117201     } else {
   117202       label = 8;
   117203       break;
   117204     }
   117205    case 7:
   117206     $18 = HEAP32[$boxn >> 2] | 0;
   117207     $inc = $18 + 1 | 0;
   117208     HEAP32[$boxn >> 2] = $inc;
   117209     $arrayidx30 = $endp + 56 + ($18 << 5) | 0;
   117210     $19 = $arrayidx30;
   117211     HEAP32[$19 >> 2] = HEAP32[$3 >> 2] | 0;
   117212     HEAP32[$19 + 4 >> 2] = HEAP32[$3 + 4 >> 2] | 0;
   117213     HEAP32[$19 + 8 >> 2] = HEAP32[$3 + 8 >> 2] | 0;
   117214     HEAP32[$19 + 12 >> 2] = HEAP32[$3 + 12 >> 2] | 0;
   117215     HEAP32[$19 + 16 >> 2] = HEAP32[$3 + 16 >> 2] | 0;
   117216     HEAP32[$19 + 20 >> 2] = HEAP32[$3 + 20 >> 2] | 0;
   117217     HEAP32[$19 + 24 >> 2] = HEAP32[$3 + 24 >> 2] | 0;
   117218     HEAP32[$19 + 28 >> 2] = HEAP32[$3 + 28 >> 2] | 0;
   117219     label = 8;
   117220     break;
   117221    case 8:
   117222     STACKTOP = __stackBase__;
   117223     return;
   117224   }
   117225 }
   117226 function _makeBottomFlatEnd($sp, $P, $n, $e, $endp, $isBegin) {
   117227   $sp = $sp | 0;
   117228   $P = $P | 0;
   117229   $n = $n | 0;
   117230   $e = $e | 0;
   117231   $endp = $endp | 0;
   117232   $isBegin = $isBegin | 0;
   117233   var $b = 0, $tmp = 0, $tmp17 = 0, $graph = 0, $0 = 0, $1 = 0, $2 = 0, $3 = 0, $sidemask = 0, $tobool = 0, $4 = 0, $boxn = 0, $5 = 0, $sub = 0, $y = 0, $6 = 0.0, $y2 = 0, $7 = 0, $sub4 = 0, $y7 = 0, $8 = 0.0, $y9 = 0, $y10 = 0, $9 = 0.0, $rank = 0, $10 = 0, $rank13 = 0, $11 = 0, $ht2 = 0, $12 = 0, $conv = 0.0, $sub15 = 0.0, $conv16 = 0, $13 = 0, $x = 0, $14 = 0.0, $x20 = 0, $15 = 0.0, $cmp = 0, $16 = 0.0, $17 = 0.0, $cmp26 = 0, $18 = 0, $inc = 0, $arrayidx31 = 0, $19 = 0, label = 0, __stackBase__ = 0;
   117234   __stackBase__ = STACKTOP;
   117235   STACKTOP = STACKTOP + 96 | 0;
   117236   label = 2;
   117237   while (1) switch (label | 0) {
   117238    case 2:
   117239     $b = __stackBase__ | 0;
   117240     $tmp = __stackBase__ + 32 | 0;
   117241     $tmp17 = __stackBase__ + 64 | 0;
   117242     $graph = $n + 20 | 0;
   117243     $0 = HEAP32[$graph >> 2] | 0;
   117244     _maximal_bbox($tmp, $sp, $n, 0, $e);
   117245     $1 = $endp;
   117246     $2 = $tmp;
   117247     HEAP32[$1 >> 2] = HEAP32[$2 >> 2] | 0;
   117248     HEAP32[$1 + 4 >> 2] = HEAP32[$2 + 4 >> 2] | 0;
   117249     HEAP32[$1 + 8 >> 2] = HEAP32[$2 + 8 >> 2] | 0;
   117250     HEAP32[$1 + 12 >> 2] = HEAP32[$2 + 12 >> 2] | 0;
   117251     HEAP32[$1 + 16 >> 2] = HEAP32[$2 + 16 >> 2] | 0;
   117252     HEAP32[$1 + 20 >> 2] = HEAP32[$2 + 20 >> 2] | 0;
   117253     HEAP32[$1 + 24 >> 2] = HEAP32[$2 + 24 >> 2] | 0;
   117254     HEAP32[$1 + 28 >> 2] = HEAP32[$2 + 28 >> 2] | 0;
   117255     $3 = $b;
   117256     HEAP32[$3 >> 2] = HEAP32[$2 >> 2] | 0;
   117257     HEAP32[$3 + 4 >> 2] = HEAP32[$2 + 4 >> 2] | 0;
   117258     HEAP32[$3 + 8 >> 2] = HEAP32[$2 + 8 >> 2] | 0;
   117259     HEAP32[$3 + 12 >> 2] = HEAP32[$2 + 12 >> 2] | 0;
   117260     HEAP32[$3 + 16 >> 2] = HEAP32[$2 + 16 >> 2] | 0;
   117261     HEAP32[$3 + 20 >> 2] = HEAP32[$2 + 20 >> 2] | 0;
   117262     HEAP32[$3 + 24 >> 2] = HEAP32[$2 + 24 >> 2] | 0;
   117263     HEAP32[$3 + 28 >> 2] = HEAP32[$2 + 28 >> 2] | 0;
   117264     $sidemask = $endp + 48 | 0;
   117265     HEAP32[$sidemask >> 2] = 1;
   117266     $tobool = $isBegin << 24 >> 24 == 0;
   117267     $4 = $e;
   117268     if ($tobool) {
   117269       label = 4;
   117270       break;
   117271     } else {
   117272       label = 3;
   117273       break;
   117274     }
   117275    case 3:
   117276     _beginpath($P, $4, 2, $endp, 0);
   117277     label = 5;
   117278     break;
   117279    case 4:
   117280     _endpath($P, $4, 2, $endp, 0);
   117281     label = 5;
   117282     break;
   117283    case 5:
   117284     $boxn = $endp + 52 | 0;
   117285     $5 = HEAP32[$boxn >> 2] | 0;
   117286     $sub = $5 - 1 | 0;
   117287     $y = $endp + 56 + ($sub << 5) + 24 | 0;
   117288     $6 = +HEAPF64[$y >> 3];
   117289     $y2 = $b + 24 | 0;
   117290     HEAPF64[$y2 >> 3] = $6;
   117291     $7 = HEAP32[$boxn >> 2] | 0;
   117292     $sub4 = $7 - 1 | 0;
   117293     $y7 = $endp + 56 + ($sub4 << 5) + 8 | 0;
   117294     $8 = +HEAPF64[$y7 >> 3];
   117295     $y9 = $b + 8 | 0;
   117296     HEAPF64[$y9 >> 3] = $8;
   117297     $y10 = $n + 40 | 0;
   117298     $9 = +HEAPF64[$y10 >> 3];
   117299     $rank = $n + 236 | 0;
   117300     $10 = HEAP32[$rank >> 2] | 0;
   117301     $rank13 = $0 + 224 | 0;
   117302     $11 = HEAP32[$rank13 >> 2] | 0;
   117303     $ht2 = $11 + ($10 * 44 & -1) + 20 | 0;
   117304     $12 = HEAP32[$ht2 >> 2] | 0;
   117305     $conv = +($12 | 0);
   117306     $sub15 = $9 - $conv;
   117307     $conv16 = ~~$sub15;
   117308     _makeregularend($tmp17, $b, 1, $conv16);
   117309     $13 = $tmp17;
   117310     HEAP32[$3 >> 2] = HEAP32[$13 >> 2] | 0;
   117311     HEAP32[$3 + 4 >> 2] = HEAP32[$13 + 4 >> 2] | 0;
   117312     HEAP32[$3 + 8 >> 2] = HEAP32[$13 + 8 >> 2] | 0;
   117313     HEAP32[$3 + 12 >> 2] = HEAP32[$13 + 12 >> 2] | 0;
   117314     HEAP32[$3 + 16 >> 2] = HEAP32[$13 + 16 >> 2] | 0;
   117315     HEAP32[$3 + 20 >> 2] = HEAP32[$13 + 20 >> 2] | 0;
   117316     HEAP32[$3 + 24 >> 2] = HEAP32[$13 + 24 >> 2] | 0;
   117317     HEAP32[$3 + 28 >> 2] = HEAP32[$13 + 28 >> 2] | 0;
   117318     $x = $b | 0;
   117319     $14 = +HEAPF64[$x >> 3];
   117320     $x20 = $b + 16 | 0;
   117321     $15 = +HEAPF64[$x20 >> 3];
   117322     $cmp = $14 < $15;
   117323     if ($cmp) {
   117324       label = 6;
   117325       break;
   117326     } else {
   117327       label = 8;
   117328       break;
   117329     }
   117330    case 6:
   117331     $16 = +HEAPF64[$y9 >> 3];
   117332     $17 = +HEAPF64[$y2 >> 3];
   117333     $cmp26 = $16 < $17;
   117334     if ($cmp26) {
   117335       label = 7;
   117336       break;
   117337     } else {
   117338       label = 8;
   117339       break;
   117340     }
   117341    case 7:
   117342     $18 = HEAP32[$boxn >> 2] | 0;
   117343     $inc = $18 + 1 | 0;
   117344     HEAP32[$boxn >> 2] = $inc;
   117345     $arrayidx31 = $endp + 56 + ($18 << 5) | 0;
   117346     $19 = $arrayidx31;
   117347     HEAP32[$19 >> 2] = HEAP32[$3 >> 2] | 0;
   117348     HEAP32[$19 + 4 >> 2] = HEAP32[$3 + 4 >> 2] | 0;
   117349     HEAP32[$19 + 8 >> 2] = HEAP32[$3 + 8 >> 2] | 0;
   117350     HEAP32[$19 + 12 >> 2] = HEAP32[$3 + 12 >> 2] | 0;
   117351     HEAP32[$19 + 16 >> 2] = HEAP32[$3 + 16 >> 2] | 0;
   117352     HEAP32[$19 + 20 >> 2] = HEAP32[$3 + 20 >> 2] | 0;
   117353     HEAP32[$19 + 24 >> 2] = HEAP32[$3 + 24 >> 2] | 0;
   117354     HEAP32[$19 + 28 >> 2] = HEAP32[$3 + 28 >> 2] | 0;
   117355     label = 8;
   117356     break;
   117357    case 8:
   117358     STACKTOP = __stackBase__;
   117359     return;
   117360   }
   117361 }
   117362 function _makeSimpleFlatLabels($tn, $hn, $edges, $ind, $cnt, $et, $n_lbls) {
   117363   $tn = $tn | 0;
   117364   $hn = $hn | 0;
   117365   $edges = $edges | 0;
   117366   $ind = $ind | 0;
   117367   $cnt = $cnt | 0;
   117368   $et = $et | 0;
   117369   $n_lbls = $n_lbls | 0;
   117370   var $poly = 0, $pn = 0, $points = 0, $tp = 0, $hp = 0, $arrayidx = 0, $0 = 0, $mul = 0, $call = 0, $1 = 0, $cmp120 = 0, $i_0121 = 0, $add = 0, $arrayidx1 = 0, $2 = 0, $arrayidx2 = 0, $inc = 0, $cmp = 0, $coord = 0, $p = 0, $3 = 0, $coord5 = 0, $p7 = 0, $4 = 0, $x = 0, $5 = 0.0, $rw = 0, $6 = 0.0, $add10 = 0.0, $x11 = 0, $7 = 0.0, $lw = 0, $8 = 0.0, $sub = 0.0, $add13 = 0.0, $div = 0.0, $arrayidx15 = 0, $9 = 0, $arrayidx17 = 0, $10 = 0, $arrayidx19 = 0, $11 = 0, $arrayidx21 = 0, $12 = 0, $head = 0, $13 = 0, $14 = 0, $15 = 0, $label = 0, $16 = 0, $x23 = 0, $y = 0, $17 = 0.0, $18 = 0, $y26 = 0, $19 = 0.0, $add27 = 0.0, $div28 = 0.0, $add29 = 0.0, $y33 = 0, $20 = 0, $set = 0, $21 = 0.0, $add37 = 0.0, $22 = 0, $y41 = 0, $23 = 0.0, $add42 = 0.0, $x46 = 0, $24 = 0.0, $div47 = 0.0, $sub48 = 0.0, $add54 = 0.0, $cmp56110 = 0, $x121 = 0, $y124 = 0, $x126 = 0, $y128 = 0, $x130 = 0, $y132 = 0, $x134 = 0, $y135 = 0, $y137 = 0, $x140 = 0, $y143 = 0, $x146 = 0, $y149 = 0, $x152 = 0, $y155 = 0, $pn170 = 0, $ps172 = 0, $cmp173 = 0, $conv = 0, $x83 = 0, $y86 = 0, $x89 = 0, $y93 = 0, $x96 = 0, $y97 = 0, $y99 = 0, $x101 = 0, $y103 = 0, $x105 = 0, $y107 = 0, $x109 = 0, $y112 = 0, $lmaxx_0_lcssa = 0.0, $lminx_0_lcssa = 0.0, $i_1_lcssa = 0, $maxy_0_lcssa = 0.0, $miny_0_lcssa = 0.0, $cmp19598 = 0, $x250 = 0, $y253 = 0, $x255 = 0, $y257 = 0, $x259 = 0, $y261 = 0, $x263 = 0, $y264 = 0, $y266 = 0, $x269 = 0, $y272 = 0, $x275 = 0, $y278 = 0, $x281 = 0, $y284 = 0, $pn287 = 0, $ps289 = 0, $cmp290 = 0, $conv291 = 0, $mul206 = 0.0, $add207 = 0.0, $div208 = 0.0, $mul209 = 0.0, $add210 = 0.0, $div211 = 0.0, $x217 = 0, $y220 = 0, $x223 = 0, $y227 = 0, $x230 = 0, $y231 = 0, $y233 = 0, $x235 = 0, $y237 = 0, $x239 = 0, $y241 = 0, $x243 = 0, $y246 = 0, $lmaxx_0115 = 0.0, $lminx_0114 = 0.0, $i_1113 = 0, $maxy_0112 = 0.0, $miny_0111 = 0.0, $add58 = 0, $arrayidx59 = 0, $25 = 0, $rem97 = 0, $tobool = 0, $cmp60 = 0, $label63 = 0, $26 = 0, $x65 = 0, $27 = 0.0, $div66 = 0.0, $sub67 = 0.0, $add73 = 0.0, $lminx_1 = 0.0, $lmaxx_1 = 0.0, $label75 = 0, $28 = 0, $y77 = 0, $29 = 0.0, $add78 = 0.0, $sub79 = 0.0, $30 = 0.0, $sub84 = 0.0, $31 = 0.0, $32 = 0.0, $33 = 0.0, $34 = 0.0, $35 = 0, $y116 = 0, $36 = 0.0, $div117 = 0.0, $add118 = 0.0, $37 = 0.0, $38 = 0.0, $39 = 0.0, $40 = 0.0, $41 = 0.0, $add147 = 0.0, $42 = 0.0, $label157 = 0, $43 = 0, $y159 = 0, $44 = 0.0, $div160 = 0.0, $add161 = 0.0, $add162 = 0.0, $add167 = 0.0, $add168 = 0.0, $ctry_0 = 0.0, $miny_1 = 0.0, $maxy_1 = 0.0, $lminx_2 = 0.0, $lmaxx_2 = 0.0, $call174 = 0, $45 = 0, $cmp175 = 0, $label180 = 0, $46 = 0, $x182 = 0, $47 = 0, $y186 = 0, $48 = 0, $set189 = 0, $head190 = 0, $49 = 0, $50 = 0, $51 = 0, $52 = 0, $inc192 = 0, $cmp56 = 0, $lmaxx_3103 = 0.0, $lminx_3102 = 0.0, $i_2101 = 0, $maxy_2100 = 0.0, $miny_299 = 0.0, $add198 = 0, $arrayidx199 = 0, $53 = 0, $rem20096 = 0, $tobool201 = 0, $cmp203 = 0, $div208_lminx_3102 = 0.0, $div211_lmaxx_3103 = 0.0, $sub213 = 0.0, $54 = 0.0, $sub218 = 0.0, $55 = 0.0, $56 = 0.0, $57 = 0.0, $58 = 0.0, $59 = 0.0, $60 = 0.0, $61 = 0.0, $62 = 0.0, $63 = 0.0, $add276 = 0.0, $64 = 0.0, $miny_3 = 0.0, $maxy_3 = 0.0, $lminx_5 = 0.0, $lmaxx_5 = 0.0, $call292 = 0, $65 = 0, $cmp293 = 0, $head297 = 0, $66 = 0, $67 = 0, $68 = 0, $inc299 = 0, $cmp195 = 0, label = 0, __stackBase__ = 0;
   117371   __stackBase__ = STACKTOP;
   117372   STACKTOP = STACKTOP + 208 | 0;
   117373   label = 2;
   117374   while (1) switch (label | 0) {
   117375    case 2:
   117376     $poly = __stackBase__ | 0;
   117377     $pn = __stackBase__ + 8 | 0;
   117378     $points = __stackBase__ + 16 | 0;
   117379     $tp = __stackBase__ + 176 | 0;
   117380     $hp = __stackBase__ + 192 | 0;
   117381     $arrayidx = $edges + ($ind << 2) | 0;
   117382     $0 = HEAP32[$arrayidx >> 2] | 0;
   117383     $mul = $cnt << 2;
   117384     $call = _zmalloc($mul) | 0;
   117385     $1 = $call;
   117386     $cmp120 = ($cnt | 0) > 0;
   117387     if ($cmp120) {
   117388       $i_0121 = 0;
   117389       label = 3;
   117390       break;
   117391     } else {
   117392       label = 4;
   117393       break;
   117394     }
   117395    case 3:
   117396     $add = $i_0121 + $ind | 0;
   117397     $arrayidx1 = $edges + ($add << 2) | 0;
   117398     $2 = HEAP32[$arrayidx1 >> 2] | 0;
   117399     $arrayidx2 = $1 + ($i_0121 << 2) | 0;
   117400     HEAP32[$arrayidx2 >> 2] = $2;
   117401     $inc = $i_0121 + 1 | 0;
   117402     $cmp = ($inc | 0) < ($cnt | 0);
   117403     if ($cmp) {
   117404       $i_0121 = $inc;
   117405       label = 3;
   117406       break;
   117407     } else {
   117408       label = 4;
   117409       break;
   117410     }
   117411    case 4:
   117412     _qsort($call | 0, $cnt | 0, 4, 56);
   117413     $coord = $tn + 32 | 0;
   117414     $p = $0 + 32 | 0;
   117415     _add_pointf3016($tp, $coord, $p);
   117416     $3 = $tp;
   117417     $coord5 = $hn + 32 | 0;
   117418     $p7 = $0 + 72 | 0;
   117419     _add_pointf3016($hp, $coord5, $p7);
   117420     $4 = $hp;
   117421     $x = $tp | 0;
   117422     $5 = +HEAPF64[$x >> 3];
   117423     $rw = $tn + 112 | 0;
   117424     $6 = +HEAPF64[$rw >> 3];
   117425     $add10 = $5 + $6;
   117426     $x11 = $hp | 0;
   117427     $7 = +HEAPF64[$x11 >> 3];
   117428     $lw = $hn + 104 | 0;
   117429     $8 = +HEAPF64[$lw >> 3];
   117430     $sub = $7 - $8;
   117431     $add13 = $add10 + $sub;
   117432     $div = $add13 * .5;
   117433     $arrayidx15 = $points | 0;
   117434     $9 = $points;
   117435     HEAP32[$9 >> 2] = HEAP32[$3 >> 2] | 0;
   117436     HEAP32[$9 + 4 >> 2] = HEAP32[$3 + 4 >> 2] | 0;
   117437     HEAP32[$9 + 8 >> 2] = HEAP32[$3 + 8 >> 2] | 0;
   117438     HEAP32[$9 + 12 >> 2] = HEAP32[$3 + 12 >> 2] | 0;
   117439     $arrayidx17 = $points + 16 | 0;
   117440     $10 = $arrayidx17;
   117441     HEAP32[$10 >> 2] = HEAP32[$3 >> 2] | 0;
   117442     HEAP32[$10 + 4 >> 2] = HEAP32[$3 + 4 >> 2] | 0;
   117443     HEAP32[$10 + 8 >> 2] = HEAP32[$3 + 8 >> 2] | 0;
   117444     HEAP32[$10 + 12 >> 2] = HEAP32[$3 + 12 >> 2] | 0;
   117445     $arrayidx19 = $points + 32 | 0;
   117446     $11 = $arrayidx19;
   117447     HEAP32[$11 >> 2] = HEAP32[$4 >> 2] | 0;
   117448     HEAP32[$11 + 4 >> 2] = HEAP32[$4 + 4 >> 2] | 0;
   117449     HEAP32[$11 + 8 >> 2] = HEAP32[$4 + 8 >> 2] | 0;
   117450     HEAP32[$11 + 12 >> 2] = HEAP32[$4 + 12 >> 2] | 0;
   117451     $arrayidx21 = $points + 48 | 0;
   117452     $12 = $arrayidx21;
   117453     HEAP32[$12 >> 2] = HEAP32[$4 >> 2] | 0;
   117454     HEAP32[$12 + 4 >> 2] = HEAP32[$4 + 4 >> 2] | 0;
   117455     HEAP32[$12 + 8 >> 2] = HEAP32[$4 + 8 >> 2] | 0;
   117456     HEAP32[$12 + 12 >> 2] = HEAP32[$4 + 12 >> 2] | 0;
   117457     $head = $0 + 12 | 0;
   117458     $13 = HEAP32[$head >> 2] | 0;
   117459     $14 = $0;
   117460     $15 = $13;
   117461     _clip_and_install($14, $15, $arrayidx15, 4, 4216);
   117462     $label = $0 + 112 | 0;
   117463     $16 = HEAP32[$label >> 2] | 0;
   117464     $x23 = $16 + 56 | 0;
   117465     HEAPF64[$x23 >> 3] = $div;
   117466     $y = $tp + 8 | 0;
   117467     $17 = +HEAPF64[$y >> 3];
   117468     $18 = HEAP32[$label >> 2] | 0;
   117469     $y26 = $18 + 32 | 0;
   117470     $19 = +HEAPF64[$y26 >> 3];
   117471     $add27 = $19 + 6.0;
   117472     $div28 = $add27 * .5;
   117473     $add29 = $17 + $div28;
   117474     $y33 = $18 + 64 | 0;
   117475     HEAPF64[$y33 >> 3] = $add29;
   117476     $20 = HEAP32[$label >> 2] | 0;
   117477     $set = $20 + 81 | 0;
   117478     HEAP8[$set] = 1;
   117479     $21 = +HEAPF64[$y >> 3];
   117480     $add37 = $21 + 3.0;
   117481     $22 = HEAP32[$label >> 2] | 0;
   117482     $y41 = $22 + 32 | 0;
   117483     $23 = +HEAPF64[$y41 >> 3];
   117484     $add42 = $add37 + $23;
   117485     $x46 = $22 + 24 | 0;
   117486     $24 = +HEAPF64[$x46 >> 3];
   117487     $div47 = $24 * .5;
   117488     $sub48 = $div - $div47;
   117489     $add54 = $div + $div47;
   117490     $cmp56110 = ($n_lbls | 0) > 1;
   117491     if ($cmp56110) {
   117492       label = 5;
   117493       break;
   117494     } else {
   117495       $miny_0_lcssa = $add37;
   117496       $maxy_0_lcssa = $add42;
   117497       $i_1_lcssa = 1;
   117498       $lminx_0_lcssa = 0.0;
   117499       $lmaxx_0_lcssa = 0.0;
   117500       label = 6;
   117501       break;
   117502     }
   117503    case 5:
   117504     $x121 = $arrayidx17 | 0;
   117505     $y124 = $points + 24 | 0;
   117506     $x126 = $arrayidx19 | 0;
   117507     $y128 = $points + 40 | 0;
   117508     $x130 = $arrayidx21 | 0;
   117509     $y132 = $points + 56 | 0;
   117510     $x134 = $points + 64 | 0;
   117511     $y135 = $hp + 8 | 0;
   117512     $y137 = $points + 72 | 0;
   117513     $x140 = $points + 80 | 0;
   117514     $y143 = $points + 88 | 0;
   117515     $x146 = $points + 96 | 0;
   117516     $y149 = $points + 104 | 0;
   117517     $x152 = $points + 112 | 0;
   117518     $y155 = $points + 120 | 0;
   117519     $pn170 = $poly + 4 | 0;
   117520     $ps172 = $poly | 0;
   117521     $cmp173 = ($et | 0) == 4;
   117522     $conv = $cmp173 & 1;
   117523     $x83 = $arrayidx17 | 0;
   117524     $y86 = $points + 24 | 0;
   117525     $x89 = $arrayidx19 | 0;
   117526     $y93 = $points + 40 | 0;
   117527     $x96 = $points + 64 | 0;
   117528     $y97 = $hp + 8 | 0;
   117529     $y99 = $points + 72 | 0;
   117530     $x101 = $points + 80 | 0;
   117531     $y103 = $points + 88 | 0;
   117532     $x105 = $points + 96 | 0;
   117533     $y107 = $points + 104 | 0;
   117534     $x109 = $points + 112 | 0;
   117535     $y112 = $points + 120 | 0;
   117536     $miny_0111 = $add37;
   117537     $maxy_0112 = $add42;
   117538     $i_1113 = 1;
   117539     $lminx_0114 = 0.0;
   117540     $lmaxx_0115 = 0.0;
   117541     label = 8;
   117542     break;
   117543    case 6:
   117544     $cmp19598 = ($i_1_lcssa | 0) < ($cnt | 0);
   117545     if ($cmp19598) {
   117546       label = 7;
   117547       break;
   117548     } else {
   117549       label = 20;
   117550       break;
   117551     }
   117552    case 7:
   117553     $x250 = $arrayidx17 | 0;
   117554     $y253 = $points + 24 | 0;
   117555     $x255 = $arrayidx19 | 0;
   117556     $y257 = $points + 40 | 0;
   117557     $x259 = $arrayidx21 | 0;
   117558     $y261 = $points + 56 | 0;
   117559     $x263 = $points + 64 | 0;
   117560     $y264 = $hp + 8 | 0;
   117561     $y266 = $points + 72 | 0;
   117562     $x269 = $points + 80 | 0;
   117563     $y272 = $points + 88 | 0;
   117564     $x275 = $points + 96 | 0;
   117565     $y278 = $points + 104 | 0;
   117566     $x281 = $points + 112 | 0;
   117567     $y284 = $points + 120 | 0;
   117568     $pn287 = $poly + 4 | 0;
   117569     $ps289 = $poly | 0;
   117570     $cmp290 = ($et | 0) == 4;
   117571     $conv291 = $cmp290 & 1;
   117572     $mul206 = $add10 * 2.0;
   117573     $add207 = $mul206 + $sub;
   117574     $div208 = $add207 / 3.0;
   117575     $mul209 = $sub * 2.0;
   117576     $add210 = $add10 + $mul209;
   117577     $div211 = $add210 / 3.0;
   117578     $x217 = $arrayidx17 | 0;
   117579     $y220 = $points + 24 | 0;
   117580     $x223 = $arrayidx19 | 0;
   117581     $y227 = $points + 40 | 0;
   117582     $x230 = $points + 64 | 0;
   117583     $y231 = $hp + 8 | 0;
   117584     $y233 = $points + 72 | 0;
   117585     $x235 = $points + 80 | 0;
   117586     $y237 = $points + 88 | 0;
   117587     $x239 = $points + 96 | 0;
   117588     $y241 = $points + 104 | 0;
   117589     $x243 = $points + 112 | 0;
   117590     $y246 = $points + 120 | 0;
   117591     $miny_299 = $miny_0_lcssa;
   117592     $maxy_2100 = $maxy_0_lcssa;
   117593     $i_2101 = $i_1_lcssa;
   117594     $lminx_3102 = $lminx_0_lcssa;
   117595     $lmaxx_3103 = $lmaxx_0_lcssa;
   117596     label = 15;
   117597     break;
   117598    case 8:
   117599     $add58 = $i_1113 + $ind | 0;
   117600     $arrayidx59 = $edges + ($add58 << 2) | 0;
   117601     $25 = HEAP32[$arrayidx59 >> 2] | 0;
   117602     $rem97 = $i_1113 & 1;
   117603     $tobool = ($rem97 | 0) == 0;
   117604     if ($tobool) {
   117605       label = 12;
   117606       break;
   117607     } else {
   117608       label = 9;
   117609       break;
   117610     }
   117611    case 9:
   117612     $cmp60 = ($i_1113 | 0) == 1;
   117613     if ($cmp60) {
   117614       label = 10;
   117615       break;
   117616     } else {
   117617       $lmaxx_1 = $lmaxx_0115;
   117618       $lminx_1 = $lminx_0114;
   117619       label = 11;
   117620       break;
   117621     }
   117622    case 10:
   117623     $label63 = $25 + 112 | 0;
   117624     $26 = HEAP32[$label63 >> 2] | 0;
   117625     $x65 = $26 + 24 | 0;
   117626     $27 = +HEAPF64[$x65 >> 3];
   117627     $div66 = $27 * .5;
   117628     $sub67 = $div - $div66;
   117629     $add73 = $div + $div66;
   117630     $lmaxx_1 = $add73;
   117631     $lminx_1 = $sub67;
   117632     label = 11;
   117633     break;
   117634    case 11:
   117635     $label75 = $25 + 112 | 0;
   117636     $28 = HEAP32[$label75 >> 2] | 0;
   117637     $y77 = $28 + 32 | 0;
   117638     $29 = +HEAPF64[$y77 >> 3];
   117639     $add78 = $29 + 6.0;
   117640     $sub79 = $miny_0111 - $add78;
   117641     HEAP32[$9 >> 2] = HEAP32[$3 >> 2] | 0;
   117642     HEAP32[$9 + 4 >> 2] = HEAP32[$3 + 4 >> 2] | 0;
   117643     HEAP32[$9 + 8 >> 2] = HEAP32[$3 + 8 >> 2] | 0;
   117644     HEAP32[$9 + 12 >> 2] = HEAP32[$3 + 12 >> 2] | 0;
   117645     $30 = +HEAPF64[$x >> 3];
   117646     HEAPF64[$x83 >> 3] = $30;
   117647     $sub84 = $sub79 + -6.0;
   117648     HEAPF64[$y86 >> 3] = $sub84;
   117649     $31 = +HEAPF64[$x11 >> 3];
   117650     HEAPF64[$x89 >> 3] = $31;
   117651     $32 = +HEAPF64[$y86 >> 3];
   117652     HEAPF64[$y93 >> 3] = $32;
   117653     HEAP32[$12 >> 2] = HEAP32[$4 >> 2] | 0;
   117654     HEAP32[$12 + 4 >> 2] = HEAP32[$4 + 4 >> 2] | 0;
   117655     HEAP32[$12 + 8 >> 2] = HEAP32[$4 + 8 >> 2] | 0;
   117656     HEAP32[$12 + 12 >> 2] = HEAP32[$4 + 12 >> 2] | 0;
   117657     HEAPF64[$x96 >> 3] = $lmaxx_1;
   117658     $33 = +HEAPF64[$y97 >> 3];
   117659     HEAPF64[$y99 >> 3] = $33;
   117660     HEAPF64[$x101 >> 3] = $lmaxx_1;
   117661     HEAPF64[$y103 >> 3] = $sub79;
   117662     HEAPF64[$x105 >> 3] = $lminx_1;
   117663     HEAPF64[$y107 >> 3] = $sub79;
   117664     HEAPF64[$x109 >> 3] = $lminx_1;
   117665     $34 = +HEAPF64[$y >> 3];
   117666     HEAPF64[$y112 >> 3] = $34;
   117667     $35 = HEAP32[$label75 >> 2] | 0;
   117668     $y116 = $35 + 32 | 0;
   117669     $36 = +HEAPF64[$y116 >> 3];
   117670     $div117 = $36 * .5;
   117671     $add118 = $sub79 + $div117;
   117672     $lmaxx_2 = $lmaxx_1;
   117673     $lminx_2 = $lminx_1;
   117674     $maxy_1 = $maxy_0112;
   117675     $miny_1 = $sub79;
   117676     $ctry_0 = $add118;
   117677     label = 13;
   117678     break;
   117679    case 12:
   117680     HEAP32[$9 >> 2] = HEAP32[$3 >> 2] | 0;
   117681     HEAP32[$9 + 4 >> 2] = HEAP32[$3 + 4 >> 2] | 0;
   117682     HEAP32[$9 + 8 >> 2] = HEAP32[$3 + 8 >> 2] | 0;
   117683     HEAP32[$9 + 12 >> 2] = HEAP32[$3 + 12 >> 2] | 0;
   117684     HEAPF64[$x121 >> 3] = $sub48;
   117685     $37 = +HEAPF64[$y >> 3];
   117686     HEAPF64[$y124 >> 3] = $37;
   117687     HEAPF64[$x126 >> 3] = $sub48;
   117688     HEAPF64[$y128 >> 3] = $maxy_0112;
   117689     HEAPF64[$x130 >> 3] = $add54;
   117690     HEAPF64[$y132 >> 3] = $maxy_0112;
   117691     HEAPF64[$x134 >> 3] = $add54;
   117692     $38 = +HEAPF64[$y135 >> 3];
   117693     HEAPF64[$y137 >> 3] = $38;
   117694     $39 = +HEAPF64[$x11 >> 3];
   117695     HEAPF64[$x140 >> 3] = $39;
   117696     $40 = +HEAPF64[$y135 >> 3];
   117697     HEAPF64[$y143 >> 3] = $40;
   117698     $41 = +HEAPF64[$x11 >> 3];
   117699     HEAPF64[$x146 >> 3] = $41;
   117700     $add147 = $maxy_0112 + 6.0;
   117701     HEAPF64[$y149 >> 3] = $add147;
   117702     $42 = +HEAPF64[$x >> 3];
   117703     HEAPF64[$x152 >> 3] = $42;
   117704     HEAPF64[$y155 >> 3] = $add147;
   117705     $label157 = $25 + 112 | 0;
   117706     $43 = HEAP32[$label157 >> 2] | 0;
   117707     $y159 = $43 + 32 | 0;
   117708     $44 = +HEAPF64[$y159 >> 3];
   117709     $div160 = $44 * .5;
   117710     $add161 = $maxy_0112 + $div160;
   117711     $add162 = $add161 + 6.0;
   117712     $add167 = $44 + 6.0;
   117713     $add168 = $maxy_0112 + $add167;
   117714     $lmaxx_2 = $lmaxx_0115;
   117715     $lminx_2 = $lminx_0114;
   117716     $maxy_1 = $add168;
   117717     $miny_1 = $miny_0111;
   117718     $ctry_0 = $add162;
   117719     label = 13;
   117720     break;
   117721    case 13:
   117722     HEAP32[$pn170 >> 2] = 8;
   117723     HEAP32[$ps172 >> 2] = $arrayidx15;
   117724     $call174 = _simpleSplineRoute($tp, $hp, $poly, $pn, $conv) | 0;
   117725     $45 = HEAP32[$pn >> 2] | 0;
   117726     $cmp175 = ($45 | 0) == 0;
   117727     if ($cmp175) {
   117728       label = 21;
   117729       break;
   117730     } else {
   117731       label = 14;
   117732       break;
   117733     }
   117734    case 14:
   117735     $label180 = $25 + 112 | 0;
   117736     $46 = HEAP32[$label180 >> 2] | 0;
   117737     $x182 = $46 + 56 | 0;
   117738     HEAPF64[$x182 >> 3] = $div;
   117739     $47 = HEAP32[$label180 >> 2] | 0;
   117740     $y186 = $47 + 64 | 0;
   117741     HEAPF64[$y186 >> 3] = $ctry_0;
   117742     $48 = HEAP32[$label180 >> 2] | 0;
   117743     $set189 = $48 + 81 | 0;
   117744     HEAP8[$set189] = 1;
   117745     $head190 = $25 + 12 | 0;
   117746     $49 = HEAP32[$head190 >> 2] | 0;
   117747     $50 = HEAP32[$pn >> 2] | 0;
   117748     $51 = $25;
   117749     $52 = $49;
   117750     _clip_and_install($51, $52, $call174, $50, 4216);
   117751     $inc192 = $i_1113 + 1 | 0;
   117752     $cmp56 = ($inc192 | 0) < ($n_lbls | 0);
   117753     if ($cmp56) {
   117754       $miny_0111 = $miny_1;
   117755       $maxy_0112 = $maxy_1;
   117756       $i_1113 = $inc192;
   117757       $lminx_0114 = $lminx_2;
   117758       $lmaxx_0115 = $lmaxx_2;
   117759       label = 8;
   117760       break;
   117761     } else {
   117762       $miny_0_lcssa = $miny_1;
   117763       $maxy_0_lcssa = $maxy_1;
   117764       $i_1_lcssa = $inc192;
   117765       $lminx_0_lcssa = $lminx_2;
   117766       $lmaxx_0_lcssa = $lmaxx_2;
   117767       label = 6;
   117768       break;
   117769     }
   117770    case 15:
   117771     $add198 = $i_2101 + $ind | 0;
   117772     $arrayidx199 = $edges + ($add198 << 2) | 0;
   117773     $53 = HEAP32[$arrayidx199 >> 2] | 0;
   117774     $rem20096 = $i_2101 & 1;
   117775     $tobool201 = ($rem20096 | 0) == 0;
   117776     if ($tobool201) {
   117777       label = 17;
   117778       break;
   117779     } else {
   117780       label = 16;
   117781       break;
   117782     }
   117783    case 16:
   117784     $cmp203 = ($i_2101 | 0) == 1;
   117785     $div208_lminx_3102 = $cmp203 ? $div208 : $lminx_3102;
   117786     $div211_lmaxx_3103 = $cmp203 ? $div211 : $lmaxx_3103;
   117787     $sub213 = $miny_299 + -6.0;
   117788     HEAP32[$9 >> 2] = HEAP32[$3 >> 2] | 0;
   117789     HEAP32[$9 + 4 >> 2] = HEAP32[$3 + 4 >> 2] | 0;
   117790     HEAP32[$9 + 8 >> 2] = HEAP32[$3 + 8 >> 2] | 0;
   117791     HEAP32[$9 + 12 >> 2] = HEAP32[$3 + 12 >> 2] | 0;
   117792     $54 = +HEAPF64[$x >> 3];
   117793     HEAPF64[$x217 >> 3] = $54;
   117794     $sub218 = $sub213 + -6.0;
   117795     HEAPF64[$y220 >> 3] = $sub218;
   117796     $55 = +HEAPF64[$x11 >> 3];
   117797     HEAPF64[$x223 >> 3] = $55;
   117798     $56 = +HEAPF64[$y220 >> 3];
   117799     HEAPF64[$y227 >> 3] = $56;
   117800     HEAP32[$12 >> 2] = HEAP32[$4 >> 2] | 0;
   117801     HEAP32[$12 + 4 >> 2] = HEAP32[$4 + 4 >> 2] | 0;
   117802     HEAP32[$12 + 8 >> 2] = HEAP32[$4 + 8 >> 2] | 0;
   117803     HEAP32[$12 + 12 >> 2] = HEAP32[$4 + 12 >> 2] | 0;
   117804     HEAPF64[$x230 >> 3] = $div211_lmaxx_3103;
   117805     $57 = +HEAPF64[$y231 >> 3];
   117806     HEAPF64[$y233 >> 3] = $57;
   117807     HEAPF64[$x235 >> 3] = $div211_lmaxx_3103;
   117808     HEAPF64[$y237 >> 3] = $sub213;
   117809     HEAPF64[$x239 >> 3] = $div208_lminx_3102;
   117810     HEAPF64[$y241 >> 3] = $sub213;
   117811     HEAPF64[$x243 >> 3] = $div208_lminx_3102;
   117812     $58 = +HEAPF64[$y >> 3];
   117813     HEAPF64[$y246 >> 3] = $58;
   117814     $lmaxx_5 = $div211_lmaxx_3103;
   117815     $lminx_5 = $div208_lminx_3102;
   117816     $maxy_3 = $maxy_2100;
   117817     $miny_3 = $sub213;
   117818     label = 18;
   117819     break;
   117820    case 17:
   117821     HEAP32[$9 >> 2] = HEAP32[$3 >> 2] | 0;
   117822     HEAP32[$9 + 4 >> 2] = HEAP32[$3 + 4 >> 2] | 0;
   117823     HEAP32[$9 + 8 >> 2] = HEAP32[$3 + 8 >> 2] | 0;
   117824     HEAP32[$9 + 12 >> 2] = HEAP32[$3 + 12 >> 2] | 0;
   117825     HEAPF64[$x250 >> 3] = $sub48;
   117826     $59 = +HEAPF64[$y >> 3];
   117827     HEAPF64[$y253 >> 3] = $59;
   117828     HEAPF64[$x255 >> 3] = $sub48;
   117829     HEAPF64[$y257 >> 3] = $maxy_2100;
   117830     HEAPF64[$x259 >> 3] = $add54;
   117831     HEAPF64[$y261 >> 3] = $maxy_2100;
   117832     HEAPF64[$x263 >> 3] = $add54;
   117833     $60 = +HEAPF64[$y264 >> 3];
   117834     HEAPF64[$y266 >> 3] = $60;
   117835     $61 = +HEAPF64[$x11 >> 3];
   117836     HEAPF64[$x269 >> 3] = $61;
   117837     $62 = +HEAPF64[$y264 >> 3];
   117838     HEAPF64[$y272 >> 3] = $62;
   117839     $63 = +HEAPF64[$x11 >> 3];
   117840     HEAPF64[$x275 >> 3] = $63;
   117841     $add276 = $maxy_2100 + 6.0;
   117842     HEAPF64[$y278 >> 3] = $add276;
   117843     $64 = +HEAPF64[$x >> 3];
   117844     HEAPF64[$x281 >> 3] = $64;
   117845     HEAPF64[$y284 >> 3] = $add276;
   117846     $lmaxx_5 = $lmaxx_3103;
   117847     $lminx_5 = $lminx_3102;
   117848     $maxy_3 = $add276;
   117849     $miny_3 = $miny_299;
   117850     label = 18;
   117851     break;
   117852    case 18:
   117853     HEAP32[$pn287 >> 2] = 8;
   117854     HEAP32[$ps289 >> 2] = $arrayidx15;
   117855     $call292 = _simpleSplineRoute($tp, $hp, $poly, $pn, $conv291) | 0;
   117856     $65 = HEAP32[$pn >> 2] | 0;
   117857     $cmp293 = ($65 | 0) == 0;
   117858     if ($cmp293) {
   117859       label = 21;
   117860       break;
   117861     } else {
   117862       label = 19;
   117863       break;
   117864     }
   117865    case 19:
   117866     $head297 = $53 + 12 | 0;
   117867     $66 = HEAP32[$head297 >> 2] | 0;
   117868     $67 = $53;
   117869     $68 = $66;
   117870     _clip_and_install($67, $68, $call292, $65, 4216);
   117871     $inc299 = $i_2101 + 1 | 0;
   117872     $cmp195 = ($inc299 | 0) < ($cnt | 0);
   117873     if ($cmp195) {
   117874       $miny_299 = $miny_3;
   117875       $maxy_2100 = $maxy_3;
   117876       $i_2101 = $inc299;
   117877       $lminx_3102 = $lminx_5;
   117878       $lmaxx_3103 = $lmaxx_5;
   117879       label = 15;
   117880       break;
   117881     } else {
   117882       label = 20;
   117883       break;
   117884     }
   117885    case 20:
   117886     _free($call);
   117887     label = 21;
   117888     break;
   117889    case 21:
   117890     STACKTOP = __stackBase__;
   117891     return;
   117892   }
   117893 }
   117894 function _cloneGraph($g) {
   117895   $g = $g | 0;
   117896   var $0 = 0, $1 = 0, $2 = 0, $and = 0, $call = 0, $3 = 0, $4 = 0, $call1 = 0, $call2 = 0, $5 = 0, $u = 0, $6 = 0, $drawing = 0, $drawing4 = 0, $7 = 0, $quantum = 0, $8 = 0.0, $quantum7 = 0, $9 = 0, $dpi = 0, $10 = 0.0, $11 = 0, $dpi12 = 0, $charset = 0, $12 = 0, $charset15 = 0, $rankdir = 0, $13 = 0, $and18 = 0, $tobool19 = 0, $rankdir21 = 0, $_ = 0, $nodesep = 0, $14 = 0, $nodesep26 = 0, $_c = 0, $ranksep = 0, $15 = 0, $ranksep29 = 0, $_c31 = 0, $root = 0, $16 = 0, $univ = 0, $17 = 0, $nodeattr = 0, $18 = 0, $list30 = 0, $19 = 0, $20 = 0, $tobool3134 = 0, $21 = 0, $list_035 = 0, $incdec_ptr = 0, $name = 0, $22 = 0, $value = 0, $23 = 0, $call32 = 0, $24 = 0, $tobool31 = 0, $25 = 0, $univ34 = 0, $26 = 0, $edgeattr = 0, $27 = 0, $list35 = 0, $28 = 0, $29 = 0, $tobool3832 = 0, $30 = 0, $list_133 = 0, $incdec_ptr37 = 0, $name40 = 0, $31 = 0, $value41 = 0, $32 = 0, $call42 = 0, $33 = 0, $tobool38 = 0, $proto = 0, $34 = 0, $e = 0, $35 = 0, $36 = 0, $call44 = 0, $tobool45 = 0, $call47 = 0, $37 = 0, $e50 = 0, $38 = 0, $39 = 0, $call51 = 0, $tobool52 = 0, $call54 = 0, $40 = 0, $41 = 0, $42 = 0, $43 = 0, $44 = 0, $45 = 0, $46 = 0, $47 = 0, $e57 = 0, $48 = 0, $49 = 0, $call58 = 0, $50 = 0, $e60 = 0, $51 = 0, $52 = 0, $call61 = 0, $53 = 0, $e63 = 0, $54 = 0, $55 = 0, $call64 = 0, $tobool65 = 0, $call67 = 0, label = 0;
   117897   label = 2;
   117898   while (1) switch (label | 0) {
   117899    case 2:
   117900     $0 = $g;
   117901     $1 = HEAP32[$0 >> 2] | 0;
   117902     $2 = $1 >>> 4;
   117903     $and = $2 & 1;
   117904     $call = _agopen(95224, $and) | 0;
   117905     $3 = $call;
   117906     $4 = $call;
   117907     $call1 = _agraphattr($4, 117048, 91664) | 0;
   117908     $call2 = _zmalloc(96) | 0;
   117909     $5 = $call2;
   117910     $u = $call + 48 | 0;
   117911     $6 = $u;
   117912     $drawing = $u;
   117913     HEAP32[$drawing >> 2] = $5;
   117914     $drawing4 = $g + 48 | 0;
   117915     $7 = HEAP32[$drawing4 >> 2] | 0;
   117916     $quantum = $7 | 0;
   117917     $8 = +HEAPF64[$quantum >> 3];
   117918     $quantum7 = $call2;
   117919     HEAPF64[$quantum7 >> 3] = $8;
   117920     $9 = HEAP32[$drawing4 >> 2] | 0;
   117921     $dpi = $9 + 24 | 0;
   117922     $10 = +HEAPF64[$dpi >> 3];
   117923     $11 = HEAP32[$drawing >> 2] | 0;
   117924     $dpi12 = $11 + 24 | 0;
   117925     HEAPF64[$dpi12 >> 3] = $10;
   117926     $charset = $g + 155 | 0;
   117927     $12 = HEAP8[$charset] | 0;
   117928     $charset15 = $6 + 107 | 0;
   117929     HEAP8[$charset15] = $12;
   117930     $rankdir = $g + 156 | 0;
   117931     $13 = HEAP32[$rankdir >> 2] | 0;
   117932     $and18 = $13 & 1;
   117933     $tobool19 = ($and18 | 0) == 0;
   117934     $rankdir21 = $call + 156 | 0;
   117935     $_ = $tobool19 ? 1 : 0;
   117936     HEAP32[$rankdir21 >> 2] = $_;
   117937     $nodesep = $g + 260 | 0;
   117938     $14 = HEAP32[$nodesep >> 2] | 0;
   117939     $nodesep26 = $call + 260 | 0;
   117940     $_c = $14;
   117941     HEAP32[$nodesep26 >> 2] = $_c;
   117942     $ranksep = $g + 264 | 0;
   117943     $15 = HEAP32[$ranksep >> 2] | 0;
   117944     $ranksep29 = $call + 264 | 0;
   117945     $_c31 = $15;
   117946     HEAP32[$ranksep29 >> 2] = $_c31;
   117947     $root = $g + 32 | 0;
   117948     $16 = HEAP32[$root >> 2] | 0;
   117949     $univ = $16 + 16 | 0;
   117950     $17 = HEAP32[$univ >> 2] | 0;
   117951     $nodeattr = $17 + 4 | 0;
   117952     $18 = HEAP32[$nodeattr >> 2] | 0;
   117953     $list30 = $18 + 8 | 0;
   117954     $19 = HEAP32[$list30 >> 2] | 0;
   117955     $20 = HEAP32[$19 >> 2] | 0;
   117956     $tobool3134 = ($20 | 0) == 0;
   117957     if ($tobool3134) {
   117958       label = 4;
   117959       break;
   117960     } else {
   117961       $list_035 = $19;
   117962       $21 = $20;
   117963       label = 3;
   117964       break;
   117965     }
   117966    case 3:
   117967     $incdec_ptr = $list_035 + 4 | 0;
   117968     $name = $21 | 0;
   117969     $22 = HEAP32[$name >> 2] | 0;
   117970     $value = $21 + 4 | 0;
   117971     $23 = HEAP32[$value >> 2] | 0;
   117972     $call32 = _agnodeattr($4, $22, $23) | 0;
   117973     $24 = HEAP32[$incdec_ptr >> 2] | 0;
   117974     $tobool31 = ($24 | 0) == 0;
   117975     if ($tobool31) {
   117976       label = 4;
   117977       break;
   117978     } else {
   117979       $list_035 = $incdec_ptr;
   117980       $21 = $24;
   117981       label = 3;
   117982       break;
   117983     }
   117984    case 4:
   117985     $25 = HEAP32[$root >> 2] | 0;
   117986     $univ34 = $25 + 16 | 0;
   117987     $26 = HEAP32[$univ34 >> 2] | 0;
   117988     $edgeattr = $26 + 8 | 0;
   117989     $27 = HEAP32[$edgeattr >> 2] | 0;
   117990     $list35 = $27 + 8 | 0;
   117991     $28 = HEAP32[$list35 >> 2] | 0;
   117992     $29 = HEAP32[$28 >> 2] | 0;
   117993     $tobool3832 = ($29 | 0) == 0;
   117994     if ($tobool3832) {
   117995       label = 6;
   117996       break;
   117997     } else {
   117998       $list_133 = $28;
   117999       $30 = $29;
   118000       label = 5;
   118001       break;
   118002     }
   118003    case 5:
   118004     $incdec_ptr37 = $list_133 + 4 | 0;
   118005     $name40 = $30 | 0;
   118006     $31 = HEAP32[$name40 >> 2] | 0;
   118007     $value41 = $30 + 4 | 0;
   118008     $32 = HEAP32[$value41 >> 2] | 0;
   118009     $call42 = _agedgeattr($4, $31, $32) | 0;
   118010     $33 = HEAP32[$incdec_ptr37 >> 2] | 0;
   118011     $tobool38 = ($33 | 0) == 0;
   118012     if ($tobool38) {
   118013       label = 6;
   118014       break;
   118015     } else {
   118016       $list_133 = $incdec_ptr37;
   118017       $30 = $33;
   118018       label = 5;
   118019       break;
   118020     }
   118021    case 6:
   118022     $proto = $call + 40 | 0;
   118023     $34 = HEAP32[$proto >> 2] | 0;
   118024     $e = $34 + 4 | 0;
   118025     $35 = HEAP32[$e >> 2] | 0;
   118026     $36 = $35 | 0;
   118027     $call44 = _agfindattr($36, 161800) | 0;
   118028     $tobool45 = ($call44 | 0) == 0;
   118029     if ($tobool45) {
   118030       label = 7;
   118031       break;
   118032     } else {
   118033       label = 8;
   118034       break;
   118035     }
   118036    case 7:
   118037     $call47 = _agedgeattr($4, 161800, 91664) | 0;
   118038     label = 8;
   118039     break;
   118040    case 8:
   118041     $37 = HEAP32[$proto >> 2] | 0;
   118042     $e50 = $37 + 4 | 0;
   118043     $38 = HEAP32[$e50 >> 2] | 0;
   118044     $39 = $38 | 0;
   118045     $call51 = _agfindattr($39, 158248) | 0;
   118046     $tobool52 = ($call51 | 0) == 0;
   118047     if ($tobool52) {
   118048       label = 9;
   118049       break;
   118050     } else {
   118051       label = 10;
   118052       break;
   118053     }
   118054    case 9:
   118055     $call54 = _agedgeattr($4, 158248, 91664) | 0;
   118056     label = 10;
   118057     break;
   118058    case 10:
   118059     $40 = HEAP32[41954] | 0;
   118060     HEAP32[21464] = $40;
   118061     $41 = HEAP32[41914] | 0;
   118062     HEAP32[21462] = $41;
   118063     $42 = HEAP32[41912] | 0;
   118064     HEAP32[21460] = $42;
   118065     $43 = HEAP32[41894] | 0;
   118066     HEAP32[21458] = $43;
   118067     $44 = HEAP32[41918] | 0;
   118068     HEAP32[21456] = $44;
   118069     $45 = HEAP32[41594] | 0;
   118070     HEAP32[21454] = $45;
   118071     $46 = HEAP32[41356] | 0;
   118072     HEAP32[21452] = $46;
   118073     HEAP32[41954] = 0;
   118074     $47 = HEAP32[$proto >> 2] | 0;
   118075     $e57 = $47 + 4 | 0;
   118076     $48 = HEAP32[$e57 >> 2] | 0;
   118077     $49 = $48 | 0;
   118078     $call58 = _agfindattr($49, 154824) | 0;
   118079     HEAP32[41914] = $call58;
   118080     $50 = HEAP32[$proto >> 2] | 0;
   118081     $e60 = $50 + 4 | 0;
   118082     $51 = HEAP32[$e60 >> 2] | 0;
   118083     $52 = $51 | 0;
   118084     $call61 = _agfindattr($52, 151264) | 0;
   118085     HEAP32[41912] = $call61;
   118086     $53 = HEAP32[$proto >> 2] | 0;
   118087     $e63 = $53 + 4 | 0;
   118088     $54 = HEAP32[$e63 >> 2] | 0;
   118089     $55 = $54 | 0;
   118090     $call64 = _agfindattr($55, 148464) | 0;
   118091     HEAP32[41894] = $call64;
   118092     $tobool65 = ($call64 | 0) == 0;
   118093     if ($tobool65) {
   118094       label = 11;
   118095       break;
   118096     } else {
   118097       label = 12;
   118098       break;
   118099     }
   118100    case 11:
   118101     $call67 = _agedgeattr($4, 148464, 91664) | 0;
   118102     HEAP32[41894] = $call67;
   118103     label = 12;
   118104     break;
   118105    case 12:
   118106     HEAP32[41918] = 0;
   118107     HEAP32[41594] = 0;
   118108     return $3 | 0;
   118109   }
   118110   return 0;
   118111 }
   118112 function _cloneNode($g, $orign) {
   118113   $g = $g | 0;
   118114   $orign = $orign | 0;
   118115   var $name = 0, $0 = 0, $1 = 0, $call = 0, $2 = 0, $3 = 0, $4 = 0, $call1 = 0, $5 = 0, $call2 = 0, $cmp = 0, $label = 0, $6 = 0, $text = 0, $7 = 0, $call3 = 0, $add = 0, $call4 = 0, $8 = 0, $text7 = 0, $9 = 0, $call8 = 0, $call9 = 0, label = 0, __stackBase__ = 0;
   118116   __stackBase__ = STACKTOP;
   118117   label = 2;
   118118   while (1) switch (label | 0) {
   118119    case 2:
   118120     $name = $orign + 12 | 0;
   118121     $0 = HEAP32[$name >> 2] | 0;
   118122     $1 = $g;
   118123     $call = _agnode($1, $0) | 0;
   118124     $2 = $call;
   118125     $3 = $orign | 0;
   118126     $4 = $call | 0;
   118127     $call1 = _agcopyattr($3, $4) | 0;
   118128     $5 = $orign;
   118129     $call2 = _shapeOf($5) | 0;
   118130     $cmp = ($call2 | 0) == 2;
   118131     if ($cmp) {
   118132       label = 3;
   118133       break;
   118134     } else {
   118135       label = 4;
   118136       break;
   118137     }
   118138    case 3:
   118139     $label = $orign + 120 | 0;
   118140     $6 = HEAP32[$label >> 2] | 0;
   118141     $text = $6 | 0;
   118142     $7 = HEAP32[$text >> 2] | 0;
   118143     $call3 = _strlen($7 | 0) | 0;
   118144     $add = $call3 + 3 | 0;
   118145     $call4 = _gmalloc($add) | 0;
   118146     $8 = HEAP32[$label >> 2] | 0;
   118147     $text7 = $8 | 0;
   118148     $9 = HEAP32[$text7 >> 2] | 0;
   118149     $call8 = _sprintf($call4 | 0, 103472, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $9, tempInt) | 0) | 0;
   118150     $call9 = _agset($4, 99240, $call4) | 0;
   118151     label = 4;
   118152     break;
   118153    case 4:
   118154     STACKTOP = __stackBase__;
   118155     return $2 | 0;
   118156   }
   118157   return 0;
   118158 }
   118159 function _cloneEdge3018($g, $tn, $hn, $orig) {
   118160   $g = $g | 0;
   118161   $tn = $tn | 0;
   118162   $hn = $hn | 0;
   118163   $orig = $orig | 0;
   118164   var $call = 0;
   118165   $call = _agedge($g, $tn, $hn) | 0;
   118166   _agcopyattr($orig | 0, $call | 0);
   118167   return $call | 0;
   118168 }
   118169 function _transformf($agg_result, $p, $del, $flip) {
   118170   $agg_result = $agg_result | 0;
   118171   $p = $p | 0;
   118172   $del = $del | 0;
   118173   $flip = $flip | 0;
   118174   var $tobool = 0, $x = 0, $0 = 0.0, $y = 0, $1 = 0.0, $sub = 0.0, label = 0, tempParam = 0, __stackBase__ = 0;
   118175   __stackBase__ = STACKTOP;
   118176   tempParam = $p;
   118177   $p = STACKTOP;
   118178   STACKTOP = STACKTOP + 16 | 0;
   118179   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   118180   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   118181   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   118182   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   118183   tempParam = $del;
   118184   $del = STACKTOP;
   118185   STACKTOP = STACKTOP + 16 | 0;
   118186   HEAP32[$del >> 2] = HEAP32[tempParam >> 2] | 0;
   118187   HEAP32[$del + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   118188   HEAP32[$del + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   118189   HEAP32[$del + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   118190   label = 2;
   118191   while (1) switch (label | 0) {
   118192    case 2:
   118193     $tobool = ($flip | 0) == 0;
   118194     if ($tobool) {
   118195       label = 4;
   118196       break;
   118197     } else {
   118198       label = 3;
   118199       break;
   118200     }
   118201    case 3:
   118202     $x = $p | 0;
   118203     $0 = +HEAPF64[$x >> 3];
   118204     $y = $p + 8 | 0;
   118205     $1 = +HEAPF64[$y >> 3];
   118206     HEAPF64[$x >> 3] = $1;
   118207     $sub = -0.0 - $0;
   118208     HEAPF64[$y >> 3] = $sub;
   118209     label = 4;
   118210     break;
   118211    case 4:
   118212     _add_pointf3016($agg_result, $p, $del);
   118213     STACKTOP = __stackBase__;
   118214     return;
   118215   }
   118216 }
   118217 function _edgelblcmpfn($ptr0, $ptr1) {
   118218   $ptr0 = $ptr0 | 0;
   118219   $ptr1 = $ptr1 | 0;
   118220   var $0 = 0, $1 = 0, $label = 0, $2 = 0, $tobool = 0, $label2 = 0, $3 = 0, $tobool3 = 0, $sz0_sroa_0_0__idx = 0, $sz0_sroa_0_0_copyload = 0.0, $sz0_sroa_1_8__idx6 = 0, $sz0_sroa_1_8_copyload = 0.0, $sz1_sroa_0_0__idx = 0, $sz1_sroa_0_0_copyload = 0.0, $sz1_sroa_1_8__idx3 = 0, $sz1_sroa_1_8_copyload = 0.0, $cmp = 0, $cmp14 = 0, $cmp18 = 0, $cmp23 = 0, $_ = 0, $_9 = 0, $retval_0 = 0, label = 0;
   118221   label = 2;
   118222   while (1) switch (label | 0) {
   118223    case 2:
   118224     $0 = HEAP32[$ptr0 >> 2] | 0;
   118225     $1 = HEAP32[$ptr1 >> 2] | 0;
   118226     $label = $0 + 112 | 0;
   118227     $2 = HEAP32[$label >> 2] | 0;
   118228     $tobool = ($2 | 0) == 0;
   118229     $label2 = $1 + 112 | 0;
   118230     $3 = HEAP32[$label2 >> 2] | 0;
   118231     $tobool3 = ($3 | 0) != 0;
   118232     if ($tobool) {
   118233       label = 8;
   118234       break;
   118235     } else {
   118236       label = 3;
   118237       break;
   118238     }
   118239    case 3:
   118240     if ($tobool3) {
   118241       label = 4;
   118242       break;
   118243     } else {
   118244       $retval_0 = -1;
   118245       label = 9;
   118246       break;
   118247     }
   118248    case 4:
   118249     $sz0_sroa_0_0__idx = $2 + 24 | 0;
   118250     $sz0_sroa_0_0_copyload = +HEAPF64[$sz0_sroa_0_0__idx >> 3];
   118251     $sz0_sroa_1_8__idx6 = $2 + 32 | 0;
   118252     $sz0_sroa_1_8_copyload = +HEAPF64[$sz0_sroa_1_8__idx6 >> 3];
   118253     $sz1_sroa_0_0__idx = $3 + 24 | 0;
   118254     $sz1_sroa_0_0_copyload = +HEAPF64[$sz1_sroa_0_0__idx >> 3];
   118255     $sz1_sroa_1_8__idx3 = $3 + 32 | 0;
   118256     $sz1_sroa_1_8_copyload = +HEAPF64[$sz1_sroa_1_8__idx3 >> 3];
   118257     $cmp = $sz0_sroa_0_0_copyload > $sz1_sroa_0_0_copyload;
   118258     if ($cmp) {
   118259       $retval_0 = -1;
   118260       label = 9;
   118261       break;
   118262     } else {
   118263       label = 5;
   118264       break;
   118265     }
   118266    case 5:
   118267     $cmp14 = $sz0_sroa_0_0_copyload < $sz1_sroa_0_0_copyload;
   118268     if ($cmp14) {
   118269       $retval_0 = 1;
   118270       label = 9;
   118271       break;
   118272     } else {
   118273       label = 6;
   118274       break;
   118275     }
   118276    case 6:
   118277     $cmp18 = $sz0_sroa_1_8_copyload > $sz1_sroa_1_8_copyload;
   118278     if ($cmp18) {
   118279       $retval_0 = -1;
   118280       label = 9;
   118281       break;
   118282     } else {
   118283       label = 7;
   118284       break;
   118285     }
   118286    case 7:
   118287     $cmp23 = $sz0_sroa_1_8_copyload < $sz1_sroa_1_8_copyload;
   118288     $_ = $cmp23 & 1;
   118289     $retval_0 = $_;
   118290     label = 9;
   118291     break;
   118292    case 8:
   118293     $_9 = $tobool3 & 1;
   118294     $retval_0 = $_9;
   118295     label = 9;
   118296     break;
   118297    case 9:
   118298     return $retval_0 | 0;
   118299   }
   118300   return 0;
   118301 }
   118302 function _swap_ends_p($e) {
   118303   $e = $e | 0;
   118304   var $e_addr_0 = 0, $to_orig = 0, $0 = 0, $tobool = 0, $head = 0, $1 = 0, $rank = 0, $2 = 0, $tail = 0, $3 = 0, $rank5 = 0, $4 = 0, $cmp = 0, $cmp12 = 0, $order = 0, $5 = 0, $order19 = 0, $6 = 0, $cmp20 = 0, $_ = 0, $retval_0 = 0, label = 0;
   118305   label = 2;
   118306   while (1) switch (label | 0) {
   118307    case 2:
   118308     $e_addr_0 = $e;
   118309     label = 3;
   118310     break;
   118311    case 3:
   118312     $to_orig = $e_addr_0 + 132 | 0;
   118313     $0 = HEAP32[$to_orig >> 2] | 0;
   118314     $tobool = ($0 | 0) == 0;
   118315     if ($tobool) {
   118316       label = 4;
   118317       break;
   118318     } else {
   118319       $e_addr_0 = $0;
   118320       label = 3;
   118321       break;
   118322     }
   118323    case 4:
   118324     $head = $e_addr_0 + 12 | 0;
   118325     $1 = HEAP32[$head >> 2] | 0;
   118326     $rank = $1 + 236 | 0;
   118327     $2 = HEAP32[$rank >> 2] | 0;
   118328     $tail = $e_addr_0 + 16 | 0;
   118329     $3 = HEAP32[$tail >> 2] | 0;
   118330     $rank5 = $3 + 236 | 0;
   118331     $4 = HEAP32[$rank5 >> 2] | 0;
   118332     $cmp = ($2 | 0) > ($4 | 0);
   118333     if ($cmp) {
   118334       $retval_0 = 0;
   118335       label = 7;
   118336       break;
   118337     } else {
   118338       label = 5;
   118339       break;
   118340     }
   118341    case 5:
   118342     $cmp12 = ($2 | 0) < ($4 | 0);
   118343     if ($cmp12) {
   118344       $retval_0 = 1;
   118345       label = 7;
   118346       break;
   118347     } else {
   118348       label = 6;
   118349       break;
   118350     }
   118351    case 6:
   118352     $order = $1 + 240 | 0;
   118353     $5 = HEAP32[$order >> 2] | 0;
   118354     $order19 = $3 + 240 | 0;
   118355     $6 = HEAP32[$order19 >> 2] | 0;
   118356     $cmp20 = ($5 | 0) < ($6 | 0);
   118357     $_ = $cmp20 & 1;
   118358     $retval_0 = $_;
   118359     label = 7;
   118360     break;
   118361    case 7:
   118362     return $retval_0 | 0;
   118363   }
   118364   return 0;
   118365 }
   118366 function _ffe($u, $uL, $v, $vL) {
   118367   $u = $u | 0;
   118368   $uL = $uL | 0;
   118369   $v = $v | 0;
   118370   $vL = $vL | 0;
   118371   var $size = 0, $0 = 0, $cmp = 0, $size1 = 0, $1 = 0, $cmp2 = 0, $cmp5 = 0, $list10 = 0, $2 = 0, $list = 0, $3 = 0, $i_0 = 0, $arrayidx = 0, $4 = 0, $tobool = 0, $head = 0, $5 = 0, $cmp7 = 0, $inc = 0, $i_1 = 0, $arrayidx11 = 0, $6 = 0, $tobool12 = 0, $tail = 0, $7 = 0, $cmp14 = 0, $inc18 = 0, $e_0 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   118372   __stackBase__ = STACKTOP;
   118373   tempParam = $uL;
   118374   $uL = STACKTOP;
   118375   STACKTOP = STACKTOP + 8 | 0;
   118376   HEAP32[$uL >> 2] = HEAP32[tempParam >> 2] | 0;
   118377   HEAP32[$uL + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   118378   tempParam = $vL;
   118379   $vL = STACKTOP;
   118380   STACKTOP = STACKTOP + 8 | 0;
   118381   HEAP32[$vL >> 2] = HEAP32[tempParam >> 2] | 0;
   118382   HEAP32[$vL + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   118383   label = 2;
   118384   while (1) switch (label | 0) {
   118385    case 2:
   118386     $size = $uL + 4 | 0;
   118387     $0 = HEAP32[$size >> 2] | 0;
   118388     $cmp = ($0 | 0) > 0;
   118389     if ($cmp) {
   118390       label = 3;
   118391       break;
   118392     } else {
   118393       $e_0 = 0;
   118394       label = 11;
   118395       break;
   118396     }
   118397    case 3:
   118398     $size1 = $vL + 4 | 0;
   118399     $1 = HEAP32[$size1 >> 2] | 0;
   118400     $cmp2 = ($1 | 0) > 0;
   118401     if ($cmp2) {
   118402       label = 4;
   118403       break;
   118404     } else {
   118405       $e_0 = 0;
   118406       label = 11;
   118407       break;
   118408     }
   118409    case 4:
   118410     $cmp5 = ($0 | 0) < ($1 | 0);
   118411     if ($cmp5) {
   118412       label = 6;
   118413       break;
   118414     } else {
   118415       label = 5;
   118416       break;
   118417     }
   118418    case 5:
   118419     $list10 = $vL | 0;
   118420     $2 = HEAP32[$list10 >> 2] | 0;
   118421     $i_1 = 0;
   118422     label = 9;
   118423     break;
   118424    case 6:
   118425     $list = $uL | 0;
   118426     $3 = HEAP32[$list >> 2] | 0;
   118427     $i_0 = 0;
   118428     label = 7;
   118429     break;
   118430    case 7:
   118431     $arrayidx = $3 + ($i_0 << 2) | 0;
   118432     $4 = HEAP32[$arrayidx >> 2] | 0;
   118433     $tobool = ($4 | 0) == 0;
   118434     if ($tobool) {
   118435       $e_0 = 0;
   118436       label = 11;
   118437       break;
   118438     } else {
   118439       label = 8;
   118440       break;
   118441     }
   118442    case 8:
   118443     $head = $4 + 12 | 0;
   118444     $5 = HEAP32[$head >> 2] | 0;
   118445     $cmp7 = ($5 | 0) == ($v | 0);
   118446     $inc = $i_0 + 1 | 0;
   118447     if ($cmp7) {
   118448       $e_0 = $4;
   118449       label = 11;
   118450       break;
   118451     } else {
   118452       $i_0 = $inc;
   118453       label = 7;
   118454       break;
   118455     }
   118456    case 9:
   118457     $arrayidx11 = $2 + ($i_1 << 2) | 0;
   118458     $6 = HEAP32[$arrayidx11 >> 2] | 0;
   118459     $tobool12 = ($6 | 0) == 0;
   118460     if ($tobool12) {
   118461       $e_0 = 0;
   118462       label = 11;
   118463       break;
   118464     } else {
   118465       label = 10;
   118466       break;
   118467     }
   118468    case 10:
   118469     $tail = $6 + 16 | 0;
   118470     $7 = HEAP32[$tail >> 2] | 0;
   118471     $cmp14 = ($7 | 0) == ($u | 0);
   118472     $inc18 = $i_1 + 1 | 0;
   118473     if ($cmp14) {
   118474       $e_0 = $6;
   118475       label = 11;
   118476       break;
   118477     } else {
   118478       $i_1 = $inc18;
   118479       label = 9;
   118480       break;
   118481     }
   118482    case 11:
   118483     STACKTOP = __stackBase__;
   118484     return $e_0 | 0;
   118485   }
   118486   return 0;
   118487 }
   118488 function _zapinlist($L, $e) {
   118489   $L = $L | 0;
   118490   $e = $e | 0;
   118491   var $size = 0, $0 = 0, $list = 0, $i_0 = 0, $cmp = 0, $1 = 0, $arrayidx = 0, $2 = 0, $cmp1 = 0, $inc = 0, $dec = 0, $3 = 0, $arrayidx5 = 0, $4 = 0, $arrayidx7 = 0, $5 = 0, $6 = 0, $arrayidx10 = 0, label = 0;
   118492   label = 2;
   118493   while (1) switch (label | 0) {
   118494    case 2:
   118495     $size = $L + 4 | 0;
   118496     $0 = HEAP32[$size >> 2] | 0;
   118497     $list = $L | 0;
   118498     $i_0 = 0;
   118499     label = 3;
   118500     break;
   118501    case 3:
   118502     $cmp = ($i_0 | 0) < ($0 | 0);
   118503     if ($cmp) {
   118504       label = 4;
   118505       break;
   118506     } else {
   118507       label = 6;
   118508       break;
   118509     }
   118510    case 4:
   118511     $1 = HEAP32[$list >> 2] | 0;
   118512     $arrayidx = $1 + ($i_0 << 2) | 0;
   118513     $2 = HEAP32[$arrayidx >> 2] | 0;
   118514     $cmp1 = ($2 | 0) == ($e | 0);
   118515     $inc = $i_0 + 1 | 0;
   118516     if ($cmp1) {
   118517       label = 5;
   118518       break;
   118519     } else {
   118520       $i_0 = $inc;
   118521       label = 3;
   118522       break;
   118523     }
   118524    case 5:
   118525     $dec = $0 - 1 | 0;
   118526     HEAP32[$size >> 2] = $dec;
   118527     $3 = HEAP32[$list >> 2] | 0;
   118528     $arrayidx5 = $3 + ($dec << 2) | 0;
   118529     $4 = HEAP32[$arrayidx5 >> 2] | 0;
   118530     $arrayidx7 = $3 + ($i_0 << 2) | 0;
   118531     HEAP32[$arrayidx7 >> 2] = $4;
   118532     $5 = HEAP32[$size >> 2] | 0;
   118533     $6 = HEAP32[$list >> 2] | 0;
   118534     $arrayidx10 = $6 + ($5 << 2) | 0;
   118535     HEAP32[$arrayidx10 >> 2] = 0;
   118536     label = 6;
   118537     break;
   118538    case 6:
   118539     return;
   118540   }
   118541 }
   118542 function _find_fast_node($g, $n) {
   118543   $g = $g | 0;
   118544   $n = $n | 0;
   118545   var $nlist = 0, $v_0_in = 0, $v_0 = 0, $tobool = 0, $cmp = 0, $or_cond = 0, $next = 0, label = 0;
   118546   label = 2;
   118547   while (1) switch (label | 0) {
   118548    case 2:
   118549     $nlist = $g + 220 | 0;
   118550     $v_0_in = $nlist;
   118551     label = 3;
   118552     break;
   118553    case 3:
   118554     $v_0 = HEAP32[$v_0_in >> 2] | 0;
   118555     $tobool = ($v_0 | 0) == 0;
   118556     $cmp = ($v_0 | 0) == ($n | 0);
   118557     $or_cond = $tobool | $cmp;
   118558     $next = $v_0 + 168 | 0;
   118559     if ($or_cond) {
   118560       label = 4;
   118561       break;
   118562     } else {
   118563       $v_0_in = $next;
   118564       label = 3;
   118565       break;
   118566     }
   118567    case 4:
   118568     return $v_0 | 0;
   118569   }
   118570   return 0;
   118571 }
   118572 function _basic_merge($e, $rep) {
   118573   $e = $e | 0;
   118574   $rep = $rep | 0;
   118575   var $minlen = 0, $0 = 0, $minlen2 = 0, $1 = 0, $cmp = 0, $count = 0, $xpenalty = 0, $weight = 0, $rep_addr_016 = 0, $2 = 0, $count12 = 0, $3 = 0, $add = 0, $4 = 0, $xpenalty18 = 0, $5 = 0, $add20 = 0, $6 = 0.0, $weight24 = 0, $7 = 0.0, $add25 = 0.0, $to_virt = 0, $8 = 0, $tobool = 0, label = 0;
   118576   label = 2;
   118577   while (1) switch (label | 0) {
   118578    case 2:
   118579     $minlen = $rep + 186 | 0;
   118580     $0 = HEAP16[$minlen >> 1] | 0;
   118581     $minlen2 = $e + 186 | 0;
   118582     $1 = HEAP16[$minlen2 >> 1] | 0;
   118583     $cmp = ($0 & 65535) < ($1 & 65535);
   118584     if ($cmp) {
   118585       label = 3;
   118586       break;
   118587     } else {
   118588       label = 4;
   118589       break;
   118590     }
   118591    case 3:
   118592     HEAP16[$minlen >> 1] = $1;
   118593     label = 4;
   118594     break;
   118595    case 4:
   118596     $count = $e + 184 | 0;
   118597     $xpenalty = $e + 170 | 0;
   118598     $weight = $e + 172 | 0;
   118599     $rep_addr_016 = $rep;
   118600     label = 5;
   118601     break;
   118602    case 5:
   118603     $2 = HEAP16[$count >> 1] | 0;
   118604     $count12 = $rep_addr_016 + 184 | 0;
   118605     $3 = HEAP16[$count12 >> 1] | 0;
   118606     $add = $3 + $2 & 65535;
   118607     HEAP16[$count12 >> 1] = $add;
   118608     $4 = HEAP16[$xpenalty >> 1] | 0;
   118609     $xpenalty18 = $rep_addr_016 + 170 | 0;
   118610     $5 = HEAP16[$xpenalty18 >> 1] | 0;
   118611     $add20 = $5 + $4 & 65535;
   118612     HEAP16[$xpenalty18 >> 1] = $add20;
   118613     $6 = +HEAPF32[$weight >> 2];
   118614     $weight24 = $rep_addr_016 + 172 | 0;
   118615     $7 = +HEAPF32[$weight24 >> 2];
   118616     $add25 = $6 + $7;
   118617     HEAPF32[$weight24 >> 2] = $add25;
   118618     $to_virt = $rep_addr_016 + 188 | 0;
   118619     $8 = HEAP32[$to_virt >> 2] | 0;
   118620     $tobool = ($8 | 0) == 0;
   118621     if ($tobool) {
   118622       label = 6;
   118623       break;
   118624     } else {
   118625       $rep_addr_016 = $8;
   118626       label = 5;
   118627       break;
   118628     }
   118629    case 6:
   118630     return;
   118631   }
   118632 }
   118633 function _cleanupCloneGraph($g) {
   118634   $g = $g | 0;
   118635   HEAP32[41954] = HEAP32[21464] | 0;
   118636   HEAP32[41914] = HEAP32[21462] | 0;
   118637   HEAP32[41912] = HEAP32[21460] | 0;
   118638   HEAP32[41894] = HEAP32[21458] | 0;
   118639   HEAP32[41918] = HEAP32[21456] | 0;
   118640   HEAP32[41594] = HEAP32[21454] | 0;
   118641   HEAP32[41356] = HEAP32[21452] | 0;
   118642   _dot_cleanup($g);
   118643   _agclose($g);
   118644   return;
   118645 }
   118646 function _find_fast_edge($u, $v) {
   118647   $u = $u | 0;
   118648   $v = $v | 0;
   118649   return _ffe($u, $u + 184 | 0, $v, $v + 176 | 0) | 0;
   118650 }
   118651 function _find_flat_edge($u, $v) {
   118652   $u = $u | 0;
   118653   $v = $v | 0;
   118654   return _ffe($u, $u + 192 | 0, $v, $v + 200 | 0) | 0;
   118655 }
   118656 function _fast_edge($e) {
   118657   $e = $e | 0;
   118658   var $tail = 0, $0 = 0, $list = 0, $1 = 0, $tobool = 0, $2 = 0, $size = 0, $3 = 0, $add = 0, $mul = 0, $call = 0, $size11 = 0, $4 = 0, $add12 = 0, $mul13 = 0, $call14 = 0, $call_sink = 0, $5 = 0, $6 = 0, $list18 = 0, $7 = 0, $size22 = 0, $8 = 0, $inc = 0, $9 = 0, $list26 = 0, $10 = 0, $arrayidx = 0, $11 = 0, $size30 = 0, $12 = 0, $list34 = 0, $13 = 0, $arrayidx35 = 0, $head = 0, $14 = 0, $list38 = 0, $15 = 0, $tobool39 = 0, $16 = 0, $size48 = 0, $17 = 0, $add49 = 0, $mul50 = 0, $call51 = 0, $size56 = 0, $18 = 0, $add57 = 0, $mul58 = 0, $call59 = 0, $call51_sink = 0, $19 = 0, $20 = 0, $list65 = 0, $21 = 0, $size69 = 0, $22 = 0, $inc70 = 0, $23 = 0, $list74 = 0, $24 = 0, $arrayidx75 = 0, $25 = 0, $size79 = 0, $26 = 0, $list83 = 0, $27 = 0, $arrayidx84 = 0, label = 0;
   118659   label = 2;
   118660   while (1) switch (label | 0) {
   118661    case 2:
   118662     $tail = $e + 16 | 0;
   118663     $0 = HEAP32[$tail >> 2] | 0;
   118664     $list = $0 + 184 | 0;
   118665     $1 = HEAP32[$list >> 2] | 0;
   118666     $tobool = ($1 | 0) == 0;
   118667     if ($tobool) {
   118668       label = 4;
   118669       break;
   118670     } else {
   118671       label = 3;
   118672       break;
   118673     }
   118674    case 3:
   118675     $2 = $1;
   118676     $size = $0 + 188 | 0;
   118677     $3 = HEAP32[$size >> 2] | 0;
   118678     $add = $3 << 2;
   118679     $mul = $add + 8 | 0;
   118680     $call = _grealloc($2, $mul) | 0;
   118681     $call_sink = $call;
   118682     label = 5;
   118683     break;
   118684    case 4:
   118685     $size11 = $0 + 188 | 0;
   118686     $4 = HEAP32[$size11 >> 2] | 0;
   118687     $add12 = $4 << 2;
   118688     $mul13 = $add12 + 8 | 0;
   118689     $call14 = _gmalloc($mul13) | 0;
   118690     $call_sink = $call14;
   118691     label = 5;
   118692     break;
   118693    case 5:
   118694     $5 = $call_sink;
   118695     $6 = HEAP32[$tail >> 2] | 0;
   118696     $list18 = $6 + 184 | 0;
   118697     HEAP32[$list18 >> 2] = $5;
   118698     $7 = HEAP32[$tail >> 2] | 0;
   118699     $size22 = $7 + 188 | 0;
   118700     $8 = HEAP32[$size22 >> 2] | 0;
   118701     $inc = $8 + 1 | 0;
   118702     HEAP32[$size22 >> 2] = $inc;
   118703     $9 = HEAP32[$tail >> 2] | 0;
   118704     $list26 = $9 + 184 | 0;
   118705     $10 = HEAP32[$list26 >> 2] | 0;
   118706     $arrayidx = $10 + ($8 << 2) | 0;
   118707     HEAP32[$arrayidx >> 2] = $e;
   118708     $11 = HEAP32[$tail >> 2] | 0;
   118709     $size30 = $11 + 188 | 0;
   118710     $12 = HEAP32[$size30 >> 2] | 0;
   118711     $list34 = $11 + 184 | 0;
   118712     $13 = HEAP32[$list34 >> 2] | 0;
   118713     $arrayidx35 = $13 + ($12 << 2) | 0;
   118714     HEAP32[$arrayidx35 >> 2] = 0;
   118715     $head = $e + 12 | 0;
   118716     $14 = HEAP32[$head >> 2] | 0;
   118717     $list38 = $14 + 176 | 0;
   118718     $15 = HEAP32[$list38 >> 2] | 0;
   118719     $tobool39 = ($15 | 0) == 0;
   118720     if ($tobool39) {
   118721       label = 7;
   118722       break;
   118723     } else {
   118724       label = 6;
   118725       break;
   118726     }
   118727    case 6:
   118728     $16 = $15;
   118729     $size48 = $14 + 180 | 0;
   118730     $17 = HEAP32[$size48 >> 2] | 0;
   118731     $add49 = $17 << 2;
   118732     $mul50 = $add49 + 8 | 0;
   118733     $call51 = _grealloc($16, $mul50) | 0;
   118734     $call51_sink = $call51;
   118735     label = 8;
   118736     break;
   118737    case 7:
   118738     $size56 = $14 + 180 | 0;
   118739     $18 = HEAP32[$size56 >> 2] | 0;
   118740     $add57 = $18 << 2;
   118741     $mul58 = $add57 + 8 | 0;
   118742     $call59 = _gmalloc($mul58) | 0;
   118743     $call51_sink = $call59;
   118744     label = 8;
   118745     break;
   118746    case 8:
   118747     $19 = $call51_sink;
   118748     $20 = HEAP32[$head >> 2] | 0;
   118749     $list65 = $20 + 176 | 0;
   118750     HEAP32[$list65 >> 2] = $19;
   118751     $21 = HEAP32[$head >> 2] | 0;
   118752     $size69 = $21 + 180 | 0;
   118753     $22 = HEAP32[$size69 >> 2] | 0;
   118754     $inc70 = $22 + 1 | 0;
   118755     HEAP32[$size69 >> 2] = $inc70;
   118756     $23 = HEAP32[$head >> 2] | 0;
   118757     $list74 = $23 + 176 | 0;
   118758     $24 = HEAP32[$list74 >> 2] | 0;
   118759     $arrayidx75 = $24 + ($22 << 2) | 0;
   118760     HEAP32[$arrayidx75 >> 2] = $e;
   118761     $25 = HEAP32[$head >> 2] | 0;
   118762     $size79 = $25 + 180 | 0;
   118763     $26 = HEAP32[$size79 >> 2] | 0;
   118764     $list83 = $25 + 176 | 0;
   118765     $27 = HEAP32[$list83 >> 2] | 0;
   118766     $arrayidx84 = $27 + ($26 << 2) | 0;
   118767     HEAP32[$arrayidx84 >> 2] = 0;
   118768     return $e | 0;
   118769   }
   118770   return 0;
   118771 }
   118772 function _other_edge($e) {
   118773   $e = $e | 0;
   118774   var $tail = 0, $0 = 0, $list = 0, $1 = 0, $tobool = 0, $2 = 0, $size = 0, $3 = 0, $add = 0, $mul = 0, $call = 0, $size11 = 0, $4 = 0, $add12 = 0, $mul13 = 0, $call14 = 0, $call_sink = 0, $5 = 0, $6 = 0, $list18 = 0, $7 = 0, $size22 = 0, $8 = 0, $inc = 0, $9 = 0, $list26 = 0, $10 = 0, $arrayidx = 0, $11 = 0, $size30 = 0, $12 = 0, $list34 = 0, $13 = 0, $arrayidx35 = 0, label = 0;
   118775   label = 2;
   118776   while (1) switch (label | 0) {
   118777    case 2:
   118778     $tail = $e + 16 | 0;
   118779     $0 = HEAP32[$tail >> 2] | 0;
   118780     $list = $0 + 208 | 0;
   118781     $1 = HEAP32[$list >> 2] | 0;
   118782     $tobool = ($1 | 0) == 0;
   118783     if ($tobool) {
   118784       label = 4;
   118785       break;
   118786     } else {
   118787       label = 3;
   118788       break;
   118789     }
   118790    case 3:
   118791     $2 = $1;
   118792     $size = $0 + 212 | 0;
   118793     $3 = HEAP32[$size >> 2] | 0;
   118794     $add = $3 << 2;
   118795     $mul = $add + 8 | 0;
   118796     $call = _grealloc($2, $mul) | 0;
   118797     $call_sink = $call;
   118798     label = 5;
   118799     break;
   118800    case 4:
   118801     $size11 = $0 + 212 | 0;
   118802     $4 = HEAP32[$size11 >> 2] | 0;
   118803     $add12 = $4 << 2;
   118804     $mul13 = $add12 + 8 | 0;
   118805     $call14 = _gmalloc($mul13) | 0;
   118806     $call_sink = $call14;
   118807     label = 5;
   118808     break;
   118809    case 5:
   118810     $5 = $call_sink;
   118811     $6 = HEAP32[$tail >> 2] | 0;
   118812     $list18 = $6 + 208 | 0;
   118813     HEAP32[$list18 >> 2] = $5;
   118814     $7 = HEAP32[$tail >> 2] | 0;
   118815     $size22 = $7 + 212 | 0;
   118816     $8 = HEAP32[$size22 >> 2] | 0;
   118817     $inc = $8 + 1 | 0;
   118818     HEAP32[$size22 >> 2] = $inc;
   118819     $9 = HEAP32[$tail >> 2] | 0;
   118820     $list26 = $9 + 208 | 0;
   118821     $10 = HEAP32[$list26 >> 2] | 0;
   118822     $arrayidx = $10 + ($8 << 2) | 0;
   118823     HEAP32[$arrayidx >> 2] = $e;
   118824     $11 = HEAP32[$tail >> 2] | 0;
   118825     $size30 = $11 + 212 | 0;
   118826     $12 = HEAP32[$size30 >> 2] | 0;
   118827     $list34 = $11 + 208 | 0;
   118828     $13 = HEAP32[$list34 >> 2] | 0;
   118829     $arrayidx35 = $13 + ($12 << 2) | 0;
   118830     HEAP32[$arrayidx35 >> 2] = 0;
   118831     return;
   118832   }
   118833 }
   118834 function _safe_other_edge($e) {
   118835   $e = $e | 0;
   118836   _safe_list_append($e, (HEAP32[$e + 16 >> 2] | 0) + 208 | 0);
   118837   return;
   118838 }
   118839 function _safe_list_append($e, $L) {
   118840   $e = $e | 0;
   118841   $L = $L | 0;
   118842   var $size = 0, $0 = 0, $list = 0, $1 = 0, $i_0 = 0, $cmp = 0, $arrayidx = 0, $2 = 0, $cmp1 = 0, $inc = 0, $tobool = 0, $3 = 0, $add = 0, $mul = 0, $call = 0, $add6 = 0, $mul7 = 0, $call8 = 0, $call_sink = 0, $4 = 0, $5 = 0, $inc11 = 0, $6 = 0, $arrayidx13 = 0, $7 = 0, $8 = 0, $arrayidx16 = 0, label = 0;
   118843   label = 2;
   118844   while (1) switch (label | 0) {
   118845    case 2:
   118846     $size = $L + 4 | 0;
   118847     $0 = HEAP32[$size >> 2] | 0;
   118848     $list = $L | 0;
   118849     $1 = HEAP32[$list >> 2] | 0;
   118850     $i_0 = 0;
   118851     label = 3;
   118852     break;
   118853    case 3:
   118854     $cmp = ($i_0 | 0) < ($0 | 0);
   118855     if ($cmp) {
   118856       label = 4;
   118857       break;
   118858     } else {
   118859       label = 5;
   118860       break;
   118861     }
   118862    case 4:
   118863     $arrayidx = $1 + ($i_0 << 2) | 0;
   118864     $2 = HEAP32[$arrayidx >> 2] | 0;
   118865     $cmp1 = ($2 | 0) == ($e | 0);
   118866     $inc = $i_0 + 1 | 0;
   118867     if ($cmp1) {
   118868       label = 9;
   118869       break;
   118870     } else {
   118871       $i_0 = $inc;
   118872       label = 3;
   118873       break;
   118874     }
   118875    case 5:
   118876     $tobool = ($1 | 0) == 0;
   118877     if ($tobool) {
   118878       label = 7;
   118879       break;
   118880     } else {
   118881       label = 6;
   118882       break;
   118883     }
   118884    case 6:
   118885     $3 = $1;
   118886     $add = $0 << 2;
   118887     $mul = $add + 8 | 0;
   118888     $call = _grealloc($3, $mul) | 0;
   118889     $call_sink = $call;
   118890     label = 8;
   118891     break;
   118892    case 7:
   118893     $add6 = $0 << 2;
   118894     $mul7 = $add6 + 8 | 0;
   118895     $call8 = _gmalloc($mul7) | 0;
   118896     $call_sink = $call8;
   118897     label = 8;
   118898     break;
   118899    case 8:
   118900     $4 = $call_sink;
   118901     HEAP32[$list >> 2] = $4;
   118902     $5 = HEAP32[$size >> 2] | 0;
   118903     $inc11 = $5 + 1 | 0;
   118904     HEAP32[$size >> 2] = $inc11;
   118905     $6 = HEAP32[$list >> 2] | 0;
   118906     $arrayidx13 = $6 + ($5 << 2) | 0;
   118907     HEAP32[$arrayidx13 >> 2] = $e;
   118908     $7 = HEAP32[$size >> 2] | 0;
   118909     $8 = HEAP32[$list >> 2] | 0;
   118910     $arrayidx16 = $8 + ($7 << 2) | 0;
   118911     HEAP32[$arrayidx16 >> 2] = 0;
   118912     label = 9;
   118913     break;
   118914    case 9:
   118915     return;
   118916   }
   118917 }
   118918 function _new_virtual_edge($u, $v, $orig) {
   118919   $u = $u | 0;
   118920   $v = $v | 0;
   118921   $orig = $orig | 0;
   118922   var $call = 0, $0 = 0, $tail = 0, $1 = 0, $head = 0, $2 = 0, $3 = 0, $tobool = 0, $id = 0, $4 = 0, $id2 = 0, $5 = 0, $count = 0, $6 = 0, $count5 = 0, $7 = 0, $xpenalty = 0, $8 = 0, $xpenalty8 = 0, $9 = 0, $weight = 0, $10 = 0.0, $weight11 = 0, $11 = 0, $minlen = 0, $12 = 0, $minlen14 = 0, $13 = 0, $14 = 0, $tail16 = 0, $15 = 0, $cmp = 0, $tail_port = 0, $tail_port20 = 0, $16 = 0, $head22 = 0, $17 = 0, $cmp23 = 0, $tail_port26 = 0, $head_port = 0, $18 = 0, $19 = 0, $head30 = 0, $20 = 0, $cmp31 = 0, $head_port34 = 0, $head_port36 = 0, $21 = 0, $22 = 0, $cmp40 = 0, $head_port43 = 0, $tail_port45 = 0, $23 = 0, $to_virt = 0, $24 = 0, $cmp49 = 0, $to_orig = 0, $25 = 0, $weight57 = 0, $26 = 0, $xpenalty59 = 0, $27 = 0, $count61 = 0, $28 = 0, $minlen63 = 0, $29 = 0, label = 0;
   118923   label = 2;
   118924   while (1) switch (label | 0) {
   118925    case 2:
   118926     $call = _zmalloc(192) | 0;
   118927     $0 = $call;
   118928     $tail = $call + 16 | 0;
   118929     $1 = $tail;
   118930     HEAP32[$1 >> 2] = $u;
   118931     $head = $call + 12 | 0;
   118932     $2 = $head;
   118933     HEAP32[$2 >> 2] = $v;
   118934     $3 = $call + 128 | 0;
   118935     HEAP8[$3] = 1;
   118936     $tobool = ($orig | 0) == 0;
   118937     if ($tobool) {
   118938       label = 14;
   118939       break;
   118940     } else {
   118941       label = 3;
   118942       break;
   118943     }
   118944    case 3:
   118945     $id = $orig + 20 | 0;
   118946     $4 = HEAP32[$id >> 2] | 0;
   118947     $id2 = $call + 20 | 0;
   118948     $5 = $id2;
   118949     HEAP32[$5 >> 2] = $4;
   118950     $count = $orig + 184 | 0;
   118951     $6 = HEAP16[$count >> 1] | 0;
   118952     $count5 = $call + 184 | 0;
   118953     $7 = $count5;
   118954     HEAP16[$7 >> 1] = $6;
   118955     $xpenalty = $orig + 170 | 0;
   118956     $8 = HEAP16[$xpenalty >> 1] | 0;
   118957     $xpenalty8 = $call + 170 | 0;
   118958     $9 = $xpenalty8;
   118959     HEAP16[$9 >> 1] = $8;
   118960     $weight = $orig + 172 | 0;
   118961     $10 = +HEAPF32[$weight >> 2];
   118962     $weight11 = $call + 172 | 0;
   118963     $11 = $weight11;
   118964     HEAPF32[$11 >> 2] = $10;
   118965     $minlen = $orig + 186 | 0;
   118966     $12 = HEAP16[$minlen >> 1] | 0;
   118967     $minlen14 = $call + 186 | 0;
   118968     $13 = $minlen14;
   118969     HEAP16[$13 >> 1] = $12;
   118970     $14 = HEAP32[$1 >> 2] | 0;
   118971     $tail16 = $orig + 16 | 0;
   118972     $15 = HEAP32[$tail16 >> 2] | 0;
   118973     $cmp = ($14 | 0) == ($15 | 0);
   118974     if ($cmp) {
   118975       label = 4;
   118976       break;
   118977     } else {
   118978       label = 5;
   118979       break;
   118980     }
   118981    case 4:
   118982     $tail_port = $call + 32 | 0;
   118983     $tail_port20 = $orig + 32 | 0;
   118984     $16 = $tail_port20;
   118985     HEAP32[$tail_port >> 2] = HEAP32[$16 >> 2] | 0;
   118986     HEAP32[$tail_port + 4 >> 2] = HEAP32[$16 + 4 >> 2] | 0;
   118987     HEAP32[$tail_port + 8 >> 2] = HEAP32[$16 + 8 >> 2] | 0;
   118988     HEAP32[$tail_port + 12 >> 2] = HEAP32[$16 + 12 >> 2] | 0;
   118989     HEAP32[$tail_port + 16 >> 2] = HEAP32[$16 + 16 >> 2] | 0;
   118990     HEAP32[$tail_port + 20 >> 2] = HEAP32[$16 + 20 >> 2] | 0;
   118991     HEAP32[$tail_port + 24 >> 2] = HEAP32[$16 + 24 >> 2] | 0;
   118992     HEAP32[$tail_port + 28 >> 2] = HEAP32[$16 + 28 >> 2] | 0;
   118993     HEAP32[$tail_port + 32 >> 2] = HEAP32[$16 + 32 >> 2] | 0;
   118994     HEAP32[$tail_port + 36 >> 2] = HEAP32[$16 + 36 >> 2] | 0;
   118995     label = 7;
   118996     break;
   118997    case 5:
   118998     $head22 = $orig + 12 | 0;
   118999     $17 = HEAP32[$head22 >> 2] | 0;
   119000     $cmp23 = ($14 | 0) == ($17 | 0);
   119001     if ($cmp23) {
   119002       label = 6;
   119003       break;
   119004     } else {
   119005       label = 7;
   119006       break;
   119007     }
   119008    case 6:
   119009     $tail_port26 = $call + 32 | 0;
   119010     $head_port = $orig + 72 | 0;
   119011     $18 = $head_port;
   119012     HEAP32[$tail_port26 >> 2] = HEAP32[$18 >> 2] | 0;
   119013     HEAP32[$tail_port26 + 4 >> 2] = HEAP32[$18 + 4 >> 2] | 0;
   119014     HEAP32[$tail_port26 + 8 >> 2] = HEAP32[$18 + 8 >> 2] | 0;
   119015     HEAP32[$tail_port26 + 12 >> 2] = HEAP32[$18 + 12 >> 2] | 0;
   119016     HEAP32[$tail_port26 + 16 >> 2] = HEAP32[$18 + 16 >> 2] | 0;
   119017     HEAP32[$tail_port26 + 20 >> 2] = HEAP32[$18 + 20 >> 2] | 0;
   119018     HEAP32[$tail_port26 + 24 >> 2] = HEAP32[$18 + 24 >> 2] | 0;
   119019     HEAP32[$tail_port26 + 28 >> 2] = HEAP32[$18 + 28 >> 2] | 0;
   119020     HEAP32[$tail_port26 + 32 >> 2] = HEAP32[$18 + 32 >> 2] | 0;
   119021     HEAP32[$tail_port26 + 36 >> 2] = HEAP32[$18 + 36 >> 2] | 0;
   119022     label = 7;
   119023     break;
   119024    case 7:
   119025     $19 = HEAP32[$2 >> 2] | 0;
   119026     $head30 = $orig + 12 | 0;
   119027     $20 = HEAP32[$head30 >> 2] | 0;
   119028     $cmp31 = ($19 | 0) == ($20 | 0);
   119029     if ($cmp31) {
   119030       label = 8;
   119031       break;
   119032     } else {
   119033       label = 9;
   119034       break;
   119035     }
   119036    case 8:
   119037     $head_port34 = $call + 72 | 0;
   119038     $head_port36 = $orig + 72 | 0;
   119039     $21 = $head_port36;
   119040     HEAP32[$head_port34 >> 2] = HEAP32[$21 >> 2] | 0;
   119041     HEAP32[$head_port34 + 4 >> 2] = HEAP32[$21 + 4 >> 2] | 0;
   119042     HEAP32[$head_port34 + 8 >> 2] = HEAP32[$21 + 8 >> 2] | 0;
   119043     HEAP32[$head_port34 + 12 >> 2] = HEAP32[$21 + 12 >> 2] | 0;
   119044     HEAP32[$head_port34 + 16 >> 2] = HEAP32[$21 + 16 >> 2] | 0;
   119045     HEAP32[$head_port34 + 20 >> 2] = HEAP32[$21 + 20 >> 2] | 0;
   119046     HEAP32[$head_port34 + 24 >> 2] = HEAP32[$21 + 24 >> 2] | 0;
   119047     HEAP32[$head_port34 + 28 >> 2] = HEAP32[$21 + 28 >> 2] | 0;
   119048     HEAP32[$head_port34 + 32 >> 2] = HEAP32[$21 + 32 >> 2] | 0;
   119049     HEAP32[$head_port34 + 36 >> 2] = HEAP32[$21 + 36 >> 2] | 0;
   119050     label = 11;
   119051     break;
   119052    case 9:
   119053     $22 = HEAP32[$tail16 >> 2] | 0;
   119054     $cmp40 = ($19 | 0) == ($22 | 0);
   119055     if ($cmp40) {
   119056       label = 10;
   119057       break;
   119058     } else {
   119059       label = 11;
   119060       break;
   119061     }
   119062    case 10:
   119063     $head_port43 = $call + 72 | 0;
   119064     $tail_port45 = $orig + 32 | 0;
   119065     $23 = $tail_port45;
   119066     HEAP32[$head_port43 >> 2] = HEAP32[$23 >> 2] | 0;
   119067     HEAP32[$head_port43 + 4 >> 2] = HEAP32[$23 + 4 >> 2] | 0;
   119068     HEAP32[$head_port43 + 8 >> 2] = HEAP32[$23 + 8 >> 2] | 0;
   119069     HEAP32[$head_port43 + 12 >> 2] = HEAP32[$23 + 12 >> 2] | 0;
   119070     HEAP32[$head_port43 + 16 >> 2] = HEAP32[$23 + 16 >> 2] | 0;
   119071     HEAP32[$head_port43 + 20 >> 2] = HEAP32[$23 + 20 >> 2] | 0;
   119072     HEAP32[$head_port43 + 24 >> 2] = HEAP32[$23 + 24 >> 2] | 0;
   119073     HEAP32[$head_port43 + 28 >> 2] = HEAP32[$23 + 28 >> 2] | 0;
   119074     HEAP32[$head_port43 + 32 >> 2] = HEAP32[$23 + 32 >> 2] | 0;
   119075     HEAP32[$head_port43 + 36 >> 2] = HEAP32[$23 + 36 >> 2] | 0;
   119076     label = 11;
   119077     break;
   119078    case 11:
   119079     $to_virt = $orig + 188 | 0;
   119080     $24 = HEAP32[$to_virt >> 2] | 0;
   119081     $cmp49 = ($24 | 0) == 0;
   119082     if ($cmp49) {
   119083       label = 12;
   119084       break;
   119085     } else {
   119086       label = 13;
   119087       break;
   119088     }
   119089    case 12:
   119090     HEAP32[$to_virt >> 2] = $0;
   119091     label = 13;
   119092     break;
   119093    case 13:
   119094     $to_orig = $call + 132 | 0;
   119095     $25 = $to_orig;
   119096     HEAP32[$25 >> 2] = $orig;
   119097     label = 15;
   119098     break;
   119099    case 14:
   119100     $weight57 = $call + 172 | 0;
   119101     $26 = $weight57;
   119102     HEAPF32[$26 >> 2] = 1.0;
   119103     $xpenalty59 = $call + 170 | 0;
   119104     $27 = $xpenalty59;
   119105     HEAP16[$27 >> 1] = 1;
   119106     $count61 = $call + 184 | 0;
   119107     $28 = $count61;
   119108     HEAP16[$28 >> 1] = 1;
   119109     $minlen63 = $call + 186 | 0;
   119110     $29 = $minlen63;
   119111     HEAP16[$29 >> 1] = 1;
   119112     label = 15;
   119113     break;
   119114    case 15:
   119115     return $0 | 0;
   119116   }
   119117   return 0;
   119118 }
   119119 function _virtual_edge($u, $v, $orig) {
   119120   $u = $u | 0;
   119121   $v = $v | 0;
   119122   $orig = $orig | 0;
   119123   return _fast_edge(_new_virtual_edge($u, $v, $orig) | 0) | 0;
   119124 }
   119125 function _virtual_node($g) {
   119126   $g = $g | 0;
   119127   var $call = 0, $0 = 0, $n_nodes = 0;
   119128   $call = _zmalloc(304) | 0;
   119129   $0 = $call;
   119130   HEAP32[$call + 12 >> 2] = 102808;
   119131   HEAP32[$call + 20 >> 2] = $g;
   119132   HEAP8[$call + 162 | 0] = 1;
   119133   HEAPF64[$call + 112 >> 3] = 1.0;
   119134   HEAPF64[$call + 104 >> 3] = 1.0;
   119135   HEAPF64[$call + 96 >> 3] = 1.0;
   119136   HEAP32[$call + 220 >> 2] = 1;
   119137   HEAP32[$call + 180 >> 2] = 0;
   119138   HEAP32[$call + 176 >> 2] = _zmalloc(20) | 0;
   119139   HEAP32[$call + 188 >> 2] = 0;
   119140   HEAP32[$call + 184 >> 2] = _zmalloc(20) | 0;
   119141   _fast_node($g, $0);
   119142   $n_nodes = $g + 244 | 0;
   119143   HEAP32[$n_nodes >> 2] = (HEAP32[$n_nodes >> 2] | 0) + 1 | 0;
   119144   return $0 | 0;
   119145 }
   119146 function _flat_edge($g, $e) {
   119147   $g = $g | 0;
   119148   $e = $e | 0;
   119149   var $tail = 0, $0 = 0, $list = 0, $1 = 0, $tobool = 0, $2 = 0, $size = 0, $3 = 0, $add = 0, $mul = 0, $call = 0, $size11 = 0, $4 = 0, $add12 = 0, $mul13 = 0, $call14 = 0, $call_sink = 0, $5 = 0, $6 = 0, $list18 = 0, $7 = 0, $size22 = 0, $8 = 0, $inc = 0, $9 = 0, $list26 = 0, $10 = 0, $arrayidx = 0, $11 = 0, $size30 = 0, $12 = 0, $list34 = 0, $13 = 0, $arrayidx35 = 0, $head = 0, $14 = 0, $list38 = 0, $15 = 0, $tobool39 = 0, $16 = 0, $size48 = 0, $17 = 0, $add49 = 0, $mul50 = 0, $call51 = 0, $size56 = 0, $18 = 0, $add57 = 0, $mul58 = 0, $call59 = 0, $call51_sink = 0, $19 = 0, $20 = 0, $list65 = 0, $21 = 0, $size69 = 0, $22 = 0, $inc70 = 0, $23 = 0, $list74 = 0, $24 = 0, $arrayidx75 = 0, $25 = 0, $size79 = 0, $26 = 0, $list83 = 0, $27 = 0, $arrayidx84 = 0, $has_flat_edges = 0, $root = 0, $28 = 0, $has_flat_edges88 = 0, label = 0;
   119150   label = 2;
   119151   while (1) switch (label | 0) {
   119152    case 2:
   119153     $tail = $e + 16 | 0;
   119154     $0 = HEAP32[$tail >> 2] | 0;
   119155     $list = $0 + 192 | 0;
   119156     $1 = HEAP32[$list >> 2] | 0;
   119157     $tobool = ($1 | 0) == 0;
   119158     if ($tobool) {
   119159       label = 4;
   119160       break;
   119161     } else {
   119162       label = 3;
   119163       break;
   119164     }
   119165    case 3:
   119166     $2 = $1;
   119167     $size = $0 + 196 | 0;
   119168     $3 = HEAP32[$size >> 2] | 0;
   119169     $add = $3 << 2;
   119170     $mul = $add + 8 | 0;
   119171     $call = _grealloc($2, $mul) | 0;
   119172     $call_sink = $call;
   119173     label = 5;
   119174     break;
   119175    case 4:
   119176     $size11 = $0 + 196 | 0;
   119177     $4 = HEAP32[$size11 >> 2] | 0;
   119178     $add12 = $4 << 2;
   119179     $mul13 = $add12 + 8 | 0;
   119180     $call14 = _gmalloc($mul13) | 0;
   119181     $call_sink = $call14;
   119182     label = 5;
   119183     break;
   119184    case 5:
   119185     $5 = $call_sink;
   119186     $6 = HEAP32[$tail >> 2] | 0;
   119187     $list18 = $6 + 192 | 0;
   119188     HEAP32[$list18 >> 2] = $5;
   119189     $7 = HEAP32[$tail >> 2] | 0;
   119190     $size22 = $7 + 196 | 0;
   119191     $8 = HEAP32[$size22 >> 2] | 0;
   119192     $inc = $8 + 1 | 0;
   119193     HEAP32[$size22 >> 2] = $inc;
   119194     $9 = HEAP32[$tail >> 2] | 0;
   119195     $list26 = $9 + 192 | 0;
   119196     $10 = HEAP32[$list26 >> 2] | 0;
   119197     $arrayidx = $10 + ($8 << 2) | 0;
   119198     HEAP32[$arrayidx >> 2] = $e;
   119199     $11 = HEAP32[$tail >> 2] | 0;
   119200     $size30 = $11 + 196 | 0;
   119201     $12 = HEAP32[$size30 >> 2] | 0;
   119202     $list34 = $11 + 192 | 0;
   119203     $13 = HEAP32[$list34 >> 2] | 0;
   119204     $arrayidx35 = $13 + ($12 << 2) | 0;
   119205     HEAP32[$arrayidx35 >> 2] = 0;
   119206     $head = $e + 12 | 0;
   119207     $14 = HEAP32[$head >> 2] | 0;
   119208     $list38 = $14 + 200 | 0;
   119209     $15 = HEAP32[$list38 >> 2] | 0;
   119210     $tobool39 = ($15 | 0) == 0;
   119211     if ($tobool39) {
   119212       label = 7;
   119213       break;
   119214     } else {
   119215       label = 6;
   119216       break;
   119217     }
   119218    case 6:
   119219     $16 = $15;
   119220     $size48 = $14 + 204 | 0;
   119221     $17 = HEAP32[$size48 >> 2] | 0;
   119222     $add49 = $17 << 2;
   119223     $mul50 = $add49 + 8 | 0;
   119224     $call51 = _grealloc($16, $mul50) | 0;
   119225     $call51_sink = $call51;
   119226     label = 8;
   119227     break;
   119228    case 7:
   119229     $size56 = $14 + 204 | 0;
   119230     $18 = HEAP32[$size56 >> 2] | 0;
   119231     $add57 = $18 << 2;
   119232     $mul58 = $add57 + 8 | 0;
   119233     $call59 = _gmalloc($mul58) | 0;
   119234     $call51_sink = $call59;
   119235     label = 8;
   119236     break;
   119237    case 8:
   119238     $19 = $call51_sink;
   119239     $20 = HEAP32[$head >> 2] | 0;
   119240     $list65 = $20 + 200 | 0;
   119241     HEAP32[$list65 >> 2] = $19;
   119242     $21 = HEAP32[$head >> 2] | 0;
   119243     $size69 = $21 + 204 | 0;
   119244     $22 = HEAP32[$size69 >> 2] | 0;
   119245     $inc70 = $22 + 1 | 0;
   119246     HEAP32[$size69 >> 2] = $inc70;
   119247     $23 = HEAP32[$head >> 2] | 0;
   119248     $list74 = $23 + 200 | 0;
   119249     $24 = HEAP32[$list74 >> 2] | 0;
   119250     $arrayidx75 = $24 + ($22 << 2) | 0;
   119251     HEAP32[$arrayidx75 >> 2] = $e;
   119252     $25 = HEAP32[$head >> 2] | 0;
   119253     $size79 = $25 + 204 | 0;
   119254     $26 = HEAP32[$size79 >> 2] | 0;
   119255     $list83 = $25 + 200 | 0;
   119256     $27 = HEAP32[$list83 >> 2] | 0;
   119257     $arrayidx84 = $27 + ($26 << 2) | 0;
   119258     HEAP32[$arrayidx84 >> 2] = 0;
   119259     $has_flat_edges = $g + 252 | 0;
   119260     HEAP8[$has_flat_edges] = 1;
   119261     $root = $g + 32 | 0;
   119262     $28 = HEAP32[$root >> 2] | 0;
   119263     $has_flat_edges88 = $28 + 252 | 0;
   119264     HEAP8[$has_flat_edges88] = 1;
   119265     return;
   119266   }
   119267 }
   119268 function _delete_fast_edge($e) {
   119269   $e = $e | 0;
   119270   var $cmp = 0, $tail = 0, $0 = 0, $out = 0, $head = 0, $1 = 0, $in = 0, label = 0;
   119271   label = 2;
   119272   while (1) switch (label | 0) {
   119273    case 2:
   119274     $cmp = ($e | 0) == 0;
   119275     if ($cmp) {
   119276       label = 3;
   119277       break;
   119278     } else {
   119279       label = 4;
   119280       break;
   119281     }
   119282    case 3:
   119283     ___assert_func(110136, 117, 164416, 147040);
   119284    case 4:
   119285     $tail = $e + 16 | 0;
   119286     $0 = HEAP32[$tail >> 2] | 0;
   119287     $out = $0 + 184 | 0;
   119288     _zapinlist($out, $e);
   119289     $head = $e + 12 | 0;
   119290     $1 = HEAP32[$head >> 2] | 0;
   119291     $in = $1 + 176 | 0;
   119292     _zapinlist($in, $e);
   119293     return;
   119294   }
   119295 }
   119296 function _fast_node($g, $n) {
   119297   $g = $g | 0;
   119298   $n = $n | 0;
   119299   var $nlist = 0, $0 = 0, $next = 0, $tobool = 0, $prev = 0, $prev10 = 0, $1 = 0, $cmp = 0, label = 0;
   119300   label = 2;
   119301   while (1) switch (label | 0) {
   119302    case 2:
   119303     $nlist = $g + 220 | 0;
   119304     $0 = HEAP32[$nlist >> 2] | 0;
   119305     $next = $n + 168 | 0;
   119306     HEAP32[$next >> 2] = $0;
   119307     $tobool = ($0 | 0) == 0;
   119308     if ($tobool) {
   119309       label = 4;
   119310       break;
   119311     } else {
   119312       label = 3;
   119313       break;
   119314     }
   119315    case 3:
   119316     $prev = $0 + 172 | 0;
   119317     HEAP32[$prev >> 2] = $n;
   119318     label = 4;
   119319     break;
   119320    case 4:
   119321     HEAP32[$nlist >> 2] = $n;
   119322     $prev10 = $n + 172 | 0;
   119323     HEAP32[$prev10 >> 2] = 0;
   119324     $1 = HEAP32[$next >> 2] | 0;
   119325     $cmp = ($1 | 0) == ($n | 0);
   119326     if ($cmp) {
   119327       label = 5;
   119328       break;
   119329     } else {
   119330       label = 6;
   119331       break;
   119332     }
   119333    case 5:
   119334     ___assert_func(110136, 215, 164312, 122080);
   119335    case 6:
   119336     return;
   119337   }
   119338 }
   119339 function _fast_nodeapp($u, $v) {
   119340   $u = $u | 0;
   119341   $v = $v | 0;
   119342   var $cmp = 0, $next = 0, $0 = 0, $cmp2 = 0, $next7 = 0, $1 = 0, $2 = 0, $tobool = 0, $prev = 0, $prev16 = 0, label = 0;
   119343   label = 2;
   119344   while (1) switch (label | 0) {
   119345    case 2:
   119346     $cmp = ($u | 0) == ($v | 0);
   119347     if ($cmp) {
   119348       label = 3;
   119349       break;
   119350     } else {
   119351       label = 4;
   119352       break;
   119353     }
   119354    case 3:
   119355     ___assert_func(110136, 220, 164296, 116120);
   119356    case 4:
   119357     $next = $v + 168 | 0;
   119358     $0 = HEAP32[$next >> 2] | 0;
   119359     $cmp2 = ($0 | 0) == 0;
   119360     if ($cmp2) {
   119361       label = 6;
   119362       break;
   119363     } else {
   119364       label = 5;
   119365       break;
   119366     }
   119367    case 5:
   119368     ___assert_func(110136, 221, 164296, 111008);
   119369    case 6:
   119370     $next7 = $u + 168 | 0;
   119371     $1 = HEAP32[$next7 >> 2] | 0;
   119372     HEAP32[$next >> 2] = $1;
   119373     $2 = HEAP32[$next7 >> 2] | 0;
   119374     $tobool = ($2 | 0) == 0;
   119375     if ($tobool) {
   119376       label = 8;
   119377       break;
   119378     } else {
   119379       label = 7;
   119380       break;
   119381     }
   119382    case 7:
   119383     $prev = $2 + 172 | 0;
   119384     HEAP32[$prev >> 2] = $v;
   119385     label = 8;
   119386     break;
   119387    case 8:
   119388     $prev16 = $v + 172 | 0;
   119389     HEAP32[$prev16 >> 2] = $u;
   119390     HEAP32[$next7 >> 2] = $v;
   119391     return;
   119392   }
   119393 }
   119394 function _delete_fast_node($g, $n) {
   119395   $g = $g | 0;
   119396   $n = $n | 0;
   119397   var $call = 0, $tobool = 0, $next = 0, $0 = 0, $tobool1 = 0, $prev = 0, $1 = 0, $prev6 = 0, $prev8 = 0, $2 = 0, $tobool9 = 0, $3 = 0, $next16 = 0, $nlist = 0, label = 0;
   119398   label = 2;
   119399   while (1) switch (label | 0) {
   119400    case 2:
   119401     $call = _find_fast_node($g, $n) | 0;
   119402     $tobool = ($call | 0) == 0;
   119403     if ($tobool) {
   119404       label = 3;
   119405       break;
   119406     } else {
   119407       label = 4;
   119408       break;
   119409     }
   119410    case 3:
   119411     ___assert_func(110136, 231, 164392, 106800);
   119412    case 4:
   119413     $next = $n + 168 | 0;
   119414     $0 = HEAP32[$next >> 2] | 0;
   119415     $tobool1 = ($0 | 0) == 0;
   119416     if ($tobool1) {
   119417       label = 6;
   119418       break;
   119419     } else {
   119420       label = 5;
   119421       break;
   119422     }
   119423    case 5:
   119424     $prev = $n + 172 | 0;
   119425     $1 = HEAP32[$prev >> 2] | 0;
   119426     $prev6 = $0 + 172 | 0;
   119427     HEAP32[$prev6 >> 2] = $1;
   119428     label = 6;
   119429     break;
   119430    case 6:
   119431     $prev8 = $n + 172 | 0;
   119432     $2 = HEAP32[$prev8 >> 2] | 0;
   119433     $tobool9 = ($2 | 0) == 0;
   119434     $3 = HEAP32[$next >> 2] | 0;
   119435     if ($tobool9) {
   119436       label = 8;
   119437       break;
   119438     } else {
   119439       label = 7;
   119440       break;
   119441     }
   119442    case 7:
   119443     $next16 = $2 + 168 | 0;
   119444     HEAP32[$next16 >> 2] = $3;
   119445     label = 9;
   119446     break;
   119447    case 8:
   119448     $nlist = $g + 220 | 0;
   119449     HEAP32[$nlist >> 2] = $3;
   119450     label = 9;
   119451     break;
   119452    case 9:
   119453     return;
   119454   }
   119455 }
   119456 function _delete_flat_edge($e) {
   119457   $e = $e | 0;
   119458   var $cmp = 0, $to_orig = 0, $0 = 0, $tobool = 0, $to_virt = 0, $1 = 0, $cmp4 = 0, $tail = 0, $2 = 0, $flat_out = 0, $head = 0, $3 = 0, $flat_in = 0, label = 0;
   119459   label = 2;
   119460   while (1) switch (label | 0) {
   119461    case 2:
   119462     $cmp = ($e | 0) == 0;
   119463     if ($cmp) {
   119464       label = 3;
   119465       break;
   119466     } else {
   119467       label = 4;
   119468       break;
   119469     }
   119470    case 3:
   119471     ___assert_func(110136, 272, 164368, 147040);
   119472    case 4:
   119473     $to_orig = $e + 132 | 0;
   119474     $0 = HEAP32[$to_orig >> 2] | 0;
   119475     $tobool = ($0 | 0) == 0;
   119476     if ($tobool) {
   119477       label = 7;
   119478       break;
   119479     } else {
   119480       label = 5;
   119481       break;
   119482     }
   119483    case 5:
   119484     $to_virt = $0 + 188 | 0;
   119485     $1 = HEAP32[$to_virt >> 2] | 0;
   119486     $cmp4 = ($1 | 0) == ($e | 0);
   119487     if ($cmp4) {
   119488       label = 6;
   119489       break;
   119490     } else {
   119491       label = 7;
   119492       break;
   119493     }
   119494    case 6:
   119495     HEAP32[$to_virt >> 2] = 0;
   119496     label = 7;
   119497     break;
   119498    case 7:
   119499     $tail = $e + 16 | 0;
   119500     $2 = HEAP32[$tail >> 2] | 0;
   119501     $flat_out = $2 + 192 | 0;
   119502     _zapinlist($flat_out, $e);
   119503     $head = $e + 12 | 0;
   119504     $3 = HEAP32[$head >> 2] | 0;
   119505     $flat_in = $3 + 200 | 0;
   119506     _zapinlist($flat_in, $e);
   119507     return;
   119508   }
   119509 }
   119510 function _merge_oneway($e, $rep) {
   119511   $e = $e | 0;
   119512   $rep = $rep | 0;
   119513   var $to_virt = 0, $0 = 0, $cmp = 0, $call = 0, $cmp3 = 0, label = 0, __stackBase__ = 0;
   119514   __stackBase__ = STACKTOP;
   119515   label = 2;
   119516   while (1) switch (label | 0) {
   119517    case 2:
   119518     $to_virt = $e + 188 | 0;
   119519     $0 = HEAP32[$to_virt >> 2] | 0;
   119520     $cmp = ($0 | 0) == ($rep | 0);
   119521     if ($cmp) {
   119522       label = 3;
   119523       break;
   119524     } else {
   119525       label = 4;
   119526       break;
   119527     }
   119528    case 3:
   119529     $call = _agerr(0, 98512, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0) | 0;
   119530     label = 7;
   119531     break;
   119532    case 4:
   119533     $cmp3 = ($0 | 0) == 0;
   119534     if ($cmp3) {
   119535       label = 6;
   119536       break;
   119537     } else {
   119538       label = 5;
   119539       break;
   119540     }
   119541    case 5:
   119542     ___assert_func(110136, 343, 164016, 94648);
   119543    case 6:
   119544     HEAP32[$to_virt >> 2] = $rep;
   119545     _basic_merge($e, $rep);
   119546     label = 7;
   119547     break;
   119548    case 7:
   119549     STACKTOP = __stackBase__;
   119550     return;
   119551   }
   119552 }
   119553 function _unrep($rep, $e) {
   119554   $rep = $rep | 0;
   119555   $e = $e | 0;
   119556   var $count2 = 0, $xpenalty8 = 0, $weight14 = 0;
   119557   $count2 = $rep + 184 | 0;
   119558   HEAP16[$count2 >> 1] = (HEAP16[$count2 >> 1] | 0) - (HEAP16[$e + 184 >> 1] | 0) & 65535;
   119559   $xpenalty8 = $rep + 170 | 0;
   119560   HEAP16[$xpenalty8 >> 1] = (HEAP16[$xpenalty8 >> 1] | 0) - (HEAP16[$e + 170 >> 1] | 0) & 65535;
   119561   $weight14 = $rep + 172 | 0;
   119562   HEAPF32[$weight14 >> 2] = +HEAPF32[$weight14 >> 2] - +HEAPF32[$e + 172 >> 2];
   119563   return;
   119564 }
   119565 function _flatAdjacent($e) {
   119566   $e = $e | 0;
   119567   var $tail = 0, $0 = 0, $head = 0, $1 = 0, $order = 0, $2 = 0, $order2 = 0, $3 = 0, $cmp = 0, $_ = 0, $_14 = 0, $rank12 = 0, $4 = 0, $graph = 0, $5 = 0, $rank14 = 0, $6 = 0, $v = 0, $i_0_in = 0, $i_0 = 0, $cmp15 = 0, $7 = 0, $arrayidx16 = 0, $8 = 0, $node_type = 0, $9 = 0, $cmp18 = 0, $label = 0, $10 = 0, $tobool = 0, $_pr = 0, $11 = 0, $cmp24 = 0, $cmp28 = 0, $conv29 = 0, label = 0;
   119568   label = 2;
   119569   while (1) switch (label | 0) {
   119570    case 2:
   119571     $tail = $e + 16 | 0;
   119572     $0 = HEAP32[$tail >> 2] | 0;
   119573     $head = $e + 12 | 0;
   119574     $1 = HEAP32[$head >> 2] | 0;
   119575     $order = $0 + 240 | 0;
   119576     $2 = HEAP32[$order >> 2] | 0;
   119577     $order2 = $1 + 240 | 0;
   119578     $3 = HEAP32[$order2 >> 2] | 0;
   119579     $cmp = ($2 | 0) < ($3 | 0);
   119580     $_ = $cmp ? $3 : $2;
   119581     $_14 = $cmp ? $2 : $3;
   119582     $rank12 = $0 + 236 | 0;
   119583     $4 = HEAP32[$rank12 >> 2] | 0;
   119584     $graph = $0 + 20 | 0;
   119585     $5 = HEAP32[$graph >> 2] | 0;
   119586     $rank14 = $5 + 224 | 0;
   119587     $6 = HEAP32[$rank14 >> 2] | 0;
   119588     $v = $6 + ($4 * 44 & -1) + 4 | 0;
   119589     $i_0_in = $_14;
   119590     label = 3;
   119591     break;
   119592    case 3:
   119593     $i_0 = $i_0_in + 1 | 0;
   119594     $cmp15 = ($i_0 | 0) < ($_ | 0);
   119595     if ($cmp15) {
   119596       label = 4;
   119597       break;
   119598     } else {
   119599       label = 8;
   119600       break;
   119601     }
   119602    case 4:
   119603     $7 = HEAP32[$v >> 2] | 0;
   119604     $arrayidx16 = $7 + ($i_0 << 2) | 0;
   119605     $8 = HEAP32[$arrayidx16 >> 2] | 0;
   119606     $node_type = $8 + 162 | 0;
   119607     $9 = HEAP8[$node_type] | 0;
   119608     $cmp18 = $9 << 24 >> 24 == 1;
   119609     if ($cmp18) {
   119610       label = 5;
   119611       break;
   119612     } else {
   119613       $11 = $9;
   119614       label = 7;
   119615       break;
   119616     }
   119617    case 5:
   119618     $label = $8 + 120 | 0;
   119619     $10 = HEAP32[$label >> 2] | 0;
   119620     $tobool = ($10 | 0) == 0;
   119621     if ($tobool) {
   119622       label = 6;
   119623       break;
   119624     } else {
   119625       label = 8;
   119626       break;
   119627     }
   119628    case 6:
   119629     $_pr = HEAP8[$node_type] | 0;
   119630     $11 = $_pr;
   119631     label = 7;
   119632     break;
   119633    case 7:
   119634     $cmp24 = $11 << 24 >> 24 == 0;
   119635     if ($cmp24) {
   119636       label = 8;
   119637       break;
   119638     } else {
   119639       $i_0_in = $i_0;
   119640       label = 3;
   119641       break;
   119642     }
   119643    case 8:
   119644     $cmp28 = ($i_0 | 0) == ($_ | 0);
   119645     $conv29 = $cmp28 & 1;
   119646     return $conv29 | 0;
   119647   }
   119648   return 0;
   119649 }
   119650 function _findlr($u, $v, $lp, $rp) {
   119651   $u = $u | 0;
   119652   $v = $v | 0;
   119653   $lp = $lp | 0;
   119654   $rp = $rp | 0;
   119655   var $0 = 0, $1 = 0, $cmp = 0;
   119656   $0 = HEAP32[$u + 240 >> 2] | 0;
   119657   $1 = HEAP32[$v + 240 >> 2] | 0;
   119658   $cmp = ($0 | 0) > ($1 | 0);
   119659   HEAP32[$lp >> 2] = $cmp ? $1 : $0;
   119660   HEAP32[$rp >> 2] = $cmp ? $0 : $1;
   119661   return;
   119662 }
   119663 function _unmerge_oneway($e) {
   119664   $e = $e | 0;
   119665   var $to_virt = 0, $0 = 0, $tobool17 = 0, $tobool = 0, $rep_018 = 0, $to_virt2 = 0, $1 = 0, $count = 0, $2 = 0, $cmp = 0, $edge_type14 = 0, $3 = 0, $cmp715 = 0, $rep_116 = 0, $head = 0, $4 = 0, $node_type = 0, $5 = 0, $cmp11 = 0, $size = 0, $6 = 0, $cmp15 = 0, $list = 0, $7 = 0, $8 = 0, $edge_type = 0, $9 = 0, $cmp7 = 0, label = 0;
   119666   label = 2;
   119667   while (1) switch (label | 0) {
   119668    case 2:
   119669     $to_virt = $e + 188 | 0;
   119670     $0 = HEAP32[$to_virt >> 2] | 0;
   119671     $tobool17 = ($0 | 0) == 0;
   119672     if ($tobool17) {
   119673       label = 10;
   119674       break;
   119675     } else {
   119676       $rep_018 = $0;
   119677       label = 4;
   119678       break;
   119679     }
   119680    case 3:
   119681     $tobool = ($1 | 0) == 0;
   119682     if ($tobool) {
   119683       label = 10;
   119684       break;
   119685     } else {
   119686       $rep_018 = $1;
   119687       label = 4;
   119688       break;
   119689     }
   119690    case 4:
   119691     _unrep($rep_018, $e);
   119692     $to_virt2 = $rep_018 + 188 | 0;
   119693     $1 = HEAP32[$to_virt2 >> 2] | 0;
   119694     $count = $rep_018 + 184 | 0;
   119695     $2 = HEAP16[$count >> 1] | 0;
   119696     $cmp = $2 << 16 >> 16 == 0;
   119697     if ($cmp) {
   119698       label = 5;
   119699       break;
   119700     } else {
   119701       label = 6;
   119702       break;
   119703     }
   119704    case 5:
   119705     _safe_delete_fast_edge($rep_018);
   119706     label = 6;
   119707     break;
   119708    case 6:
   119709     $edge_type14 = $rep_018 + 128 | 0;
   119710     $3 = HEAP8[$edge_type14] | 0;
   119711     $cmp715 = $3 << 24 >> 24 == 1;
   119712     if ($cmp715) {
   119713       $rep_116 = $rep_018;
   119714       label = 7;
   119715       break;
   119716     } else {
   119717       label = 3;
   119718       break;
   119719     }
   119720    case 7:
   119721     $head = $rep_116 + 12 | 0;
   119722     $4 = HEAP32[$head >> 2] | 0;
   119723     $node_type = $4 + 162 | 0;
   119724     $5 = HEAP8[$node_type] | 0;
   119725     $cmp11 = $5 << 24 >> 24 == 1;
   119726     if ($cmp11) {
   119727       label = 8;
   119728       break;
   119729     } else {
   119730       label = 3;
   119731       break;
   119732     }
   119733    case 8:
   119734     $size = $4 + 188 | 0;
   119735     $6 = HEAP32[$size >> 2] | 0;
   119736     $cmp15 = ($6 | 0) == 1;
   119737     if ($cmp15) {
   119738       label = 9;
   119739       break;
   119740     } else {
   119741       label = 3;
   119742       break;
   119743     }
   119744    case 9:
   119745     $list = $4 + 184 | 0;
   119746     $7 = HEAP32[$list >> 2] | 0;
   119747     $8 = HEAP32[$7 >> 2] | 0;
   119748     _unrep($8, $e);
   119749     $edge_type = $8 + 128 | 0;
   119750     $9 = HEAP8[$edge_type] | 0;
   119751     $cmp7 = $9 << 24 >> 24 == 1;
   119752     if ($cmp7) {
   119753       $rep_116 = $8;
   119754       label = 7;
   119755       break;
   119756     } else {
   119757       label = 3;
   119758       break;
   119759     }
   119760    case 10:
   119761     HEAP32[$to_virt >> 2] = 0;
   119762     return;
   119763   }
   119764 }
   119765 function _flat_edges($g) {
   119766   $g = $g | 0;
   119767   var $nlist = 0, $n_078 = 0, $tobool79 = 0, $n_080 = 0, $list = 0, $0 = 0, $tobool2 = 0, $1 = 0, $2 = 0, $tobool776 = 0, $3 = 0, $j_077 = 0, $call = 0, $tobool9 = 0, $adjacent = 0, $inc = 0, $4 = 0, $arrayidx = 0, $5 = 0, $tobool7 = 0, $next = 0, $n_0 = 0, $tobool = 0, $rank = 0, $6 = 0, $flat = 0, $7 = 0, $tobool18 = 0, $n_cluster = 0, $8 = 0, $cmp = 0, $9 = 0, $v72 = 0, $10 = 0, $11 = 0, $tobool2673 = 0, $12 = 0, $i_074 = 0, $list30 = 0, $13 = 0, $14 = 0, $tobool3270 = 0, $15 = 0, $j_171 = 0, $label = 0, $16 = 0, $tobool35 = 0, $adjacent37 = 0, $17 = 0, $tobool38 = 0, $inc42 = 0, $18 = 0, $arrayidx31 = 0, $19 = 0, $tobool32 = 0, $inc48 = 0, $20 = 0, $v = 0, $21 = 0, $arrayidx25 = 0, $22 = 0, $tobool26 = 0, $n_163 = 0, $tobool5464 = 0, $rankdir132 = 0, $rankdir = 0, $n_166 = 0, $reset_065 = 0, $list58 = 0, $23 = 0, $tobool59 = 0, $24 = 0, $25 = 0, $tobool6656 = 0, $26 = 0, $reset_158 = 0, $i_157 = 0, $label69 = 0, $27 = 0, $tobool70 = 0, $adjacent73 = 0, $28 = 0, $tobool74 = 0, $29 = 0, $and77 = 0, $tobool78 = 0, $y = 0, $30 = 0.0, $dist = 0, $x = 0, $31 = 0.0, $dist87 = 0, $reset_2 = 0, $inc93 = 0, $32 = 0, $arrayidx65 = 0, $33 = 0, $tobool66 = 0, $reset_1_lcssa = 0, $size = 0, $34 = 0, $cmp9759 = 0, $list101 = 0, $reset_361 = 0, $j_260 = 0, $35 = 0, $arrayidx102 = 0, $36 = 0, $tail = 0, $37 = 0, $rank104 = 0, $38 = 0, $head = 0, $39 = 0, $rank106 = 0, $40 = 0, $cmp107 = 0, $cmp112 = 0, $or_cond = 0, $le_0 = 0, $to_virt = 0, $41 = 0, $tobool116 = 0, $adjacent120 = 0, $42 = 0, $adjacent122 = 0, $label124 = 0, $43 = 0, $tobool125 = 0, $tobool129 = 0, $44 = 0, $and134 = 0, $tobool135 = 0, $y140 = 0, $x145 = 0, $lw_0_in = 0, $lw_0 = 0.0, $dist148 = 0, $45 = 0.0, $cmp149 = 0, $lw_0_ = 0.0, $reset_4 = 0, $inc158 = 0, $46 = 0, $cmp97 = 0, $reset_5 = 0, $next163 = 0, $n_1 = 0, $tobool54 = 0, $tobool165 = 0, $reset_0_lcssa82 = 0, label = 0;
   119768   label = 2;
   119769   while (1) switch (label | 0) {
   119770    case 2:
   119771     $nlist = $g + 220 | 0;
   119772     $n_078 = HEAP32[$nlist >> 2] | 0;
   119773     $tobool79 = ($n_078 | 0) == 0;
   119774     if ($tobool79) {
   119775       label = 9;
   119776       break;
   119777     } else {
   119778       $n_080 = $n_078;
   119779       label = 3;
   119780       break;
   119781     }
   119782    case 3:
   119783     $list = $n_080 + 192 | 0;
   119784     $0 = HEAP32[$list >> 2] | 0;
   119785     $tobool2 = ($0 | 0) == 0;
   119786     if ($tobool2) {
   119787       label = 8;
   119788       break;
   119789     } else {
   119790       label = 4;
   119791       break;
   119792     }
   119793    case 4:
   119794     $1 = HEAP32[$list >> 2] | 0;
   119795     $2 = HEAP32[$1 >> 2] | 0;
   119796     $tobool776 = ($2 | 0) == 0;
   119797     if ($tobool776) {
   119798       label = 8;
   119799       break;
   119800     } else {
   119801       $j_077 = 0;
   119802       $3 = $2;
   119803       label = 5;
   119804       break;
   119805     }
   119806    case 5:
   119807     $call = _flatAdjacent($3) | 0;
   119808     $tobool9 = ($call | 0) == 0;
   119809     if ($tobool9) {
   119810       label = 7;
   119811       break;
   119812     } else {
   119813       label = 6;
   119814       break;
   119815     }
   119816    case 6:
   119817     $adjacent = $3 + 129 | 0;
   119818     HEAP8[$adjacent] = 1;
   119819     label = 7;
   119820     break;
   119821    case 7:
   119822     $inc = $j_077 + 1 | 0;
   119823     $4 = HEAP32[$list >> 2] | 0;
   119824     $arrayidx = $4 + ($inc << 2) | 0;
   119825     $5 = HEAP32[$arrayidx >> 2] | 0;
   119826     $tobool7 = ($5 | 0) == 0;
   119827     if ($tobool7) {
   119828       label = 8;
   119829       break;
   119830     } else {
   119831       $j_077 = $inc;
   119832       $3 = $5;
   119833       label = 5;
   119834       break;
   119835     }
   119836    case 8:
   119837     $next = $n_080 + 168 | 0;
   119838     $n_0 = HEAP32[$next >> 2] | 0;
   119839     $tobool = ($n_0 | 0) == 0;
   119840     if ($tobool) {
   119841       label = 9;
   119842       break;
   119843     } else {
   119844       $n_080 = $n_0;
   119845       label = 3;
   119846       break;
   119847     }
   119848    case 9:
   119849     $rank = $g + 224 | 0;
   119850     $6 = HEAP32[$rank >> 2] | 0;
   119851     $flat = $6 + 40 | 0;
   119852     $7 = HEAP32[$flat >> 2] | 0;
   119853     $tobool18 = ($7 | 0) == 0;
   119854     if ($tobool18) {
   119855       label = 10;
   119856       break;
   119857     } else {
   119858       label = 11;
   119859       break;
   119860     }
   119861    case 10:
   119862     $n_cluster = $g + 212 | 0;
   119863     $8 = HEAP32[$n_cluster >> 2] | 0;
   119864     $cmp = ($8 | 0) > 0;
   119865     if ($cmp) {
   119866       label = 11;
   119867       break;
   119868     } else {
   119869       label = 18;
   119870       break;
   119871     }
   119872    case 11:
   119873     $9 = HEAP32[$rank >> 2] | 0;
   119874     $v72 = $9 + 4 | 0;
   119875     $10 = HEAP32[$v72 >> 2] | 0;
   119876     $11 = HEAP32[$10 >> 2] | 0;
   119877     $tobool2673 = ($11 | 0) == 0;
   119878     if ($tobool2673) {
   119879       label = 18;
   119880       break;
   119881     } else {
   119882       $i_074 = 0;
   119883       $12 = $11;
   119884       label = 12;
   119885       break;
   119886     }
   119887    case 12:
   119888     $list30 = $12 + 200 | 0;
   119889     $13 = HEAP32[$list30 >> 2] | 0;
   119890     $14 = HEAP32[$13 >> 2] | 0;
   119891     $tobool3270 = ($14 | 0) == 0;
   119892     if ($tobool3270) {
   119893       label = 17;
   119894       break;
   119895     } else {
   119896       $j_171 = 0;
   119897       $15 = $14;
   119898       label = 13;
   119899       break;
   119900     }
   119901    case 13:
   119902     $label = $15 + 112 | 0;
   119903     $16 = HEAP32[$label >> 2] | 0;
   119904     $tobool35 = ($16 | 0) == 0;
   119905     if ($tobool35) {
   119906       label = 16;
   119907       break;
   119908     } else {
   119909       label = 14;
   119910       break;
   119911     }
   119912    case 14:
   119913     $adjacent37 = $15 + 129 | 0;
   119914     $17 = HEAP8[$adjacent37] | 0;
   119915     $tobool38 = $17 << 24 >> 24 == 0;
   119916     if ($tobool38) {
   119917       label = 15;
   119918       break;
   119919     } else {
   119920       label = 16;
   119921       break;
   119922     }
   119923    case 15:
   119924     _abomination($g);
   119925     label = 18;
   119926     break;
   119927    case 16:
   119928     $inc42 = $j_171 + 1 | 0;
   119929     $18 = HEAP32[$list30 >> 2] | 0;
   119930     $arrayidx31 = $18 + ($inc42 << 2) | 0;
   119931     $19 = HEAP32[$arrayidx31 >> 2] | 0;
   119932     $tobool32 = ($19 | 0) == 0;
   119933     if ($tobool32) {
   119934       label = 17;
   119935       break;
   119936     } else {
   119937       $j_171 = $inc42;
   119938       $15 = $19;
   119939       label = 13;
   119940       break;
   119941     }
   119942    case 17:
   119943     $inc48 = $i_074 + 1 | 0;
   119944     $20 = HEAP32[$rank >> 2] | 0;
   119945     $v = $20 + 4 | 0;
   119946     $21 = HEAP32[$v >> 2] | 0;
   119947     $arrayidx25 = $21 + ($inc48 << 2) | 0;
   119948     $22 = HEAP32[$arrayidx25 >> 2] | 0;
   119949     $tobool26 = ($22 | 0) == 0;
   119950     if ($tobool26) {
   119951       label = 18;
   119952       break;
   119953     } else {
   119954       $i_074 = $inc48;
   119955       $12 = $22;
   119956       label = 12;
   119957       break;
   119958     }
   119959    case 18:
   119960     _rec_save_vlists($g);
   119961     $n_163 = HEAP32[$nlist >> 2] | 0;
   119962     $tobool5464 = ($n_163 | 0) == 0;
   119963     if ($tobool5464) {
   119964       $reset_0_lcssa82 = 0;
   119965       label = 41;
   119966       break;
   119967     } else {
   119968       label = 19;
   119969       break;
   119970     }
   119971    case 19:
   119972     $rankdir132 = $g + 156 | 0;
   119973     $rankdir = $g + 156 | 0;
   119974     $reset_065 = 0;
   119975     $n_166 = $n_163;
   119976     label = 20;
   119977     break;
   119978    case 20:
   119979     $list58 = $n_166 + 192 | 0;
   119980     $23 = HEAP32[$list58 >> 2] | 0;
   119981     $tobool59 = ($23 | 0) == 0;
   119982     if ($tobool59) {
   119983       $reset_5 = $reset_065;
   119984       label = 38;
   119985       break;
   119986     } else {
   119987       label = 21;
   119988       break;
   119989     }
   119990    case 21:
   119991     $24 = HEAP32[$list58 >> 2] | 0;
   119992     $25 = HEAP32[$24 >> 2] | 0;
   119993     $tobool6656 = ($25 | 0) == 0;
   119994     if ($tobool6656) {
   119995       $reset_1_lcssa = $reset_065;
   119996       label = 29;
   119997       break;
   119998     } else {
   119999       $i_157 = 0;
   120000       $reset_158 = $reset_065;
   120001       $26 = $25;
   120002       label = 22;
   120003       break;
   120004     }
   120005    case 22:
   120006     $label69 = $26 + 112 | 0;
   120007     $27 = HEAP32[$label69 >> 2] | 0;
   120008     $tobool70 = ($27 | 0) == 0;
   120009     if ($tobool70) {
   120010       $reset_2 = $reset_158;
   120011       label = 28;
   120012       break;
   120013     } else {
   120014       label = 23;
   120015       break;
   120016     }
   120017    case 23:
   120018     $adjacent73 = $26 + 129 | 0;
   120019     $28 = HEAP8[$adjacent73] | 0;
   120020     $tobool74 = $28 << 24 >> 24 == 0;
   120021     if ($tobool74) {
   120022       label = 27;
   120023       break;
   120024     } else {
   120025       label = 24;
   120026       break;
   120027     }
   120028    case 24:
   120029     $29 = HEAP32[$rankdir >> 2] | 0;
   120030     $and77 = $29 & 1;
   120031     $tobool78 = ($and77 | 0) == 0;
   120032     if ($tobool78) {
   120033       label = 26;
   120034       break;
   120035     } else {
   120036       label = 25;
   120037       break;
   120038     }
   120039    case 25:
   120040     $y = $27 + 32 | 0;
   120041     $30 = +HEAPF64[$y >> 3];
   120042     $dist = $26 + 152 | 0;
   120043     HEAPF64[$dist >> 3] = $30;
   120044     $reset_2 = $reset_158;
   120045     label = 28;
   120046     break;
   120047    case 26:
   120048     $x = $27 + 24 | 0;
   120049     $31 = +HEAPF64[$x >> 3];
   120050     $dist87 = $26 + 152 | 0;
   120051     HEAPF64[$dist87 >> 3] = $31;
   120052     $reset_2 = $reset_158;
   120053     label = 28;
   120054     break;
   120055    case 27:
   120056     _flat_node($26);
   120057     $reset_2 = 1;
   120058     label = 28;
   120059     break;
   120060    case 28:
   120061     $inc93 = $i_157 + 1 | 0;
   120062     $32 = HEAP32[$list58 >> 2] | 0;
   120063     $arrayidx65 = $32 + ($inc93 << 2) | 0;
   120064     $33 = HEAP32[$arrayidx65 >> 2] | 0;
   120065     $tobool66 = ($33 | 0) == 0;
   120066     if ($tobool66) {
   120067       $reset_1_lcssa = $reset_2;
   120068       label = 29;
   120069       break;
   120070     } else {
   120071       $i_157 = $inc93;
   120072       $reset_158 = $reset_2;
   120073       $26 = $33;
   120074       label = 22;
   120075       break;
   120076     }
   120077    case 29:
   120078     $size = $n_166 + 212 | 0;
   120079     $34 = HEAP32[$size >> 2] | 0;
   120080     $cmp9759 = ($34 | 0) > 0;
   120081     if ($cmp9759) {
   120082       label = 30;
   120083       break;
   120084     } else {
   120085       $reset_5 = $reset_1_lcssa;
   120086       label = 38;
   120087       break;
   120088     }
   120089    case 30:
   120090     $list101 = $n_166 + 208 | 0;
   120091     $j_260 = 0;
   120092     $reset_361 = $reset_1_lcssa;
   120093     label = 31;
   120094     break;
   120095    case 31:
   120096     $35 = HEAP32[$list101 >> 2] | 0;
   120097     $arrayidx102 = $35 + ($j_260 << 2) | 0;
   120098     $36 = HEAP32[$arrayidx102 >> 2] | 0;
   120099     $tail = $36 + 16 | 0;
   120100     $37 = HEAP32[$tail >> 2] | 0;
   120101     $rank104 = $37 + 236 | 0;
   120102     $38 = HEAP32[$rank104 >> 2] | 0;
   120103     $head = $36 + 12 | 0;
   120104     $39 = HEAP32[$head >> 2] | 0;
   120105     $rank106 = $39 + 236 | 0;
   120106     $40 = HEAP32[$rank106 >> 2] | 0;
   120107     $cmp107 = ($38 | 0) != ($40 | 0);
   120108     $cmp112 = ($37 | 0) == ($39 | 0);
   120109     $or_cond = $cmp107 | $cmp112;
   120110     if ($or_cond) {
   120111       $reset_4 = $reset_361;
   120112       label = 37;
   120113       break;
   120114     } else {
   120115       $le_0 = $36;
   120116       label = 32;
   120117       break;
   120118     }
   120119    case 32:
   120120     $to_virt = $le_0 + 188 | 0;
   120121     $41 = HEAP32[$to_virt >> 2] | 0;
   120122     $tobool116 = ($41 | 0) == 0;
   120123     if ($tobool116) {
   120124       label = 33;
   120125       break;
   120126     } else {
   120127       $le_0 = $41;
   120128       label = 32;
   120129       break;
   120130     }
   120131    case 33:
   120132     $adjacent120 = $le_0 + 129 | 0;
   120133     $42 = HEAP8[$adjacent120] | 0;
   120134     $adjacent122 = $36 + 129 | 0;
   120135     HEAP8[$adjacent122] = $42;
   120136     $label124 = $36 + 112 | 0;
   120137     $43 = HEAP32[$label124 >> 2] | 0;
   120138     $tobool125 = ($43 | 0) == 0;
   120139     if ($tobool125) {
   120140       $reset_4 = $reset_361;
   120141       label = 37;
   120142       break;
   120143     } else {
   120144       label = 34;
   120145       break;
   120146     }
   120147    case 34:
   120148     $tobool129 = $42 << 24 >> 24 == 0;
   120149     if ($tobool129) {
   120150       label = 36;
   120151       break;
   120152     } else {
   120153       label = 35;
   120154       break;
   120155     }
   120156    case 35:
   120157     $44 = HEAP32[$rankdir132 >> 2] | 0;
   120158     $and134 = $44 & 1;
   120159     $tobool135 = ($and134 | 0) == 0;
   120160     $y140 = $43 + 32 | 0;
   120161     $x145 = $43 + 24 | 0;
   120162     $lw_0_in = $tobool135 ? $x145 : $y140;
   120163     $lw_0 = +HEAPF64[$lw_0_in >> 3];
   120164     $dist148 = $le_0 + 152 | 0;
   120165     $45 = +HEAPF64[$dist148 >> 3];
   120166     $cmp149 = $lw_0 > $45;
   120167     $lw_0_ = $cmp149 ? $lw_0 : $45;
   120168     HEAPF64[$dist148 >> 3] = $lw_0_;
   120169     $reset_4 = $reset_361;
   120170     label = 37;
   120171     break;
   120172    case 36:
   120173     _flat_node($36);
   120174     $reset_4 = 1;
   120175     label = 37;
   120176     break;
   120177    case 37:
   120178     $inc158 = $j_260 + 1 | 0;
   120179     $46 = HEAP32[$size >> 2] | 0;
   120180     $cmp97 = ($inc158 | 0) < ($46 | 0);
   120181     if ($cmp97) {
   120182       $j_260 = $inc158;
   120183       $reset_361 = $reset_4;
   120184       label = 31;
   120185       break;
   120186     } else {
   120187       $reset_5 = $reset_4;
   120188       label = 38;
   120189       break;
   120190     }
   120191    case 38:
   120192     $next163 = $n_166 + 168 | 0;
   120193     $n_1 = HEAP32[$next163 >> 2] | 0;
   120194     $tobool54 = ($n_1 | 0) == 0;
   120195     if ($tobool54) {
   120196       label = 39;
   120197       break;
   120198     } else {
   120199       $reset_065 = $reset_5;
   120200       $n_166 = $n_1;
   120201       label = 20;
   120202       break;
   120203     }
   120204    case 39:
   120205     $tobool165 = ($reset_5 | 0) == 0;
   120206     if ($tobool165) {
   120207       $reset_0_lcssa82 = 0;
   120208       label = 41;
   120209       break;
   120210     } else {
   120211       label = 40;
   120212       break;
   120213     }
   120214    case 40:
   120215     _rec_reset_vlists($g);
   120216     $reset_0_lcssa82 = $reset_5;
   120217     label = 41;
   120218     break;
   120219    case 41:
   120220     return $reset_0_lcssa82 | 0;
   120221   }
   120222   return 0;
   120223 }
   120224 function _flat_node($e) {
   120225   $e = $e | 0;
   120226   var $label = 0, $0 = 0, $cmp = 0, $tail = 0, $1 = 0, $graph = 0, $2 = 0, $rank = 0, $3 = 0, $call = 0, $sub = 0, $rank4 = 0, $4 = 0, $v = 0, $5 = 0, $6 = 0, $tobool = 0, $y = 0, $7 = 0.0, $ht1 = 0, $8 = 0, $conv = 0.0, $sub12 = 0.0, $v17 = 0, $9 = 0, $10 = 0, $y21 = 0, $11 = 0.0, $ht2 = 0, $12 = 0, $conv25 = 0.0, $add = 0.0, $ranksep = 0, $13 = 0, $conv27 = 0.0, $add28 = 0.0, $ypos_0_in = 0.0, $ypos_0 = 0, $call32 = 0, $14 = 0, $dimen_sroa_0_0__idx = 0, $dimen_sroa_0_0_copyload = 0.0, $dimen_sroa_1_8__idx3 = 0, $dimen_sroa_1_8_copyload = 0.0, $rankdir = 0, $15 = 0, $and37 = 0, $tobool38 = 0, $dimen_sroa_1_8_copyload_dimen_sroa_0_0_copyload = 0.0, $dimen_sroa_0_0_copyload_dimen_sroa_1_8_copyload = 0.0, $ht = 0, $div = 0.0, $conv48 = 0, $div50 = 0.0, $rw = 0, $lw = 0, $16 = 0, $label56 = 0, $add57 = 0, $conv58 = 0.0, $y61 = 0, $17 = 0, $call63 = 0, $18 = 0.0, $sub66 = 0.0, $x68 = 0, $19 = 0, $rw71 = 0, $20 = 0.0, $x74 = 0, $edge_type = 0, $head = 0, $21 = 0, $call76 = 0, $22 = 0.0, $x82 = 0, $23 = 0, $lw85 = 0, $24 = 0.0, $x89 = 0, $edge_type91 = 0, $25 = 0, $ht196 = 0, $26 = 0, $cmp97 = 0, $27 = 0, $ht2110 = 0, $28 = 0, $cmp111 = 0, $29 = 0, $alg = 0, label = 0;
   120227   label = 2;
   120228   while (1) switch (label | 0) {
   120229    case 2:
   120230     $label = $e + 112 | 0;
   120231     $0 = HEAP32[$label >> 2] | 0;
   120232     $cmp = ($0 | 0) == 0;
   120233     if ($cmp) {
   120234       label = 11;
   120235       break;
   120236     } else {
   120237       label = 3;
   120238       break;
   120239     }
   120240    case 3:
   120241     $tail = $e + 16 | 0;
   120242     $1 = HEAP32[$tail >> 2] | 0;
   120243     $graph = $1 + 20 | 0;
   120244     $2 = HEAP32[$graph >> 2] | 0;
   120245     $rank = $1 + 236 | 0;
   120246     $3 = HEAP32[$rank >> 2] | 0;
   120247     $call = _flat_limits($2, $e) | 0;
   120248     $sub = $3 - 1 | 0;
   120249     $rank4 = $2 + 224 | 0;
   120250     $4 = HEAP32[$rank4 >> 2] | 0;
   120251     $v = $4 + ($sub * 44 & -1) + 4 | 0;
   120252     $5 = HEAP32[$v >> 2] | 0;
   120253     $6 = HEAP32[$5 >> 2] | 0;
   120254     $tobool = ($6 | 0) == 0;
   120255     if ($tobool) {
   120256       label = 5;
   120257       break;
   120258     } else {
   120259       label = 4;
   120260       break;
   120261     }
   120262    case 4:
   120263     $y = $6 + 40 | 0;
   120264     $7 = +HEAPF64[$y >> 3];
   120265     $ht1 = $4 + ($sub * 44 & -1) + 16 | 0;
   120266     $8 = HEAP32[$ht1 >> 2] | 0;
   120267     $conv = +($8 | 0);
   120268     $sub12 = $7 - $conv;
   120269     $ypos_0_in = $sub12;
   120270     label = 6;
   120271     break;
   120272    case 5:
   120273     $v17 = $4 + ($3 * 44 & -1) + 4 | 0;
   120274     $9 = HEAP32[$v17 >> 2] | 0;
   120275     $10 = HEAP32[$9 >> 2] | 0;
   120276     $y21 = $10 + 40 | 0;
   120277     $11 = +HEAPF64[$y21 >> 3];
   120278     $ht2 = $4 + ($3 * 44 & -1) + 20 | 0;
   120279     $12 = HEAP32[$ht2 >> 2] | 0;
   120280     $conv25 = +($12 | 0);
   120281     $add = $11 + $conv25;
   120282     $ranksep = $2 + 264 | 0;
   120283     $13 = HEAP32[$ranksep >> 2] | 0;
   120284     $conv27 = +($13 | 0);
   120285     $add28 = $add + $conv27;
   120286     $ypos_0_in = $add28;
   120287     label = 6;
   120288     break;
   120289    case 6:
   120290     $ypos_0 = ~~$ypos_0_in;
   120291     $call32 = _make_vn_slot($2, $sub, $call) | 0;
   120292     $14 = HEAP32[$label >> 2] | 0;
   120293     $dimen_sroa_0_0__idx = $14 + 24 | 0;
   120294     $dimen_sroa_0_0_copyload = +HEAPF64[$dimen_sroa_0_0__idx >> 3];
   120295     $dimen_sroa_1_8__idx3 = $14 + 32 | 0;
   120296     $dimen_sroa_1_8_copyload = +HEAPF64[$dimen_sroa_1_8__idx3 >> 3];
   120297     $rankdir = $2 + 156 | 0;
   120298     $15 = HEAP32[$rankdir >> 2] | 0;
   120299     $and37 = $15 & 1;
   120300     $tobool38 = ($and37 | 0) == 0;
   120301     $dimen_sroa_1_8_copyload_dimen_sroa_0_0_copyload = $tobool38 ? $dimen_sroa_1_8_copyload : $dimen_sroa_0_0_copyload;
   120302     $dimen_sroa_0_0_copyload_dimen_sroa_1_8_copyload = $tobool38 ? $dimen_sroa_0_0_copyload : $dimen_sroa_1_8_copyload;
   120303     $ht = $call32 + 96 | 0;
   120304     HEAPF64[$ht >> 3] = $dimen_sroa_1_8_copyload_dimen_sroa_0_0_copyload;
   120305     $div = $dimen_sroa_1_8_copyload_dimen_sroa_0_0_copyload * .5;
   120306     $conv48 = ~~$div;
   120307     $div50 = $dimen_sroa_0_0_copyload_dimen_sroa_1_8_copyload * .5;
   120308     $rw = $call32 + 112 | 0;
   120309     HEAPF64[$rw >> 3] = $div50;
   120310     $lw = $call32 + 104 | 0;
   120311     HEAPF64[$lw >> 3] = $div50;
   120312     $16 = HEAP32[$label >> 2] | 0;
   120313     $label56 = $call32 + 120 | 0;
   120314     HEAP32[$label56 >> 2] = $16;
   120315     $add57 = $conv48 + $ypos_0 | 0;
   120316     $conv58 = +($add57 | 0);
   120317     $y61 = $call32 + 40 | 0;
   120318     HEAPF64[$y61 >> 3] = $conv58;
   120319     $17 = HEAP32[$tail >> 2] | 0;
   120320     $call63 = _virtual_edge($call32, $17, $e) | 0;
   120321     $18 = +HEAPF64[$lw >> 3];
   120322     $sub66 = -0.0 - $18;
   120323     $x68 = $call63 + 32 | 0;
   120324     HEAPF64[$x68 >> 3] = $sub66;
   120325     $19 = HEAP32[$tail >> 2] | 0;
   120326     $rw71 = $19 + 112 | 0;
   120327     $20 = +HEAPF64[$rw71 >> 3];
   120328     $x74 = $call63 + 72 | 0;
   120329     HEAPF64[$x74 >> 3] = $20;
   120330     $edge_type = $call63 + 128 | 0;
   120331     HEAP8[$edge_type] = 4;
   120332     $head = $e + 12 | 0;
   120333     $21 = HEAP32[$head >> 2] | 0;
   120334     $call76 = _virtual_edge($call32, $21, $e) | 0;
   120335     $22 = +HEAPF64[$rw >> 3];
   120336     $x82 = $call76 + 32 | 0;
   120337     HEAPF64[$x82 >> 3] = $22;
   120338     $23 = HEAP32[$head >> 2] | 0;
   120339     $lw85 = $23 + 104 | 0;
   120340     $24 = +HEAPF64[$lw85 >> 3];
   120341     $x89 = $call76 + 72 | 0;
   120342     HEAPF64[$x89 >> 3] = $24;
   120343     $edge_type91 = $call76 + 128 | 0;
   120344     HEAP8[$edge_type91] = 4;
   120345     $25 = HEAP32[$rank4 >> 2] | 0;
   120346     $ht196 = $25 + ($sub * 44 & -1) + 16 | 0;
   120347     $26 = HEAP32[$ht196 >> 2] | 0;
   120348     $cmp97 = ($26 | 0) < ($conv48 | 0);
   120349     if ($cmp97) {
   120350       label = 7;
   120351       break;
   120352     } else {
   120353       label = 8;
   120354       break;
   120355     }
   120356    case 7:
   120357     HEAP32[$ht196 >> 2] = $conv48;
   120358     label = 8;
   120359     break;
   120360    case 8:
   120361     $27 = HEAP32[$rank4 >> 2] | 0;
   120362     $ht2110 = $27 + ($sub * 44 & -1) + 20 | 0;
   120363     $28 = HEAP32[$ht2110 >> 2] | 0;
   120364     $cmp111 = ($28 | 0) < ($conv48 | 0);
   120365     if ($cmp111) {
   120366       label = 9;
   120367       break;
   120368     } else {
   120369       label = 10;
   120370       break;
   120371     }
   120372    case 9:
   120373     HEAP32[$ht2110 >> 2] = $conv48;
   120374     label = 10;
   120375     break;
   120376    case 10:
   120377     $29 = $e | 0;
   120378     $alg = $call32 + 128 | 0;
   120379     HEAP32[$alg >> 2] = $29;
   120380     label = 11;
   120381     break;
   120382    case 11:
   120383     return;
   120384   }
   120385 }
   120386 function _flat_limits($g, $e) {
   120387   $g = $g | 0;
   120388   $e = $e | 0;
   120389   var $bounds = 0, $lpos = 0, $rpos = 0, $tail = 0, $0 = 0, $rank1 = 0, $1 = 0, $sub = 0, $rank3 = 0, $2 = 0, $v = 0, $3 = 0, $n = 0, $4 = 0, $arrayidx9 = 0, $arrayidx10 = 0, $arrayidx11 = 0, $arrayidx12 = 0, $5 = 0, $head = 0, $6 = 0, $7 = 0, $8 = 0, $rnode_0_in = 0, $lnode_0 = 0, $rnode_0 = 0, $cmp = 0, $arrayidx14 = 0, $9 = 0, $cmp15 = 0, $arrayidx16 = 0, $10 = 0, $inc = 0, $11 = 0, $12 = 0, $sub20 = 0, $cmp21 = 0, $13 = 0, $14 = 0, $cmp26 = 0, $add30 = 0, $15 = 0, $16 = 0, $add34 = 0, $pos_0_in_in = 0, $pos_0_in = 0, $pos_0 = 0, label = 0, __stackBase__ = 0;
   120390   __stackBase__ = STACKTOP;
   120391   STACKTOP = STACKTOP + 32 | 0;
   120392   label = 2;
   120393   while (1) switch (label | 0) {
   120394    case 2:
   120395     $bounds = __stackBase__ | 0;
   120396     $lpos = __stackBase__ + 16 | 0;
   120397     $rpos = __stackBase__ + 24 | 0;
   120398     $tail = $e + 16 | 0;
   120399     $0 = HEAP32[$tail >> 2] | 0;
   120400     $rank1 = $0 + 236 | 0;
   120401     $1 = HEAP32[$rank1 >> 2] | 0;
   120402     $sub = $1 - 1 | 0;
   120403     $rank3 = $g + 224 | 0;
   120404     $2 = HEAP32[$rank3 >> 2] | 0;
   120405     $v = $2 + ($sub * 44 & -1) + 4 | 0;
   120406     $3 = HEAP32[$v >> 2] | 0;
   120407     $n = $2 + ($sub * 44 & -1) | 0;
   120408     $4 = HEAP32[$n >> 2] | 0;
   120409     $arrayidx9 = $bounds + 8 | 0;
   120410     HEAP32[$arrayidx9 >> 2] = -1;
   120411     $arrayidx10 = $bounds | 0;
   120412     HEAP32[$arrayidx10 >> 2] = -1;
   120413     $arrayidx11 = $bounds + 12 | 0;
   120414     HEAP32[$arrayidx11 >> 2] = $4;
   120415     $arrayidx12 = $bounds + 4 | 0;
   120416     HEAP32[$arrayidx12 >> 2] = $4;
   120417     $5 = HEAP32[$tail >> 2] | 0;
   120418     $head = $e + 12 | 0;
   120419     $6 = HEAP32[$head >> 2] | 0;
   120420     _findlr($5, $6, $lpos, $rpos);
   120421     $7 = HEAP32[$lpos >> 2] | 0;
   120422     $8 = HEAP32[$rpos >> 2] | 0;
   120423     $lnode_0 = 0;
   120424     $rnode_0_in = $4;
   120425     label = 3;
   120426     break;
   120427    case 3:
   120428     $rnode_0 = $rnode_0_in - 1 | 0;
   120429     $cmp = ($lnode_0 | 0) > ($rnode_0 | 0);
   120430     if ($cmp) {
   120431       label = 7;
   120432       break;
   120433     } else {
   120434       label = 4;
   120435       break;
   120436     }
   120437    case 4:
   120438     $arrayidx14 = $3 + ($lnode_0 << 2) | 0;
   120439     $9 = HEAP32[$arrayidx14 >> 2] | 0;
   120440     _setbounds($9, $arrayidx10, $7, $8);
   120441     $cmp15 = ($lnode_0 | 0) == ($rnode_0 | 0);
   120442     if ($cmp15) {
   120443       label = 6;
   120444       break;
   120445     } else {
   120446       label = 5;
   120447       break;
   120448     }
   120449    case 5:
   120450     $arrayidx16 = $3 + ($rnode_0 << 2) | 0;
   120451     $10 = HEAP32[$arrayidx16 >> 2] | 0;
   120452     _setbounds($10, $arrayidx10, $7, $8);
   120453     label = 6;
   120454     break;
   120455    case 6:
   120456     $inc = $lnode_0 + 1 | 0;
   120457     $11 = HEAP32[$arrayidx12 >> 2] | 0;
   120458     $12 = HEAP32[$arrayidx10 >> 2] | 0;
   120459     $sub20 = $11 - $12 | 0;
   120460     $cmp21 = ($sub20 | 0) < 2;
   120461     if ($cmp21) {
   120462       label = 7;
   120463       break;
   120464     } else {
   120465       $lnode_0 = $inc;
   120466       $rnode_0_in = $rnode_0;
   120467       label = 3;
   120468       break;
   120469     }
   120470    case 7:
   120471     $13 = HEAP32[$arrayidx10 >> 2] | 0;
   120472     $14 = HEAP32[$arrayidx12 >> 2] | 0;
   120473     $cmp26 = ($13 | 0) > ($14 | 0);
   120474     if ($cmp26) {
   120475       label = 9;
   120476       break;
   120477     } else {
   120478       label = 8;
   120479       break;
   120480     }
   120481    case 8:
   120482     $add30 = $14 + $13 | 0;
   120483     $pos_0_in_in = $add30;
   120484     label = 10;
   120485     break;
   120486    case 9:
   120487     $15 = HEAP32[$arrayidx9 >> 2] | 0;
   120488     $16 = HEAP32[$arrayidx11 >> 2] | 0;
   120489     $add34 = $16 + $15 | 0;
   120490     $pos_0_in_in = $add34;
   120491     label = 10;
   120492     break;
   120493    case 10:
   120494     $pos_0_in = $pos_0_in_in + 1 | 0;
   120495     $pos_0 = ($pos_0_in | 0) / 2 & -1;
   120496     STACKTOP = __stackBase__;
   120497     return $pos_0 | 0;
   120498   }
   120499   return 0;
   120500 }
   120501 function _make_vn_slot($g, $r, $pos) {
   120502   $g = $g | 0;
   120503   $r = $r | 0;
   120504   $pos = $pos | 0;
   120505   var $rank = 0, $0 = 0, $arrayidx = 0, $v1 = 0, $1 = 0, $tobool = 0, $2 = 0, $n9 = 0, $3 = 0, $add = 0, $mul = 0, $call = 0, $n13 = 0, $4 = 0, $add14 = 0, $mul15 = 0, $call16 = 0, $call_sink = 0, $5 = 0, $6 = 0, $v20 = 0, $7 = 0, $n24 = 0, $8 = 0, $cmp26 = 0, $i_027 = 0, $sub = 0, $arrayidx25 = 0, $9 = 0, $arrayidx26 = 0, $order = 0, $10 = 0, $inc = 0, $cmp = 0, $call29 = 0, $arrayidx30 = 0, $order32 = 0, $rank34 = 0, $11 = 0, $n38 = 0, $12 = 0, $inc39 = 0, $arrayidx40 = 0, $13 = 0, label = 0;
   120506   label = 2;
   120507   while (1) switch (label | 0) {
   120508    case 2:
   120509     $rank = $g + 224 | 0;
   120510     $0 = HEAP32[$rank >> 2] | 0;
   120511     $arrayidx = $0 + ($r * 44 & -1) | 0;
   120512     $v1 = $0 + ($r * 44 & -1) + 4 | 0;
   120513     $1 = HEAP32[$v1 >> 2] | 0;
   120514     $tobool = ($1 | 0) == 0;
   120515     if ($tobool) {
   120516       label = 4;
   120517       break;
   120518     } else {
   120519       label = 3;
   120520       break;
   120521     }
   120522    case 3:
   120523     $2 = $1;
   120524     $n9 = $arrayidx | 0;
   120525     $3 = HEAP32[$n9 >> 2] | 0;
   120526     $add = $3 << 2;
   120527     $mul = $add + 8 | 0;
   120528     $call = _grealloc($2, $mul) | 0;
   120529     $call_sink = $call;
   120530     label = 5;
   120531     break;
   120532    case 4:
   120533     $n13 = $arrayidx | 0;
   120534     $4 = HEAP32[$n13 >> 2] | 0;
   120535     $add14 = $4 << 2;
   120536     $mul15 = $add14 + 8 | 0;
   120537     $call16 = _gmalloc($mul15) | 0;
   120538     $call_sink = $call16;
   120539     label = 5;
   120540     break;
   120541    case 5:
   120542     $5 = $call_sink;
   120543     $6 = HEAP32[$rank >> 2] | 0;
   120544     $v20 = $6 + ($r * 44 & -1) + 4 | 0;
   120545     HEAP32[$v20 >> 2] = $5;
   120546     $7 = HEAP32[$rank >> 2] | 0;
   120547     $n24 = $7 + ($r * 44 & -1) | 0;
   120548     $8 = HEAP32[$n24 >> 2] | 0;
   120549     $cmp26 = ($8 | 0) > ($pos | 0);
   120550     if ($cmp26) {
   120551       $i_027 = $8;
   120552       label = 6;
   120553       break;
   120554     } else {
   120555       label = 7;
   120556       break;
   120557     }
   120558    case 6:
   120559     $sub = $i_027 - 1 | 0;
   120560     $arrayidx25 = $5 + ($sub << 2) | 0;
   120561     $9 = HEAP32[$arrayidx25 >> 2] | 0;
   120562     $arrayidx26 = $5 + ($i_027 << 2) | 0;
   120563     HEAP32[$arrayidx26 >> 2] = $9;
   120564     $order = $9 + 240 | 0;
   120565     $10 = HEAP32[$order >> 2] | 0;
   120566     $inc = $10 + 1 | 0;
   120567     HEAP32[$order >> 2] = $inc;
   120568     $cmp = ($sub | 0) > ($pos | 0);
   120569     if ($cmp) {
   120570       $i_027 = $sub;
   120571       label = 6;
   120572       break;
   120573     } else {
   120574       label = 7;
   120575       break;
   120576     }
   120577    case 7:
   120578     $call29 = _virtual_node($g) | 0;
   120579     $arrayidx30 = $5 + ($pos << 2) | 0;
   120580     HEAP32[$arrayidx30 >> 2] = $call29;
   120581     $order32 = $call29 + 240 | 0;
   120582     HEAP32[$order32 >> 2] = $pos;
   120583     $rank34 = $call29 + 236 | 0;
   120584     HEAP32[$rank34 >> 2] = $r;
   120585     $11 = HEAP32[$rank >> 2] | 0;
   120586     $n38 = $11 + ($r * 44 & -1) | 0;
   120587     $12 = HEAP32[$n38 >> 2] | 0;
   120588     $inc39 = $12 + 1 | 0;
   120589     HEAP32[$n38 >> 2] = $inc39;
   120590     $arrayidx40 = $5 + ($inc39 << 2) | 0;
   120591     HEAP32[$arrayidx40 >> 2] = 0;
   120592     $13 = HEAP32[$arrayidx30 >> 2] | 0;
   120593     return $13 | 0;
   120594   }
   120595   return 0;
   120596 }
   120597 function _safe_delete_fast_edge($e) {
   120598   $e = $e | 0;
   120599   var $cmp = 0, $tail = 0, $0 = 0, $out18 = 0, $list19 = 0, $1 = 0, $2 = 0, $tobool20 = 0, $head = 0, $3 = 0, $in13 = 0, $list714 = 0, $4 = 0, $5 = 0, $tobool915 = 0, $6 = 0, $out22 = 0, $i_021 = 0, $cmp1 = 0, $inc = 0, $7 = 0, $out = 0, $list = 0, $8 = 0, $arrayidx = 0, $9 = 0, $tobool = 0, $10 = 0, $in17 = 0, $i_116 = 0, $cmp11 = 0, $inc18 = 0, $11 = 0, $in = 0, $list7 = 0, $12 = 0, $arrayidx8 = 0, $13 = 0, $tobool9 = 0, label = 0;
   120600   label = 2;
   120601   while (1) switch (label | 0) {
   120602    case 2:
   120603     $cmp = ($e | 0) == 0;
   120604     if ($cmp) {
   120605       label = 4;
   120606       break;
   120607     } else {
   120608       label = 3;
   120609       break;
   120610     }
   120611    case 3:
   120612     $tail = $e + 16 | 0;
   120613     $0 = HEAP32[$tail >> 2] | 0;
   120614     $out18 = $0 + 184 | 0;
   120615     $list19 = $out18 | 0;
   120616     $1 = HEAP32[$list19 >> 2] | 0;
   120617     $2 = HEAP32[$1 >> 2] | 0;
   120618     $tobool20 = ($2 | 0) == 0;
   120619     if ($tobool20) {
   120620       label = 5;
   120621       break;
   120622     } else {
   120623       $i_021 = 0;
   120624       $out22 = $out18;
   120625       $6 = $2;
   120626       label = 6;
   120627       break;
   120628     }
   120629    case 4:
   120630     ___assert_func(110136, 128, 163880, 147040);
   120631    case 5:
   120632     $head = $e + 12 | 0;
   120633     $3 = HEAP32[$head >> 2] | 0;
   120634     $in13 = $3 + 176 | 0;
   120635     $list714 = $in13 | 0;
   120636     $4 = HEAP32[$list714 >> 2] | 0;
   120637     $5 = HEAP32[$4 >> 2] | 0;
   120638     $tobool915 = ($5 | 0) == 0;
   120639     if ($tobool915) {
   120640       label = 12;
   120641       break;
   120642     } else {
   120643       $i_116 = 0;
   120644       $in17 = $in13;
   120645       $10 = $5;
   120646       label = 9;
   120647       break;
   120648     }
   120649    case 6:
   120650     $cmp1 = ($6 | 0) == ($e | 0);
   120651     if ($cmp1) {
   120652       label = 7;
   120653       break;
   120654     } else {
   120655       label = 8;
   120656       break;
   120657     }
   120658    case 7:
   120659     _zapinlist($out22, $e);
   120660     label = 8;
   120661     break;
   120662    case 8:
   120663     $inc = $i_021 + 1 | 0;
   120664     $7 = HEAP32[$tail >> 2] | 0;
   120665     $out = $7 + 184 | 0;
   120666     $list = $out | 0;
   120667     $8 = HEAP32[$list >> 2] | 0;
   120668     $arrayidx = $8 + ($inc << 2) | 0;
   120669     $9 = HEAP32[$arrayidx >> 2] | 0;
   120670     $tobool = ($9 | 0) == 0;
   120671     if ($tobool) {
   120672       label = 5;
   120673       break;
   120674     } else {
   120675       $i_021 = $inc;
   120676       $out22 = $out;
   120677       $6 = $9;
   120678       label = 6;
   120679       break;
   120680     }
   120681    case 9:
   120682     $cmp11 = ($10 | 0) == ($e | 0);
   120683     if ($cmp11) {
   120684       label = 10;
   120685       break;
   120686     } else {
   120687       label = 11;
   120688       break;
   120689     }
   120690    case 10:
   120691     _zapinlist($in17, $e);
   120692     label = 11;
   120693     break;
   120694    case 11:
   120695     $inc18 = $i_116 + 1 | 0;
   120696     $11 = HEAP32[$head >> 2] | 0;
   120697     $in = $11 + 176 | 0;
   120698     $list7 = $in | 0;
   120699     $12 = HEAP32[$list7 >> 2] | 0;
   120700     $arrayidx8 = $12 + ($inc18 << 2) | 0;
   120701     $13 = HEAP32[$arrayidx8 >> 2] | 0;
   120702     $tobool9 = ($13 | 0) == 0;
   120703     if ($tobool9) {
   120704       label = 12;
   120705       break;
   120706     } else {
   120707       $i_116 = $inc18;
   120708       $in17 = $in;
   120709       $10 = $13;
   120710       label = 9;
   120711       break;
   120712     }
   120713    case 12:
   120714     return;
   120715   }
   120716 }
   120717 function _abomination($g) {
   120718   $g = $g | 0;
   120719   var $minrank = 0, $0 = 0, $cmp = 0, $maxrank = 0, $1 = 0, $conv3 = 0, $add = 0, $rank = 0, $2 = 0, $tobool = 0, $3 = 0, $mul = 0, $call = 0, $mul9 = 0, $call10 = 0, $call_sink = 0, $add_ptr = 0, $4 = 0, $5 = 0, $conv16 = 0, $cmp1730 = 0, $6 = 0, $r_031 = 0, $arrayidx = 0, $sub = 0, $arrayidx23 = 0, $7 = 0, $8 = 0, $cmp17 = 0, $9 = 0, $_lcssa = 0, $r_0_lcssa = 0, $an = 0, $10 = 0, $n = 0, $call30 = 0, $11 = 0, $12 = 0, $av = 0, $13 = 0, $v = 0, $14 = 0, $flat = 0, $15 = 0, $ht2 = 0, $16 = 0, $ht1 = 0, $17 = 0, $pht2 = 0, $18 = 0, $pht1 = 0, $19 = 0, $dec54 = 0, label = 0;
   120720   label = 2;
   120721   while (1) switch (label | 0) {
   120722    case 2:
   120723     $minrank = $g + 248 | 0;
   120724     $0 = HEAP16[$minrank >> 1] | 0;
   120725     $cmp = $0 << 16 >> 16 == 0;
   120726     if ($cmp) {
   120727       label = 4;
   120728       break;
   120729     } else {
   120730       label = 3;
   120731       break;
   120732     }
   120733    case 3:
   120734     ___assert_func(97320, 190, 164648, 120008);
   120735    case 4:
   120736     $maxrank = $g + 250 | 0;
   120737     $1 = HEAP16[$maxrank >> 1] | 0;
   120738     $conv3 = $1 << 16 >> 16;
   120739     $add = $conv3 + 3 | 0;
   120740     $rank = $g + 224 | 0;
   120741     $2 = HEAP32[$rank >> 2] | 0;
   120742     $tobool = ($2 | 0) == 0;
   120743     if ($tobool) {
   120744       label = 6;
   120745       break;
   120746     } else {
   120747       label = 5;
   120748       break;
   120749     }
   120750    case 5:
   120751     $3 = $2;
   120752     $mul = $add * 44 & -1;
   120753     $call = _grealloc($3, $mul) | 0;
   120754     $call_sink = $call;
   120755     label = 7;
   120756     break;
   120757    case 6:
   120758     $mul9 = $add * 44 & -1;
   120759     $call10 = _gmalloc($mul9) | 0;
   120760     $call_sink = $call10;
   120761     label = 7;
   120762     break;
   120763    case 7:
   120764     $add_ptr = $call_sink + 44 | 0;
   120765     $4 = $add_ptr;
   120766     HEAP32[$rank >> 2] = $4;
   120767     $5 = HEAP16[$maxrank >> 1] | 0;
   120768     $conv16 = $5 << 16 >> 16;
   120769     $cmp1730 = $5 << 16 >> 16 > -1;
   120770     if ($cmp1730) {
   120771       label = 8;
   120772       break;
   120773     } else {
   120774       $r_0_lcssa = $conv16;
   120775       $_lcssa = $4;
   120776       label = 11;
   120777       break;
   120778     }
   120779    case 8:
   120780     $r_031 = $conv16;
   120781     $6 = $4;
   120782     label = 9;
   120783     break;
   120784    case 9:
   120785     $arrayidx = $6 + ($r_031 * 44 & -1) | 0;
   120786     $sub = $r_031 - 1 | 0;
   120787     $arrayidx23 = $6 + ($sub * 44 & -1) | 0;
   120788     $7 = $arrayidx;
   120789     $8 = $arrayidx23;
   120790     _memcpy($7 | 0, $8 | 0, 44);
   120791     $cmp17 = ($r_031 | 0) > 0;
   120792     $9 = HEAP32[$rank >> 2] | 0;
   120793     if ($cmp17) {
   120794       $r_031 = $sub;
   120795       $6 = $9;
   120796       label = 9;
   120797       break;
   120798     } else {
   120799       label = 10;
   120800       break;
   120801     }
   120802    case 10:
   120803     $r_0_lcssa = -1;
   120804     $_lcssa = $9;
   120805     label = 11;
   120806     break;
   120807    case 11:
   120808     $an = $_lcssa + ($r_0_lcssa * 44 & -1) + 8 | 0;
   120809     HEAP32[$an >> 2] = 0;
   120810     $10 = HEAP32[$rank >> 2] | 0;
   120811     $n = $10 + ($r_0_lcssa * 44 & -1) | 0;
   120812     HEAP32[$n >> 2] = 0;
   120813     $call30 = _zmalloc(8) | 0;
   120814     $11 = $call30;
   120815     $12 = HEAP32[$rank >> 2] | 0;
   120816     $av = $12 + ($r_0_lcssa * 44 & -1) + 12 | 0;
   120817     HEAP32[$av >> 2] = $11;
   120818     $13 = HEAP32[$rank >> 2] | 0;
   120819     $v = $13 + ($r_0_lcssa * 44 & -1) + 4 | 0;
   120820     HEAP32[$v >> 2] = $11;
   120821     $14 = HEAP32[$rank >> 2] | 0;
   120822     $flat = $14 + ($r_0_lcssa * 44 & -1) + 40 | 0;
   120823     HEAP32[$flat >> 2] = 0;
   120824     $15 = HEAP32[$rank >> 2] | 0;
   120825     $ht2 = $15 + ($r_0_lcssa * 44 & -1) + 20 | 0;
   120826     HEAP32[$ht2 >> 2] = 1;
   120827     $16 = HEAP32[$rank >> 2] | 0;
   120828     $ht1 = $16 + ($r_0_lcssa * 44 & -1) + 16 | 0;
   120829     HEAP32[$ht1 >> 2] = 1;
   120830     $17 = HEAP32[$rank >> 2] | 0;
   120831     $pht2 = $17 + ($r_0_lcssa * 44 & -1) + 28 | 0;
   120832     HEAP32[$pht2 >> 2] = 1;
   120833     $18 = HEAP32[$rank >> 2] | 0;
   120834     $pht1 = $18 + ($r_0_lcssa * 44 & -1) + 24 | 0;
   120835     HEAP32[$pht1 >> 2] = 1;
   120836     $19 = HEAP16[$minrank >> 1] | 0;
   120837     $dec54 = $19 - 1 & 65535;
   120838     HEAP16[$minrank >> 1] = $dec54;
   120839     return;
   120840   }
   120841 }
   120842 function _init_mccomp($g, $c) {
   120843   $g = $g | 0;
   120844   $c = $c | 0;
   120845   var $list = 0, $0 = 0, $arrayidx = 0, $1 = 0, $nlist = 0, $cmp = 0, $minrank = 0, $2 = 0, $maxrank = 0, $3 = 0, $cmp515 = 0, $conv = 0, $rank = 0, $r_016 = 0, $4 = 0, $v = 0, $5 = 0, $n = 0, $6 = 0, $add_ptr = 0, $7 = 0, $n19 = 0, $inc = 0, $8 = 0, $conv4 = 0, $cmp5 = 0, label = 0;
   120846   label = 2;
   120847   while (1) switch (label | 0) {
   120848    case 2:
   120849     $list = $g + 228 | 0;
   120850     $0 = HEAP32[$list >> 2] | 0;
   120851     $arrayidx = $0 + ($c << 2) | 0;
   120852     $1 = HEAP32[$arrayidx >> 2] | 0;
   120853     $nlist = $g + 220 | 0;
   120854     HEAP32[$nlist >> 2] = $1;
   120855     $cmp = ($c | 0) > 0;
   120856     if ($cmp) {
   120857       label = 3;
   120858       break;
   120859     } else {
   120860       label = 6;
   120861       break;
   120862     }
   120863    case 3:
   120864     $minrank = $g + 248 | 0;
   120865     $2 = HEAP16[$minrank >> 1] | 0;
   120866     $maxrank = $g + 250 | 0;
   120867     $3 = HEAP16[$maxrank >> 1] | 0;
   120868     $cmp515 = $2 << 16 >> 16 > $3 << 16 >> 16;
   120869     if ($cmp515) {
   120870       label = 6;
   120871       break;
   120872     } else {
   120873       label = 4;
   120874       break;
   120875     }
   120876    case 4:
   120877     $conv = $2 << 16 >> 16;
   120878     $rank = $g + 224 | 0;
   120879     $r_016 = $conv;
   120880     label = 5;
   120881     break;
   120882    case 5:
   120883     $4 = HEAP32[$rank >> 2] | 0;
   120884     $v = $4 + ($r_016 * 44 & -1) + 4 | 0;
   120885     $5 = HEAP32[$v >> 2] | 0;
   120886     $n = $4 + ($r_016 * 44 & -1) | 0;
   120887     $6 = HEAP32[$n >> 2] | 0;
   120888     $add_ptr = $5 + ($6 << 2) | 0;
   120889     HEAP32[$v >> 2] = $add_ptr;
   120890     $7 = HEAP32[$rank >> 2] | 0;
   120891     $n19 = $7 + ($r_016 * 44 & -1) | 0;
   120892     HEAP32[$n19 >> 2] = 0;
   120893     $inc = $r_016 + 1 | 0;
   120894     $8 = HEAP16[$maxrank >> 1] | 0;
   120895     $conv4 = $8 << 16 >> 16;
   120896     $cmp5 = ($inc | 0) > ($conv4 | 0);
   120897     if ($cmp5) {
   120898       label = 6;
   120899       break;
   120900     } else {
   120901       $r_016 = $inc;
   120902       label = 5;
   120903       break;
   120904     }
   120905    case 6:
   120906     return;
   120907   }
   120908 }
   120909 function _save_vlist($g) {
   120910   $g = $g | 0;
   120911   var $rankleader = 0, $0 = 0, $tobool = 0, $minrank = 0, $1 = 0, $maxrank = 0, $2 = 0, $cmp9 = 0, $conv = 0, $rank = 0, $r_010 = 0, $3 = 0, $v = 0, $4 = 0, $5 = 0, $6 = 0, $arrayidx9 = 0, $inc = 0, $7 = 0, $conv3 = 0, $cmp = 0, label = 0;
   120912   label = 2;
   120913   while (1) switch (label | 0) {
   120914    case 2:
   120915     $rankleader = $g + 280 | 0;
   120916     $0 = HEAP32[$rankleader >> 2] | 0;
   120917     $tobool = ($0 | 0) == 0;
   120918     if ($tobool) {
   120919       label = 6;
   120920       break;
   120921     } else {
   120922       label = 3;
   120923       break;
   120924     }
   120925    case 3:
   120926     $minrank = $g + 248 | 0;
   120927     $1 = HEAP16[$minrank >> 1] | 0;
   120928     $maxrank = $g + 250 | 0;
   120929     $2 = HEAP16[$maxrank >> 1] | 0;
   120930     $cmp9 = $1 << 16 >> 16 > $2 << 16 >> 16;
   120931     if ($cmp9) {
   120932       label = 6;
   120933       break;
   120934     } else {
   120935       label = 4;
   120936       break;
   120937     }
   120938    case 4:
   120939     $conv = $1 << 16 >> 16;
   120940     $rank = $g + 224 | 0;
   120941     $r_010 = $conv;
   120942     label = 5;
   120943     break;
   120944    case 5:
   120945     $3 = HEAP32[$rank >> 2] | 0;
   120946     $v = $3 + ($r_010 * 44 & -1) + 4 | 0;
   120947     $4 = HEAP32[$v >> 2] | 0;
   120948     $5 = HEAP32[$4 >> 2] | 0;
   120949     $6 = HEAP32[$rankleader >> 2] | 0;
   120950     $arrayidx9 = $6 + ($r_010 << 2) | 0;
   120951     HEAP32[$arrayidx9 >> 2] = $5;
   120952     $inc = $r_010 + 1 | 0;
   120953     $7 = HEAP16[$maxrank >> 1] | 0;
   120954     $conv3 = $7 << 16 >> 16;
   120955     $cmp = ($inc | 0) > ($conv3 | 0);
   120956     if ($cmp) {
   120957       label = 6;
   120958       break;
   120959     } else {
   120960       $r_010 = $inc;
   120961       label = 5;
   120962       break;
   120963     }
   120964    case 6:
   120965     return;
   120966   }
   120967 }
   120968 function _dot_mincross($g, $doBalance) {
   120969   $g = $g | 0;
   120970   $doBalance = $doBalance | 0;
   120971   var $size = 0, $0 = 0, $cmp24 = 0, $c_026 = 0, $nc_025 = 0, $call = 0, $add = 0, $inc = 0, $1 = 0, $cmp = 0, $nc_0_lcssa = 0, $n_cluster = 0, $2 = 0, $cmp320 = 0, $clust = 0, $c_122 = 0, $nc_121 = 0, $3 = 0, $arrayidx = 0, $4 = 0, $call6 = 0, $add7 = 0, $inc9 = 0, $5 = 0, $cmp3 = 0, $cmp13 = 0, $6 = 0, $call14 = 0, $tobool = 0, $call15 = 0, $tobool16 = 0, $7 = 0, $call17 = 0, $nc_2 = 0, label = 0;
   120972   label = 2;
   120973   while (1) switch (label | 0) {
   120974    case 2:
   120975     _init_mincross($g);
   120976     $size = $g + 232 | 0;
   120977     $0 = HEAP32[$size >> 2] | 0;
   120978     $cmp24 = ($0 | 0) > 0;
   120979     if ($cmp24) {
   120980       $nc_025 = 0;
   120981       $c_026 = 0;
   120982       label = 3;
   120983       break;
   120984     } else {
   120985       $nc_0_lcssa = 0;
   120986       label = 4;
   120987       break;
   120988     }
   120989    case 3:
   120990     _init_mccomp($g, $c_026);
   120991     $call = _mincross($g, 0, $doBalance) | 0;
   120992     $add = $call + $nc_025 | 0;
   120993     $inc = $c_026 + 1 | 0;
   120994     $1 = HEAP32[$size >> 2] | 0;
   120995     $cmp = ($inc | 0) < ($1 | 0);
   120996     if ($cmp) {
   120997       $nc_025 = $add;
   120998       $c_026 = $inc;
   120999       label = 3;
   121000       break;
   121001     } else {
   121002       $nc_0_lcssa = $add;
   121003       label = 4;
   121004       break;
   121005     }
   121006    case 4:
   121007     _merge2($g);
   121008     $n_cluster = $g + 212 | 0;
   121009     $2 = HEAP32[$n_cluster >> 2] | 0;
   121010     $cmp320 = ($2 | 0) < 1;
   121011     if ($cmp320) {
   121012       $nc_2 = $nc_0_lcssa;
   121013       label = 11;
   121014       break;
   121015     } else {
   121016       label = 5;
   121017       break;
   121018     }
   121019    case 5:
   121020     $clust = $g + 216 | 0;
   121021     $nc_121 = $nc_0_lcssa;
   121022     $c_122 = 1;
   121023     label = 6;
   121024     break;
   121025    case 6:
   121026     $3 = HEAP32[$clust >> 2] | 0;
   121027     $arrayidx = $3 + ($c_122 << 2) | 0;
   121028     $4 = HEAP32[$arrayidx >> 2] | 0;
   121029     $call6 = _mincross_clust($4, $doBalance) | 0;
   121030     $add7 = $call6 + $nc_121 | 0;
   121031     $inc9 = $c_122 + 1 | 0;
   121032     $5 = HEAP32[$n_cluster >> 2] | 0;
   121033     $cmp3 = ($inc9 | 0) > ($5 | 0);
   121034     if ($cmp3) {
   121035       label = 7;
   121036       break;
   121037     } else {
   121038       $nc_121 = $add7;
   121039       $c_122 = $inc9;
   121040       label = 6;
   121041       break;
   121042     }
   121043    case 7:
   121044     $cmp13 = ($5 | 0) > 0;
   121045     if ($cmp13) {
   121046       label = 8;
   121047       break;
   121048     } else {
   121049       $nc_2 = $add7;
   121050       label = 11;
   121051       break;
   121052     }
   121053    case 8:
   121054     $6 = $g | 0;
   121055     $call14 = _agget($6, 96048) | 0;
   121056     $tobool = ($call14 | 0) == 0;
   121057     if ($tobool) {
   121058       label = 10;
   121059       break;
   121060     } else {
   121061       label = 9;
   121062       break;
   121063     }
   121064    case 9:
   121065     $call15 = _mapbool($call14) | 0;
   121066     $tobool16 = $call15 << 24 >> 24 == 0;
   121067     if ($tobool16) {
   121068       $nc_2 = $add7;
   121069       label = 11;
   121070       break;
   121071     } else {
   121072       label = 10;
   121073       break;
   121074     }
   121075    case 10:
   121076     $7 = $g;
   121077     _mark_lowclusters($7);
   121078     HEAP8[166152] = 1;
   121079     $call17 = _mincross($g, 2, $doBalance) | 0;
   121080     $nc_2 = $call17;
   121081     label = 11;
   121082     break;
   121083    case 11:
   121084     _cleanup2($g, $nc_2);
   121085     return;
   121086   }
   121087 }
   121088 function _init_mincross($g) {
   121089   $g = $g | 0;
   121090   var $0 = 0, $tobool = 0, $root = 0, $1 = 0, $2 = 0, $call = 0, $add = 0, $mul = 0, $call1 = 0, $3 = 0, $call3 = 0, $4 = 0, $minrank = 0, $5 = 0, $conv = 0, $maxrank = 0, $6 = 0, $conv5 = 0, label = 0;
   121091   label = 2;
   121092   while (1) switch (label | 0) {
   121093    case 2:
   121094     $0 = HEAP8[164912] | 0;
   121095     $tobool = $0 << 24 >> 24 == 0;
   121096     if ($tobool) {
   121097       label = 4;
   121098       break;
   121099     } else {
   121100       label = 3;
   121101       break;
   121102     }
   121103    case 3:
   121104     _start_timer();
   121105     label = 4;
   121106     break;
   121107    case 4:
   121108     HEAP8[166152] = 0;
   121109     HEAP32[41526] = $g;
   121110     $root = $g + 32 | 0;
   121111     $1 = HEAP32[$root >> 2] | 0;
   121112     $2 = $1;
   121113     $call = _agnedges($2) | 0;
   121114     $add = $call << 2;
   121115     $mul = $add + 4 | 0;
   121116     $call1 = _zmalloc($mul) | 0;
   121117     $3 = $call1;
   121118     HEAP32[41348] = $3;
   121119     $call3 = _zmalloc($mul) | 0;
   121120     $4 = $call3;
   121121     HEAP32[41344] = $4;
   121122     _mincross_options($g);
   121123     _class2($g);
   121124     _decompose($g, 1);
   121125     _allocate_ranks($g);
   121126     _ordered_edges($g);
   121127     $minrank = $g + 248 | 0;
   121128     $5 = HEAP16[$minrank >> 1] | 0;
   121129     $conv = $5 << 16 >> 16;
   121130     HEAP32[41854] = $conv;
   121131     $maxrank = $g + 250 | 0;
   121132     $6 = HEAP16[$maxrank >> 1] | 0;
   121133     $conv5 = $6 << 16 >> 16;
   121134     HEAP32[41856] = $conv5;
   121135     return;
   121136   }
   121137 }
   121138 function _mincross($g, $startpass, $doBalance) {
   121139   $g = $g | 0;
   121140   $startpass = $startpass | 0;
   121141   $doBalance = $doBalance | 0;
   121142   var $cmp = 0, $call = 0, $cur_cross_1_ph = 0, $root = 0, $maxthispass_0 = 0, $pass_0 = 0, $cur_cross_1 = 0, $best_cross_1 = 0, $cmp1 = 0, $cmp2 = 0, $0 = 0, $cmp4 = 0, $cond = 0, $1 = 0, $cmp5 = 0, $cmp8 = 0, $call11 = 0, $cmp12 = 0, $cmp16 = 0, $maxthispass_1 = 0, $cur_cross_2 = 0, $best_cross_3 = 0, $cmp213 = 0, $best_cross_47 = 0, $cur_cross_36 = 0, $trying_05 = 0, $iter_04 = 0, $2 = 0, $tobool = 0, $3 = 0, $call24 = 0, $inc = 0, $4 = 0, $cmp26 = 0, $cmp29 = 0, $or_cond = 0, $call32 = 0, $cmp33 = 0, $conv = 0.0, $5 = 0.0, $conv35 = 0.0, $mul = 0.0, $cmp36 = 0, $trying_1 = 0, $trying_2 = 0, $best_cross_5 = 0, $inc41 = 0, $cmp21 = 0, $best_cross_4_lcssa = 0, $cur_cross_3_lcssa = 0, $cmp42 = 0, $inc47 = 0, $maxthispass_2 = 0, $cur_cross_4 = 0, $best_cross_6 = 0, $cmp49 = 0, $cmp53 = 0, $call56 = 0, $best_cross_7 = 0, $tobool58 = 0, $cmp611 = 0, $or_cond11 = 0, $iter_12 = 0, $inc66 = 0, $cmp61 = 0, label = 0, __stackBase__ = 0;
   121143   __stackBase__ = STACKTOP;
   121144   label = 2;
   121145   while (1) switch (label | 0) {
   121146    case 2:
   121147     $cmp = ($startpass | 0) > 1;
   121148     if ($cmp) {
   121149       label = 3;
   121150       break;
   121151     } else {
   121152       $cur_cross_1_ph = 2147483647;
   121153       label = 4;
   121154       break;
   121155     }
   121156    case 3:
   121157     $call = _ncross(0) | 0;
   121158     _save_best($g);
   121159     $cur_cross_1_ph = $call;
   121160     label = 4;
   121161     break;
   121162    case 4:
   121163     $root = $g + 32 | 0;
   121164     $best_cross_1 = $cur_cross_1_ph;
   121165     $cur_cross_1 = $cur_cross_1_ph;
   121166     $pass_0 = $startpass;
   121167     $maxthispass_0 = 0;
   121168     label = 5;
   121169     break;
   121170    case 5:
   121171     $cmp1 = ($pass_0 | 0) < 3;
   121172     if ($cmp1) {
   121173       label = 6;
   121174       break;
   121175     } else {
   121176       $best_cross_6 = $best_cross_1;
   121177       $cur_cross_4 = $cur_cross_1;
   121178       $maxthispass_2 = $maxthispass_0;
   121179       label = 23;
   121180       break;
   121181     }
   121182    case 6:
   121183     $cmp2 = ($pass_0 | 0) < 2;
   121184     $0 = HEAP32[41634] | 0;
   121185     if ($cmp2) {
   121186       label = 7;
   121187       break;
   121188     } else {
   121189       label = 13;
   121190       break;
   121191     }
   121192    case 7:
   121193     $cmp4 = ($0 | 0) > 4;
   121194     $cond = $cmp4 ? 4 : $0;
   121195     $1 = HEAP32[$root >> 2] | 0;
   121196     $cmp5 = ($1 | 0) == ($g | 0);
   121197     if ($cmp5) {
   121198       label = 8;
   121199       break;
   121200     } else {
   121201       label = 9;
   121202       break;
   121203     }
   121204    case 8:
   121205     _build_ranks($g, $pass_0);
   121206     label = 9;
   121207     break;
   121208    case 9:
   121209     $cmp8 = ($pass_0 | 0) == 0;
   121210     if ($cmp8) {
   121211       label = 10;
   121212       break;
   121213     } else {
   121214       label = 11;
   121215       break;
   121216     }
   121217    case 10:
   121218     _flat_breakcycles($g);
   121219     label = 11;
   121220     break;
   121221    case 11:
   121222     _flat_reorder($g);
   121223     $call11 = _ncross(0) | 0;
   121224     $cmp12 = ($call11 | 0) > ($best_cross_1 | 0);
   121225     if ($cmp12) {
   121226       $best_cross_3 = $best_cross_1;
   121227       $cur_cross_2 = $call11;
   121228       $maxthispass_1 = $cond;
   121229       label = 15;
   121230       break;
   121231     } else {
   121232       label = 12;
   121233       break;
   121234     }
   121235    case 12:
   121236     _save_best($g);
   121237     $best_cross_3 = $call11;
   121238     $cur_cross_2 = $call11;
   121239     $maxthispass_1 = $cond;
   121240     label = 15;
   121241     break;
   121242    case 13:
   121243     $cmp16 = ($cur_cross_1 | 0) > ($best_cross_1 | 0);
   121244     if ($cmp16) {
   121245       label = 14;
   121246       break;
   121247     } else {
   121248       $best_cross_3 = $best_cross_1;
   121249       $cur_cross_2 = $best_cross_1;
   121250       $maxthispass_1 = $0;
   121251       label = 15;
   121252       break;
   121253     }
   121254    case 14:
   121255     _restore_best($g);
   121256     $best_cross_3 = $best_cross_1;
   121257     $cur_cross_2 = $best_cross_1;
   121258     $maxthispass_1 = $0;
   121259     label = 15;
   121260     break;
   121261    case 15:
   121262     $cmp213 = ($maxthispass_1 | 0) > 0;
   121263     if ($cmp213) {
   121264       $iter_04 = 0;
   121265       $trying_05 = 0;
   121266       $cur_cross_36 = $cur_cross_2;
   121267       $best_cross_47 = $best_cross_3;
   121268       label = 16;
   121269       break;
   121270     } else {
   121271       $cur_cross_3_lcssa = $cur_cross_2;
   121272       $best_cross_4_lcssa = $best_cross_3;
   121273       label = 22;
   121274       break;
   121275     }
   121276    case 16:
   121277     $2 = HEAP8[164912] | 0;
   121278     $tobool = $2 << 24 >> 24 == 0;
   121279     if ($tobool) {
   121280       label = 18;
   121281       break;
   121282     } else {
   121283       label = 17;
   121284       break;
   121285     }
   121286    case 17:
   121287     $3 = HEAP32[_stderr >> 2] | 0;
   121288     $call24 = _fprintf($3 | 0, 149432, (tempInt = STACKTOP, STACKTOP = STACKTOP + 40 | 0, HEAP32[tempInt >> 2] = $pass_0, HEAP32[tempInt + 8 >> 2] = $iter_04, HEAP32[tempInt + 16 >> 2] = $trying_05, HEAP32[tempInt + 24 >> 2] = $cur_cross_36, HEAP32[tempInt + 32 >> 2] = $best_cross_47, tempInt) | 0) | 0;
   121289     label = 18;
   121290     break;
   121291    case 18:
   121292     $inc = $trying_05 + 1 | 0;
   121293     $4 = HEAP32[41630] | 0;
   121294     $cmp26 = ($trying_05 | 0) >= ($4 | 0);
   121295     $cmp29 = ($cur_cross_36 | 0) == 0;
   121296     $or_cond = $cmp26 | $cmp29;
   121297     if ($or_cond) {
   121298       $cur_cross_3_lcssa = $cur_cross_36;
   121299       $best_cross_4_lcssa = $best_cross_47;
   121300       label = 22;
   121301       break;
   121302     } else {
   121303       label = 19;
   121304       break;
   121305     }
   121306    case 19:
   121307     _mincross_step($g, $iter_04);
   121308     $call32 = _ncross(0) | 0;
   121309     $cmp33 = ($call32 | 0) > ($best_cross_47 | 0);
   121310     if ($cmp33) {
   121311       $best_cross_5 = $best_cross_47;
   121312       $trying_2 = $inc;
   121313       label = 21;
   121314       break;
   121315     } else {
   121316       label = 20;
   121317       break;
   121318     }
   121319    case 20:
   121320     _save_best($g);
   121321     $conv = +($call32 | 0);
   121322     $5 = +HEAPF64[20993];
   121323     $conv35 = +($best_cross_47 | 0);
   121324     $mul = $conv35 * $5;
   121325     $cmp36 = $conv < $mul;
   121326     $trying_1 = $cmp36 ? 0 : $inc;
   121327     $best_cross_5 = $call32;
   121328     $trying_2 = $trying_1;
   121329     label = 21;
   121330     break;
   121331    case 21:
   121332     $inc41 = $iter_04 + 1 | 0;
   121333     $cmp21 = ($inc41 | 0) < ($maxthispass_1 | 0);
   121334     if ($cmp21) {
   121335       $iter_04 = $inc41;
   121336       $trying_05 = $trying_2;
   121337       $cur_cross_36 = $call32;
   121338       $best_cross_47 = $best_cross_5;
   121339       label = 16;
   121340       break;
   121341     } else {
   121342       $cur_cross_3_lcssa = $call32;
   121343       $best_cross_4_lcssa = $best_cross_5;
   121344       label = 22;
   121345       break;
   121346     }
   121347    case 22:
   121348     $cmp42 = ($cur_cross_3_lcssa | 0) == 0;
   121349     $inc47 = $pass_0 + 1 | 0;
   121350     if ($cmp42) {
   121351       $best_cross_6 = $best_cross_4_lcssa;
   121352       $cur_cross_4 = 0;
   121353       $maxthispass_2 = $maxthispass_1;
   121354       label = 23;
   121355       break;
   121356     } else {
   121357       $best_cross_1 = $best_cross_4_lcssa;
   121358       $cur_cross_1 = $cur_cross_3_lcssa;
   121359       $pass_0 = $inc47;
   121360       $maxthispass_0 = $maxthispass_1;
   121361       label = 5;
   121362       break;
   121363     }
   121364    case 23:
   121365     $cmp49 = ($cur_cross_4 | 0) > ($best_cross_6 | 0);
   121366     if ($cmp49) {
   121367       label = 24;
   121368       break;
   121369     } else {
   121370       label = 25;
   121371       break;
   121372     }
   121373    case 24:
   121374     _restore_best($g);
   121375     label = 25;
   121376     break;
   121377    case 25:
   121378     $cmp53 = ($best_cross_6 | 0) > 0;
   121379     if ($cmp53) {
   121380       label = 26;
   121381       break;
   121382     } else {
   121383       $best_cross_7 = $best_cross_6;
   121384       label = 27;
   121385       break;
   121386     }
   121387    case 26:
   121388     _transpose($g, 0);
   121389     $call56 = _ncross(0) | 0;
   121390     $best_cross_7 = $call56;
   121391     label = 27;
   121392     break;
   121393    case 27:
   121394     $tobool58 = ($doBalance | 0) != 0;
   121395     $cmp611 = ($maxthispass_2 | 0) > 0;
   121396     $or_cond11 = $tobool58 & $cmp611;
   121397     if ($or_cond11) {
   121398       $iter_12 = 0;
   121399       label = 28;
   121400       break;
   121401     } else {
   121402       label = 29;
   121403       break;
   121404     }
   121405    case 28:
   121406     _balance($g);
   121407     $inc66 = $iter_12 + 1 | 0;
   121408     $cmp61 = ($inc66 | 0) < ($maxthispass_2 | 0);
   121409     if ($cmp61) {
   121410       $iter_12 = $inc66;
   121411       label = 28;
   121412       break;
   121413     } else {
   121414       label = 29;
   121415       break;
   121416     }
   121417    case 29:
   121418     STACKTOP = __stackBase__;
   121419     return $best_cross_7 | 0;
   121420   }
   121421   return 0;
   121422 }
   121423 function _merge2($g) {
   121424   $g = $g | 0;
   121425   var $minrank = 0, $0 = 0, $maxrank = 0, $1 = 0, $cmp34 = 0, $conv = 0, $rank = 0, $name = 0, $r_035 = 0, $2 = 0, $an = 0, $3 = 0, $n = 0, $4 = 0, $av = 0, $5 = 0, $v14 = 0, $6 = 0, $n1930 = 0, $7 = 0, $cmp2031 = 0, $8 = 0, $9 = 0, $i_032 = 0, $v26 = 0, $10 = 0, $arrayidx27 = 0, $11 = 0, $cmp28 = 0, $12 = 0, $tobool = 0, $13 = 0, $14 = 0, $call = 0, $15 = 0, $n38 = 0, $order = 0, $inc = 0, $16 = 0, $n19 = 0, $17 = 0, $cmp20 = 0, $inc42 = 0, $18 = 0, $conv2 = 0, $cmp = 0, label = 0, __stackBase__ = 0;
   121426   __stackBase__ = STACKTOP;
   121427   label = 2;
   121428   while (1) switch (label | 0) {
   121429    case 2:
   121430     _merge_components($g);
   121431     $minrank = $g + 248 | 0;
   121432     $0 = HEAP16[$minrank >> 1] | 0;
   121433     $maxrank = $g + 250 | 0;
   121434     $1 = HEAP16[$maxrank >> 1] | 0;
   121435     $cmp34 = $0 << 16 >> 16 > $1 << 16 >> 16;
   121436     if ($cmp34) {
   121437       label = 11;
   121438       break;
   121439     } else {
   121440       label = 3;
   121441       break;
   121442     }
   121443    case 3:
   121444     $conv = $0 << 16 >> 16;
   121445     $rank = $g + 224 | 0;
   121446     $name = $g + 12 | 0;
   121447     $r_035 = $conv;
   121448     label = 4;
   121449     break;
   121450    case 4:
   121451     $2 = HEAP32[$rank >> 2] | 0;
   121452     $an = $2 + ($r_035 * 44 & -1) + 8 | 0;
   121453     $3 = HEAP32[$an >> 2] | 0;
   121454     $n = $2 + ($r_035 * 44 & -1) | 0;
   121455     HEAP32[$n >> 2] = $3;
   121456     $4 = HEAP32[$rank >> 2] | 0;
   121457     $av = $4 + ($r_035 * 44 & -1) + 12 | 0;
   121458     $5 = HEAP32[$av >> 2] | 0;
   121459     $v14 = $4 + ($r_035 * 44 & -1) + 4 | 0;
   121460     HEAP32[$v14 >> 2] = $5;
   121461     $6 = HEAP32[$rank >> 2] | 0;
   121462     $n1930 = $6 + ($r_035 * 44 & -1) | 0;
   121463     $7 = HEAP32[$n1930 >> 2] | 0;
   121464     $cmp2031 = ($7 | 0) > 0;
   121465     if ($cmp2031) {
   121466       $i_032 = 0;
   121467       $9 = $6;
   121468       $8 = $7;
   121469       label = 5;
   121470       break;
   121471     } else {
   121472       label = 10;
   121473       break;
   121474     }
   121475    case 5:
   121476     $v26 = $9 + ($r_035 * 44 & -1) + 4 | 0;
   121477     $10 = HEAP32[$v26 >> 2] | 0;
   121478     $arrayidx27 = $10 + ($i_032 << 2) | 0;
   121479     $11 = HEAP32[$arrayidx27 >> 2] | 0;
   121480     $cmp28 = ($11 | 0) == 0;
   121481     if ($cmp28) {
   121482       label = 6;
   121483       break;
   121484     } else {
   121485       label = 9;
   121486       break;
   121487     }
   121488    case 6:
   121489     $12 = HEAP8[164912] | 0;
   121490     $tobool = $12 << 24 >> 24 == 0;
   121491     if ($tobool) {
   121492       label = 8;
   121493       break;
   121494     } else {
   121495       label = 7;
   121496       break;
   121497     }
   121498    case 7:
   121499     $13 = HEAP32[_stderr >> 2] | 0;
   121500     $14 = HEAP32[$name >> 2] | 0;
   121501     $call = _fprintf($13 | 0, 153024, (tempInt = STACKTOP, STACKTOP = STACKTOP + 32 | 0, HEAP32[tempInt >> 2] = $14, HEAP32[tempInt + 8 >> 2] = $r_035, HEAP32[tempInt + 16 >> 2] = $i_032, HEAP32[tempInt + 24 >> 2] = $8, tempInt) | 0) | 0;
   121502     label = 8;
   121503     break;
   121504    case 8:
   121505     $15 = HEAP32[$rank >> 2] | 0;
   121506     $n38 = $15 + ($r_035 * 44 & -1) | 0;
   121507     HEAP32[$n38 >> 2] = $i_032;
   121508     label = 10;
   121509     break;
   121510    case 9:
   121511     $order = $11 + 240 | 0;
   121512     HEAP32[$order >> 2] = $i_032;
   121513     $inc = $i_032 + 1 | 0;
   121514     $16 = HEAP32[$rank >> 2] | 0;
   121515     $n19 = $16 + ($r_035 * 44 & -1) | 0;
   121516     $17 = HEAP32[$n19 >> 2] | 0;
   121517     $cmp20 = ($inc | 0) < ($17 | 0);
   121518     if ($cmp20) {
   121519       $i_032 = $inc;
   121520       $9 = $16;
   121521       $8 = $17;
   121522       label = 5;
   121523       break;
   121524     } else {
   121525       label = 10;
   121526       break;
   121527     }
   121528    case 10:
   121529     $inc42 = $r_035 + 1 | 0;
   121530     $18 = HEAP16[$maxrank >> 1] | 0;
   121531     $conv2 = $18 << 16 >> 16;
   121532     $cmp = ($inc42 | 0) > ($conv2 | 0);
   121533     if ($cmp) {
   121534       label = 11;
   121535       break;
   121536     } else {
   121537       $r_035 = $inc42;
   121538       label = 4;
   121539       break;
   121540     }
   121541    case 11:
   121542     STACKTOP = __stackBase__;
   121543     return;
   121544   }
   121545 }
   121546 function _mincross_clust($g, $doBalance) {
   121547   $g = $g | 0;
   121548   $doBalance = $doBalance | 0;
   121549   var $0 = 0, $call = 0, $n_cluster = 0, $1 = 0, $cmp1 = 0, $clust = 0, $nc_03 = 0, $c_02 = 0, $2 = 0, $arrayidx = 0, $3 = 0, $call2 = 0, $add = 0, $inc = 0, $4 = 0, $cmp = 0, $nc_0_lcssa = 0, label = 0;
   121550   label = 2;
   121551   while (1) switch (label | 0) {
   121552    case 2:
   121553     $0 = $g;
   121554     _expand_cluster($0);
   121555     _ordered_edges($g);
   121556     _flat_breakcycles($g);
   121557     _flat_reorder($g);
   121558     $call = _mincross($g, 2, $doBalance) | 0;
   121559     $n_cluster = $g + 212 | 0;
   121560     $1 = HEAP32[$n_cluster >> 2] | 0;
   121561     $cmp1 = ($1 | 0) < 1;
   121562     if ($cmp1) {
   121563       $nc_0_lcssa = $call;
   121564       label = 5;
   121565       break;
   121566     } else {
   121567       label = 3;
   121568       break;
   121569     }
   121570    case 3:
   121571     $clust = $g + 216 | 0;
   121572     $c_02 = 1;
   121573     $nc_03 = $call;
   121574     label = 4;
   121575     break;
   121576    case 4:
   121577     $2 = HEAP32[$clust >> 2] | 0;
   121578     $arrayidx = $2 + ($c_02 << 2) | 0;
   121579     $3 = HEAP32[$arrayidx >> 2] | 0;
   121580     $call2 = _mincross_clust($3, $doBalance) | 0;
   121581     $add = $call2 + $nc_03 | 0;
   121582     $inc = $c_02 + 1 | 0;
   121583     $4 = HEAP32[$n_cluster >> 2] | 0;
   121584     $cmp = ($inc | 0) > ($4 | 0);
   121585     if ($cmp) {
   121586       $nc_0_lcssa = $add;
   121587       label = 5;
   121588       break;
   121589     } else {
   121590       $c_02 = $inc;
   121591       $nc_03 = $add;
   121592       label = 4;
   121593       break;
   121594     }
   121595    case 5:
   121596     _save_vlist($g);
   121597     return $nc_0_lcssa | 0;
   121598   }
   121599   return 0;
   121600 }
   121601 function _cleanup2($g, $nc) {
   121602   $g = $g | 0;
   121603   $nc = $nc | 0;
   121604   var $0 = 0, $tobool = 0, $1 = 0, $2 = 0, $tobool1 = 0, $3 = 0, $n_cluster = 0, $4 = 0, $cmp33 = 0, $clust = 0, $c_034 = 0, $5 = 0, $arrayidx = 0, $6 = 0, $inc = 0, $7 = 0, $cmp = 0, $minrank = 0, $8 = 0, $maxrank = 0, $9 = 0, $cmp930 = 0, $conv = 0, $rank = 0, $r_031 = 0, $10 = 0, $n26 = 0, $11 = 0, $cmp1527 = 0, $12 = 0, $i_028 = 0, $v21 = 0, $13 = 0, $arrayidx22 = 0, $14 = 0, $order = 0, $list = 0, $15 = 0, $tobool25 = 0, $16 = 0, $17 = 0, $tobool3224 = 0, $18 = 0, $j_025 = 0, $edge_type = 0, $19 = 0, $cmp36 = 0, $20 = 0, $dec = 0, $j_1 = 0, $inc41 = 0, $21 = 0, $arrayidx31 = 0, $22 = 0, $tobool32 = 0, $inc45 = 0, $23 = 0, $n = 0, $24 = 0, $cmp15 = 0, $_lcssa = 0, $flat = 0, $25 = 0, $inc51 = 0, $26 = 0, $conv8 = 0, $cmp9 = 0, $27 = 0, $tobool53 = 0, $28 = 0, $name = 0, $29 = 0, $call = 0.0, $call55 = 0, label = 0, __stackBase__ = 0;
   121605   __stackBase__ = STACKTOP;
   121606   label = 2;
   121607   while (1) switch (label | 0) {
   121608    case 2:
   121609     $0 = HEAP32[41344] | 0;
   121610     $tobool = ($0 | 0) == 0;
   121611     if ($tobool) {
   121612       label = 4;
   121613       break;
   121614     } else {
   121615       label = 3;
   121616       break;
   121617     }
   121618    case 3:
   121619     $1 = $0;
   121620     _free($1);
   121621     HEAP32[41344] = 0;
   121622     label = 4;
   121623     break;
   121624    case 4:
   121625     $2 = HEAP32[41348] | 0;
   121626     $tobool1 = ($2 | 0) == 0;
   121627     if ($tobool1) {
   121628       label = 6;
   121629       break;
   121630     } else {
   121631       label = 5;
   121632       break;
   121633     }
   121634    case 5:
   121635     $3 = $2;
   121636     _free($3);
   121637     HEAP32[41348] = 0;
   121638     label = 6;
   121639     break;
   121640    case 6:
   121641     $n_cluster = $g + 212 | 0;
   121642     $4 = HEAP32[$n_cluster >> 2] | 0;
   121643     $cmp33 = ($4 | 0) < 1;
   121644     if ($cmp33) {
   121645       label = 9;
   121646       break;
   121647     } else {
   121648       label = 7;
   121649       break;
   121650     }
   121651    case 7:
   121652     $clust = $g + 216 | 0;
   121653     $c_034 = 1;
   121654     label = 8;
   121655     break;
   121656    case 8:
   121657     $5 = HEAP32[$clust >> 2] | 0;
   121658     $arrayidx = $5 + ($c_034 << 2) | 0;
   121659     $6 = HEAP32[$arrayidx >> 2] | 0;
   121660     _rec_reset_vlists($6);
   121661     $inc = $c_034 + 1 | 0;
   121662     $7 = HEAP32[$n_cluster >> 2] | 0;
   121663     $cmp = ($inc | 0) > ($7 | 0);
   121664     if ($cmp) {
   121665       label = 9;
   121666       break;
   121667     } else {
   121668       $c_034 = $inc;
   121669       label = 8;
   121670       break;
   121671     }
   121672    case 9:
   121673     $minrank = $g + 248 | 0;
   121674     $8 = HEAP16[$minrank >> 1] | 0;
   121675     $maxrank = $g + 250 | 0;
   121676     $9 = HEAP16[$maxrank >> 1] | 0;
   121677     $cmp930 = $8 << 16 >> 16 > $9 << 16 >> 16;
   121678     if ($cmp930) {
   121679       label = 19;
   121680       break;
   121681     } else {
   121682       label = 10;
   121683       break;
   121684     }
   121685    case 10:
   121686     $conv = $8 << 16 >> 16;
   121687     $rank = $g + 224 | 0;
   121688     $r_031 = $conv;
   121689     label = 11;
   121690     break;
   121691    case 11:
   121692     $10 = HEAP32[$rank >> 2] | 0;
   121693     $n26 = $10 + ($r_031 * 44 & -1) | 0;
   121694     $11 = HEAP32[$n26 >> 2] | 0;
   121695     $cmp1527 = ($11 | 0) > 0;
   121696     if ($cmp1527) {
   121697       $i_028 = 0;
   121698       $12 = $10;
   121699       label = 12;
   121700       break;
   121701     } else {
   121702       $_lcssa = $10;
   121703       label = 18;
   121704       break;
   121705     }
   121706    case 12:
   121707     $v21 = $12 + ($r_031 * 44 & -1) + 4 | 0;
   121708     $13 = HEAP32[$v21 >> 2] | 0;
   121709     $arrayidx22 = $13 + ($i_028 << 2) | 0;
   121710     $14 = HEAP32[$arrayidx22 >> 2] | 0;
   121711     $order = $14 + 240 | 0;
   121712     HEAP32[$order >> 2] = $i_028;
   121713     $list = $14 + 192 | 0;
   121714     $15 = HEAP32[$list >> 2] | 0;
   121715     $tobool25 = ($15 | 0) == 0;
   121716     if ($tobool25) {
   121717       label = 17;
   121718       break;
   121719     } else {
   121720       label = 13;
   121721       break;
   121722     }
   121723    case 13:
   121724     $16 = HEAP32[$list >> 2] | 0;
   121725     $17 = HEAP32[$16 >> 2] | 0;
   121726     $tobool3224 = ($17 | 0) == 0;
   121727     if ($tobool3224) {
   121728       label = 17;
   121729       break;
   121730     } else {
   121731       $j_025 = 0;
   121732       $18 = $17;
   121733       label = 14;
   121734       break;
   121735     }
   121736    case 14:
   121737     $edge_type = $18 + 128 | 0;
   121738     $19 = HEAP8[$edge_type] | 0;
   121739     $cmp36 = $19 << 24 >> 24 == 4;
   121740     if ($cmp36) {
   121741       label = 15;
   121742       break;
   121743     } else {
   121744       $j_1 = $j_025;
   121745       label = 16;
   121746       break;
   121747     }
   121748    case 15:
   121749     _delete_flat_edge($18);
   121750     $20 = $18 | 0;
   121751     _free($20);
   121752     $dec = $j_025 - 1 | 0;
   121753     $j_1 = $dec;
   121754     label = 16;
   121755     break;
   121756    case 16:
   121757     $inc41 = $j_1 + 1 | 0;
   121758     $21 = HEAP32[$list >> 2] | 0;
   121759     $arrayidx31 = $21 + ($inc41 << 2) | 0;
   121760     $22 = HEAP32[$arrayidx31 >> 2] | 0;
   121761     $tobool32 = ($22 | 0) == 0;
   121762     if ($tobool32) {
   121763       label = 17;
   121764       break;
   121765     } else {
   121766       $j_025 = $inc41;
   121767       $18 = $22;
   121768       label = 14;
   121769       break;
   121770     }
   121771    case 17:
   121772     $inc45 = $i_028 + 1 | 0;
   121773     $23 = HEAP32[$rank >> 2] | 0;
   121774     $n = $23 + ($r_031 * 44 & -1) | 0;
   121775     $24 = HEAP32[$n >> 2] | 0;
   121776     $cmp15 = ($inc45 | 0) < ($24 | 0);
   121777     if ($cmp15) {
   121778       $i_028 = $inc45;
   121779       $12 = $23;
   121780       label = 12;
   121781       break;
   121782     } else {
   121783       $_lcssa = $23;
   121784       label = 18;
   121785       break;
   121786     }
   121787    case 18:
   121788     $flat = $_lcssa + ($r_031 * 44 & -1) + 40 | 0;
   121789     $25 = HEAP32[$flat >> 2] | 0;
   121790     _free_matrix($25);
   121791     $inc51 = $r_031 + 1 | 0;
   121792     $26 = HEAP16[$maxrank >> 1] | 0;
   121793     $conv8 = $26 << 16 >> 16;
   121794     $cmp9 = ($inc51 | 0) > ($conv8 | 0);
   121795     if ($cmp9) {
   121796       label = 19;
   121797       break;
   121798     } else {
   121799       $r_031 = $inc51;
   121800       label = 11;
   121801       break;
   121802     }
   121803    case 19:
   121804     $27 = HEAP8[164912] | 0;
   121805     $tobool53 = $27 << 24 >> 24 == 0;
   121806     if ($tobool53) {
   121807       label = 21;
   121808       break;
   121809     } else {
   121810       label = 20;
   121811       break;
   121812     }
   121813    case 20:
   121814     $28 = HEAP32[_stderr >> 2] | 0;
   121815     $name = $g + 12 | 0;
   121816     $29 = HEAP32[$name >> 2] | 0;
   121817     $call = +_elapsed_sec();
   121818     $call55 = _fprintf($28 | 0, 156488, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = $29, HEAP32[tempInt + 8 >> 2] = $nc, HEAPF64[tempInt + 16 >> 3] = $call, tempInt) | 0) | 0;
   121819     label = 21;
   121820     break;
   121821    case 21:
   121822     STACKTOP = __stackBase__;
   121823     return;
   121824   }
   121825 }
   121826 function _rec_save_vlists($g) {
   121827   $g = $g | 0;
   121828   var $n_cluster = 0, $0 = 0, $cmp5 = 0, $clust = 0, $c_06 = 0, $1 = 0, $arrayidx = 0, $2 = 0, $inc = 0, $3 = 0, $cmp = 0, label = 0;
   121829   label = 2;
   121830   while (1) switch (label | 0) {
   121831    case 2:
   121832     _save_vlist($g);
   121833     $n_cluster = $g + 212 | 0;
   121834     $0 = HEAP32[$n_cluster >> 2] | 0;
   121835     $cmp5 = ($0 | 0) < 1;
   121836     if ($cmp5) {
   121837       label = 5;
   121838       break;
   121839     } else {
   121840       label = 3;
   121841       break;
   121842     }
   121843    case 3:
   121844     $clust = $g + 216 | 0;
   121845     $c_06 = 1;
   121846     label = 4;
   121847     break;
   121848    case 4:
   121849     $1 = HEAP32[$clust >> 2] | 0;
   121850     $arrayidx = $1 + ($c_06 << 2) | 0;
   121851     $2 = HEAP32[$arrayidx >> 2] | 0;
   121852     _rec_save_vlists($2);
   121853     $inc = $c_06 + 1 | 0;
   121854     $3 = HEAP32[$n_cluster >> 2] | 0;
   121855     $cmp = ($inc | 0) > ($3 | 0);
   121856     if ($cmp) {
   121857       label = 5;
   121858       break;
   121859     } else {
   121860       $c_06 = $inc;
   121861       label = 4;
   121862       break;
   121863     }
   121864    case 5:
   121865     return;
   121866   }
   121867 }
   121868 function _rec_reset_vlists($g) {
   121869   $g = $g | 0;
   121870   var $n_cluster = 0, $0 = 0, $cmp26 = 0, $clust = 0, $c_027 = 0, $1 = 0, $arrayidx = 0, $2 = 0, $inc = 0, $3 = 0, $cmp = 0, $rankleader = 0, $4 = 0, $tobool = 0, $minrank = 0, $5 = 0, $maxrank = 0, $6 = 0, $cmp824 = 0, $conv = 0, $root = 0, $rank23 = 0, $r_025 = 0, $7 = 0, $arrayidx13 = 0, $8 = 0, $call = 0, $call14 = 0, $9 = 0, $arrayidx17 = 0, $10 = 0, $rank = 0, $11 = 0, $v20 = 0, $12 = 0, $order = 0, $13 = 0, $add_ptr = 0, $14 = 0, $v25 = 0, $order27 = 0, $15 = 0, $16 = 0, $sub = 0, $add = 0, $17 = 0, $n = 0, $inc34 = 0, $18 = 0, $conv7 = 0, $cmp8 = 0, label = 0;
   121871   label = 2;
   121872   while (1) switch (label | 0) {
   121873    case 2:
   121874     $n_cluster = $g + 212 | 0;
   121875     $0 = HEAP32[$n_cluster >> 2] | 0;
   121876     $cmp26 = ($0 | 0) < 1;
   121877     if ($cmp26) {
   121878       label = 5;
   121879       break;
   121880     } else {
   121881       label = 3;
   121882       break;
   121883     }
   121884    case 3:
   121885     $clust = $g + 216 | 0;
   121886     $c_027 = 1;
   121887     label = 4;
   121888     break;
   121889    case 4:
   121890     $1 = HEAP32[$clust >> 2] | 0;
   121891     $arrayidx = $1 + ($c_027 << 2) | 0;
   121892     $2 = HEAP32[$arrayidx >> 2] | 0;
   121893     _rec_reset_vlists($2);
   121894     $inc = $c_027 + 1 | 0;
   121895     $3 = HEAP32[$n_cluster >> 2] | 0;
   121896     $cmp = ($inc | 0) > ($3 | 0);
   121897     if ($cmp) {
   121898       label = 5;
   121899       break;
   121900     } else {
   121901       $c_027 = $inc;
   121902       label = 4;
   121903       break;
   121904     }
   121905    case 5:
   121906     $rankleader = $g + 280 | 0;
   121907     $4 = HEAP32[$rankleader >> 2] | 0;
   121908     $tobool = ($4 | 0) == 0;
   121909     if ($tobool) {
   121910       label = 9;
   121911       break;
   121912     } else {
   121913       label = 6;
   121914       break;
   121915     }
   121916    case 6:
   121917     $minrank = $g + 248 | 0;
   121918     $5 = HEAP16[$minrank >> 1] | 0;
   121919     $maxrank = $g + 250 | 0;
   121920     $6 = HEAP16[$maxrank >> 1] | 0;
   121921     $cmp824 = $5 << 16 >> 16 > $6 << 16 >> 16;
   121922     if ($cmp824) {
   121923       label = 9;
   121924       break;
   121925     } else {
   121926       label = 7;
   121927       break;
   121928     }
   121929    case 7:
   121930     $conv = $5 << 16 >> 16;
   121931     $root = $g + 32 | 0;
   121932     $rank23 = $g + 224 | 0;
   121933     $r_025 = $conv;
   121934     label = 8;
   121935     break;
   121936    case 8:
   121937     $7 = HEAP32[$rankleader >> 2] | 0;
   121938     $arrayidx13 = $7 + ($r_025 << 2) | 0;
   121939     $8 = HEAP32[$arrayidx13 >> 2] | 0;
   121940     $call = _furthestnode($g, $8, -1) | 0;
   121941     $call14 = _furthestnode($g, $8, 1) | 0;
   121942     $9 = HEAP32[$rankleader >> 2] | 0;
   121943     $arrayidx17 = $9 + ($r_025 << 2) | 0;
   121944     HEAP32[$arrayidx17 >> 2] = $call;
   121945     $10 = HEAP32[$root >> 2] | 0;
   121946     $rank = $10 + 224 | 0;
   121947     $11 = HEAP32[$rank >> 2] | 0;
   121948     $v20 = $11 + ($r_025 * 44 & -1) + 4 | 0;
   121949     $12 = HEAP32[$v20 >> 2] | 0;
   121950     $order = $call + 240 | 0;
   121951     $13 = HEAP32[$order >> 2] | 0;
   121952     $add_ptr = $12 + ($13 << 2) | 0;
   121953     $14 = HEAP32[$rank23 >> 2] | 0;
   121954     $v25 = $14 + ($r_025 * 44 & -1) + 4 | 0;
   121955     HEAP32[$v25 >> 2] = $add_ptr;
   121956     $order27 = $call14 + 240 | 0;
   121957     $15 = HEAP32[$order27 >> 2] | 0;
   121958     $16 = HEAP32[$order >> 2] | 0;
   121959     $sub = $15 + 1 | 0;
   121960     $add = $sub - $16 | 0;
   121961     $17 = HEAP32[$rank23 >> 2] | 0;
   121962     $n = $17 + ($r_025 * 44 & -1) | 0;
   121963     HEAP32[$n >> 2] = $add;
   121964     $inc34 = $r_025 + 1 | 0;
   121965     $18 = HEAP16[$maxrank >> 1] | 0;
   121966     $conv7 = $18 << 16 >> 16;
   121967     $cmp8 = ($inc34 | 0) > ($conv7 | 0);
   121968     if ($cmp8) {
   121969       label = 9;
   121970       break;
   121971     } else {
   121972       $r_025 = $inc34;
   121973       label = 8;
   121974       break;
   121975     }
   121976    case 9:
   121977     return;
   121978   }
   121979 }
   121980 function _furthestnode($g, $v, $dir) {
   121981   $g = $g | 0;
   121982   $v = $v | 0;
   121983   $dir = $dir | 0;
   121984   var $call6 = 0, $tobool7 = 0, $call9 = 0, $rv_08 = 0, $call1 = 0, $tobool2 = 0, $rv_0_be = 0, $call = 0, $tobool = 0, $call3 = 0, $tobool4 = 0, $rv_0_call = 0, $rv_0_lcssa = 0, label = 0;
   121985   label = 2;
   121986   while (1) switch (label | 0) {
   121987    case 2:
   121988     $call6 = _neighbor113($v, $dir) | 0;
   121989     $tobool7 = ($call6 | 0) == 0;
   121990     if ($tobool7) {
   121991       $rv_0_lcssa = $v;
   121992       label = 6;
   121993       break;
   121994     } else {
   121995       $rv_08 = $v;
   121996       $call9 = $call6;
   121997       label = 3;
   121998       break;
   121999     }
   122000    case 3:
   122001     $call1 = _is_a_normal_node_of($g, $call9) | 0;
   122002     $tobool2 = ($call1 | 0) == 0;
   122003     if ($tobool2) {
   122004       label = 5;
   122005       break;
   122006     } else {
   122007       $rv_0_be = $call9;
   122008       label = 4;
   122009       break;
   122010     }
   122011    case 4:
   122012     $call = _neighbor113($call9, $dir) | 0;
   122013     $tobool = ($call | 0) == 0;
   122014     if ($tobool) {
   122015       $rv_0_lcssa = $rv_0_be;
   122016       label = 6;
   122017       break;
   122018     } else {
   122019       $rv_08 = $rv_0_be;
   122020       $call9 = $call;
   122021       label = 3;
   122022       break;
   122023     }
   122024    case 5:
   122025     $call3 = _is_a_vnode_of_an_edge_of($g, $call9) | 0;
   122026     $tobool4 = ($call3 | 0) == 0;
   122027     $rv_0_call = $tobool4 ? $rv_08 : $call9;
   122028     $rv_0_be = $rv_0_call;
   122029     label = 4;
   122030     break;
   122031    case 6:
   122032     return $rv_0_lcssa | 0;
   122033   }
   122034   return 0;
   122035 }
   122036 function _setbounds($v, $bounds, $lpos, $rpos) {
   122037   $v = $v | 0;
   122038   $bounds = $bounds | 0;
   122039   $lpos = $lpos | 0;
   122040   $rpos = $rpos | 0;
   122041   var $l = 0, $r = 0, $node_type = 0, $0 = 0, $cmp = 0, $order = 0, $1 = 0, $size = 0, $2 = 0, $cmp4 = 0, $list61 = 0, $3 = 0, $4 = 0, $tobool36 = 0, $5 = 0, $size8 = 0, $6 = 0, $cmp9 = 0, $list = 0, $7 = 0, $8 = 0, $head = 0, $9 = 0, $arrayidx16 = 0, $10 = 0, $head17 = 0, $11 = 0, $12 = 0, $cmp18 = 0, $arrayidx22 = 0, $13 = 0, $cmp23 = 0, $arrayidx26 = 0, $arrayidx27 = 0, $cmp29 = 0, $cmp31 = 0, $or_cond = 0, $cmp37 = 0, $cmp40 = 0, $or_cond35 = 0, $arrayidx43 = 0, $14 = 0, $cmp44 = 0, $cmp47 = 0, $15 = 0, $cmp50 = 0, $arrayidx53 = 0, $16 = 0, $onright_039 = 0, $onleft_038 = 0, $i_037 = 0, $head63 = 0, $17 = 0, $order65 = 0, $18 = 0, $cmp66 = 0, $cmp73 = 0, $onright_0_ = 0, $onleft_1 = 0, $onright_1 = 0, $inc = 0, $arrayidx62 = 0, $19 = 0, $tobool = 0, $tobool78 = 0, $cmp81 = 0, $or_cond33 = 0, $add = 0, $tobool87 = 0, $cmp90 = 0, $or_cond34 = 0, $sub = 0, $arrayidx93 = 0, label = 0, __stackBase__ = 0;
   122042   __stackBase__ = STACKTOP;
   122043   STACKTOP = STACKTOP + 16 | 0;
   122044   label = 2;
   122045   while (1) switch (label | 0) {
   122046    case 2:
   122047     $l = __stackBase__ | 0;
   122048     $r = __stackBase__ + 8 | 0;
   122049     $node_type = $v + 162 | 0;
   122050     $0 = HEAP8[$node_type] | 0;
   122051     $cmp = $0 << 24 >> 24 == 1;
   122052     if ($cmp) {
   122053       label = 3;
   122054       break;
   122055     } else {
   122056       label = 27;
   122057       break;
   122058     }
   122059    case 3:
   122060     $order = $v + 240 | 0;
   122061     $1 = HEAP32[$order >> 2] | 0;
   122062     $size = $v + 180 | 0;
   122063     $2 = HEAP32[$size >> 2] | 0;
   122064     $cmp4 = ($2 | 0) == 0;
   122065     if ($cmp4) {
   122066       label = 6;
   122067       break;
   122068     } else {
   122069       label = 4;
   122070       break;
   122071     }
   122072    case 4:
   122073     $list61 = $v + 184 | 0;
   122074     $3 = HEAP32[$list61 >> 2] | 0;
   122075     $4 = HEAP32[$3 >> 2] | 0;
   122076     $tobool36 = ($4 | 0) == 0;
   122077     if ($tobool36) {
   122078       label = 27;
   122079       break;
   122080     } else {
   122081       label = 5;
   122082       break;
   122083     }
   122084    case 5:
   122085     $5 = HEAP32[$list61 >> 2] | 0;
   122086     $i_037 = 0;
   122087     $onleft_038 = 0;
   122088     $onright_039 = 0;
   122089     $16 = $4;
   122090     label = 20;
   122091     break;
   122092    case 6:
   122093     $size8 = $v + 188 | 0;
   122094     $6 = HEAP32[$size8 >> 2] | 0;
   122095     $cmp9 = ($6 | 0) == 2;
   122096     if ($cmp9) {
   122097       label = 8;
   122098       break;
   122099     } else {
   122100       label = 7;
   122101       break;
   122102     }
   122103    case 7:
   122104     ___assert_func(97320, 63, 163864, 137424);
   122105    case 8:
   122106     $list = $v + 184 | 0;
   122107     $7 = HEAP32[$list >> 2] | 0;
   122108     $8 = HEAP32[$7 >> 2] | 0;
   122109     $head = $8 + 12 | 0;
   122110     $9 = HEAP32[$head >> 2] | 0;
   122111     $arrayidx16 = $7 + 4 | 0;
   122112     $10 = HEAP32[$arrayidx16 >> 2] | 0;
   122113     $head17 = $10 + 12 | 0;
   122114     $11 = HEAP32[$head17 >> 2] | 0;
   122115     _findlr($9, $11, $l, $r);
   122116     $12 = HEAP32[$r >> 2] | 0;
   122117     $cmp18 = ($12 | 0) > ($lpos | 0);
   122118     if ($cmp18) {
   122119       label = 10;
   122120       break;
   122121     } else {
   122122       label = 9;
   122123       break;
   122124     }
   122125    case 9:
   122126     HEAP32[$bounds >> 2] = $1;
   122127     $arrayidx22 = $bounds + 8 | 0;
   122128     HEAP32[$arrayidx22 >> 2] = $1;
   122129     label = 27;
   122130     break;
   122131    case 10:
   122132     $13 = HEAP32[$l >> 2] | 0;
   122133     $cmp23 = ($13 | 0) < ($rpos | 0);
   122134     if ($cmp23) {
   122135       label = 12;
   122136       break;
   122137     } else {
   122138       label = 11;
   122139       break;
   122140     }
   122141    case 11:
   122142     $arrayidx26 = $bounds + 4 | 0;
   122143     HEAP32[$arrayidx26 >> 2] = $1;
   122144     $arrayidx27 = $bounds + 12 | 0;
   122145     HEAP32[$arrayidx27 >> 2] = $1;
   122146     label = 27;
   122147     break;
   122148    case 12:
   122149     $cmp29 = ($13 | 0) < ($lpos | 0);
   122150     $cmp31 = ($12 | 0) > ($rpos | 0);
   122151     $or_cond = $cmp29 & $cmp31;
   122152     if ($or_cond) {
   122153       label = 27;
   122154       break;
   122155     } else {
   122156       label = 13;
   122157       break;
   122158     }
   122159    case 13:
   122160     if ($cmp29) {
   122161       label = 15;
   122162       break;
   122163     } else {
   122164       label = 14;
   122165       break;
   122166     }
   122167    case 14:
   122168     $cmp37 = ($13 | 0) == ($lpos | 0);
   122169     $cmp40 = ($12 | 0) < ($rpos | 0);
   122170     $or_cond35 = $cmp37 & $cmp40;
   122171     if ($or_cond35) {
   122172       label = 15;
   122173       break;
   122174     } else {
   122175       label = 16;
   122176       break;
   122177     }
   122178    case 15:
   122179     $arrayidx43 = $bounds + 8 | 0;
   122180     HEAP32[$arrayidx43 >> 2] = $1;
   122181     label = 16;
   122182     break;
   122183    case 16:
   122184     $14 = HEAP32[$r >> 2] | 0;
   122185     $cmp44 = ($14 | 0) > ($rpos | 0);
   122186     if ($cmp44) {
   122187       label = 19;
   122188       break;
   122189     } else {
   122190       label = 17;
   122191       break;
   122192     }
   122193    case 17:
   122194     $cmp47 = ($14 | 0) == ($rpos | 0);
   122195     if ($cmp47) {
   122196       label = 18;
   122197       break;
   122198     } else {
   122199       label = 27;
   122200       break;
   122201     }
   122202    case 18:
   122203     $15 = HEAP32[$l >> 2] | 0;
   122204     $cmp50 = ($15 | 0) > ($lpos | 0);
   122205     if ($cmp50) {
   122206       label = 19;
   122207       break;
   122208     } else {
   122209       label = 27;
   122210       break;
   122211     }
   122212    case 19:
   122213     $arrayidx53 = $bounds + 12 | 0;
   122214     HEAP32[$arrayidx53 >> 2] = $1;
   122215     label = 27;
   122216     break;
   122217    case 20:
   122218     $head63 = $16 + 12 | 0;
   122219     $17 = HEAP32[$head63 >> 2] | 0;
   122220     $order65 = $17 + 240 | 0;
   122221     $18 = HEAP32[$order65 >> 2] | 0;
   122222     $cmp66 = ($18 | 0) > ($lpos | 0);
   122223     if ($cmp66) {
   122224       label = 21;
   122225       break;
   122226     } else {
   122227       $onright_1 = $onright_039;
   122228       $onleft_1 = 1;
   122229       label = 22;
   122230       break;
   122231     }
   122232    case 21:
   122233     $cmp73 = ($18 | 0) < ($rpos | 0);
   122234     $onright_0_ = $cmp73 ? $onright_039 : 1;
   122235     $onright_1 = $onright_0_;
   122236     $onleft_1 = $onleft_038;
   122237     label = 22;
   122238     break;
   122239    case 22:
   122240     $inc = $i_037 + 1 | 0;
   122241     $arrayidx62 = $5 + ($inc << 2) | 0;
   122242     $19 = HEAP32[$arrayidx62 >> 2] | 0;
   122243     $tobool = ($19 | 0) == 0;
   122244     if ($tobool) {
   122245       label = 23;
   122246       break;
   122247     } else {
   122248       $i_037 = $inc;
   122249       $onleft_038 = $onleft_1;
   122250       $onright_039 = $onright_1;
   122251       $16 = $19;
   122252       label = 20;
   122253       break;
   122254     }
   122255    case 23:
   122256     $tobool78 = $onleft_1 << 24 >> 24 != 0;
   122257     $cmp81 = $onright_1 << 24 >> 24 == 0;
   122258     $or_cond33 = $tobool78 & $cmp81;
   122259     if ($or_cond33) {
   122260       label = 24;
   122261       break;
   122262     } else {
   122263       label = 25;
   122264       break;
   122265     }
   122266    case 24:
   122267     $add = $1 + 1 | 0;
   122268     HEAP32[$bounds >> 2] = $add;
   122269     label = 25;
   122270     break;
   122271    case 25:
   122272     $tobool87 = $onright_1 << 24 >> 24 != 0;
   122273     $cmp90 = $onleft_1 << 24 >> 24 == 0;
   122274     $or_cond34 = $tobool87 & $cmp90;
   122275     if ($or_cond34) {
   122276       label = 26;
   122277       break;
   122278     } else {
   122279       label = 27;
   122280       break;
   122281     }
   122282    case 26:
   122283     $sub = $1 - 1 | 0;
   122284     $arrayidx93 = $bounds + 4 | 0;
   122285     HEAP32[$arrayidx93 >> 2] = $sub;
   122286     label = 27;
   122287     break;
   122288    case 27:
   122289     STACKTOP = __stackBase__;
   122290     return;
   122291   }
   122292 }
   122293 function _exchange($v, $w) {
   122294   $v = $v | 0;
   122295   $w = $w | 0;
   122296   var $0 = 0, $order = 0, $1 = 0, $order3 = 0, $2 = 0;
   122297   $0 = HEAP32[$v + 236 >> 2] | 0;
   122298   $order = $v + 240 | 0;
   122299   $1 = HEAP32[$order >> 2] | 0;
   122300   $order3 = $w + 240 | 0;
   122301   $2 = HEAP32[$order3 >> 2] | 0;
   122302   HEAP32[$order >> 2] = $2;
   122303   HEAP32[(HEAP32[(HEAP32[(HEAP32[41526] | 0) + 224 >> 2] | 0) + ($0 * 44 & -1) + 4 >> 2] | 0) + ($2 << 2) >> 2] = $v;
   122304   HEAP32[$order3 >> 2] = $1;
   122305   HEAP32[(HEAP32[(HEAP32[(HEAP32[41526] | 0) + 224 >> 2] | 0) + ($0 * 44 & -1) + 4 >> 2] | 0) + ($1 << 2) >> 2] = $w;
   122306   return;
   122307 }
   122308 function _flat_rev($g, $e) {
   122309   $g = $g | 0;
   122310   $e = $e | 0;
   122311   var $head = 0, $0 = 0, $list = 0, $1 = 0, $tobool = 0, $2 = 0, $list4 = 0, $3 = 0, $tail = 0, $j_0 = 0, $arrayidx = 0, $4 = 0, $tobool5 = 0, $head6 = 0, $5 = 0, $6 = 0, $cmp = 0, $inc = 0, $to_virt = 0, $7 = 0, $cmp12 = 0, $edge_type = 0, $8 = 0, $cmp18 = 0, $to_orig = 0, $9 = 0, $cmp21 = 0, $tail27 = 0, $10 = 0, $list29 = 0, $11 = 0, $tobool30 = 0, $12 = 0, $size = 0, $13 = 0, $add = 0, $mul = 0, $call = 0, $size41 = 0, $14 = 0, $add42 = 0, $mul43 = 0, $call44 = 0, $call_sink = 0, $15 = 0, $16 = 0, $list48 = 0, $17 = 0, $size52 = 0, $18 = 0, $inc53 = 0, $19 = 0, $list57 = 0, $20 = 0, $arrayidx58 = 0, $21 = 0, $size62 = 0, $22 = 0, $list66 = 0, $23 = 0, $arrayidx67 = 0, $24 = 0, $tail70 = 0, $25 = 0, $call71 = 0, $edge_type73 = 0, $26 = 0, $cmp75 = 0, $edge_type79 = 0, $_ = 0, $label = 0, $27 = 0, $label86 = 0, label = 0;
   122312   label = 2;
   122313   while (1) switch (label | 0) {
   122314    case 2:
   122315     $head = $e + 12 | 0;
   122316     $0 = HEAP32[$head >> 2] | 0;
   122317     $list = $0 + 192 | 0;
   122318     $1 = HEAP32[$list >> 2] | 0;
   122319     $tobool = ($1 | 0) == 0;
   122320     if ($tobool) {
   122321       label = 15;
   122322       break;
   122323     } else {
   122324       label = 3;
   122325       break;
   122326     }
   122327    case 3:
   122328     $2 = HEAP32[$head >> 2] | 0;
   122329     $list4 = $2 + 192 | 0;
   122330     $3 = HEAP32[$list4 >> 2] | 0;
   122331     $tail = $e + 16 | 0;
   122332     $j_0 = 0;
   122333     label = 4;
   122334     break;
   122335    case 4:
   122336     $arrayidx = $3 + ($j_0 << 2) | 0;
   122337     $4 = HEAP32[$arrayidx >> 2] | 0;
   122338     $tobool5 = ($4 | 0) == 0;
   122339     if ($tobool5) {
   122340       label = 15;
   122341       break;
   122342     } else {
   122343       label = 5;
   122344       break;
   122345     }
   122346    case 5:
   122347     $head6 = $4 + 12 | 0;
   122348     $5 = HEAP32[$head6 >> 2] | 0;
   122349     $6 = HEAP32[$tail >> 2] | 0;
   122350     $cmp = ($5 | 0) == ($6 | 0);
   122351     $inc = $j_0 + 1 | 0;
   122352     if ($cmp) {
   122353       label = 6;
   122354       break;
   122355     } else {
   122356       $j_0 = $inc;
   122357       label = 4;
   122358       break;
   122359     }
   122360    case 6:
   122361     _merge_oneway($e, $4);
   122362     $to_virt = $e + 188 | 0;
   122363     $7 = HEAP32[$to_virt >> 2] | 0;
   122364     $cmp12 = ($7 | 0) == 0;
   122365     if ($cmp12) {
   122366       label = 7;
   122367       break;
   122368     } else {
   122369       label = 8;
   122370       break;
   122371     }
   122372    case 7:
   122373     HEAP32[$to_virt >> 2] = $4;
   122374     label = 8;
   122375     break;
   122376    case 8:
   122377     $edge_type = $4 + 128 | 0;
   122378     $8 = HEAP8[$edge_type] | 0;
   122379     $cmp18 = $8 << 24 >> 24 == 4;
   122380     if ($cmp18) {
   122381       label = 9;
   122382       break;
   122383     } else {
   122384       label = 11;
   122385       break;
   122386     }
   122387    case 9:
   122388     $to_orig = $4 + 132 | 0;
   122389     $9 = HEAP32[$to_orig >> 2] | 0;
   122390     $cmp21 = ($9 | 0) == 0;
   122391     if ($cmp21) {
   122392       label = 10;
   122393       break;
   122394     } else {
   122395       label = 11;
   122396       break;
   122397     }
   122398    case 10:
   122399     HEAP32[$to_orig >> 2] = $e;
   122400     label = 11;
   122401     break;
   122402    case 11:
   122403     $tail27 = $e + 16 | 0;
   122404     $10 = HEAP32[$tail27 >> 2] | 0;
   122405     $list29 = $10 + 208 | 0;
   122406     $11 = HEAP32[$list29 >> 2] | 0;
   122407     $tobool30 = ($11 | 0) == 0;
   122408     if ($tobool30) {
   122409       label = 13;
   122410       break;
   122411     } else {
   122412       label = 12;
   122413       break;
   122414     }
   122415    case 12:
   122416     $12 = $11;
   122417     $size = $10 + 212 | 0;
   122418     $13 = HEAP32[$size >> 2] | 0;
   122419     $add = $13 << 2;
   122420     $mul = $add + 8 | 0;
   122421     $call = _grealloc($12, $mul) | 0;
   122422     $call_sink = $call;
   122423     label = 14;
   122424     break;
   122425    case 13:
   122426     $size41 = $10 + 212 | 0;
   122427     $14 = HEAP32[$size41 >> 2] | 0;
   122428     $add42 = $14 << 2;
   122429     $mul43 = $add42 + 8 | 0;
   122430     $call44 = _gmalloc($mul43) | 0;
   122431     $call_sink = $call44;
   122432     label = 14;
   122433     break;
   122434    case 14:
   122435     $15 = $call_sink;
   122436     $16 = HEAP32[$tail27 >> 2] | 0;
   122437     $list48 = $16 + 208 | 0;
   122438     HEAP32[$list48 >> 2] = $15;
   122439     $17 = HEAP32[$tail27 >> 2] | 0;
   122440     $size52 = $17 + 212 | 0;
   122441     $18 = HEAP32[$size52 >> 2] | 0;
   122442     $inc53 = $18 + 1 | 0;
   122443     HEAP32[$size52 >> 2] = $inc53;
   122444     $19 = HEAP32[$tail27 >> 2] | 0;
   122445     $list57 = $19 + 208 | 0;
   122446     $20 = HEAP32[$list57 >> 2] | 0;
   122447     $arrayidx58 = $20 + ($18 << 2) | 0;
   122448     HEAP32[$arrayidx58 >> 2] = $e;
   122449     $21 = HEAP32[$tail27 >> 2] | 0;
   122450     $size62 = $21 + 212 | 0;
   122451     $22 = HEAP32[$size62 >> 2] | 0;
   122452     $list66 = $21 + 208 | 0;
   122453     $23 = HEAP32[$list66 >> 2] | 0;
   122454     $arrayidx67 = $23 + ($22 << 2) | 0;
   122455     HEAP32[$arrayidx67 >> 2] = 0;
   122456     label = 16;
   122457     break;
   122458    case 15:
   122459     $24 = HEAP32[$head >> 2] | 0;
   122460     $tail70 = $e + 16 | 0;
   122461     $25 = HEAP32[$tail70 >> 2] | 0;
   122462     $call71 = _new_virtual_edge($24, $25, $e) | 0;
   122463     $edge_type73 = $e + 128 | 0;
   122464     $26 = HEAP8[$edge_type73] | 0;
   122465     $cmp75 = $26 << 24 >> 24 == 4;
   122466     $edge_type79 = $call71 + 128 | 0;
   122467     $_ = $cmp75 ? 4 : 3;
   122468     HEAP8[$edge_type79] = $_;
   122469     $label = $e + 112 | 0;
   122470     $27 = HEAP32[$label >> 2] | 0;
   122471     $label86 = $call71 + 112 | 0;
   122472     HEAP32[$label86 >> 2] = $27;
   122473     _flat_edge($g, $call71);
   122474     label = 16;
   122475     break;
   122476    case 16:
   122477     return;
   122478   }
   122479 }
   122480 function _allocate_ranks($g) {
   122481   $g = $g | 0;
   122482   var $maxrank = 0, $0 = 0, $conv = 0, $add = 0, $mul = 0, $call = 0, $1 = 0, $2 = 0, $call1 = 0, $tobool46 = 0, $n_0_in47 = 0, $rank = 0, $3 = 0, $4 = 0, $arrayidx = 0, $5 = 0, $inc = 0, $call3 = 0, $tobool544 = 0, $e_0_in45 = 0, $tail = 0, $6 = 0, $rank8 = 0, $7 = 0, $8 = 0, $head = 0, $9 = 0, $rank10 = 0, $10 = 0, $11 = 0, $cmp = 0, $_ = 0, $_37 = 0, $r_041 = 0, $cmp1442 = 0, $r_043 = 0, $arrayidx17 = 0, $12 = 0, $inc18 = 0, $r_0 = 0, $cmp14 = 0, $call21 = 0, $tobool5 = 0, $call24 = 0, $tobool = 0, $13 = 0, $conv28 = 0, $14 = 0, $mul30 = 0, $call31 = 0, $15 = 0, $rank33 = 0, $minrank = 0, $16 = 0, $17 = 0, $cmp4039 = 0, $conv35 = 0, $r_140 = 0, $arrayidx43 = 0, $18 = 0, $19 = 0, $n47 = 0, $20 = 0, $an = 0, $21 = 0, $add52 = 0, $mul53 = 0, $call54 = 0, $22 = 0, $23 = 0, $v = 0, $24 = 0, $av = 0, $inc62 = 0, $25 = 0, $conv39 = 0, $cmp40 = 0, label = 0;
   122483   label = 2;
   122484   while (1) switch (label | 0) {
   122485    case 2:
   122486     $maxrank = $g + 250 | 0;
   122487     $0 = HEAP16[$maxrank >> 1] | 0;
   122488     $conv = $0 << 16 >> 16;
   122489     $add = $conv << 2;
   122490     $mul = $add + 8 | 0;
   122491     $call = _zmalloc($mul) | 0;
   122492     $1 = $call;
   122493     $2 = $g;
   122494     $call1 = _agfstnode($2) | 0;
   122495     $tobool46 = ($call1 | 0) == 0;
   122496     if ($tobool46) {
   122497       label = 8;
   122498       break;
   122499     } else {
   122500       $n_0_in47 = $call1;
   122501       label = 3;
   122502       break;
   122503     }
   122504    case 3:
   122505     $rank = $n_0_in47 + 236 | 0;
   122506     $3 = $rank;
   122507     $4 = HEAP32[$3 >> 2] | 0;
   122508     $arrayidx = $1 + ($4 << 2) | 0;
   122509     $5 = HEAP32[$arrayidx >> 2] | 0;
   122510     $inc = $5 + 1 | 0;
   122511     HEAP32[$arrayidx >> 2] = $inc;
   122512     $call3 = _agfstout($2, $n_0_in47) | 0;
   122513     $tobool544 = ($call3 | 0) == 0;
   122514     if ($tobool544) {
   122515       label = 7;
   122516       break;
   122517     } else {
   122518       $e_0_in45 = $call3;
   122519       label = 4;
   122520       break;
   122521     }
   122522    case 4:
   122523     $tail = $e_0_in45 + 16 | 0;
   122524     $6 = HEAP32[$tail >> 2] | 0;
   122525     $rank8 = $6 + 236 | 0;
   122526     $7 = $rank8;
   122527     $8 = HEAP32[$7 >> 2] | 0;
   122528     $head = $e_0_in45 + 12 | 0;
   122529     $9 = HEAP32[$head >> 2] | 0;
   122530     $rank10 = $9 + 236 | 0;
   122531     $10 = $rank10;
   122532     $11 = HEAP32[$10 >> 2] | 0;
   122533     $cmp = ($8 | 0) > ($11 | 0);
   122534     $_ = $cmp ? $8 : $11;
   122535     $_37 = $cmp ? $11 : $8;
   122536     $r_041 = $_37 + 1 | 0;
   122537     $cmp1442 = ($r_041 | 0) < ($_ | 0);
   122538     if ($cmp1442) {
   122539       $r_043 = $r_041;
   122540       label = 5;
   122541       break;
   122542     } else {
   122543       label = 6;
   122544       break;
   122545     }
   122546    case 5:
   122547     $arrayidx17 = $1 + ($r_043 << 2) | 0;
   122548     $12 = HEAP32[$arrayidx17 >> 2] | 0;
   122549     $inc18 = $12 + 1 | 0;
   122550     HEAP32[$arrayidx17 >> 2] = $inc18;
   122551     $r_0 = $r_043 + 1 | 0;
   122552     $cmp14 = ($r_0 | 0) < ($_ | 0);
   122553     if ($cmp14) {
   122554       $r_043 = $r_0;
   122555       label = 5;
   122556       break;
   122557     } else {
   122558       label = 6;
   122559       break;
   122560     }
   122561    case 6:
   122562     $call21 = _agnxtout($2, $e_0_in45) | 0;
   122563     $tobool5 = ($call21 | 0) == 0;
   122564     if ($tobool5) {
   122565       label = 7;
   122566       break;
   122567     } else {
   122568       $e_0_in45 = $call21;
   122569       label = 4;
   122570       break;
   122571     }
   122572    case 7:
   122573     $call24 = _agnxtnode($2, $n_0_in47) | 0;
   122574     $tobool = ($call24 | 0) == 0;
   122575     if ($tobool) {
   122576       label = 8;
   122577       break;
   122578     } else {
   122579       $n_0_in47 = $call24;
   122580       label = 3;
   122581       break;
   122582     }
   122583    case 8:
   122584     $13 = HEAP16[$maxrank >> 1] | 0;
   122585     $conv28 = $13 << 16 >> 16;
   122586     $14 = $conv28 * 44 & -1;
   122587     $mul30 = $14 + 88 | 0;
   122588     $call31 = _zmalloc($mul30) | 0;
   122589     $15 = $call31;
   122590     $rank33 = $g + 224 | 0;
   122591     HEAP32[$rank33 >> 2] = $15;
   122592     $minrank = $g + 248 | 0;
   122593     $16 = HEAP16[$minrank >> 1] | 0;
   122594     $17 = HEAP16[$maxrank >> 1] | 0;
   122595     $cmp4039 = $16 << 16 >> 16 > $17 << 16 >> 16;
   122596     if ($cmp4039) {
   122597       label = 11;
   122598       break;
   122599     } else {
   122600       label = 9;
   122601       break;
   122602     }
   122603    case 9:
   122604     $conv35 = $16 << 16 >> 16;
   122605     $r_140 = $conv35;
   122606     label = 10;
   122607     break;
   122608    case 10:
   122609     $arrayidx43 = $1 + ($r_140 << 2) | 0;
   122610     $18 = HEAP32[$arrayidx43 >> 2] | 0;
   122611     $19 = HEAP32[$rank33 >> 2] | 0;
   122612     $n47 = $19 + ($r_140 * 44 & -1) | 0;
   122613     HEAP32[$n47 >> 2] = $18;
   122614     $20 = HEAP32[$rank33 >> 2] | 0;
   122615     $an = $20 + ($r_140 * 44 & -1) + 8 | 0;
   122616     HEAP32[$an >> 2] = $18;
   122617     $21 = HEAP32[$arrayidx43 >> 2] | 0;
   122618     $add52 = $21 << 2;
   122619     $mul53 = $add52 + 4 | 0;
   122620     $call54 = _zmalloc($mul53) | 0;
   122621     $22 = $call54;
   122622     $23 = HEAP32[$rank33 >> 2] | 0;
   122623     $v = $23 + ($r_140 * 44 & -1) + 4 | 0;
   122624     HEAP32[$v >> 2] = $22;
   122625     $24 = HEAP32[$rank33 >> 2] | 0;
   122626     $av = $24 + ($r_140 * 44 & -1) + 12 | 0;
   122627     HEAP32[$av >> 2] = $22;
   122628     $inc62 = $r_140 + 1 | 0;
   122629     $25 = HEAP16[$maxrank >> 1] | 0;
   122630     $conv39 = $25 << 16 >> 16;
   122631     $cmp40 = ($inc62 | 0) > ($conv39 | 0);
   122632     if ($cmp40) {
   122633       label = 11;
   122634       break;
   122635     } else {
   122636       $r_140 = $inc62;
   122637       label = 10;
   122638       break;
   122639     }
   122640    case 11:
   122641     _free($call);
   122642     return;
   122643   }
   122644 }
   122645 function _build_ranks($g, $pass) {
   122646   $g = $g | 0;
   122647   $pass = $pass | 0;
   122648   var $n_nodes = 0, $0 = 0, $call = 0, $nlist = 0, $n_061 = 0, $tobool62 = 0, $n_063 = 0, $mark = 0, $next = 0, $n_0 = 0, $tobool = 0, $minrank = 0, $1 = 0, $maxrank = 0, $2 = 0, $cmp59 = 0, $conv = 0, $rank = 0, $n_155 = 0, $tobool1756 = 0, $cmp19 = 0, $3 = 0, $4 = 0, $i_060 = 0, $5 = 0, $n11 = 0, $inc = 0, $6 = 0, $conv7 = 0, $cmp = 0, $n_157 = 0, $list = 0, $list23 = 0, $cond_in = 0, $cond = 0, $7 = 0, $cmp25 = 0, $mark28 = 0, $8 = 0, $cmp30 = 0, $call3552 = 0, $tobool3653 = 0, $call3554 = 0, $ranktype = 0, $9 = 0, $cmp39 = 0, $10 = 0, $call35 = 0, $tobool36 = 0, $next46 = 0, $n_1 = 0, $tobool17 = 0, $call48 = 0, $tobool49 = 0, $call51 = 0, $11 = 0, $12 = 0, $cmp6050 = 0, $conv55 = 0, $rankdir = 0, $rank70 = 0, $i_151 = 0, $13 = 0, $rank64 = 0, $14 = 0, $valid = 0, $15 = 0, $and67 = 0, $tobool68 = 0, $16 = 0, $n72 = 0, $17 = 0, $cmp73 = 0, $v = 0, $18 = 0, $sub = 0, $div = 0, $j_048 = 0, $arrayidx88 = 0, $19 = 0, $sub89 = 0, $arrayidx90 = 0, $20 = 0, $inc92 = 0, $cmp85 = 0, $inc96 = 0, $21 = 0, $conv59 = 0, $cmp60 = 0, $root = 0, $22 = 0, $cmp98 = 0, $call101 = 0, $cmp102 = 0, label = 0, __stackBase__ = 0;
   122649   __stackBase__ = STACKTOP;
   122650   label = 2;
   122651   while (1) switch (label | 0) {
   122652    case 2:
   122653     $n_nodes = $g + 244 | 0;
   122654     $0 = HEAP32[$n_nodes >> 2] | 0;
   122655     $call = _new_queue($0) | 0;
   122656     $nlist = $g + 220 | 0;
   122657     $n_061 = HEAP32[$nlist >> 2] | 0;
   122658     $tobool62 = ($n_061 | 0) == 0;
   122659     if ($tobool62) {
   122660       label = 4;
   122661       break;
   122662     } else {
   122663       $n_063 = $n_061;
   122664       label = 3;
   122665       break;
   122666     }
   122667    case 3:
   122668     $mark = $n_063 + 163 | 0;
   122669     HEAP8[$mark] = 0;
   122670     $next = $n_063 + 168 | 0;
   122671     $n_0 = HEAP32[$next >> 2] | 0;
   122672     $tobool = ($n_0 | 0) == 0;
   122673     if ($tobool) {
   122674       label = 4;
   122675       break;
   122676     } else {
   122677       $n_063 = $n_0;
   122678       label = 3;
   122679       break;
   122680     }
   122681    case 4:
   122682     $minrank = $g + 248 | 0;
   122683     $1 = HEAP16[$minrank >> 1] | 0;
   122684     $maxrank = $g + 250 | 0;
   122685     $2 = HEAP16[$maxrank >> 1] | 0;
   122686     $cmp59 = $1 << 16 >> 16 > $2 << 16 >> 16;
   122687     if ($cmp59) {
   122688       label = 6;
   122689       break;
   122690     } else {
   122691       label = 5;
   122692       break;
   122693     }
   122694    case 5:
   122695     $conv = $1 << 16 >> 16;
   122696     $rank = $g + 224 | 0;
   122697     $i_060 = $conv;
   122698     label = 8;
   122699     break;
   122700    case 6:
   122701     $n_155 = HEAP32[$nlist >> 2] | 0;
   122702     $tobool1756 = ($n_155 | 0) == 0;
   122703     if ($tobool1756) {
   122704       label = 17;
   122705       break;
   122706     } else {
   122707       label = 7;
   122708       break;
   122709     }
   122710    case 7:
   122711     $cmp19 = ($pass | 0) == 0;
   122712     $3 = $g;
   122713     $4 = $call;
   122714     $n_157 = $n_155;
   122715     label = 9;
   122716     break;
   122717    case 8:
   122718     $5 = HEAP32[$rank >> 2] | 0;
   122719     $n11 = $5 + ($i_060 * 44 & -1) | 0;
   122720     HEAP32[$n11 >> 2] = 0;
   122721     $inc = $i_060 + 1 | 0;
   122722     $6 = HEAP16[$maxrank >> 1] | 0;
   122723     $conv7 = $6 << 16 >> 16;
   122724     $cmp = ($inc | 0) > ($conv7 | 0);
   122725     if ($cmp) {
   122726       label = 6;
   122727       break;
   122728     } else {
   122729       $i_060 = $inc;
   122730       label = 8;
   122731       break;
   122732     }
   122733    case 9:
   122734     $list = $n_157 + 176 | 0;
   122735     $list23 = $n_157 + 184 | 0;
   122736     $cond_in = $cmp19 ? $list : $list23;
   122737     $cond = HEAP32[$cond_in >> 2] | 0;
   122738     $7 = HEAP32[$cond >> 2] | 0;
   122739     $cmp25 = ($7 | 0) == 0;
   122740     if ($cmp25) {
   122741       label = 10;
   122742       break;
   122743     } else {
   122744       label = 16;
   122745       break;
   122746     }
   122747    case 10:
   122748     $mark28 = $n_157 + 163 | 0;
   122749     $8 = HEAP8[$mark28] | 0;
   122750     $cmp30 = $8 << 24 >> 24 == 0;
   122751     if ($cmp30) {
   122752       label = 11;
   122753       break;
   122754     } else {
   122755       label = 16;
   122756       break;
   122757     }
   122758    case 11:
   122759     HEAP8[$mark28] = 1;
   122760     _enqueue($call, $n_157);
   122761     $call3552 = _dequeue($call) | 0;
   122762     $tobool3653 = ($call3552 | 0) == 0;
   122763     if ($tobool3653) {
   122764       label = 16;
   122765       break;
   122766     } else {
   122767       $call3554 = $call3552;
   122768       label = 12;
   122769       break;
   122770     }
   122771    case 12:
   122772     $ranktype = $call3554 + 165 | 0;
   122773     $9 = HEAP8[$ranktype] | 0;
   122774     $cmp39 = $9 << 24 >> 24 == 7;
   122775     if ($cmp39) {
   122776       label = 14;
   122777       break;
   122778     } else {
   122779       label = 13;
   122780       break;
   122781     }
   122782    case 13:
   122783     _install_in_rank($g, $call3554);
   122784     _enqueue_neighbors($call, $call3554, $pass);
   122785     label = 15;
   122786     break;
   122787    case 14:
   122788     $10 = $call3554;
   122789     _install_cluster($3, $10, $pass, $4);
   122790     label = 15;
   122791     break;
   122792    case 15:
   122793     $call35 = _dequeue($call) | 0;
   122794     $tobool36 = ($call35 | 0) == 0;
   122795     if ($tobool36) {
   122796       label = 16;
   122797       break;
   122798     } else {
   122799       $call3554 = $call35;
   122800       label = 12;
   122801       break;
   122802     }
   122803    case 16:
   122804     $next46 = $n_157 + 168 | 0;
   122805     $n_1 = HEAP32[$next46 >> 2] | 0;
   122806     $tobool17 = ($n_1 | 0) == 0;
   122807     if ($tobool17) {
   122808       label = 17;
   122809       break;
   122810     } else {
   122811       $n_157 = $n_1;
   122812       label = 9;
   122813       break;
   122814     }
   122815    case 17:
   122816     $call48 = _dequeue($call) | 0;
   122817     $tobool49 = ($call48 | 0) == 0;
   122818     if ($tobool49) {
   122819       label = 19;
   122820       break;
   122821     } else {
   122822       label = 18;
   122823       break;
   122824     }
   122825    case 18:
   122826     $call51 = _agerr(1, 109616, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0) | 0;
   122827     label = 19;
   122828     break;
   122829    case 19:
   122830     $11 = HEAP16[$minrank >> 1] | 0;
   122831     $12 = HEAP16[$maxrank >> 1] | 0;
   122832     $cmp6050 = $11 << 16 >> 16 > $12 << 16 >> 16;
   122833     if ($cmp6050) {
   122834       label = 26;
   122835       break;
   122836     } else {
   122837       label = 20;
   122838       break;
   122839     }
   122840    case 20:
   122841     $conv55 = $11 << 16 >> 16;
   122842     $rankdir = $g + 156 | 0;
   122843     $rank70 = $g + 224 | 0;
   122844     $i_151 = $conv55;
   122845     label = 21;
   122846     break;
   122847    case 21:
   122848     $13 = HEAP32[41526] | 0;
   122849     $rank64 = $13 + 224 | 0;
   122850     $14 = HEAP32[$rank64 >> 2] | 0;
   122851     $valid = $14 + ($i_151 * 44 & -1) + 33 | 0;
   122852     HEAP8[$valid] = 0;
   122853     $15 = HEAP32[$rankdir >> 2] | 0;
   122854     $and67 = $15 & 1;
   122855     $tobool68 = ($and67 | 0) == 0;
   122856     if ($tobool68) {
   122857       label = 25;
   122858       break;
   122859     } else {
   122860       label = 22;
   122861       break;
   122862     }
   122863    case 22:
   122864     $16 = HEAP32[$rank70 >> 2] | 0;
   122865     $n72 = $16 + ($i_151 * 44 & -1) | 0;
   122866     $17 = HEAP32[$n72 >> 2] | 0;
   122867     $cmp73 = ($17 | 0) > 0;
   122868     if ($cmp73) {
   122869       label = 23;
   122870       break;
   122871     } else {
   122872       label = 25;
   122873       break;
   122874     }
   122875    case 23:
   122876     $v = $16 + ($i_151 * 44 & -1) + 4 | 0;
   122877     $18 = HEAP32[$v >> 2] | 0;
   122878     $sub = $17 - 1 | 0;
   122879     $div = ($sub | 0) / 2 & -1;
   122880     $j_048 = 0;
   122881     label = 24;
   122882     break;
   122883    case 24:
   122884     $arrayidx88 = $18 + ($j_048 << 2) | 0;
   122885     $19 = HEAP32[$arrayidx88 >> 2] | 0;
   122886     $sub89 = $sub - $j_048 | 0;
   122887     $arrayidx90 = $18 + ($sub89 << 2) | 0;
   122888     $20 = HEAP32[$arrayidx90 >> 2] | 0;
   122889     _exchange($19, $20);
   122890     $inc92 = $j_048 + 1 | 0;
   122891     $cmp85 = ($inc92 | 0) > ($div | 0);
   122892     if ($cmp85) {
   122893       label = 25;
   122894       break;
   122895     } else {
   122896       $j_048 = $inc92;
   122897       label = 24;
   122898       break;
   122899     }
   122900    case 25:
   122901     $inc96 = $i_151 + 1 | 0;
   122902     $21 = HEAP16[$maxrank >> 1] | 0;
   122903     $conv59 = $21 << 16 >> 16;
   122904     $cmp60 = ($inc96 | 0) > ($conv59 | 0);
   122905     if ($cmp60) {
   122906       label = 26;
   122907       break;
   122908     } else {
   122909       $i_151 = $inc96;
   122910       label = 21;
   122911       break;
   122912     }
   122913    case 26:
   122914     $root = $g + 32 | 0;
   122915     $22 = HEAP32[$root >> 2] | 0;
   122916     $cmp98 = ($22 | 0) == ($g | 0);
   122917     if ($cmp98) {
   122918       label = 27;
   122919       break;
   122920     } else {
   122921       label = 29;
   122922       break;
   122923     }
   122924    case 27:
   122925     $call101 = _ncross(0) | 0;
   122926     $cmp102 = ($call101 | 0) > 0;
   122927     if ($cmp102) {
   122928       label = 28;
   122929       break;
   122930     } else {
   122931       label = 29;
   122932       break;
   122933     }
   122934    case 28:
   122935     _transpose($g, 0);
   122936     label = 29;
   122937     break;
   122938    case 29:
   122939     _free_queue($call);
   122940     STACKTOP = __stackBase__;
   122941     return;
   122942   }
   122943 }
   122944 function _enqueue_neighbors($q, $n0, $pass) {
   122945   $q = $q | 0;
   122946   $n0 = $n0 | 0;
   122947   $pass = $pass | 0;
   122948   var $cmp = 0, $size14 = 0, $0 = 0, $cmp1518 = 0, $list20 = 0, $size = 0, $1 = 0, $cmp115 = 0, $list = 0, $i_016 = 0, $2 = 0, $arrayidx = 0, $3 = 0, $head = 0, $4 = 0, $mark = 0, $5 = 0, $cmp5 = 0, $6 = 0, $inc = 0, $7 = 0, $cmp1 = 0, $i_119 = 0, $8 = 0, $arrayidx21 = 0, $9 = 0, $tail = 0, $10 = 0, $mark23 = 0, $11 = 0, $cmp25 = 0, $12 = 0, $inc34 = 0, $13 = 0, $cmp15 = 0, label = 0;
   122949   label = 2;
   122950   while (1) switch (label | 0) {
   122951    case 2:
   122952     $cmp = ($pass | 0) == 0;
   122953     if ($cmp) {
   122954       label = 5;
   122955       break;
   122956     } else {
   122957       label = 3;
   122958       break;
   122959     }
   122960    case 3:
   122961     $size14 = $n0 + 180 | 0;
   122962     $0 = HEAP32[$size14 >> 2] | 0;
   122963     $cmp1518 = ($0 | 0) > 0;
   122964     if ($cmp1518) {
   122965       label = 4;
   122966       break;
   122967     } else {
   122968       label = 13;
   122969       break;
   122970     }
   122971    case 4:
   122972     $list20 = $n0 + 176 | 0;
   122973     $i_119 = 0;
   122974     label = 10;
   122975     break;
   122976    case 5:
   122977     $size = $n0 + 188 | 0;
   122978     $1 = HEAP32[$size >> 2] | 0;
   122979     $cmp115 = ($1 | 0) > 0;
   122980     if ($cmp115) {
   122981       label = 6;
   122982       break;
   122983     } else {
   122984       label = 13;
   122985       break;
   122986     }
   122987    case 6:
   122988     $list = $n0 + 184 | 0;
   122989     $i_016 = 0;
   122990     label = 7;
   122991     break;
   122992    case 7:
   122993     $2 = HEAP32[$list >> 2] | 0;
   122994     $arrayidx = $2 + ($i_016 << 2) | 0;
   122995     $3 = HEAP32[$arrayidx >> 2] | 0;
   122996     $head = $3 + 12 | 0;
   122997     $4 = HEAP32[$head >> 2] | 0;
   122998     $mark = $4 + 163 | 0;
   122999     $5 = HEAP8[$mark] | 0;
   123000     $cmp5 = $5 << 24 >> 24 == 0;
   123001     if ($cmp5) {
   123002       label = 8;
   123003       break;
   123004     } else {
   123005       label = 9;
   123006       break;
   123007     }
   123008    case 8:
   123009     HEAP8[$mark] = 1;
   123010     $6 = HEAP32[$head >> 2] | 0;
   123011     _enqueue($q, $6);
   123012     label = 9;
   123013     break;
   123014    case 9:
   123015     $inc = $i_016 + 1 | 0;
   123016     $7 = HEAP32[$size >> 2] | 0;
   123017     $cmp1 = ($inc | 0) < ($7 | 0);
   123018     if ($cmp1) {
   123019       $i_016 = $inc;
   123020       label = 7;
   123021       break;
   123022     } else {
   123023       label = 13;
   123024       break;
   123025     }
   123026    case 10:
   123027     $8 = HEAP32[$list20 >> 2] | 0;
   123028     $arrayidx21 = $8 + ($i_119 << 2) | 0;
   123029     $9 = HEAP32[$arrayidx21 >> 2] | 0;
   123030     $tail = $9 + 16 | 0;
   123031     $10 = HEAP32[$tail >> 2] | 0;
   123032     $mark23 = $10 + 163 | 0;
   123033     $11 = HEAP8[$mark23] | 0;
   123034     $cmp25 = $11 << 24 >> 24 == 0;
   123035     if ($cmp25) {
   123036       label = 11;
   123037       break;
   123038     } else {
   123039       label = 12;
   123040       break;
   123041     }
   123042    case 11:
   123043     HEAP8[$mark23] = 1;
   123044     $12 = HEAP32[$tail >> 2] | 0;
   123045     _enqueue($q, $12);
   123046     label = 12;
   123047     break;
   123048    case 12:
   123049     $inc34 = $i_119 + 1 | 0;
   123050     $13 = HEAP32[$size14 >> 2] | 0;
   123051     $cmp15 = ($inc34 | 0) < ($13 | 0);
   123052     if ($cmp15) {
   123053       $i_119 = $inc34;
   123054       label = 10;
   123055       break;
   123056     } else {
   123057       label = 13;
   123058       break;
   123059     }
   123060    case 13:
   123061     return;
   123062   }
   123063 }
   123064 function _ncross($g) {
   123065   $g = $g | 0;
   123066   var $0 = 0, $minrank = 0, $1 = 0, $maxrank = 0, $2 = 0, $cmp16 = 0, $conv = 0, $rank = 0, $count_018 = 0, $r_017 = 0, $3 = 0, $valid = 0, $4 = 0, $tobool = 0, $cache_nc = 0, $5 = 0, $call = 0, $6 = 0, $cache_nc11 = 0, $7 = 0, $valid16 = 0, $_pn = 0, $count_1 = 0, $inc = 0, $8 = 0, $conv2 = 0, $cmp = 0, $count_0_lcssa = 0, label = 0;
   123067   label = 2;
   123068   while (1) switch (label | 0) {
   123069    case 2:
   123070     $0 = HEAP32[41526] | 0;
   123071     $minrank = $0 + 248 | 0;
   123072     $1 = HEAP16[$minrank >> 1] | 0;
   123073     $maxrank = $0 + 250 | 0;
   123074     $2 = HEAP16[$maxrank >> 1] | 0;
   123075     $cmp16 = $1 << 16 >> 16 < $2 << 16 >> 16;
   123076     if ($cmp16) {
   123077       label = 3;
   123078       break;
   123079     } else {
   123080       $count_0_lcssa = 0;
   123081       label = 8;
   123082       break;
   123083     }
   123084    case 3:
   123085     $conv = $1 << 16 >> 16;
   123086     $rank = $0 + 224 | 0;
   123087     $r_017 = $conv;
   123088     $count_018 = 0;
   123089     label = 4;
   123090     break;
   123091    case 4:
   123092     $3 = HEAP32[$rank >> 2] | 0;
   123093     $valid = $3 + ($r_017 * 44 & -1) + 33 | 0;
   123094     $4 = HEAP8[$valid] | 0;
   123095     $tobool = $4 << 24 >> 24 == 0;
   123096     if ($tobool) {
   123097       label = 6;
   123098       break;
   123099     } else {
   123100       label = 5;
   123101       break;
   123102     }
   123103    case 5:
   123104     $cache_nc = $3 + ($r_017 * 44 & -1) + 36 | 0;
   123105     $5 = HEAP32[$cache_nc >> 2] | 0;
   123106     $_pn = $5;
   123107     label = 7;
   123108     break;
   123109    case 6:
   123110     $call = _rcross($0, $r_017) | 0;
   123111     $6 = HEAP32[$rank >> 2] | 0;
   123112     $cache_nc11 = $6 + ($r_017 * 44 & -1) + 36 | 0;
   123113     HEAP32[$cache_nc11 >> 2] = $call;
   123114     $7 = HEAP32[$rank >> 2] | 0;
   123115     $valid16 = $7 + ($r_017 * 44 & -1) + 33 | 0;
   123116     HEAP8[$valid16] = 1;
   123117     $_pn = $call;
   123118     label = 7;
   123119     break;
   123120    case 7:
   123121     $count_1 = $_pn + $count_018 | 0;
   123122     $inc = $r_017 + 1 | 0;
   123123     $8 = HEAP16[$maxrank >> 1] | 0;
   123124     $conv2 = $8 << 16 >> 16;
   123125     $cmp = ($inc | 0) < ($conv2 | 0);
   123126     if ($cmp) {
   123127       $r_017 = $inc;
   123128       $count_018 = $count_1;
   123129       label = 4;
   123130       break;
   123131     } else {
   123132       $count_0_lcssa = $count_1;
   123133       label = 8;
   123134       break;
   123135     }
   123136    case 8:
   123137     return $count_0_lcssa | 0;
   123138   }
   123139   return 0;
   123140 }
   123141 function _transpose($g, $reverse) {
   123142   $g = $g | 0;
   123143   $reverse = $reverse | 0;
   123144   var $minrank = 0, $0 = 0, $maxrank = 0, $1 = 0, $cmp19 = 0, $conv = 0, $rank = 0, $rank16 = 0, $r_020 = 0, $2 = 0, $candidate = 0, $inc = 0, $3 = 0, $conv2 = 0, $cmp = 0, $4 = 0, $5 = 0, $cmp1215 = 0, $conv7 = 0, $delta_017 = 0, $r_116 = 0, $6 = 0, $candidate18 = 0, $7 = 0, $tobool = 0, $call = 0, $add = 0, $delta_1 = 0, $inc20 = 0, $8 = 0, $conv11 = 0, $cmp12 = 0, $cmp22 = 0, label = 0;
   123145   label = 2;
   123146   while (1) switch (label | 0) {
   123147    case 2:
   123148     $minrank = $g + 248 | 0;
   123149     $0 = HEAP16[$minrank >> 1] | 0;
   123150     $maxrank = $g + 250 | 0;
   123151     $1 = HEAP16[$maxrank >> 1] | 0;
   123152     $cmp19 = $0 << 16 >> 16 > $1 << 16 >> 16;
   123153     if ($cmp19) {
   123154       label = 4;
   123155       break;
   123156     } else {
   123157       label = 3;
   123158       break;
   123159     }
   123160    case 3:
   123161     $conv = $0 << 16 >> 16;
   123162     $rank = $g + 224 | 0;
   123163     $r_020 = $conv;
   123164     label = 5;
   123165     break;
   123166    case 4:
   123167     $rank16 = $g + 224 | 0;
   123168     label = 6;
   123169     break;
   123170    case 5:
   123171     $2 = HEAP32[$rank >> 2] | 0;
   123172     $candidate = $2 + ($r_020 * 44 & -1) + 32 | 0;
   123173     HEAP8[$candidate] = 1;
   123174     $inc = $r_020 + 1 | 0;
   123175     $3 = HEAP16[$maxrank >> 1] | 0;
   123176     $conv2 = $3 << 16 >> 16;
   123177     $cmp = ($inc | 0) > ($conv2 | 0);
   123178     if ($cmp) {
   123179       label = 4;
   123180       break;
   123181     } else {
   123182       $r_020 = $inc;
   123183       label = 5;
   123184       break;
   123185     }
   123186    case 6:
   123187     $4 = HEAP16[$minrank >> 1] | 0;
   123188     $5 = HEAP16[$maxrank >> 1] | 0;
   123189     $cmp1215 = $4 << 16 >> 16 > $5 << 16 >> 16;
   123190     if ($cmp1215) {
   123191       label = 12;
   123192       break;
   123193     } else {
   123194       label = 7;
   123195       break;
   123196     }
   123197    case 7:
   123198     $conv7 = $4 << 16 >> 16;
   123199     $r_116 = $conv7;
   123200     $delta_017 = 0;
   123201     label = 8;
   123202     break;
   123203    case 8:
   123204     $6 = HEAP32[$rank16 >> 2] | 0;
   123205     $candidate18 = $6 + ($r_116 * 44 & -1) + 32 | 0;
   123206     $7 = HEAP8[$candidate18] | 0;
   123207     $tobool = $7 << 24 >> 24 == 0;
   123208     if ($tobool) {
   123209       $delta_1 = $delta_017;
   123210       label = 10;
   123211       break;
   123212     } else {
   123213       label = 9;
   123214       break;
   123215     }
   123216    case 9:
   123217     $call = _transpose_step($g, $r_116, $reverse) | 0;
   123218     $add = $call + $delta_017 | 0;
   123219     $delta_1 = $add;
   123220     label = 10;
   123221     break;
   123222    case 10:
   123223     $inc20 = $r_116 + 1 | 0;
   123224     $8 = HEAP16[$maxrank >> 1] | 0;
   123225     $conv11 = $8 << 16 >> 16;
   123226     $cmp12 = ($inc20 | 0) > ($conv11 | 0);
   123227     if ($cmp12) {
   123228       label = 11;
   123229       break;
   123230     } else {
   123231       $r_116 = $inc20;
   123232       $delta_017 = $delta_1;
   123233       label = 8;
   123234       break;
   123235     }
   123236    case 11:
   123237     $cmp22 = ($delta_1 | 0) > 0;
   123238     if ($cmp22) {
   123239       label = 6;
   123240       break;
   123241     } else {
   123242       label = 12;
   123243       break;
   123244     }
   123245    case 12:
   123246     return;
   123247   }
   123248 }
   123249 function _install_in_rank($g, $n) {
   123250   $g = $g | 0;
   123251   $n = $n | 0;
   123252   var $rank = 0, $0 = 0, $rank2 = 0, $1 = 0, $n3 = 0, $2 = 0, $an = 0, $3 = 0, $cmp = 0, $name = 0, $4 = 0, $name7 = 0, $5 = 0, $call = 0, $v = 0, $6 = 0, $arrayidx11 = 0, $order = 0, $7 = 0, $n16 = 0, $8 = 0, $inc = 0, $9 = 0, $n20 = 0, $10 = 0, $an24 = 0, $11 = 0, $cmp25 = 0, $12 = 0, $13 = 0, $rank29 = 0, $14 = 0, $an31 = 0, $15 = 0, $cmp32 = 0, $minrank = 0, $16 = 0, $conv = 0, $cmp36 = 0, $maxrank = 0, $17 = 0, $conv39 = 0, $cmp40 = 0, $v47 = 0, $18 = 0, $add_ptr = 0, $av = 0, $19 = 0, $add_ptr57 = 0, $cmp58 = 0, label = 0, __stackBase__ = 0;
   123253   __stackBase__ = STACKTOP;
   123254   label = 2;
   123255   while (1) switch (label | 0) {
   123256    case 2:
   123257     $rank = $n + 236 | 0;
   123258     $0 = HEAP32[$rank >> 2] | 0;
   123259     $rank2 = $g + 224 | 0;
   123260     $1 = HEAP32[$rank2 >> 2] | 0;
   123261     $n3 = $1 + ($0 * 44 & -1) | 0;
   123262     $2 = HEAP32[$n3 >> 2] | 0;
   123263     $an = $1 + ($0 * 44 & -1) + 8 | 0;
   123264     $3 = HEAP32[$an >> 2] | 0;
   123265     $cmp = ($3 | 0) < 1;
   123266     if ($cmp) {
   123267       label = 3;
   123268       break;
   123269     } else {
   123270       label = 4;
   123271       break;
   123272     }
   123273    case 3:
   123274     $name = $g + 12 | 0;
   123275     $4 = HEAP32[$name >> 2] | 0;
   123276     $name7 = $n + 12 | 0;
   123277     $5 = HEAP32[$name7 >> 2] | 0;
   123278     $call = _agerr(1, 136624, (tempInt = STACKTOP, STACKTOP = STACKTOP + 32 | 0, HEAP32[tempInt >> 2] = $4, HEAP32[tempInt + 8 >> 2] = $5, HEAP32[tempInt + 16 >> 2] = $0, HEAP32[tempInt + 24 >> 2] = $2, tempInt) | 0) | 0;
   123279     _abort();
   123280    case 4:
   123281     $v = $1 + ($0 * 44 & -1) + 4 | 0;
   123282     $6 = HEAP32[$v >> 2] | 0;
   123283     $arrayidx11 = $6 + ($2 << 2) | 0;
   123284     HEAP32[$arrayidx11 >> 2] = $n;
   123285     $order = $n + 240 | 0;
   123286     HEAP32[$order >> 2] = $2;
   123287     $7 = HEAP32[$rank2 >> 2] | 0;
   123288     $n16 = $7 + ($0 * 44 & -1) | 0;
   123289     $8 = HEAP32[$n16 >> 2] | 0;
   123290     $inc = $8 + 1 | 0;
   123291     HEAP32[$n16 >> 2] = $inc;
   123292     $9 = HEAP32[$rank2 >> 2] | 0;
   123293     $n20 = $9 + ($0 * 44 & -1) | 0;
   123294     $10 = HEAP32[$n20 >> 2] | 0;
   123295     $an24 = $9 + ($0 * 44 & -1) + 8 | 0;
   123296     $11 = HEAP32[$an24 >> 2] | 0;
   123297     $cmp25 = ($10 | 0) > ($11 | 0);
   123298     if ($cmp25) {
   123299       label = 5;
   123300       break;
   123301     } else {
   123302       label = 6;
   123303       break;
   123304     }
   123305    case 5:
   123306     ___assert_func(119784, 1028, 164184, 114216);
   123307    case 6:
   123308     $12 = HEAP32[$order >> 2] | 0;
   123309     $13 = HEAP32[41526] | 0;
   123310     $rank29 = $13 + 224 | 0;
   123311     $14 = HEAP32[$rank29 >> 2] | 0;
   123312     $an31 = $14 + ($0 * 44 & -1) + 8 | 0;
   123313     $15 = HEAP32[$an31 >> 2] | 0;
   123314     $cmp32 = ($12 | 0) > ($15 | 0);
   123315     if ($cmp32) {
   123316       label = 7;
   123317       break;
   123318     } else {
   123319       label = 8;
   123320       break;
   123321     }
   123322    case 7:
   123323     _abort();
   123324    case 8:
   123325     $minrank = $g + 248 | 0;
   123326     $16 = HEAP16[$minrank >> 1] | 0;
   123327     $conv = $16 << 16 >> 16;
   123328     $cmp36 = ($0 | 0) < ($conv | 0);
   123329     if ($cmp36) {
   123330       label = 10;
   123331       break;
   123332     } else {
   123333       label = 9;
   123334       break;
   123335     }
   123336    case 9:
   123337     $maxrank = $g + 250 | 0;
   123338     $17 = HEAP16[$maxrank >> 1] | 0;
   123339     $conv39 = $17 << 16 >> 16;
   123340     $cmp40 = ($0 | 0) > ($conv39 | 0);
   123341     if ($cmp40) {
   123342       label = 10;
   123343       break;
   123344     } else {
   123345       label = 11;
   123346       break;
   123347     }
   123348    case 10:
   123349     _abort();
   123350    case 11:
   123351     $v47 = $9 + ($0 * 44 & -1) + 4 | 0;
   123352     $18 = HEAP32[$v47 >> 2] | 0;
   123353     $add_ptr = $18 + ($12 << 2) | 0;
   123354     $av = $9 + ($0 * 44 & -1) + 12 | 0;
   123355     $19 = HEAP32[$av >> 2] | 0;
   123356     $add_ptr57 = $19 + ($15 << 2) | 0;
   123357     $cmp58 = $add_ptr >>> 0 > $add_ptr57 >>> 0;
   123358     if ($cmp58) {
   123359       label = 12;
   123360       break;
   123361     } else {
   123362       label = 13;
   123363       break;
   123364     }
   123365    case 12:
   123366     _abort();
   123367    case 13:
   123368     STACKTOP = __stackBase__;
   123369     return;
   123370   }
   123371 }
   123372 function _endpoint_class($n) {
   123373   $n = $n | 0;
   123374   var $node_type = 0, $0 = 0, $cmp = 0, $weight_class = 0, $1 = 0, $cmp4 = 0, $_ = 0, $retval_0 = 0, label = 0;
   123375   label = 2;
   123376   while (1) switch (label | 0) {
   123377    case 2:
   123378     $node_type = $n + 162 | 0;
   123379     $0 = HEAP8[$node_type] | 0;
   123380     $cmp = $0 << 24 >> 24 == 1;
   123381     if ($cmp) {
   123382       $retval_0 = 2;
   123383       label = 4;
   123384       break;
   123385     } else {
   123386       label = 3;
   123387       break;
   123388     }
   123389    case 3:
   123390     $weight_class = $n + 166 | 0;
   123391     $1 = HEAP8[$weight_class] | 0;
   123392     $cmp4 = $1 << 24 >> 24 < 2;
   123393     $_ = $cmp4 & 1;
   123394     $retval_0 = $_;
   123395     label = 4;
   123396     break;
   123397    case 4:
   123398     return $retval_0 | 0;
   123399   }
   123400   return 0;
   123401 }
   123402 function _local_cross($l, $dir) {
   123403   $l = $l | 0;
   123404   $dir = $dir | 0;
   123405   var $cmp = 0, $list = 0, $0 = 0, $1 = 0, $tobool28 = 0, $2 = 0, $cross_0_be = 0, $arrayidx = 0, $3 = 0, $tobool = 0, $4 = 0, $i_030 = 0, $cross_029 = 0, $add = 0, $arrayidx523 = 0, $5 = 0, $tobool624 = 0, $head8 = 0, $6 = 0, $order10 = 0, $7 = 0, $x15 = 0, $8 = 0.0, $xpenalty = 0, $tail37 = 0, $9 = 0, $order39 = 0, $10 = 0, $x48 = 0, $11 = 0.0, $xpenalty55 = 0, $12 = 0, $cross_126 = 0, $j_025 = 0, $head = 0, $13 = 0, $order = 0, $14 = 0, $sub = 0, $conv = 0.0, $x = 0, $15 = 0.0, $sub16 = 0.0, $mul = 0.0, $cmp17 = 0, $16 = 0, $conv21 = 0, $xpenalty23 = 0, $17 = 0, $conv24 = 0, $mul25 = 0, $add26 = 0, $cross_2 = 0, $inc = 0, $arrayidx5 = 0, $18 = 0, $tobool6 = 0, $19 = 0, $cross_321 = 0, $j_120 = 0, $tail = 0, $20 = 0, $order36 = 0, $21 = 0, $sub40 = 0, $conv41 = 0.0, $x44 = 0, $22 = 0.0, $sub49 = 0.0, $mul50 = 0.0, $cmp51 = 0, $23 = 0, $conv56 = 0, $xpenalty58 = 0, $24 = 0, $conv59 = 0, $mul60 = 0, $add61 = 0, $cross_4 = 0, $inc64 = 0, $arrayidx32 = 0, $25 = 0, $tobool33 = 0, $cross_0_lcssa = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   123406   __stackBase__ = STACKTOP;
   123407   tempParam = $l;
   123408   $l = STACKTOP;
   123409   STACKTOP = STACKTOP + 8 | 0;
   123410   HEAP32[$l >> 2] = HEAP32[tempParam >> 2] | 0;
   123411   HEAP32[$l + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   123412   label = 2;
   123413   while (1) switch (label | 0) {
   123414    case 2:
   123415     $cmp = ($dir | 0) > 0;
   123416     $list = $l | 0;
   123417     $0 = HEAP32[$list >> 2] | 0;
   123418     $1 = HEAP32[$0 >> 2] | 0;
   123419     $tobool28 = ($1 | 0) == 0;
   123420     if ($tobool28) {
   123421       $cross_0_lcssa = 0;
   123422       label = 16;
   123423       break;
   123424     } else {
   123425       label = 3;
   123426       break;
   123427     }
   123428    case 3:
   123429     $2 = HEAP32[$list >> 2] | 0;
   123430     $cross_029 = 0;
   123431     $i_030 = 0;
   123432     $4 = $1;
   123433     label = 5;
   123434     break;
   123435    case 4:
   123436     $arrayidx = $2 + ($add << 2) | 0;
   123437     $3 = HEAP32[$arrayidx >> 2] | 0;
   123438     $tobool = ($3 | 0) == 0;
   123439     if ($tobool) {
   123440       $cross_0_lcssa = $cross_0_be;
   123441       label = 16;
   123442       break;
   123443     } else {
   123444       $cross_029 = $cross_0_be;
   123445       $i_030 = $add;
   123446       $4 = $3;
   123447       label = 5;
   123448       break;
   123449     }
   123450    case 5:
   123451     $add = $i_030 + 1 | 0;
   123452     $arrayidx523 = $2 + ($add << 2) | 0;
   123453     $5 = HEAP32[$arrayidx523 >> 2] | 0;
   123454     $tobool624 = ($5 | 0) == 0;
   123455     if ($cmp) {
   123456       label = 6;
   123457       break;
   123458     } else {
   123459       label = 8;
   123460       break;
   123461     }
   123462    case 6:
   123463     if ($tobool624) {
   123464       $cross_0_be = $cross_029;
   123465       label = 4;
   123466       break;
   123467     } else {
   123468       label = 7;
   123469       break;
   123470     }
   123471    case 7:
   123472     $head8 = $4 + 12 | 0;
   123473     $6 = HEAP32[$head8 >> 2] | 0;
   123474     $order10 = $6 + 240 | 0;
   123475     $7 = HEAP32[$order10 >> 2] | 0;
   123476     $x15 = $4 + 32 | 0;
   123477     $8 = +HEAPF64[$x15 >> 3];
   123478     $xpenalty = $4 + 170 | 0;
   123479     $j_025 = $add;
   123480     $cross_126 = $cross_029;
   123481     $12 = $5;
   123482     label = 10;
   123483     break;
   123484    case 8:
   123485     if ($tobool624) {
   123486       $cross_0_be = $cross_029;
   123487       label = 4;
   123488       break;
   123489     } else {
   123490       label = 9;
   123491       break;
   123492     }
   123493    case 9:
   123494     $tail37 = $4 + 16 | 0;
   123495     $9 = HEAP32[$tail37 >> 2] | 0;
   123496     $order39 = $9 + 240 | 0;
   123497     $10 = HEAP32[$order39 >> 2] | 0;
   123498     $x48 = $4 + 72 | 0;
   123499     $11 = +HEAPF64[$x48 >> 3];
   123500     $xpenalty55 = $4 + 170 | 0;
   123501     $j_120 = $add;
   123502     $cross_321 = $cross_029;
   123503     $19 = $5;
   123504     label = 13;
   123505     break;
   123506    case 10:
   123507     $head = $12 + 12 | 0;
   123508     $13 = HEAP32[$head >> 2] | 0;
   123509     $order = $13 + 240 | 0;
   123510     $14 = HEAP32[$order >> 2] | 0;
   123511     $sub = $14 - $7 | 0;
   123512     $conv = +($sub | 0);
   123513     $x = $12 + 32 | 0;
   123514     $15 = +HEAPF64[$x >> 3];
   123515     $sub16 = $15 - $8;
   123516     $mul = $conv * $sub16;
   123517     $cmp17 = $mul < 0.0;
   123518     if ($cmp17) {
   123519       label = 11;
   123520       break;
   123521     } else {
   123522       $cross_2 = $cross_126;
   123523       label = 12;
   123524       break;
   123525     }
   123526    case 11:
   123527     $16 = HEAP16[$xpenalty >> 1] | 0;
   123528     $conv21 = $16 << 16 >> 16;
   123529     $xpenalty23 = $12 + 170 | 0;
   123530     $17 = HEAP16[$xpenalty23 >> 1] | 0;
   123531     $conv24 = $17 << 16 >> 16;
   123532     $mul25 = Math_imul($conv24, $conv21);
   123533     $add26 = $mul25 + $cross_126 | 0;
   123534     $cross_2 = $add26;
   123535     label = 12;
   123536     break;
   123537    case 12:
   123538     $inc = $j_025 + 1 | 0;
   123539     $arrayidx5 = $2 + ($inc << 2) | 0;
   123540     $18 = HEAP32[$arrayidx5 >> 2] | 0;
   123541     $tobool6 = ($18 | 0) == 0;
   123542     if ($tobool6) {
   123543       $cross_0_be = $cross_2;
   123544       label = 4;
   123545       break;
   123546     } else {
   123547       $j_025 = $inc;
   123548       $cross_126 = $cross_2;
   123549       $12 = $18;
   123550       label = 10;
   123551       break;
   123552     }
   123553    case 13:
   123554     $tail = $19 + 16 | 0;
   123555     $20 = HEAP32[$tail >> 2] | 0;
   123556     $order36 = $20 + 240 | 0;
   123557     $21 = HEAP32[$order36 >> 2] | 0;
   123558     $sub40 = $21 - $10 | 0;
   123559     $conv41 = +($sub40 | 0);
   123560     $x44 = $19 + 72 | 0;
   123561     $22 = +HEAPF64[$x44 >> 3];
   123562     $sub49 = $22 - $11;
   123563     $mul50 = $conv41 * $sub49;
   123564     $cmp51 = $mul50 < 0.0;
   123565     if ($cmp51) {
   123566       label = 14;
   123567       break;
   123568     } else {
   123569       $cross_4 = $cross_321;
   123570       label = 15;
   123571       break;
   123572     }
   123573    case 14:
   123574     $23 = HEAP16[$xpenalty55 >> 1] | 0;
   123575     $conv56 = $23 << 16 >> 16;
   123576     $xpenalty58 = $19 + 170 | 0;
   123577     $24 = HEAP16[$xpenalty58 >> 1] | 0;
   123578     $conv59 = $24 << 16 >> 16;
   123579     $mul60 = Math_imul($conv59, $conv56);
   123580     $add61 = $mul60 + $cross_321 | 0;
   123581     $cross_4 = $add61;
   123582     label = 15;
   123583     break;
   123584    case 15:
   123585     $inc64 = $j_120 + 1 | 0;
   123586     $arrayidx32 = $2 + ($inc64 << 2) | 0;
   123587     $25 = HEAP32[$arrayidx32 >> 2] | 0;
   123588     $tobool33 = ($25 | 0) == 0;
   123589     if ($tobool33) {
   123590       $cross_0_be = $cross_4;
   123591       label = 4;
   123592       break;
   123593     } else {
   123594       $j_120 = $inc64;
   123595       $cross_321 = $cross_4;
   123596       $19 = $25;
   123597       label = 13;
   123598       break;
   123599     }
   123600    case 16:
   123601     STACKTOP = __stackBase__;
   123602     return $cross_0_lcssa | 0;
   123603   }
   123604   return 0;
   123605 }
   123606 function _left2right($g, $v, $w) {
   123607   $g = $g | 0;
   123608   $v = $v | 0;
   123609   $w = $w | 0;
   123610   var $_b = 0, $clust = 0, $0 = 0, $clust3 = 0, $1 = 0, $cmp4 = 0, $cmp4_not = 0, $tobool = 0, $or_cond = 0, $tobool11 = 0, $or_cond15 = 0, $ranktype = 0, $2 = 0, $cmp15 = 0, $node_type = 0, $3 = 0, $cmp20 = 0, $ranktype24 = 0, $4 = 0, $cmp26 = 0, $node_type30 = 0, $5 = 0, $cmp32 = 0, $rank = 0, $6 = 0, $rank48 = 0, $7 = 0, $flat = 0, $8 = 0, $cmp49 = 0, $rankdir = 0, $9 = 0, $and54 = 0, $tobool55 = 0, $w_v = 0, $v_w = 0, $low = 0, $10 = 0, $ncols = 0, $11 = 0, $mul = 0, $low60 = 0, $12 = 0, $add = 0, $data = 0, $13 = 0, $arrayidx61 = 0, $14 = 0, $conv62 = 0, $retval_0 = 0, label = 0;
   123611   label = 2;
   123612   while (1) switch (label | 0) {
   123613    case 2:
   123614     $_b = HEAP8[166152] | 0;
   123615     $clust = $v + 216 | 0;
   123616     $0 = HEAP32[$clust >> 2] | 0;
   123617     $clust3 = $w + 216 | 0;
   123618     $1 = HEAP32[$clust3 >> 2] | 0;
   123619     $cmp4 = ($0 | 0) != ($1 | 0);
   123620     if ($_b) {
   123621       label = 9;
   123622       break;
   123623     } else {
   123624       label = 3;
   123625       break;
   123626     }
   123627    case 3:
   123628     $cmp4_not = $cmp4 ^ 1;
   123629     $tobool = ($0 | 0) == 0;
   123630     $or_cond = $tobool | $cmp4_not;
   123631     $tobool11 = ($1 | 0) == 0;
   123632     $or_cond15 = $or_cond | $tobool11;
   123633     if ($or_cond15) {
   123634       label = 10;
   123635       break;
   123636     } else {
   123637       label = 4;
   123638       break;
   123639     }
   123640    case 4:
   123641     $ranktype = $v + 165 | 0;
   123642     $2 = HEAP8[$ranktype] | 0;
   123643     $cmp15 = $2 << 24 >> 24 == 7;
   123644     if ($cmp15) {
   123645       label = 5;
   123646       break;
   123647     } else {
   123648       label = 6;
   123649       break;
   123650     }
   123651    case 5:
   123652     $node_type = $v + 162 | 0;
   123653     $3 = HEAP8[$node_type] | 0;
   123654     $cmp20 = $3 << 24 >> 24 == 1;
   123655     if ($cmp20) {
   123656       $retval_0 = 0;
   123657       label = 12;
   123658       break;
   123659     } else {
   123660       label = 6;
   123661       break;
   123662     }
   123663    case 6:
   123664     $ranktype24 = $w + 165 | 0;
   123665     $4 = HEAP8[$ranktype24] | 0;
   123666     $cmp26 = $4 << 24 >> 24 == 7;
   123667     if ($cmp26) {
   123668       label = 7;
   123669       break;
   123670     } else {
   123671       label = 8;
   123672       break;
   123673     }
   123674    case 7:
   123675     $node_type30 = $w + 162 | 0;
   123676     $5 = HEAP8[$node_type30] | 0;
   123677     $cmp32 = $5 << 24 >> 24 == 1;
   123678     if ($cmp32) {
   123679       $retval_0 = 0;
   123680       label = 12;
   123681       break;
   123682     } else {
   123683       label = 8;
   123684       break;
   123685     }
   123686    case 8:
   123687     $retval_0 = 1;
   123688     label = 12;
   123689     break;
   123690    case 9:
   123691     if ($cmp4) {
   123692       $retval_0 = 1;
   123693       label = 12;
   123694       break;
   123695     } else {
   123696       label = 10;
   123697       break;
   123698     }
   123699    case 10:
   123700     $rank = $v + 236 | 0;
   123701     $6 = HEAP32[$rank >> 2] | 0;
   123702     $rank48 = $g + 224 | 0;
   123703     $7 = HEAP32[$rank48 >> 2] | 0;
   123704     $flat = $7 + ($6 * 44 & -1) + 40 | 0;
   123705     $8 = HEAP32[$flat >> 2] | 0;
   123706     $cmp49 = ($8 | 0) == 0;
   123707     if ($cmp49) {
   123708       $retval_0 = 0;
   123709       label = 12;
   123710       break;
   123711     } else {
   123712       label = 11;
   123713       break;
   123714     }
   123715    case 11:
   123716     $rankdir = $g + 156 | 0;
   123717     $9 = HEAP32[$rankdir >> 2] | 0;
   123718     $and54 = $9 & 1;
   123719     $tobool55 = ($and54 | 0) == 0;
   123720     $w_v = $tobool55 ? $w : $v;
   123721     $v_w = $tobool55 ? $v : $w;
   123722     $low = $v_w + 284 | 0;
   123723     $10 = HEAP32[$low >> 2] | 0;
   123724     $ncols = $8 + 4 | 0;
   123725     $11 = HEAP32[$ncols >> 2] | 0;
   123726     $mul = Math_imul($11, $10);
   123727     $low60 = $w_v + 284 | 0;
   123728     $12 = HEAP32[$low60 >> 2] | 0;
   123729     $add = $mul + $12 | 0;
   123730     $data = $8 + 8 | 0;
   123731     $13 = HEAP32[$data >> 2] | 0;
   123732     $arrayidx61 = $13 + $add | 0;
   123733     $14 = HEAP8[$arrayidx61] | 0;
   123734     $conv62 = $14 << 24 >> 24;
   123735     $retval_0 = $conv62;
   123736     label = 12;
   123737     break;
   123738    case 12:
   123739     return $retval_0 | 0;
   123740   }
   123741   return 0;
   123742 }
   123743 function _in_cross($v, $w) {
   123744   $v = $v | 0;
   123745   $w = $w | 0;
   123746   var $list = 0, $0 = 0, $1 = 0, $tobool14 = 0, $list5 = 0, $2 = 0, $3 = 0, $tobool711 = 0, $4 = 0, $e2_016 = 0, $cross_015 = 0, $xpenalty = 0, $5 = 0, $conv = 0, $tail = 0, $6 = 0, $order = 0, $7 = 0, $8 = 0, $e1_013 = 0, $cross_112 = 0, $tail9 = 0, $9 = 0, $order11 = 0, $10 = 0, $sub = 0, $cmp = 0, $cmp13 = 0, $x = 0, $11 = 0.0, $12 = 0, $x19 = 0, $13 = 0.0, $cmp20 = 0, $14 = 0, $xpenalty23 = 0, $15 = 0, $conv24 = 0, $mul = 0, $add = 0, $cross_2 = 0, $incdec_ptr = 0, $16 = 0, $tobool7 = 0, $cross_1_lcssa = 0, $incdec_ptr26 = 0, $17 = 0, $tobool = 0, $cross_0_lcssa = 0, label = 0;
   123747   label = 2;
   123748   while (1) switch (label | 0) {
   123749    case 2:
   123750     $list = $w + 176 | 0;
   123751     $0 = HEAP32[$list >> 2] | 0;
   123752     $1 = HEAP32[$0 >> 2] | 0;
   123753     $tobool14 = ($1 | 0) == 0;
   123754     if ($tobool14) {
   123755       $cross_0_lcssa = 0;
   123756       label = 11;
   123757       break;
   123758     } else {
   123759       label = 3;
   123760       break;
   123761     }
   123762    case 3:
   123763     $list5 = $v + 176 | 0;
   123764     $2 = HEAP32[$list5 >> 2] | 0;
   123765     $3 = HEAP32[$2 >> 2] | 0;
   123766     $tobool711 = ($3 | 0) == 0;
   123767     $cross_015 = 0;
   123768     $e2_016 = $0;
   123769     $4 = $1;
   123770     label = 4;
   123771     break;
   123772    case 4:
   123773     $xpenalty = $4 + 170 | 0;
   123774     $5 = HEAP16[$xpenalty >> 1] | 0;
   123775     $conv = $5 << 16 >> 16;
   123776     $tail = $4 + 16 | 0;
   123777     $6 = HEAP32[$tail >> 2] | 0;
   123778     $order = $6 + 240 | 0;
   123779     $7 = HEAP32[$order >> 2] | 0;
   123780     if ($tobool711) {
   123781       $cross_1_lcssa = $cross_015;
   123782       label = 10;
   123783       break;
   123784     } else {
   123785       $cross_112 = $cross_015;
   123786       $e1_013 = $2;
   123787       $8 = $3;
   123788       label = 5;
   123789       break;
   123790     }
   123791    case 5:
   123792     $tail9 = $8 + 16 | 0;
   123793     $9 = HEAP32[$tail9 >> 2] | 0;
   123794     $order11 = $9 + 240 | 0;
   123795     $10 = HEAP32[$order11 >> 2] | 0;
   123796     $sub = $10 - $7 | 0;
   123797     $cmp = ($sub | 0) > 0;
   123798     if ($cmp) {
   123799       label = 8;
   123800       break;
   123801     } else {
   123802       label = 6;
   123803       break;
   123804     }
   123805    case 6:
   123806     $cmp13 = ($10 | 0) == ($7 | 0);
   123807     if ($cmp13) {
   123808       label = 7;
   123809       break;
   123810     } else {
   123811       $cross_2 = $cross_112;
   123812       label = 9;
   123813       break;
   123814     }
   123815    case 7:
   123816     $x = $8 + 32 | 0;
   123817     $11 = +HEAPF64[$x >> 3];
   123818     $12 = HEAP32[$e2_016 >> 2] | 0;
   123819     $x19 = $12 + 32 | 0;
   123820     $13 = +HEAPF64[$x19 >> 3];
   123821     $cmp20 = $11 > $13;
   123822     if ($cmp20) {
   123823       label = 8;
   123824       break;
   123825     } else {
   123826       $cross_2 = $cross_112;
   123827       label = 9;
   123828       break;
   123829     }
   123830    case 8:
   123831     $14 = HEAP32[$e1_013 >> 2] | 0;
   123832     $xpenalty23 = $14 + 170 | 0;
   123833     $15 = HEAP16[$xpenalty23 >> 1] | 0;
   123834     $conv24 = $15 << 16 >> 16;
   123835     $mul = Math_imul($conv24, $conv);
   123836     $add = $mul + $cross_112 | 0;
   123837     $cross_2 = $add;
   123838     label = 9;
   123839     break;
   123840    case 9:
   123841     $incdec_ptr = $e1_013 + 4 | 0;
   123842     $16 = HEAP32[$incdec_ptr >> 2] | 0;
   123843     $tobool7 = ($16 | 0) == 0;
   123844     if ($tobool7) {
   123845       $cross_1_lcssa = $cross_2;
   123846       label = 10;
   123847       break;
   123848     } else {
   123849       $cross_112 = $cross_2;
   123850       $e1_013 = $incdec_ptr;
   123851       $8 = $16;
   123852       label = 5;
   123853       break;
   123854     }
   123855    case 10:
   123856     $incdec_ptr26 = $e2_016 + 4 | 0;
   123857     $17 = HEAP32[$incdec_ptr26 >> 2] | 0;
   123858     $tobool = ($17 | 0) == 0;
   123859     if ($tobool) {
   123860       $cross_0_lcssa = $cross_1_lcssa;
   123861       label = 11;
   123862       break;
   123863     } else {
   123864       $cross_015 = $cross_1_lcssa;
   123865       $e2_016 = $incdec_ptr26;
   123866       $4 = $17;
   123867       label = 4;
   123868       break;
   123869     }
   123870    case 11:
   123871     return $cross_0_lcssa | 0;
   123872   }
   123873   return 0;
   123874 }
   123875 function _out_cross($v, $w) {
   123876   $v = $v | 0;
   123877   $w = $w | 0;
   123878   var $list = 0, $0 = 0, $1 = 0, $tobool14 = 0, $list5 = 0, $2 = 0, $3 = 0, $tobool711 = 0, $4 = 0, $e2_016 = 0, $cross_015 = 0, $xpenalty = 0, $5 = 0, $conv = 0, $head = 0, $6 = 0, $order = 0, $7 = 0, $8 = 0, $e1_013 = 0, $cross_112 = 0, $head9 = 0, $9 = 0, $order11 = 0, $10 = 0, $sub = 0, $cmp = 0, $cmp13 = 0, $x = 0, $11 = 0.0, $12 = 0, $x19 = 0, $13 = 0.0, $cmp20 = 0, $14 = 0, $xpenalty23 = 0, $15 = 0, $conv24 = 0, $mul = 0, $add = 0, $cross_2 = 0, $incdec_ptr = 0, $16 = 0, $tobool7 = 0, $cross_1_lcssa = 0, $incdec_ptr26 = 0, $17 = 0, $tobool = 0, $cross_0_lcssa = 0, label = 0;
   123879   label = 2;
   123880   while (1) switch (label | 0) {
   123881    case 2:
   123882     $list = $w + 184 | 0;
   123883     $0 = HEAP32[$list >> 2] | 0;
   123884     $1 = HEAP32[$0 >> 2] | 0;
   123885     $tobool14 = ($1 | 0) == 0;
   123886     if ($tobool14) {
   123887       $cross_0_lcssa = 0;
   123888       label = 11;
   123889       break;
   123890     } else {
   123891       label = 3;
   123892       break;
   123893     }
   123894    case 3:
   123895     $list5 = $v + 184 | 0;
   123896     $2 = HEAP32[$list5 >> 2] | 0;
   123897     $3 = HEAP32[$2 >> 2] | 0;
   123898     $tobool711 = ($3 | 0) == 0;
   123899     $cross_015 = 0;
   123900     $e2_016 = $0;
   123901     $4 = $1;
   123902     label = 4;
   123903     break;
   123904    case 4:
   123905     $xpenalty = $4 + 170 | 0;
   123906     $5 = HEAP16[$xpenalty >> 1] | 0;
   123907     $conv = $5 << 16 >> 16;
   123908     $head = $4 + 12 | 0;
   123909     $6 = HEAP32[$head >> 2] | 0;
   123910     $order = $6 + 240 | 0;
   123911     $7 = HEAP32[$order >> 2] | 0;
   123912     if ($tobool711) {
   123913       $cross_1_lcssa = $cross_015;
   123914       label = 10;
   123915       break;
   123916     } else {
   123917       $cross_112 = $cross_015;
   123918       $e1_013 = $2;
   123919       $8 = $3;
   123920       label = 5;
   123921       break;
   123922     }
   123923    case 5:
   123924     $head9 = $8 + 12 | 0;
   123925     $9 = HEAP32[$head9 >> 2] | 0;
   123926     $order11 = $9 + 240 | 0;
   123927     $10 = HEAP32[$order11 >> 2] | 0;
   123928     $sub = $10 - $7 | 0;
   123929     $cmp = ($sub | 0) > 0;
   123930     if ($cmp) {
   123931       label = 8;
   123932       break;
   123933     } else {
   123934       label = 6;
   123935       break;
   123936     }
   123937    case 6:
   123938     $cmp13 = ($10 | 0) == ($7 | 0);
   123939     if ($cmp13) {
   123940       label = 7;
   123941       break;
   123942     } else {
   123943       $cross_2 = $cross_112;
   123944       label = 9;
   123945       break;
   123946     }
   123947    case 7:
   123948     $x = $8 + 72 | 0;
   123949     $11 = +HEAPF64[$x >> 3];
   123950     $12 = HEAP32[$e2_016 >> 2] | 0;
   123951     $x19 = $12 + 72 | 0;
   123952     $13 = +HEAPF64[$x19 >> 3];
   123953     $cmp20 = $11 > $13;
   123954     if ($cmp20) {
   123955       label = 8;
   123956       break;
   123957     } else {
   123958       $cross_2 = $cross_112;
   123959       label = 9;
   123960       break;
   123961     }
   123962    case 8:
   123963     $14 = HEAP32[$e1_013 >> 2] | 0;
   123964     $xpenalty23 = $14 + 170 | 0;
   123965     $15 = HEAP16[$xpenalty23 >> 1] | 0;
   123966     $conv24 = $15 << 16 >> 16;
   123967     $mul = Math_imul($conv24, $conv);
   123968     $add = $mul + $cross_112 | 0;
   123969     $cross_2 = $add;
   123970     label = 9;
   123971     break;
   123972    case 9:
   123973     $incdec_ptr = $e1_013 + 4 | 0;
   123974     $16 = HEAP32[$incdec_ptr >> 2] | 0;
   123975     $tobool7 = ($16 | 0) == 0;
   123976     if ($tobool7) {
   123977       $cross_1_lcssa = $cross_2;
   123978       label = 10;
   123979       break;
   123980     } else {
   123981       $cross_112 = $cross_2;
   123982       $e1_013 = $incdec_ptr;
   123983       $8 = $16;
   123984       label = 5;
   123985       break;
   123986     }
   123987    case 10:
   123988     $incdec_ptr26 = $e2_016 + 4 | 0;
   123989     $17 = HEAP32[$incdec_ptr26 >> 2] | 0;
   123990     $tobool = ($17 | 0) == 0;
   123991     if ($tobool) {
   123992       $cross_0_lcssa = $cross_1_lcssa;
   123993       label = 11;
   123994       break;
   123995     } else {
   123996       $cross_015 = $cross_1_lcssa;
   123997       $e2_016 = $incdec_ptr26;
   123998       $4 = $17;
   123999       label = 4;
   124000       break;
   124001     }
   124002    case 11:
   124003     return $cross_0_lcssa | 0;
   124004   }
   124005   return 0;
   124006 }
   124007 function _rcross($g, $r) {
   124008   $g = $g | 0;
   124009   $r = $r | 0;
   124010   var $rank = 0, $0 = 0, $v1 = 0, $1 = 0, $2 = 0, $add = 0, $3 = 0, $rank3 = 0, $4 = 0, $n = 0, $5 = 0, $cmp = 0, $add10 = 0, $6 = 0, $tobool = 0, $7 = 0, $mul = 0, $call = 0, $mul11 = 0, $call12 = 0, $call_sink = 0, $8 = 0, $9 = 0, $n1777 = 0, $10 = 0, $cmp1878 = 0, $11 = 0, $n2471 = 0, $12 = 0, $cmp2572 = 0, $i_079 = 0, $13 = 0, $arrayidx19 = 0, $inc = 0, $14 = 0, $n17 = 0, $15 = 0, $cmp18 = 0, $cross_0_lcssa = 0, $16 = 0, $n8149 = 0, $17 = 0, $cmp8250 = 0, $18 = 0, $n81 = 0, $19 = 0, $top_075 = 0, $max_074 = 0, $cross_073 = 0, $cmp27 = 0, $arrayidx30 = 0, $20 = 0, $list59 = 0, $21 = 0, $22 = 0, $tobool3361 = 0, $23 = 0, $list = 0, $24 = 0, $25 = 0, $26 = 0, $i_163 = 0, $cross_162 = 0, $head = 0, $27 = 0, $order = 0, $28 = 0, $k_054 = 0, $cmp3855 = 0, $xpenalty = 0, $29 = 0, $conv = 0, $k_057 = 0, $cross_256 = 0, $arrayidx40 = 0, $30 = 0, $mul42 = 0, $add43 = 0, $k_0 = 0, $cmp38 = 0, $cross_2_lcssa = 0, $inc48 = 0, $arrayidx32 = 0, $31 = 0, $tobool33 = 0, $cross_3 = 0, $arrayidx52 = 0, $32 = 0, $list5565 = 0, $33 = 0, $34 = 0, $tobool5767 = 0, $35 = 0, $i_269 = 0, $max_168 = 0, $head59 = 0, $36 = 0, $order61 = 0, $37 = 0, $cmp62 = 0, $_max_1 = 0, $xpenalty67 = 0, $38 = 0, $conv68 = 0, $39 = 0, $arrayidx69 = 0, $40 = 0, $add70 = 0, $inc72 = 0, $41 = 0, $list55 = 0, $42 = 0, $arrayidx56 = 0, $43 = 0, $tobool57 = 0, $max_1_lcssa = 0, $inc75 = 0, $44 = 0, $n24 = 0, $45 = 0, $cmp25 = 0, $cross_4_lcssa = 0, $46 = 0, $n10645 = 0, $47 = 0, $cmp10746 = 0, $48 = 0, $n106 = 0, $49 = 0, $50 = 0, $top_152 = 0, $cross_451 = 0, $v88 = 0, $51 = 0, $arrayidx89 = 0, $52 = 0, $has_port = 0, $53 = 0, $tobool91 = 0, $out94 = 0, $call95 = 0, $add96 = 0, $cross_5 = 0, $inc99 = 0, $cmp82 = 0, $54 = 0, $bot_048 = 0, $cross_647 = 0, $v114 = 0, $55 = 0, $arrayidx115 = 0, $56 = 0, $has_port117 = 0, $57 = 0, $tobool118 = 0, $in = 0, $call121 = 0, $add122 = 0, $cross_7 = 0, $inc125 = 0, $cmp107 = 0, $cross_6_lcssa = 0, label = 0;
   124011   label = 2;
   124012   while (1) switch (label | 0) {
   124013    case 2:
   124014     $rank = $g + 224 | 0;
   124015     $0 = HEAP32[$rank >> 2] | 0;
   124016     $v1 = $0 + ($r * 44 & -1) + 4 | 0;
   124017     $1 = HEAP32[$v1 >> 2] | 0;
   124018     $2 = HEAP32[1166] | 0;
   124019     $add = $r + 1 | 0;
   124020     $3 = HEAP32[41526] | 0;
   124021     $rank3 = $3 + 224 | 0;
   124022     $4 = HEAP32[$rank3 >> 2] | 0;
   124023     $n = $4 + ($add * 44 & -1) | 0;
   124024     $5 = HEAP32[$n >> 2] | 0;
   124025     $cmp = ($2 | 0) > ($5 | 0);
   124026     if ($cmp) {
   124027       label = 7;
   124028       break;
   124029     } else {
   124030       label = 3;
   124031       break;
   124032     }
   124033    case 3:
   124034     $add10 = $5 + 1 | 0;
   124035     HEAP32[1166] = $add10;
   124036     $6 = HEAP32[1164] | 0;
   124037     $tobool = ($6 | 0) == 0;
   124038     if ($tobool) {
   124039       label = 5;
   124040       break;
   124041     } else {
   124042       label = 4;
   124043       break;
   124044     }
   124045    case 4:
   124046     $7 = $6;
   124047     $mul = $add10 << 2;
   124048     $call = _grealloc($7, $mul) | 0;
   124049     $call_sink = $call;
   124050     label = 6;
   124051     break;
   124052    case 5:
   124053     $mul11 = $add10 << 2;
   124054     $call12 = _gmalloc($mul11) | 0;
   124055     $call_sink = $call12;
   124056     label = 6;
   124057     break;
   124058    case 6:
   124059     $8 = $call_sink;
   124060     HEAP32[1164] = $8;
   124061     label = 7;
   124062     break;
   124063    case 7:
   124064     $9 = HEAP32[$rank >> 2] | 0;
   124065     $n1777 = $9 + ($add * 44 & -1) | 0;
   124066     $10 = HEAP32[$n1777 >> 2] | 0;
   124067     $cmp1878 = ($10 | 0) > 0;
   124068     if ($cmp1878) {
   124069       $i_079 = 0;
   124070       label = 9;
   124071       break;
   124072     } else {
   124073       label = 8;
   124074       break;
   124075     }
   124076    case 8:
   124077     $11 = HEAP32[$rank >> 2] | 0;
   124078     $n2471 = $11 + ($r * 44 & -1) | 0;
   124079     $12 = HEAP32[$n2471 >> 2] | 0;
   124080     $cmp2572 = ($12 | 0) > 0;
   124081     if ($cmp2572) {
   124082       $cross_073 = 0;
   124083       $max_074 = 0;
   124084       $top_075 = 0;
   124085       label = 12;
   124086       break;
   124087     } else {
   124088       $cross_0_lcssa = 0;
   124089       label = 10;
   124090       break;
   124091     }
   124092    case 9:
   124093     $13 = HEAP32[1164] | 0;
   124094     $arrayidx19 = $13 + ($i_079 << 2) | 0;
   124095     HEAP32[$arrayidx19 >> 2] = 0;
   124096     $inc = $i_079 + 1 | 0;
   124097     $14 = HEAP32[$rank >> 2] | 0;
   124098     $n17 = $14 + ($add * 44 & -1) | 0;
   124099     $15 = HEAP32[$n17 >> 2] | 0;
   124100     $cmp18 = ($inc | 0) < ($15 | 0);
   124101     if ($cmp18) {
   124102       $i_079 = $inc;
   124103       label = 9;
   124104       break;
   124105     } else {
   124106       label = 8;
   124107       break;
   124108     }
   124109    case 10:
   124110     $16 = HEAP32[$rank >> 2] | 0;
   124111     $n8149 = $16 + ($r * 44 & -1) | 0;
   124112     $17 = HEAP32[$n8149 >> 2] | 0;
   124113     $cmp8250 = ($17 | 0) > 0;
   124114     if ($cmp8250) {
   124115       label = 11;
   124116       break;
   124117     } else {
   124118       $cross_4_lcssa = $cross_0_lcssa;
   124119       label = 22;
   124120       break;
   124121     }
   124122    case 11:
   124123     $18 = HEAP32[$rank >> 2] | 0;
   124124     $n81 = $18 + ($r * 44 & -1) | 0;
   124125     $19 = HEAP32[$n81 >> 2] | 0;
   124126     $cross_451 = $cross_0_lcssa;
   124127     $top_152 = 0;
   124128     $50 = $16;
   124129     label = 24;
   124130     break;
   124131    case 12:
   124132     $cmp27 = ($max_074 | 0) > 0;
   124133     if ($cmp27) {
   124134       label = 13;
   124135       break;
   124136     } else {
   124137       $cross_3 = $cross_073;
   124138       label = 19;
   124139       break;
   124140     }
   124141    case 13:
   124142     $arrayidx30 = $1 + ($top_075 << 2) | 0;
   124143     $20 = HEAP32[$arrayidx30 >> 2] | 0;
   124144     $list59 = $20 + 184 | 0;
   124145     $21 = HEAP32[$list59 >> 2] | 0;
   124146     $22 = HEAP32[$21 >> 2] | 0;
   124147     $tobool3361 = ($22 | 0) == 0;
   124148     if ($tobool3361) {
   124149       $cross_3 = $cross_073;
   124150       label = 19;
   124151       break;
   124152     } else {
   124153       label = 14;
   124154       break;
   124155     }
   124156    case 14:
   124157     $23 = HEAP32[$arrayidx30 >> 2] | 0;
   124158     $list = $23 + 184 | 0;
   124159     $24 = HEAP32[$list >> 2] | 0;
   124160     $25 = HEAP32[1164] | 0;
   124161     $cross_162 = $cross_073;
   124162     $i_163 = 0;
   124163     $26 = $22;
   124164     label = 15;
   124165     break;
   124166    case 15:
   124167     $head = $26 + 12 | 0;
   124168     $27 = HEAP32[$head >> 2] | 0;
   124169     $order = $27 + 240 | 0;
   124170     $28 = HEAP32[$order >> 2] | 0;
   124171     $k_054 = $28 + 1 | 0;
   124172     $cmp3855 = ($k_054 | 0) > ($max_074 | 0);
   124173     if ($cmp3855) {
   124174       $cross_2_lcssa = $cross_162;
   124175       label = 18;
   124176       break;
   124177     } else {
   124178       label = 16;
   124179       break;
   124180     }
   124181    case 16:
   124182     $xpenalty = $26 + 170 | 0;
   124183     $29 = HEAP16[$xpenalty >> 1] | 0;
   124184     $conv = $29 << 16 >> 16;
   124185     $cross_256 = $cross_162;
   124186     $k_057 = $k_054;
   124187     label = 17;
   124188     break;
   124189    case 17:
   124190     $arrayidx40 = $25 + ($k_057 << 2) | 0;
   124191     $30 = HEAP32[$arrayidx40 >> 2] | 0;
   124192     $mul42 = Math_imul($conv, $30);
   124193     $add43 = $mul42 + $cross_256 | 0;
   124194     $k_0 = $k_057 + 1 | 0;
   124195     $cmp38 = ($k_0 | 0) > ($max_074 | 0);
   124196     if ($cmp38) {
   124197       $cross_2_lcssa = $add43;
   124198       label = 18;
   124199       break;
   124200     } else {
   124201       $cross_256 = $add43;
   124202       $k_057 = $k_0;
   124203       label = 17;
   124204       break;
   124205     }
   124206    case 18:
   124207     $inc48 = $i_163 + 1 | 0;
   124208     $arrayidx32 = $24 + ($inc48 << 2) | 0;
   124209     $31 = HEAP32[$arrayidx32 >> 2] | 0;
   124210     $tobool33 = ($31 | 0) == 0;
   124211     if ($tobool33) {
   124212       $cross_3 = $cross_2_lcssa;
   124213       label = 19;
   124214       break;
   124215     } else {
   124216       $cross_162 = $cross_2_lcssa;
   124217       $i_163 = $inc48;
   124218       $26 = $31;
   124219       label = 15;
   124220       break;
   124221     }
   124222    case 19:
   124223     $arrayidx52 = $1 + ($top_075 << 2) | 0;
   124224     $32 = HEAP32[$arrayidx52 >> 2] | 0;
   124225     $list5565 = $32 + 184 | 0;
   124226     $33 = HEAP32[$list5565 >> 2] | 0;
   124227     $34 = HEAP32[$33 >> 2] | 0;
   124228     $tobool5767 = ($34 | 0) == 0;
   124229     if ($tobool5767) {
   124230       $max_1_lcssa = $max_074;
   124231       label = 21;
   124232       break;
   124233     } else {
   124234       $max_168 = $max_074;
   124235       $i_269 = 0;
   124236       $35 = $34;
   124237       label = 20;
   124238       break;
   124239     }
   124240    case 20:
   124241     $head59 = $35 + 12 | 0;
   124242     $36 = HEAP32[$head59 >> 2] | 0;
   124243     $order61 = $36 + 240 | 0;
   124244     $37 = HEAP32[$order61 >> 2] | 0;
   124245     $cmp62 = ($37 | 0) > ($max_168 | 0);
   124246     $_max_1 = $cmp62 ? $37 : $max_168;
   124247     $xpenalty67 = $35 + 170 | 0;
   124248     $38 = HEAP16[$xpenalty67 >> 1] | 0;
   124249     $conv68 = $38 << 16 >> 16;
   124250     $39 = HEAP32[1164] | 0;
   124251     $arrayidx69 = $39 + ($37 << 2) | 0;
   124252     $40 = HEAP32[$arrayidx69 >> 2] | 0;
   124253     $add70 = $40 + $conv68 | 0;
   124254     HEAP32[$arrayidx69 >> 2] = $add70;
   124255     $inc72 = $i_269 + 1 | 0;
   124256     $41 = HEAP32[$arrayidx52 >> 2] | 0;
   124257     $list55 = $41 + 184 | 0;
   124258     $42 = HEAP32[$list55 >> 2] | 0;
   124259     $arrayidx56 = $42 + ($inc72 << 2) | 0;
   124260     $43 = HEAP32[$arrayidx56 >> 2] | 0;
   124261     $tobool57 = ($43 | 0) == 0;
   124262     if ($tobool57) {
   124263       $max_1_lcssa = $_max_1;
   124264       label = 21;
   124265       break;
   124266     } else {
   124267       $max_168 = $_max_1;
   124268       $i_269 = $inc72;
   124269       $35 = $43;
   124270       label = 20;
   124271       break;
   124272     }
   124273    case 21:
   124274     $inc75 = $top_075 + 1 | 0;
   124275     $44 = HEAP32[$rank >> 2] | 0;
   124276     $n24 = $44 + ($r * 44 & -1) | 0;
   124277     $45 = HEAP32[$n24 >> 2] | 0;
   124278     $cmp25 = ($inc75 | 0) < ($45 | 0);
   124279     if ($cmp25) {
   124280       $cross_073 = $cross_3;
   124281       $max_074 = $max_1_lcssa;
   124282       $top_075 = $inc75;
   124283       label = 12;
   124284       break;
   124285     } else {
   124286       $cross_0_lcssa = $cross_3;
   124287       label = 10;
   124288       break;
   124289     }
   124290    case 22:
   124291     $46 = HEAP32[$rank >> 2] | 0;
   124292     $n10645 = $46 + ($add * 44 & -1) | 0;
   124293     $47 = HEAP32[$n10645 >> 2] | 0;
   124294     $cmp10746 = ($47 | 0) > 0;
   124295     if ($cmp10746) {
   124296       label = 23;
   124297       break;
   124298     } else {
   124299       $cross_6_lcssa = $cross_4_lcssa;
   124300       label = 30;
   124301       break;
   124302     }
   124303    case 23:
   124304     $48 = HEAP32[$rank >> 2] | 0;
   124305     $n106 = $48 + ($add * 44 & -1) | 0;
   124306     $49 = HEAP32[$n106 >> 2] | 0;
   124307     $cross_647 = $cross_4_lcssa;
   124308     $bot_048 = 0;
   124309     $54 = $46;
   124310     label = 27;
   124311     break;
   124312    case 24:
   124313     $v88 = $50 + ($r * 44 & -1) + 4 | 0;
   124314     $51 = HEAP32[$v88 >> 2] | 0;
   124315     $arrayidx89 = $51 + ($top_152 << 2) | 0;
   124316     $52 = HEAP32[$arrayidx89 >> 2] | 0;
   124317     $has_port = $52 + 161 | 0;
   124318     $53 = HEAP8[$has_port] | 0;
   124319     $tobool91 = $53 << 24 >> 24 == 0;
   124320     if ($tobool91) {
   124321       $cross_5 = $cross_451;
   124322       label = 26;
   124323       break;
   124324     } else {
   124325       label = 25;
   124326       break;
   124327     }
   124328    case 25:
   124329     $out94 = $52 + 184 | 0;
   124330     $call95 = _local_cross($out94, 1) | 0;
   124331     $add96 = $call95 + $cross_451 | 0;
   124332     $cross_5 = $add96;
   124333     label = 26;
   124334     break;
   124335    case 26:
   124336     $inc99 = $top_152 + 1 | 0;
   124337     $cmp82 = ($inc99 | 0) < ($19 | 0);
   124338     if ($cmp82) {
   124339       $cross_451 = $cross_5;
   124340       $top_152 = $inc99;
   124341       $50 = $18;
   124342       label = 24;
   124343       break;
   124344     } else {
   124345       $cross_4_lcssa = $cross_5;
   124346       label = 22;
   124347       break;
   124348     }
   124349    case 27:
   124350     $v114 = $54 + ($add * 44 & -1) + 4 | 0;
   124351     $55 = HEAP32[$v114 >> 2] | 0;
   124352     $arrayidx115 = $55 + ($bot_048 << 2) | 0;
   124353     $56 = HEAP32[$arrayidx115 >> 2] | 0;
   124354     $has_port117 = $56 + 161 | 0;
   124355     $57 = HEAP8[$has_port117] | 0;
   124356     $tobool118 = $57 << 24 >> 24 == 0;
   124357     if ($tobool118) {
   124358       $cross_7 = $cross_647;
   124359       label = 29;
   124360       break;
   124361     } else {
   124362       label = 28;
   124363       break;
   124364     }
   124365    case 28:
   124366     $in = $56 + 176 | 0;
   124367     $call121 = _local_cross($in, -1) | 0;
   124368     $add122 = $call121 + $cross_647 | 0;
   124369     $cross_7 = $add122;
   124370     label = 29;
   124371     break;
   124372    case 29:
   124373     $inc125 = $bot_048 + 1 | 0;
   124374     $cmp107 = ($inc125 | 0) < ($49 | 0);
   124375     if ($cmp107) {
   124376       $cross_647 = $cross_7;
   124377       $bot_048 = $inc125;
   124378       $54 = $48;
   124379       label = 27;
   124380       break;
   124381     } else {
   124382       $cross_6_lcssa = $cross_7;
   124383       label = 30;
   124384       break;
   124385     }
   124386    case 30:
   124387     return $cross_6_lcssa | 0;
   124388   }
   124389   return 0;
   124390 }
   124391 function _virtual_weight($e) {
   124392   $e = $e | 0;
   124393   var $call = 0, $conv = 0.0, $weight = 0;
   124394   $call = _endpoint_class(HEAP32[$e + 12 >> 2] | 0) | 0;
   124395   $conv = +(HEAP32[3304 + ((_endpoint_class(HEAP32[$e + 16 >> 2] | 0) | 0) * 12 & -1) + ($call << 2) >> 2] | 0);
   124396   $weight = $e + 172 | 0;
   124397   HEAPF32[$weight >> 2] = +HEAPF32[$weight >> 2] * $conv;
   124398   return;
   124399 }
   124400 function _mincross_options($g) {
   124401   $g = $g | 0;
   124402   var $0 = 0, $call = 0, $tobool = 0, $call1 = 0.0, $cmp = 0, $1 = 0, $conv = 0.0, $mul = 0.0, $cmp2 = 0, $phitmp = 0, $cond = 0, $2 = 0, $conv7 = 0.0, $mul8 = 0.0, $cmp9 = 0, $phitmp5 = 0, $cond16 = 0, label = 0;
   124403   label = 2;
   124404   while (1) switch (label | 0) {
   124405    case 2:
   124406     HEAP32[41630] = 8;
   124407     HEAP32[41634] = 24;
   124408     HEAPF64[20993] = .995;
   124409     $0 = $g | 0;
   124410     $call = _agget($0, 160176) | 0;
   124411     $tobool = ($call | 0) == 0;
   124412     if ($tobool) {
   124413       label = 9;
   124414       break;
   124415     } else {
   124416       label = 3;
   124417       break;
   124418     }
   124419    case 3:
   124420     $call1 = +_atof($call);
   124421     $cmp = $call1 > 0.0;
   124422     if ($cmp) {
   124423       label = 4;
   124424       break;
   124425     } else {
   124426       label = 9;
   124427       break;
   124428     }
   124429    case 4:
   124430     $1 = HEAP32[41630] | 0;
   124431     $conv = +($1 | 0);
   124432     $mul = $call1 * $conv;
   124433     $cmp2 = $mul < 1.0;
   124434     if ($cmp2) {
   124435       $cond = 1;
   124436       label = 6;
   124437       break;
   124438     } else {
   124439       label = 5;
   124440       break;
   124441     }
   124442    case 5:
   124443     $phitmp = ~~$mul;
   124444     $cond = $phitmp;
   124445     label = 6;
   124446     break;
   124447    case 6:
   124448     HEAP32[41630] = $cond;
   124449     $2 = HEAP32[41634] | 0;
   124450     $conv7 = +($2 | 0);
   124451     $mul8 = $call1 * $conv7;
   124452     $cmp9 = $mul8 < 1.0;
   124453     if ($cmp9) {
   124454       $cond16 = 1;
   124455       label = 8;
   124456       break;
   124457     } else {
   124458       label = 7;
   124459       break;
   124460     }
   124461    case 7:
   124462     $phitmp5 = ~~$mul8;
   124463     $cond16 = $phitmp5;
   124464     label = 8;
   124465     break;
   124466    case 8:
   124467     HEAP32[41634] = $cond16;
   124468     label = 9;
   124469     break;
   124470    case 9:
   124471     return;
   124472   }
   124473 }
   124474 function _ordered_edges($g) {
   124475   $g = $g | 0;
   124476   var $0 = 0, $tobool = 0, $1 = 0, $tobool1 = 0, $or_cond = 0, $2 = 0, $call = 0, $tobool2 = 0, $3 = 0, $cmp = 0, $call7 = 0, $tobool8 = 0, $_pr = 0, $4 = 0, $cmp12 = 0, $call15 = 0, $tobool16 = 0, $5 = 0, $tobool19 = 0, $call21 = 0, $meta_node = 0, $6 = 0, $graph = 0, $7 = 0, $8 = 0, $9 = 0, $call26 = 0, $tobool2715 = 0, $me_0_in16 = 0, $head = 0, $10 = 0, $11 = 0, $call28 = 0, $12 = 0, $call29 = 0, $tobool30 = 0, $13 = 0, $call33 = 0, $tobool27 = 0, $14 = 0, $tobool34 = 0, label = 0, __stackBase__ = 0;
   124477   __stackBase__ = STACKTOP;
   124478   label = 2;
   124479   while (1) switch (label | 0) {
   124480    case 2:
   124481     $0 = HEAP32[41866] | 0;
   124482     $tobool = ($0 | 0) != 0;
   124483     $1 = HEAP32[41580] | 0;
   124484     $tobool1 = ($1 | 0) != 0;
   124485     $or_cond = $tobool | $tobool1;
   124486     if ($or_cond) {
   124487       label = 3;
   124488       break;
   124489     } else {
   124490       label = 19;
   124491       break;
   124492     }
   124493    case 3:
   124494     $2 = $g | 0;
   124495     $call = _late_string($2, $0, 0) | 0;
   124496     $tobool2 = ($call | 0) == 0;
   124497     if ($tobool2) {
   124498       label = 13;
   124499       break;
   124500     } else {
   124501       label = 4;
   124502       break;
   124503     }
   124504    case 4:
   124505     $3 = HEAP8[$call] | 0;
   124506     $cmp = $3 << 24 >> 24 == 111;
   124507     if ($cmp) {
   124508       label = 5;
   124509       break;
   124510     } else {
   124511       $4 = $3;
   124512       label = 8;
   124513       break;
   124514     }
   124515    case 5:
   124516     $call7 = _strcmp($call | 0, 101448) | 0;
   124517     $tobool8 = ($call7 | 0) == 0;
   124518     if ($tobool8) {
   124519       label = 6;
   124520       break;
   124521     } else {
   124522       label = 7;
   124523       break;
   124524     }
   124525    case 6:
   124526     _do_ordering($g, 1);
   124527     label = 19;
   124528     break;
   124529    case 7:
   124530     $_pr = HEAP8[$call] | 0;
   124531     $4 = $_pr;
   124532     label = 8;
   124533     break;
   124534    case 8:
   124535     $cmp12 = $4 << 24 >> 24 == 105;
   124536     if ($cmp12) {
   124537       label = 9;
   124538       break;
   124539     } else {
   124540       label = 11;
   124541       break;
   124542     }
   124543    case 9:
   124544     $call15 = _strcmp($call | 0, 97144) | 0;
   124545     $tobool16 = ($call15 | 0) == 0;
   124546     if ($tobool16) {
   124547       label = 10;
   124548       break;
   124549     } else {
   124550       label = 11;
   124551       break;
   124552     }
   124553    case 10:
   124554     _do_ordering($g, 0);
   124555     label = 19;
   124556     break;
   124557    case 11:
   124558     $5 = HEAP8[$call] | 0;
   124559     $tobool19 = $5 << 24 >> 24 == 0;
   124560     if ($tobool19) {
   124561       label = 19;
   124562       break;
   124563     } else {
   124564       label = 12;
   124565       break;
   124566     }
   124567    case 12:
   124568     $call21 = _agerr(1, 93496, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $call, tempInt) | 0) | 0;
   124569     label = 19;
   124570     break;
   124571    case 13:
   124572     $meta_node = $g + 36 | 0;
   124573     $6 = HEAP32[$meta_node >> 2] | 0;
   124574     $graph = $6 + 20 | 0;
   124575     $7 = HEAP32[$graph >> 2] | 0;
   124576     $8 = $7;
   124577     $9 = $6;
   124578     $call26 = _agfstout($8, $9) | 0;
   124579     $tobool2715 = ($call26 | 0) == 0;
   124580     if ($tobool2715) {
   124581       label = 17;
   124582       break;
   124583     } else {
   124584       $me_0_in16 = $call26;
   124585       label = 14;
   124586       break;
   124587     }
   124588    case 14:
   124589     $head = $me_0_in16 + 12 | 0;
   124590     $10 = HEAP32[$head >> 2] | 0;
   124591     $11 = $10;
   124592     $call28 = _agusergraph($11) | 0;
   124593     $12 = $call28;
   124594     $call29 = _is_cluster($12) | 0;
   124595     $tobool30 = ($call29 | 0) == 0;
   124596     if ($tobool30) {
   124597       label = 15;
   124598       break;
   124599     } else {
   124600       label = 16;
   124601       break;
   124602     }
   124603    case 15:
   124604     $13 = $call28;
   124605     _ordered_edges($13);
   124606     label = 16;
   124607     break;
   124608    case 16:
   124609     $call33 = _agnxtout($8, $me_0_in16) | 0;
   124610     $tobool27 = ($call33 | 0) == 0;
   124611     if ($tobool27) {
   124612       label = 17;
   124613       break;
   124614     } else {
   124615       $me_0_in16 = $call33;
   124616       label = 14;
   124617       break;
   124618     }
   124619    case 17:
   124620     $14 = HEAP32[41580] | 0;
   124621     $tobool34 = ($14 | 0) == 0;
   124622     if ($tobool34) {
   124623       label = 19;
   124624       break;
   124625     } else {
   124626       label = 18;
   124627       break;
   124628     }
   124629    case 18:
   124630     _do_ordering_for_nodes($g);
   124631     label = 19;
   124632     break;
   124633    case 19:
   124634     STACKTOP = __stackBase__;
   124635     return;
   124636   }
   124637 }
   124638 function _do_ordering($g, $outflag) {
   124639   $g = $g | 0;
   124640   $outflag = $outflag | 0;
   124641   var $0 = 0, $call = 0, $tobool5 = 0, $n_0_in6 = 0, $n_0 = 0, $call1 = 0, $tobool = 0, label = 0;
   124642   label = 2;
   124643   while (1) switch (label | 0) {
   124644    case 2:
   124645     $0 = $g;
   124646     $call = _agfstnode($0) | 0;
   124647     $tobool5 = ($call | 0) == 0;
   124648     if ($tobool5) {
   124649       label = 4;
   124650       break;
   124651     } else {
   124652       $n_0_in6 = $call;
   124653       label = 3;
   124654       break;
   124655     }
   124656    case 3:
   124657     $n_0 = $n_0_in6;
   124658     _do_ordering_node($g, $n_0, $outflag);
   124659     $call1 = _agnxtnode($0, $n_0_in6) | 0;
   124660     $tobool = ($call1 | 0) == 0;
   124661     if ($tobool) {
   124662       label = 4;
   124663       break;
   124664     } else {
   124665       $n_0_in6 = $call1;
   124666       label = 3;
   124667       break;
   124668     }
   124669    case 4:
   124670     return;
   124671   }
   124672 }
   124673 function _transpose_step($g, $r, $reverse) {
   124674   $g = $g | 0;
   124675   $r = $r | 0;
   124676   $reverse = $reverse | 0;
   124677   var $rank = 0, $0 = 0, $candidate = 0, $1 = 0, $n5360 = 0, $2 = 0, $sub5461 = 0, $cmp5562 = 0, $cmp18 = 0, $add25 = 0, $notrhs = 0, $minrank = 0, $sub56 = 0, $maxrank = 0, $3 = 0, $i_0_ph64 = 0, $rv_0_ph63 = 0, $4 = 0, $i_056 = 0, $v7 = 0, $5 = 0, $arrayidx8 = 0, $6 = 0, $add = 0, $arrayidx13 = 0, $7 = 0, $order = 0, $8 = 0, $order16 = 0, $9 = 0, $cmp17 = 0, $call = 0, $tobool = 0, $call20 = 0, $call22 = 0, $c1_0 = 0, $c0_0 = 0, $10 = 0, $n29 = 0, $11 = 0, $cmp30 = 0, $call32 = 0, $add33 = 0, $call34 = 0, $add35 = 0, $c1_1 = 0, $c0_1 = 0, $cmp37 = 0, $notlhs = 0, $or_cond_not = 0, $cmp41 = 0, $or_cond49 = 0, $12 = 0, $n = 0, $13 = 0, $sub = 0, $cmp = 0, $sub43 = 0, $add44 = 0, $14 = 0, $rank46 = 0, $15 = 0, $valid = 0, $16 = 0, $candidate51 = 0, $17 = 0, $conv = 0, $cmp53 = 0, $18 = 0, $rank58 = 0, $19 = 0, $valid60 = 0, $20 = 0, $candidate65 = 0, $21 = 0, $conv68 = 0, $cmp69 = 0, $22 = 0, $rank74 = 0, $23 = 0, $valid76 = 0, $24 = 0, $candidate81 = 0, $25 = 0, $n53 = 0, $26 = 0, $sub54 = 0, $cmp55 = 0, $rv_0_ph59 = 0, label = 0;
   124678   label = 2;
   124679   while (1) switch (label | 0) {
   124680    case 2:
   124681     $rank = $g + 224 | 0;
   124682     $0 = HEAP32[$rank >> 2] | 0;
   124683     $candidate = $0 + ($r * 44 & -1) + 32 | 0;
   124684     HEAP8[$candidate] = 0;
   124685     $1 = HEAP32[$rank >> 2] | 0;
   124686     $n5360 = $1 + ($r * 44 & -1) | 0;
   124687     $2 = HEAP32[$n5360 >> 2] | 0;
   124688     $sub5461 = $2 - 1 | 0;
   124689     $cmp5562 = ($sub5461 | 0) > 0;
   124690     if ($cmp5562) {
   124691       label = 3;
   124692       break;
   124693     } else {
   124694       $rv_0_ph59 = 0;
   124695       label = 20;
   124696       break;
   124697     }
   124698    case 3:
   124699     $cmp18 = ($r | 0) > 0;
   124700     $add25 = $r + 1 | 0;
   124701     $notrhs = ($reverse | 0) != 0;
   124702     $minrank = $g + 248 | 0;
   124703     $sub56 = $r - 1 | 0;
   124704     $maxrank = $g + 250 | 0;
   124705     $rv_0_ph63 = 0;
   124706     $i_0_ph64 = 0;
   124707     $3 = $1;
   124708     label = 4;
   124709     break;
   124710    case 4:
   124711     $i_056 = $i_0_ph64;
   124712     $4 = $3;
   124713     label = 5;
   124714     break;
   124715    case 5:
   124716     $v7 = $4 + ($r * 44 & -1) + 4 | 0;
   124717     $5 = HEAP32[$v7 >> 2] | 0;
   124718     $arrayidx8 = $5 + ($i_056 << 2) | 0;
   124719     $6 = HEAP32[$arrayidx8 >> 2] | 0;
   124720     $add = $i_056 + 1 | 0;
   124721     $arrayidx13 = $5 + ($add << 2) | 0;
   124722     $7 = HEAP32[$arrayidx13 >> 2] | 0;
   124723     $order = $6 + 240 | 0;
   124724     $8 = HEAP32[$order >> 2] | 0;
   124725     $order16 = $7 + 240 | 0;
   124726     $9 = HEAP32[$order16 >> 2] | 0;
   124727     $cmp17 = ($8 | 0) < ($9 | 0);
   124728     if ($cmp17) {
   124729       label = 7;
   124730       break;
   124731     } else {
   124732       label = 6;
   124733       break;
   124734     }
   124735    case 6:
   124736     ___assert_func(119784, 523, 163768, 105480);
   124737     return 0;
   124738    case 7:
   124739     $call = _left2right($g, $6, $7) | 0;
   124740     $tobool = ($call | 0) == 0;
   124741     if ($tobool) {
   124742       label = 8;
   124743       break;
   124744     } else {
   124745       label = 14;
   124746       break;
   124747     }
   124748    case 8:
   124749     if ($cmp18) {
   124750       label = 9;
   124751       break;
   124752     } else {
   124753       $c0_0 = 0;
   124754       $c1_0 = 0;
   124755       label = 10;
   124756       break;
   124757     }
   124758    case 9:
   124759     $call20 = _in_cross($6, $7) | 0;
   124760     $call22 = _in_cross($7, $6) | 0;
   124761     $c0_0 = $call20;
   124762     $c1_0 = $call22;
   124763     label = 10;
   124764     break;
   124765    case 10:
   124766     $10 = HEAP32[$rank >> 2] | 0;
   124767     $n29 = $10 + ($add25 * 44 & -1) | 0;
   124768     $11 = HEAP32[$n29 >> 2] | 0;
   124769     $cmp30 = ($11 | 0) > 0;
   124770     if ($cmp30) {
   124771       label = 11;
   124772       break;
   124773     } else {
   124774       $c0_1 = $c0_0;
   124775       $c1_1 = $c1_0;
   124776       label = 12;
   124777       break;
   124778     }
   124779    case 11:
   124780     $call32 = _out_cross($6, $7) | 0;
   124781     $add33 = $call32 + $c0_0 | 0;
   124782     $call34 = _out_cross($7, $6) | 0;
   124783     $add35 = $call34 + $c1_0 | 0;
   124784     $c0_1 = $add33;
   124785     $c1_1 = $add35;
   124786     label = 12;
   124787     break;
   124788    case 12:
   124789     $cmp37 = ($c1_1 | 0) < ($c0_1 | 0);
   124790     if ($cmp37) {
   124791       label = 15;
   124792       break;
   124793     } else {
   124794       label = 13;
   124795       break;
   124796     }
   124797    case 13:
   124798     $notlhs = ($c0_1 | 0) > 0;
   124799     $or_cond_not = $notrhs & $notlhs;
   124800     $cmp41 = ($c1_1 | 0) == ($c0_1 | 0);
   124801     $or_cond49 = $or_cond_not & $cmp41;
   124802     if ($or_cond49) {
   124803       label = 15;
   124804       break;
   124805     } else {
   124806       label = 14;
   124807       break;
   124808     }
   124809    case 14:
   124810     $12 = HEAP32[$rank >> 2] | 0;
   124811     $n = $12 + ($r * 44 & -1) | 0;
   124812     $13 = HEAP32[$n >> 2] | 0;
   124813     $sub = $13 - 1 | 0;
   124814     $cmp = ($add | 0) < ($sub | 0);
   124815     if ($cmp) {
   124816       $i_056 = $add;
   124817       $4 = $12;
   124818       label = 5;
   124819       break;
   124820     } else {
   124821       $rv_0_ph59 = $rv_0_ph63;
   124822       label = 20;
   124823       break;
   124824     }
   124825    case 15:
   124826     _exchange($6, $7);
   124827     $sub43 = $c0_1 - $c1_1 | 0;
   124828     $add44 = $sub43 + $rv_0_ph63 | 0;
   124829     $14 = HEAP32[41526] | 0;
   124830     $rank46 = $14 + 224 | 0;
   124831     $15 = HEAP32[$rank46 >> 2] | 0;
   124832     $valid = $15 + ($r * 44 & -1) + 33 | 0;
   124833     HEAP8[$valid] = 0;
   124834     $16 = HEAP32[$rank >> 2] | 0;
   124835     $candidate51 = $16 + ($r * 44 & -1) + 32 | 0;
   124836     HEAP8[$candidate51] = 1;
   124837     $17 = HEAP16[$minrank >> 1] | 0;
   124838     $conv = $17 << 16 >> 16;
   124839     $cmp53 = ($conv | 0) < ($r | 0);
   124840     if ($cmp53) {
   124841       label = 16;
   124842       break;
   124843     } else {
   124844       label = 17;
   124845       break;
   124846     }
   124847    case 16:
   124848     $18 = HEAP32[41526] | 0;
   124849     $rank58 = $18 + 224 | 0;
   124850     $19 = HEAP32[$rank58 >> 2] | 0;
   124851     $valid60 = $19 + ($sub56 * 44 & -1) + 33 | 0;
   124852     HEAP8[$valid60] = 0;
   124853     $20 = HEAP32[$rank >> 2] | 0;
   124854     $candidate65 = $20 + ($sub56 * 44 & -1) + 32 | 0;
   124855     HEAP8[$candidate65] = 1;
   124856     label = 17;
   124857     break;
   124858    case 17:
   124859     $21 = HEAP16[$maxrank >> 1] | 0;
   124860     $conv68 = $21 << 16 >> 16;
   124861     $cmp69 = ($conv68 | 0) > ($r | 0);
   124862     if ($cmp69) {
   124863       label = 18;
   124864       break;
   124865     } else {
   124866       label = 19;
   124867       break;
   124868     }
   124869    case 18:
   124870     $22 = HEAP32[41526] | 0;
   124871     $rank74 = $22 + 224 | 0;
   124872     $23 = HEAP32[$rank74 >> 2] | 0;
   124873     $valid76 = $23 + ($add25 * 44 & -1) + 33 | 0;
   124874     HEAP8[$valid76] = 0;
   124875     $24 = HEAP32[$rank >> 2] | 0;
   124876     $candidate81 = $24 + ($add25 * 44 & -1) + 32 | 0;
   124877     HEAP8[$candidate81] = 1;
   124878     label = 19;
   124879     break;
   124880    case 19:
   124881     $25 = HEAP32[$rank >> 2] | 0;
   124882     $n53 = $25 + ($r * 44 & -1) | 0;
   124883     $26 = HEAP32[$n53 >> 2] | 0;
   124884     $sub54 = $26 - 1 | 0;
   124885     $cmp55 = ($add | 0) < ($sub54 | 0);
   124886     if ($cmp55) {
   124887       $rv_0_ph63 = $add44;
   124888       $i_0_ph64 = $add;
   124889       $3 = $25;
   124890       label = 4;
   124891       break;
   124892     } else {
   124893       $rv_0_ph59 = $add44;
   124894       label = 20;
   124895       break;
   124896     }
   124897    case 20:
   124898     return $rv_0_ph59 | 0;
   124899   }
   124900   return 0;
   124901 }
   124902 function _betweenclust($e) {
   124903   $e = $e | 0;
   124904   var $e_addr_0 = 0, $to_orig = 0, $0 = 0, $tobool = 0, $tail = 0, $1 = 0, $clust = 0, $2 = 0, $head = 0, $3 = 0, $clust5 = 0, $4 = 0, $cmp = 0, $conv = 0, label = 0;
   124905   label = 2;
   124906   while (1) switch (label | 0) {
   124907    case 2:
   124908     $e_addr_0 = $e;
   124909     label = 3;
   124910     break;
   124911    case 3:
   124912     $to_orig = $e_addr_0 + 132 | 0;
   124913     $0 = HEAP32[$to_orig >> 2] | 0;
   124914     $tobool = ($0 | 0) == 0;
   124915     if ($tobool) {
   124916       label = 4;
   124917       break;
   124918     } else {
   124919       $e_addr_0 = $0;
   124920       label = 3;
   124921       break;
   124922     }
   124923    case 4:
   124924     $tail = $e_addr_0 + 16 | 0;
   124925     $1 = HEAP32[$tail >> 2] | 0;
   124926     $clust = $1 + 216 | 0;
   124927     $2 = HEAP32[$clust >> 2] | 0;
   124928     $head = $e_addr_0 + 12 | 0;
   124929     $3 = HEAP32[$head >> 2] | 0;
   124930     $clust5 = $3 + 216 | 0;
   124931     $4 = HEAP32[$clust5 >> 2] | 0;
   124932     $cmp = ($2 | 0) != ($4 | 0);
   124933     $conv = $cmp & 1;
   124934     return $conv | 0;
   124935   }
   124936   return 0;
   124937 }
   124938 function _edgeidcmpf($e0, $e1) {
   124939   $e0 = $e0 | 0;
   124940   $e1 = $e1 | 0;
   124941   return (HEAP32[(HEAP32[$e0 >> 2] | 0) + 20 >> 2] | 0) - (HEAP32[(HEAP32[$e1 >> 2] | 0) + 20 >> 2] | 0) | 0;
   124942 }
   124943 function _neighbor113($v, $dir) {
   124944   $v = $v | 0;
   124945   $dir = $dir | 0;
   124946   var $cmp = 0, $order = 0, $0 = 0, $cmp1 = 0, $sub = 0, $rank = 0, $1 = 0, $2 = 0, $rank7 = 0, $3 = 0, $v8 = 0, $4 = 0, $arrayidx9 = 0, $5 = 0, $add = 0, $rank13 = 0, $6 = 0, $7 = 0, $rank15 = 0, $8 = 0, $v17 = 0, $9 = 0, $arrayidx18 = 0, $10 = 0, $rv_0 = 0, label = 0;
   124947   label = 2;
   124948   while (1) switch (label | 0) {
   124949    case 2:
   124950     $cmp = ($dir | 0) < 0;
   124951     $order = $v + 240 | 0;
   124952     $0 = HEAP32[$order >> 2] | 0;
   124953     if ($cmp) {
   124954       label = 3;
   124955       break;
   124956     } else {
   124957       label = 5;
   124958       break;
   124959     }
   124960    case 3:
   124961     $cmp1 = ($0 | 0) > 0;
   124962     if ($cmp1) {
   124963       label = 4;
   124964       break;
   124965     } else {
   124966       $rv_0 = 0;
   124967       label = 6;
   124968       break;
   124969     }
   124970    case 4:
   124971     $sub = $0 - 1 | 0;
   124972     $rank = $v + 236 | 0;
   124973     $1 = HEAP32[$rank >> 2] | 0;
   124974     $2 = HEAP32[41526] | 0;
   124975     $rank7 = $2 + 224 | 0;
   124976     $3 = HEAP32[$rank7 >> 2] | 0;
   124977     $v8 = $3 + ($1 * 44 & -1) + 4 | 0;
   124978     $4 = HEAP32[$v8 >> 2] | 0;
   124979     $arrayidx9 = $4 + ($sub << 2) | 0;
   124980     $5 = HEAP32[$arrayidx9 >> 2] | 0;
   124981     $rv_0 = $5;
   124982     label = 6;
   124983     break;
   124984    case 5:
   124985     $add = $0 + 1 | 0;
   124986     $rank13 = $v + 236 | 0;
   124987     $6 = HEAP32[$rank13 >> 2] | 0;
   124988     $7 = HEAP32[41526] | 0;
   124989     $rank15 = $7 + 224 | 0;
   124990     $8 = HEAP32[$rank15 >> 2] | 0;
   124991     $v17 = $8 + ($6 * 44 & -1) + 4 | 0;
   124992     $9 = HEAP32[$v17 >> 2] | 0;
   124993     $arrayidx18 = $9 + ($add << 2) | 0;
   124994     $10 = HEAP32[$arrayidx18 >> 2] | 0;
   124995     $rv_0 = $10;
   124996     label = 6;
   124997     break;
   124998    case 6:
   124999     return $rv_0 | 0;
   125000   }
   125001   return 0;
   125002 }
   125003 function _merge_components($g) {
   125004   $g = $g | 0;
   125005   var $comp = 0, $size = 0, $0 = 0, $cmp = 0, $1 = 0, $cmp515 = 0, $list = 0, $u_017 = 0, $c_016 = 0, $2 = 0, $arrayidx = 0, $3 = 0, $tobool = 0, $next = 0, $prev = 0, $v_0 = 0, $next13 = 0, $4 = 0, $tobool14 = 0, $inc = 0, $5 = 0, $cmp5 = 0, $list22 = 0, $6 = 0, $7 = 0, $nlist = 0, $8 = 0, $conv = 0, $minrank = 0, $9 = 0, $conv26 = 0, $maxrank = 0, label = 0;
   125006   label = 2;
   125007   while (1) switch (label | 0) {
   125008    case 2:
   125009     $comp = $g + 228 | 0;
   125010     $size = $g + 232 | 0;
   125011     $0 = HEAP32[$size >> 2] | 0;
   125012     $cmp = ($0 | 0) < 2;
   125013     if ($cmp) {
   125014       label = 11;
   125015       break;
   125016     } else {
   125017       label = 3;
   125018       break;
   125019     }
   125020    case 3:
   125021     $1 = HEAP32[$size >> 2] | 0;
   125022     $cmp515 = ($1 | 0) > 0;
   125023     if ($cmp515) {
   125024       label = 4;
   125025       break;
   125026     } else {
   125027       label = 10;
   125028       break;
   125029     }
   125030    case 4:
   125031     $list = $comp | 0;
   125032     $c_016 = 0;
   125033     $u_017 = 0;
   125034     label = 5;
   125035     break;
   125036    case 5:
   125037     $2 = HEAP32[$list >> 2] | 0;
   125038     $arrayidx = $2 + ($c_016 << 2) | 0;
   125039     $3 = HEAP32[$arrayidx >> 2] | 0;
   125040     $tobool = ($u_017 | 0) == 0;
   125041     if ($tobool) {
   125042       label = 7;
   125043       break;
   125044     } else {
   125045       label = 6;
   125046       break;
   125047     }
   125048    case 6:
   125049     $next = $u_017 + 168 | 0;
   125050     HEAP32[$next >> 2] = $3;
   125051     label = 7;
   125052     break;
   125053    case 7:
   125054     $prev = $3 + 172 | 0;
   125055     HEAP32[$prev >> 2] = $u_017;
   125056     $v_0 = $3;
   125057     label = 8;
   125058     break;
   125059    case 8:
   125060     $next13 = $v_0 + 168 | 0;
   125061     $4 = HEAP32[$next13 >> 2] | 0;
   125062     $tobool14 = ($4 | 0) == 0;
   125063     if ($tobool14) {
   125064       label = 9;
   125065       break;
   125066     } else {
   125067       $v_0 = $4;
   125068       label = 8;
   125069       break;
   125070     }
   125071    case 9:
   125072     $inc = $c_016 + 1 | 0;
   125073     $5 = HEAP32[$size >> 2] | 0;
   125074     $cmp5 = ($inc | 0) < ($5 | 0);
   125075     if ($cmp5) {
   125076       $c_016 = $inc;
   125077       $u_017 = $v_0;
   125078       label = 5;
   125079       break;
   125080     } else {
   125081       label = 10;
   125082       break;
   125083     }
   125084    case 10:
   125085     HEAP32[$size >> 2] = 1;
   125086     $list22 = $comp | 0;
   125087     $6 = HEAP32[$list22 >> 2] | 0;
   125088     $7 = HEAP32[$6 >> 2] | 0;
   125089     $nlist = $g + 220 | 0;
   125090     HEAP32[$nlist >> 2] = $7;
   125091     $8 = HEAP32[41854] | 0;
   125092     $conv = $8 & 65535;
   125093     $minrank = $g + 248 | 0;
   125094     HEAP16[$minrank >> 1] = $conv;
   125095     $9 = HEAP32[41856] | 0;
   125096     $conv26 = $9 & 65535;
   125097     $maxrank = $g + 250 | 0;
   125098     HEAP16[$maxrank >> 1] = $conv26;
   125099     label = 11;
   125100     break;
   125101    case 11:
   125102     return;
   125103   }
   125104 }
   125105 function _save_best($g) {
   125106   $g = $g | 0;
   125107   var $nlist = 0, $n_04 = 0, $tobool5 = 0, $n_06 = 0, $order = 0, $0 = 0, $conv = 0.0, $x = 0, $next = 0, $n_0 = 0, $tobool = 0, label = 0;
   125108   label = 2;
   125109   while (1) switch (label | 0) {
   125110    case 2:
   125111     $nlist = $g + 220 | 0;
   125112     $n_04 = HEAP32[$nlist >> 2] | 0;
   125113     $tobool5 = ($n_04 | 0) == 0;
   125114     if ($tobool5) {
   125115       label = 4;
   125116       break;
   125117     } else {
   125118       $n_06 = $n_04;
   125119       label = 3;
   125120       break;
   125121     }
   125122    case 3:
   125123     $order = $n_06 + 240 | 0;
   125124     $0 = HEAP32[$order >> 2] | 0;
   125125     $conv = +($0 | 0);
   125126     $x = $n_06 + 32 | 0;
   125127     HEAPF64[$x >> 3] = $conv;
   125128     $next = $n_06 + 168 | 0;
   125129     $n_0 = HEAP32[$next >> 2] | 0;
   125130     $tobool = ($n_0 | 0) == 0;
   125131     if ($tobool) {
   125132       label = 4;
   125133       break;
   125134     } else {
   125135       $n_06 = $n_0;
   125136       label = 3;
   125137       break;
   125138     }
   125139    case 4:
   125140     return;
   125141   }
   125142 }
   125143 function _do_ordering_for_nodes($g) {
   125144   $g = $g | 0;
   125145   var $0 = 0, $call = 0, $tobool15 = 0, $n_018_in = 0, $n_018 = 0, $1 = 0, $2 = 0, $call1 = 0, $tobool2 = 0, $3 = 0, $cmp = 0, $call5 = 0, $tobool6 = 0, $_pr = 0, $4 = 0, $cmp10 = 0, $call13 = 0, $tobool14 = 0, $5 = 0, $tobool17 = 0, $6 = 0, $7 = 0, $call19 = 0, $call23 = 0, $tobool = 0, label = 0, __stackBase__ = 0;
   125146   __stackBase__ = STACKTOP;
   125147   label = 2;
   125148   while (1) switch (label | 0) {
   125149    case 2:
   125150     $0 = $g;
   125151     $call = _agfstnode($0) | 0;
   125152     $tobool15 = ($call | 0) == 0;
   125153     if ($tobool15) {
   125154       label = 14;
   125155       break;
   125156     } else {
   125157       $n_018_in = $call;
   125158       label = 3;
   125159       break;
   125160     }
   125161    case 3:
   125162     $n_018 = $n_018_in;
   125163     $1 = $n_018_in | 0;
   125164     $2 = HEAP32[41580] | 0;
   125165     $call1 = _late_string($1, $2, 0) | 0;
   125166     $tobool2 = ($call1 | 0) == 0;
   125167     if ($tobool2) {
   125168       label = 13;
   125169       break;
   125170     } else {
   125171       label = 4;
   125172       break;
   125173     }
   125174    case 4:
   125175     $3 = HEAP8[$call1] | 0;
   125176     $cmp = $3 << 24 >> 24 == 111;
   125177     if ($cmp) {
   125178       label = 5;
   125179       break;
   125180     } else {
   125181       $4 = $3;
   125182       label = 8;
   125183       break;
   125184     }
   125185    case 5:
   125186     $call5 = _strcmp($call1 | 0, 101448) | 0;
   125187     $tobool6 = ($call5 | 0) == 0;
   125188     if ($tobool6) {
   125189       label = 6;
   125190       break;
   125191     } else {
   125192       label = 7;
   125193       break;
   125194     }
   125195    case 6:
   125196     _do_ordering_node($g, $n_018, 1);
   125197     label = 13;
   125198     break;
   125199    case 7:
   125200     $_pr = HEAP8[$call1] | 0;
   125201     $4 = $_pr;
   125202     label = 8;
   125203     break;
   125204    case 8:
   125205     $cmp10 = $4 << 24 >> 24 == 105;
   125206     if ($cmp10) {
   125207       label = 9;
   125208       break;
   125209     } else {
   125210       label = 11;
   125211       break;
   125212     }
   125213    case 9:
   125214     $call13 = _strcmp($call1 | 0, 97144) | 0;
   125215     $tobool14 = ($call13 | 0) == 0;
   125216     if ($tobool14) {
   125217       label = 10;
   125218       break;
   125219     } else {
   125220       label = 11;
   125221       break;
   125222     }
   125223    case 10:
   125224     _do_ordering_node($g, $n_018, 0);
   125225     label = 13;
   125226     break;
   125227    case 11:
   125228     $5 = HEAP8[$call1] | 0;
   125229     $tobool17 = $5 << 24 >> 24 == 0;
   125230     if ($tobool17) {
   125231       label = 13;
   125232       break;
   125233     } else {
   125234       label = 12;
   125235       break;
   125236     }
   125237    case 12:
   125238     $6 = $n_018_in + 12 | 0;
   125239     $7 = HEAP32[$6 >> 2] | 0;
   125240     $call19 = _agerr(1, 90016, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $call1, HEAP32[tempInt + 8 >> 2] = $7, tempInt) | 0) | 0;
   125241     label = 13;
   125242     break;
   125243    case 13:
   125244     $call23 = _agnxtnode($0, $n_018_in) | 0;
   125245     $tobool = ($call23 | 0) == 0;
   125246     if ($tobool) {
   125247       label = 14;
   125248       break;
   125249     } else {
   125250       $n_018_in = $call23;
   125251       label = 3;
   125252       break;
   125253     }
   125254    case 14:
   125255     STACKTOP = __stackBase__;
   125256     return;
   125257   }
   125258 }
   125259 function _do_ordering_node($g, $n, $outflag) {
   125260   $g = $g | 0;
   125261   $n = $n | 0;
   125262   $outflag = $outflag | 0;
   125263   var $0 = 0, $clust = 0, $1 = 0, $tobool = 0, $tobool2 = 0, $list13 = 0, $2 = 0, $3 = 0, $tobool1534 = 0, $list = 0, $4 = 0, $5 = 0, $tobool530 = 0, $6 = 0, $i_032 = 0, $ne_031 = 0, $call = 0, $tobool6 = 0, $inc = 0, $arrayidx8 = 0, $ne_1 = 0, $inc10 = 0, $7 = 0, $arrayidx = 0, $8 = 0, $tobool5 = 0, $9 = 0, $i_136 = 0, $ne_235 = 0, $call17 = 0, $tobool18 = 0, $inc20 = 0, $arrayidx21 = 0, $ne_3 = 0, $inc24 = 0, $10 = 0, $arrayidx14 = 0, $11 = 0, $tobool15 = 0, $ne_4 = 0, $cmp = 0, $arrayidx29 = 0, $12 = 0, $arrayidx3127 = 0, $13 = 0, $tobool3228 = 0, $14 = 0, $ne_529 = 0, $sub = 0, $arrayidx34 = 0, $15 = 0, $head = 0, $head37 = 0, $tail = 0, $tail39 = 0, $v_0_in = 0, $u_0_in = 0, $u_0 = 0, $v_0 = 0, $call41 = 0, $tobool42 = 0, $call45 = 0, $edge_type = 0, $inc48 = 0, $arrayidx31 = 0, $16 = 0, $tobool32 = 0, label = 0;
   125264   label = 2;
   125265   while (1) switch (label | 0) {
   125266    case 2:
   125267     $0 = HEAP32[41348] | 0;
   125268     $clust = $n + 216 | 0;
   125269     $1 = HEAP32[$clust >> 2] | 0;
   125270     $tobool = ($1 | 0) == 0;
   125271     if ($tobool) {
   125272       label = 3;
   125273       break;
   125274     } else {
   125275       label = 19;
   125276       break;
   125277     }
   125278    case 3:
   125279     $tobool2 = ($outflag | 0) != 0;
   125280     if ($tobool2) {
   125281       label = 5;
   125282       break;
   125283     } else {
   125284       label = 4;
   125285       break;
   125286     }
   125287    case 4:
   125288     $list13 = $n + 176 | 0;
   125289     $2 = HEAP32[$list13 >> 2] | 0;
   125290     $3 = HEAP32[$2 >> 2] | 0;
   125291     $tobool1534 = ($3 | 0) == 0;
   125292     if ($tobool1534) {
   125293       label = 19;
   125294       break;
   125295     } else {
   125296       $ne_235 = 0;
   125297       $i_136 = 0;
   125298       $9 = $3;
   125299       label = 9;
   125300       break;
   125301     }
   125302    case 5:
   125303     $list = $n + 184 | 0;
   125304     $4 = HEAP32[$list >> 2] | 0;
   125305     $5 = HEAP32[$4 >> 2] | 0;
   125306     $tobool530 = ($5 | 0) == 0;
   125307     if ($tobool530) {
   125308       label = 19;
   125309       break;
   125310     } else {
   125311       $ne_031 = 0;
   125312       $i_032 = 0;
   125313       $6 = $5;
   125314       label = 6;
   125315       break;
   125316     }
   125317    case 6:
   125318     $call = _betweenclust($6) | 0;
   125319     $tobool6 = ($call | 0) == 0;
   125320     if ($tobool6) {
   125321       label = 7;
   125322       break;
   125323     } else {
   125324       $ne_1 = $ne_031;
   125325       label = 8;
   125326       break;
   125327     }
   125328    case 7:
   125329     $inc = $ne_031 + 1 | 0;
   125330     $arrayidx8 = $0 + ($ne_031 << 2) | 0;
   125331     HEAP32[$arrayidx8 >> 2] = $6;
   125332     $ne_1 = $inc;
   125333     label = 8;
   125334     break;
   125335    case 8:
   125336     $inc10 = $i_032 + 1 | 0;
   125337     $7 = HEAP32[$list >> 2] | 0;
   125338     $arrayidx = $7 + ($inc10 << 2) | 0;
   125339     $8 = HEAP32[$arrayidx >> 2] | 0;
   125340     $tobool5 = ($8 | 0) == 0;
   125341     if ($tobool5) {
   125342       $ne_4 = $ne_1;
   125343       label = 12;
   125344       break;
   125345     } else {
   125346       $ne_031 = $ne_1;
   125347       $i_032 = $inc10;
   125348       $6 = $8;
   125349       label = 6;
   125350       break;
   125351     }
   125352    case 9:
   125353     $call17 = _betweenclust($9) | 0;
   125354     $tobool18 = ($call17 | 0) == 0;
   125355     if ($tobool18) {
   125356       label = 10;
   125357       break;
   125358     } else {
   125359       $ne_3 = $ne_235;
   125360       label = 11;
   125361       break;
   125362     }
   125363    case 10:
   125364     $inc20 = $ne_235 + 1 | 0;
   125365     $arrayidx21 = $0 + ($ne_235 << 2) | 0;
   125366     HEAP32[$arrayidx21 >> 2] = $9;
   125367     $ne_3 = $inc20;
   125368     label = 11;
   125369     break;
   125370    case 11:
   125371     $inc24 = $i_136 + 1 | 0;
   125372     $10 = HEAP32[$list13 >> 2] | 0;
   125373     $arrayidx14 = $10 + ($inc24 << 2) | 0;
   125374     $11 = HEAP32[$arrayidx14 >> 2] | 0;
   125375     $tobool15 = ($11 | 0) == 0;
   125376     if ($tobool15) {
   125377       $ne_4 = $ne_3;
   125378       label = 12;
   125379       break;
   125380     } else {
   125381       $ne_235 = $ne_3;
   125382       $i_136 = $inc24;
   125383       $9 = $11;
   125384       label = 9;
   125385       break;
   125386     }
   125387    case 12:
   125388     $cmp = ($ne_4 | 0) < 2;
   125389     if ($cmp) {
   125390       label = 19;
   125391       break;
   125392     } else {
   125393       label = 13;
   125394       break;
   125395     }
   125396    case 13:
   125397     $arrayidx29 = $0 + ($ne_4 << 2) | 0;
   125398     HEAP32[$arrayidx29 >> 2] = 0;
   125399     $12 = $0;
   125400     _qsort($12 | 0, $ne_4 | 0, 4, 200);
   125401     $arrayidx3127 = $0 + 4 | 0;
   125402     $13 = HEAP32[$arrayidx3127 >> 2] | 0;
   125403     $tobool3228 = ($13 | 0) == 0;
   125404     if ($tobool3228) {
   125405       label = 19;
   125406       break;
   125407     } else {
   125408       $ne_529 = 1;
   125409       $14 = $13;
   125410       label = 14;
   125411       break;
   125412     }
   125413    case 14:
   125414     $sub = $ne_529 - 1 | 0;
   125415     $arrayidx34 = $0 + ($sub << 2) | 0;
   125416     $15 = HEAP32[$arrayidx34 >> 2] | 0;
   125417     if ($tobool2) {
   125418       label = 15;
   125419       break;
   125420     } else {
   125421       label = 16;
   125422       break;
   125423     }
   125424    case 15:
   125425     $head = $15 + 12 | 0;
   125426     $head37 = $14 + 12 | 0;
   125427     $u_0_in = $head;
   125428     $v_0_in = $head37;
   125429     label = 17;
   125430     break;
   125431    case 16:
   125432     $tail = $15 + 16 | 0;
   125433     $tail39 = $14 + 16 | 0;
   125434     $u_0_in = $tail;
   125435     $v_0_in = $tail39;
   125436     label = 17;
   125437     break;
   125438    case 17:
   125439     $u_0 = HEAP32[$u_0_in >> 2] | 0;
   125440     $v_0 = HEAP32[$v_0_in >> 2] | 0;
   125441     $call41 = _find_flat_edge($u_0, $v_0) | 0;
   125442     $tobool42 = ($call41 | 0) == 0;
   125443     if ($tobool42) {
   125444       label = 18;
   125445       break;
   125446     } else {
   125447       label = 19;
   125448       break;
   125449     }
   125450    case 18:
   125451     $call45 = _new_virtual_edge($u_0, $v_0, 0) | 0;
   125452     $edge_type = $call45 + 128 | 0;
   125453     HEAP8[$edge_type] = 4;
   125454     _flat_edge($g, $call45);
   125455     $inc48 = $ne_529 + 1 | 0;
   125456     $arrayidx31 = $0 + ($inc48 << 2) | 0;
   125457     $16 = HEAP32[$arrayidx31 >> 2] | 0;
   125458     $tobool32 = ($16 | 0) == 0;
   125459     if ($tobool32) {
   125460       label = 19;
   125461       break;
   125462     } else {
   125463       $ne_529 = $inc48;
   125464       $14 = $16;
   125465       label = 14;
   125466       break;
   125467     }
   125468    case 19:
   125469     return;
   125470   }
   125471 }
   125472 function _is_a_normal_node_of($g, $v) {
   125473   $g = $g | 0;
   125474   $v = $v | 0;
   125475   var $node_type = 0, $0 = 0, $cmp = 0, $1 = 0, $2 = 0, $call = 0, $tobool = 0, $phitmp = 0, $3 = 0, label = 0;
   125476   label = 2;
   125477   while (1) switch (label | 0) {
   125478    case 2:
   125479     $node_type = $v + 162 | 0;
   125480     $0 = HEAP8[$node_type] | 0;
   125481     $cmp = $0 << 24 >> 24 == 0;
   125482     if ($cmp) {
   125483       label = 3;
   125484       break;
   125485     } else {
   125486       $3 = 0;
   125487       label = 4;
   125488       break;
   125489     }
   125490    case 3:
   125491     $1 = $v | 0;
   125492     $2 = $g;
   125493     $call = _agcontains($2, $1) | 0;
   125494     $tobool = ($call | 0) != 0;
   125495     $phitmp = $tobool & 1;
   125496     $3 = $phitmp;
   125497     label = 4;
   125498     break;
   125499    case 4:
   125500     return $3 | 0;
   125501   }
   125502   return 0;
   125503 }
   125504 function _is_a_vnode_of_an_edge_of($g, $v) {
   125505   $g = $g | 0;
   125506   $v = $v | 0;
   125507   var $node_type = 0, $0 = 0, $cmp = 0, $size = 0, $1 = 0, $cmp3 = 0, $size7 = 0, $2 = 0, $cmp8 = 0, $list = 0, $3 = 0, $e_0_in = 0, $e_0 = 0, $edge_type = 0, $4 = 0, $cmp14 = 0, $to_orig = 0, $5 = 0, $6 = 0, $call = 0, $tobool = 0, $retval_0 = 0, label = 0;
   125508   label = 2;
   125509   while (1) switch (label | 0) {
   125510    case 2:
   125511     $node_type = $v + 162 | 0;
   125512     $0 = HEAP8[$node_type] | 0;
   125513     $cmp = $0 << 24 >> 24 == 1;
   125514     if ($cmp) {
   125515       label = 3;
   125516       break;
   125517     } else {
   125518       label = 8;
   125519       break;
   125520     }
   125521    case 3:
   125522     $size = $v + 180 | 0;
   125523     $1 = HEAP32[$size >> 2] | 0;
   125524     $cmp3 = ($1 | 0) == 1;
   125525     if ($cmp3) {
   125526       label = 4;
   125527       break;
   125528     } else {
   125529       label = 8;
   125530       break;
   125531     }
   125532    case 4:
   125533     $size7 = $v + 188 | 0;
   125534     $2 = HEAP32[$size7 >> 2] | 0;
   125535     $cmp8 = ($2 | 0) == 1;
   125536     if ($cmp8) {
   125537       label = 5;
   125538       break;
   125539     } else {
   125540       label = 8;
   125541       break;
   125542     }
   125543    case 5:
   125544     $list = $v + 184 | 0;
   125545     $3 = HEAP32[$list >> 2] | 0;
   125546     $e_0_in = $3;
   125547     label = 6;
   125548     break;
   125549    case 6:
   125550     $e_0 = HEAP32[$e_0_in >> 2] | 0;
   125551     $edge_type = $e_0 + 128 | 0;
   125552     $4 = HEAP8[$edge_type] | 0;
   125553     $cmp14 = $4 << 24 >> 24 == 0;
   125554     $to_orig = $e_0 + 132 | 0;
   125555     if ($cmp14) {
   125556       label = 7;
   125557       break;
   125558     } else {
   125559       $e_0_in = $to_orig;
   125560       label = 6;
   125561       break;
   125562     }
   125563    case 7:
   125564     $5 = $e_0 | 0;
   125565     $6 = $g;
   125566     $call = _agcontains($6, $5) | 0;
   125567     $tobool = ($call | 0) == 0;
   125568     if ($tobool) {
   125569       label = 8;
   125570       break;
   125571     } else {
   125572       $retval_0 = 1;
   125573       label = 9;
   125574       break;
   125575     }
   125576    case 8:
   125577     $retval_0 = 0;
   125578     label = 9;
   125579     break;
   125580    case 9:
   125581     return $retval_0 | 0;
   125582   }
   125583   return 0;
   125584 }
   125585 function _free_matrix($p) {
   125586   $p = $p | 0;
   125587   var $tobool = 0, $data = 0, $0 = 0, $1 = 0, label = 0;
   125588   label = 2;
   125589   while (1) switch (label | 0) {
   125590    case 2:
   125591     $tobool = ($p | 0) == 0;
   125592     if ($tobool) {
   125593       label = 4;
   125594       break;
   125595     } else {
   125596       label = 3;
   125597       break;
   125598     }
   125599    case 3:
   125600     $data = $p + 8 | 0;
   125601     $0 = HEAP32[$data >> 2] | 0;
   125602     _free($0);
   125603     $1 = $p;
   125604     _free($1);
   125605     label = 4;
   125606     break;
   125607    case 4:
   125608     return;
   125609   }
   125610 }
   125611 function _flat_breakcycles($g) {
   125612   $g = $g | 0;
   125613   var $minrank = 0, $0 = 0, $maxrank = 0, $1 = 0, $cmp39 = 0, $conv = 0, $rank = 0, $r_040 = 0, $2 = 0, $n30 = 0, $3 = 0, $cmp631 = 0, $4 = 0, $flat_033 = 0, $i_032 = 0, $v12 = 0, $5 = 0, $arrayidx13 = 0, $6 = 0, $onstack = 0, $mark = 0, $low = 0, $size = 0, $7 = 0, $cmp18 = 0, $cmp20 = 0, $or_cond = 0, $8 = 0, $n25 = 0, $9 = 0, $call = 0, $10 = 0, $flat33 = 0, $flat_1 = 0, $inc = 0, $11 = 0, $n = 0, $12 = 0, $cmp6 = 0, $tobool = 0, $13 = 0, $n3935 = 0, $14 = 0, $cmp4036 = 0, $15 = 0, $i_137 = 0, $v46 = 0, $16 = 0, $arrayidx47 = 0, $17 = 0, $mark49 = 0, $18 = 0, $cmp51 = 0, $inc56 = 0, $19 = 0, $n39 = 0, $20 = 0, $cmp40 = 0, $inc60 = 0, $21 = 0, $conv2 = 0, $cmp = 0, label = 0;
   125614   label = 2;
   125615   while (1) switch (label | 0) {
   125616    case 2:
   125617     $minrank = $g + 248 | 0;
   125618     $0 = HEAP16[$minrank >> 1] | 0;
   125619     $maxrank = $g + 250 | 0;
   125620     $1 = HEAP16[$maxrank >> 1] | 0;
   125621     $cmp39 = $0 << 16 >> 16 > $1 << 16 >> 16;
   125622     if ($cmp39) {
   125623       label = 14;
   125624       break;
   125625     } else {
   125626       label = 3;
   125627       break;
   125628     }
   125629    case 3:
   125630     $conv = $0 << 16 >> 16;
   125631     $rank = $g + 224 | 0;
   125632     $r_040 = $conv;
   125633     label = 4;
   125634     break;
   125635    case 4:
   125636     $2 = HEAP32[$rank >> 2] | 0;
   125637     $n30 = $2 + ($r_040 * 44 & -1) | 0;
   125638     $3 = HEAP32[$n30 >> 2] | 0;
   125639     $cmp631 = ($3 | 0) > 0;
   125640     if ($cmp631) {
   125641       $i_032 = 0;
   125642       $flat_033 = 0;
   125643       $4 = $2;
   125644       label = 5;
   125645       break;
   125646     } else {
   125647       label = 13;
   125648       break;
   125649     }
   125650    case 5:
   125651     $v12 = $4 + ($r_040 * 44 & -1) + 4 | 0;
   125652     $5 = HEAP32[$v12 >> 2] | 0;
   125653     $arrayidx13 = $5 + ($i_032 << 2) | 0;
   125654     $6 = HEAP32[$arrayidx13 >> 2] | 0;
   125655     $onstack = $6 + 164 | 0;
   125656     HEAP8[$onstack] = 0;
   125657     $mark = $6 + 163 | 0;
   125658     HEAP8[$mark] = 0;
   125659     $low = $6 + 284 | 0;
   125660     HEAP32[$low >> 2] = $i_032;
   125661     $size = $6 + 196 | 0;
   125662     $7 = HEAP32[$size >> 2] | 0;
   125663     $cmp18 = ($7 | 0) > 0;
   125664     $cmp20 = ($flat_033 | 0) == 0;
   125665     $or_cond = $cmp18 & $cmp20;
   125666     if ($or_cond) {
   125667       label = 6;
   125668       break;
   125669     } else {
   125670       $flat_1 = $flat_033;
   125671       label = 7;
   125672       break;
   125673     }
   125674    case 6:
   125675     $8 = HEAP32[$rank >> 2] | 0;
   125676     $n25 = $8 + ($r_040 * 44 & -1) | 0;
   125677     $9 = HEAP32[$n25 >> 2] | 0;
   125678     $call = _new_matrix($9, $9) | 0;
   125679     $10 = HEAP32[$rank >> 2] | 0;
   125680     $flat33 = $10 + ($r_040 * 44 & -1) + 40 | 0;
   125681     HEAP32[$flat33 >> 2] = $call;
   125682     $flat_1 = 1;
   125683     label = 7;
   125684     break;
   125685    case 7:
   125686     $inc = $i_032 + 1 | 0;
   125687     $11 = HEAP32[$rank >> 2] | 0;
   125688     $n = $11 + ($r_040 * 44 & -1) | 0;
   125689     $12 = HEAP32[$n >> 2] | 0;
   125690     $cmp6 = ($inc | 0) < ($12 | 0);
   125691     if ($cmp6) {
   125692       $i_032 = $inc;
   125693       $flat_033 = $flat_1;
   125694       $4 = $11;
   125695       label = 5;
   125696       break;
   125697     } else {
   125698       label = 8;
   125699       break;
   125700     }
   125701    case 8:
   125702     $tobool = ($flat_1 | 0) == 0;
   125703     if ($tobool) {
   125704       label = 13;
   125705       break;
   125706     } else {
   125707       label = 9;
   125708       break;
   125709     }
   125710    case 9:
   125711     $13 = HEAP32[$rank >> 2] | 0;
   125712     $n3935 = $13 + ($r_040 * 44 & -1) | 0;
   125713     $14 = HEAP32[$n3935 >> 2] | 0;
   125714     $cmp4036 = ($14 | 0) > 0;
   125715     if ($cmp4036) {
   125716       $i_137 = 0;
   125717       $15 = $13;
   125718       label = 10;
   125719       break;
   125720     } else {
   125721       label = 13;
   125722       break;
   125723     }
   125724    case 10:
   125725     $v46 = $15 + ($r_040 * 44 & -1) + 4 | 0;
   125726     $16 = HEAP32[$v46 >> 2] | 0;
   125727     $arrayidx47 = $16 + ($i_137 << 2) | 0;
   125728     $17 = HEAP32[$arrayidx47 >> 2] | 0;
   125729     $mark49 = $17 + 163 | 0;
   125730     $18 = HEAP8[$mark49] | 0;
   125731     $cmp51 = $18 << 24 >> 24 == 0;
   125732     if ($cmp51) {
   125733       label = 11;
   125734       break;
   125735     } else {
   125736       label = 12;
   125737       break;
   125738     }
   125739    case 11:
   125740     _flat_search($g, $17);
   125741     label = 12;
   125742     break;
   125743    case 12:
   125744     $inc56 = $i_137 + 1 | 0;
   125745     $19 = HEAP32[$rank >> 2] | 0;
   125746     $n39 = $19 + ($r_040 * 44 & -1) | 0;
   125747     $20 = HEAP32[$n39 >> 2] | 0;
   125748     $cmp40 = ($inc56 | 0) < ($20 | 0);
   125749     if ($cmp40) {
   125750       $i_137 = $inc56;
   125751       $15 = $19;
   125752       label = 10;
   125753       break;
   125754     } else {
   125755       label = 13;
   125756       break;
   125757     }
   125758    case 13:
   125759     $inc60 = $r_040 + 1 | 0;
   125760     $21 = HEAP16[$maxrank >> 1] | 0;
   125761     $conv2 = $21 << 16 >> 16;
   125762     $cmp = ($inc60 | 0) > ($conv2 | 0);
   125763     if ($cmp) {
   125764       label = 14;
   125765       break;
   125766     } else {
   125767       $r_040 = $inc60;
   125768       label = 4;
   125769       break;
   125770     }
   125771    case 14:
   125772     return;
   125773   }
   125774 }
   125775 function _flat_reorder($g) {
   125776   $g = $g | 0;
   125777   var $has_flat_edges = 0, $0 = 0, $cmp = 0, $minrank = 0, $1 = 0, $maxrank = 0, $2 = 0, $cmp6119 = 0, $conv3 = 0, $rank = 0, $rankdir = 0, $temprank_0123 = 0, $r_0120 = 0, $3 = 0, $n89 = 0, $4 = 0, $cmp1090 = 0, $5 = 0, $i_091 = 0, $v16 = 0, $6 = 0, $arrayidx17 = 0, $7 = 0, $mark = 0, $inc = 0, $8 = 0, $n = 0, $9 = 0, $cmp10 = 0, $phitmp = 0, $phitmp125 = 0, $i_0_lcssa = 0, $tobool = 0, $10 = 0, $call = 0, $call21 = 0, $call_sink = 0, $11 = 0, $12 = 0, $n26104 = 0, $13 = 0, $cmp27105 = 0, $14 = 0, $i_1107 = 0, $pos_0106 = 0, $v33 = 0, $15 = 0, $arrayidx34 = 0, $16 = 0, $size = 0, $17 = 0, $cmp3793 = 0, $list = 0, $j_095 = 0, $local_in_cnt_094 = 0, $18 = 0, $arrayidx42 = 0, $19 = 0, $weight = 0, $20 = 0.0, $cmp44 = 0, $tail = 0, $21 = 0, $call46 = 0, $not_tobool47 = 0, $inc49 = 0, $local_in_cnt_0_inc49 = 0, $local_in_cnt_1 = 0, $inc52 = 0, $22 = 0, $cmp37 = 0, $local_in_cnt_0_lcssa = 0, $size56 = 0, $23 = 0, $cmp5797 = 0, $list62 = 0, $j_199 = 0, $local_out_cnt_098 = 0, $24 = 0, $arrayidx63 = 0, $25 = 0, $weight65 = 0, $26 = 0.0, $cmp66 = 0, $head = 0, $27 = 0, $call69 = 0, $not_tobool70 = 0, $inc72 = 0, $local_out_cnt_0_inc72 = 0, $local_out_cnt_1 = 0, $inc75 = 0, $28 = 0, $cmp57 = 0, $local_out_cnt_0_lcssa = 0, $29 = 0, $30 = 0, $inc83 = 0, $arrayidx84 = 0, $mark86 = 0, $31 = 0, $cmp88_not = 0, $cmp77_not = 0, $brmerge = 0, $add_ptr = 0, $call94 = 0, $32 = 0, $and96 = 0, $cmp97 = 0, $add_ptr_sum = 0, $add_ptr100_sum = 0, $cmp102101 = 0, $add_ptr101 = 0, $right_0103 = 0, $left_0102 = 0, $33 = 0, $34 = 0, $incdec_ptr = 0, $incdec_ptr104 = 0, $cmp102 = 0, $add106 = 0, $pos_1 = 0, $inc110 = 0, $35 = 0, $n26 = 0, $36 = 0, $cmp27 = 0, $tobool112 = 0, $37 = 0, $n118109 = 0, $38 = 0, $cmp119110 = 0, $39 = 0, $i_2111 = 0, $arrayidx122 = 0, $40 = 0, $v126 = 0, $41 = 0, $arrayidx127 = 0, $42 = 0, $v131 = 0, $43 = 0, $44 = 0, $rank133 = 0, $45 = 0, $v135 = 0, $46 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $sub_ptr_div = 0, $add136 = 0, $order = 0, $inc139 = 0, $47 = 0, $n118 = 0, $48 = 0, $cmp119 = 0, $49 = 0, $n145115 = 0, $50 = 0, $cmp146116 = 0, $51 = 0, $i_3117 = 0, $v152 = 0, $52 = 0, $arrayidx153 = 0, $53 = 0, $list156 = 0, $54 = 0, $tobool157 = 0, $55 = 0, $56 = 0, $tobool164113 = 0, $57 = 0, $j_2114 = 0, $head166 = 0, $58 = 0, $order168 = 0, $59 = 0, $tail169 = 0, $60 = 0, $order171 = 0, $61 = 0, $cmp172 = 0, $dec = 0, $j_3 = 0, $inc177 = 0, $62 = 0, $arrayidx163 = 0, $63 = 0, $tobool164 = 0, $inc181 = 0, $64 = 0, $n145 = 0, $65 = 0, $cmp146 = 0, $66 = 0, $rank185 = 0, $67 = 0, $valid = 0, $inc188 = 0, $68 = 0, $conv5 = 0, $cmp6 = 0, $tobool190 = 0, label = 0;
   125778   label = 2;
   125779   while (1) switch (label | 0) {
   125780    case 2:
   125781     $has_flat_edges = $g + 252 | 0;
   125782     $0 = HEAP8[$has_flat_edges] | 0;
   125783     $cmp = $0 << 24 >> 24 == 0;
   125784     if ($cmp) {
   125785       label = 44;
   125786       break;
   125787     } else {
   125788       label = 3;
   125789       break;
   125790     }
   125791    case 3:
   125792     $minrank = $g + 248 | 0;
   125793     $1 = HEAP16[$minrank >> 1] | 0;
   125794     $maxrank = $g + 250 | 0;
   125795     $2 = HEAP16[$maxrank >> 1] | 0;
   125796     $cmp6119 = $1 << 16 >> 16 > $2 << 16 >> 16;
   125797     if ($cmp6119) {
   125798       label = 44;
   125799       break;
   125800     } else {
   125801       label = 4;
   125802       break;
   125803     }
   125804    case 4:
   125805     $conv3 = $1 << 16 >> 16;
   125806     $rank = $g + 224 | 0;
   125807     $rankdir = $g + 156 | 0;
   125808     $r_0120 = $conv3;
   125809     $temprank_0123 = 0;
   125810     label = 5;
   125811     break;
   125812    case 5:
   125813     $3 = HEAP32[$rank >> 2] | 0;
   125814     $n89 = $3 + ($r_0120 * 44 & -1) | 0;
   125815     $4 = HEAP32[$n89 >> 2] | 0;
   125816     $cmp1090 = ($4 | 0) > 0;
   125817     if ($cmp1090) {
   125818       $i_091 = 0;
   125819       $5 = $3;
   125820       label = 6;
   125821       break;
   125822     } else {
   125823       $i_0_lcssa = 4;
   125824       label = 8;
   125825       break;
   125826     }
   125827    case 6:
   125828     $v16 = $5 + ($r_0120 * 44 & -1) + 4 | 0;
   125829     $6 = HEAP32[$v16 >> 2] | 0;
   125830     $arrayidx17 = $6 + ($i_091 << 2) | 0;
   125831     $7 = HEAP32[$arrayidx17 >> 2] | 0;
   125832     $mark = $7 + 163 | 0;
   125833     HEAP8[$mark] = 0;
   125834     $inc = $i_091 + 1 | 0;
   125835     $8 = HEAP32[$rank >> 2] | 0;
   125836     $n = $8 + ($r_0120 * 44 & -1) | 0;
   125837     $9 = HEAP32[$n >> 2] | 0;
   125838     $cmp10 = ($inc | 0) < ($9 | 0);
   125839     if ($cmp10) {
   125840       $i_091 = $inc;
   125841       $5 = $8;
   125842       label = 6;
   125843       break;
   125844     } else {
   125845       label = 7;
   125846       break;
   125847     }
   125848    case 7:
   125849     $phitmp = $inc << 2;
   125850     $phitmp125 = $phitmp + 4 | 0;
   125851     $i_0_lcssa = $phitmp125;
   125852     label = 8;
   125853     break;
   125854    case 8:
   125855     $tobool = ($temprank_0123 | 0) == 0;
   125856     if ($tobool) {
   125857       label = 10;
   125858       break;
   125859     } else {
   125860       label = 9;
   125861       break;
   125862     }
   125863    case 9:
   125864     $10 = $temprank_0123;
   125865     $call = _grealloc($10, $i_0_lcssa) | 0;
   125866     $call_sink = $call;
   125867     label = 11;
   125868     break;
   125869    case 10:
   125870     $call21 = _gmalloc($i_0_lcssa) | 0;
   125871     $call_sink = $call21;
   125872     label = 11;
   125873     break;
   125874    case 11:
   125875     $11 = $call_sink;
   125876     $12 = HEAP32[$rank >> 2] | 0;
   125877     $n26104 = $12 + ($r_0120 * 44 & -1) | 0;
   125878     $13 = HEAP32[$n26104 >> 2] | 0;
   125879     $cmp27105 = ($13 | 0) > 0;
   125880     if ($cmp27105) {
   125881       $pos_0106 = 0;
   125882       $i_1107 = 0;
   125883       $14 = $12;
   125884       label = 12;
   125885       break;
   125886     } else {
   125887       label = 41;
   125888       break;
   125889     }
   125890    case 12:
   125891     $v33 = $14 + ($r_0120 * 44 & -1) + 4 | 0;
   125892     $15 = HEAP32[$v33 >> 2] | 0;
   125893     $arrayidx34 = $15 + ($i_1107 << 2) | 0;
   125894     $16 = HEAP32[$arrayidx34 >> 2] | 0;
   125895     $size = $16 + 204 | 0;
   125896     $17 = HEAP32[$size >> 2] | 0;
   125897     $cmp3793 = ($17 | 0) > 0;
   125898     if ($cmp3793) {
   125899       label = 13;
   125900       break;
   125901     } else {
   125902       $local_in_cnt_0_lcssa = 0;
   125903       label = 17;
   125904       break;
   125905     }
   125906    case 13:
   125907     $list = $16 + 200 | 0;
   125908     $local_in_cnt_094 = 0;
   125909     $j_095 = 0;
   125910     label = 14;
   125911     break;
   125912    case 14:
   125913     $18 = HEAP32[$list >> 2] | 0;
   125914     $arrayidx42 = $18 + ($j_095 << 2) | 0;
   125915     $19 = HEAP32[$arrayidx42 >> 2] | 0;
   125916     $weight = $19 + 172 | 0;
   125917     $20 = +HEAPF32[$weight >> 2];
   125918     $cmp44 = $20 > 0.0;
   125919     if ($cmp44) {
   125920       label = 15;
   125921       break;
   125922     } else {
   125923       $local_in_cnt_1 = $local_in_cnt_094;
   125924       label = 16;
   125925       break;
   125926     }
   125927    case 15:
   125928     $tail = $19 + 16 | 0;
   125929     $21 = HEAP32[$tail >> 2] | 0;
   125930     $call46 = _inside_cluster($g, $21) | 0;
   125931     $not_tobool47 = ($call46 | 0) != 0;
   125932     $inc49 = $not_tobool47 & 1;
   125933     $local_in_cnt_0_inc49 = $inc49 + $local_in_cnt_094 | 0;
   125934     $local_in_cnt_1 = $local_in_cnt_0_inc49;
   125935     label = 16;
   125936     break;
   125937    case 16:
   125938     $inc52 = $j_095 + 1 | 0;
   125939     $22 = HEAP32[$size >> 2] | 0;
   125940     $cmp37 = ($inc52 | 0) < ($22 | 0);
   125941     if ($cmp37) {
   125942       $local_in_cnt_094 = $local_in_cnt_1;
   125943       $j_095 = $inc52;
   125944       label = 14;
   125945       break;
   125946     } else {
   125947       $local_in_cnt_0_lcssa = $local_in_cnt_1;
   125948       label = 17;
   125949       break;
   125950     }
   125951    case 17:
   125952     $size56 = $16 + 196 | 0;
   125953     $23 = HEAP32[$size56 >> 2] | 0;
   125954     $cmp5797 = ($23 | 0) > 0;
   125955     if ($cmp5797) {
   125956       label = 18;
   125957       break;
   125958     } else {
   125959       $local_out_cnt_0_lcssa = 0;
   125960       label = 22;
   125961       break;
   125962     }
   125963    case 18:
   125964     $list62 = $16 + 192 | 0;
   125965     $local_out_cnt_098 = 0;
   125966     $j_199 = 0;
   125967     label = 19;
   125968     break;
   125969    case 19:
   125970     $24 = HEAP32[$list62 >> 2] | 0;
   125971     $arrayidx63 = $24 + ($j_199 << 2) | 0;
   125972     $25 = HEAP32[$arrayidx63 >> 2] | 0;
   125973     $weight65 = $25 + 172 | 0;
   125974     $26 = +HEAPF32[$weight65 >> 2];
   125975     $cmp66 = $26 > 0.0;
   125976     if ($cmp66) {
   125977       label = 20;
   125978       break;
   125979     } else {
   125980       $local_out_cnt_1 = $local_out_cnt_098;
   125981       label = 21;
   125982       break;
   125983     }
   125984    case 20:
   125985     $head = $25 + 12 | 0;
   125986     $27 = HEAP32[$head >> 2] | 0;
   125987     $call69 = _inside_cluster($g, $27) | 0;
   125988     $not_tobool70 = ($call69 | 0) != 0;
   125989     $inc72 = $not_tobool70 & 1;
   125990     $local_out_cnt_0_inc72 = $inc72 + $local_out_cnt_098 | 0;
   125991     $local_out_cnt_1 = $local_out_cnt_0_inc72;
   125992     label = 21;
   125993     break;
   125994    case 21:
   125995     $inc75 = $j_199 + 1 | 0;
   125996     $28 = HEAP32[$size56 >> 2] | 0;
   125997     $cmp57 = ($inc75 | 0) < ($28 | 0);
   125998     if ($cmp57) {
   125999       $local_out_cnt_098 = $local_out_cnt_1;
   126000       $j_199 = $inc75;
   126001       label = 19;
   126002       break;
   126003     } else {
   126004       $local_out_cnt_0_lcssa = $local_out_cnt_1;
   126005       label = 22;
   126006       break;
   126007     }
   126008    case 22:
   126009     $29 = $local_out_cnt_0_lcssa | $local_in_cnt_0_lcssa;
   126010     $30 = ($29 | 0) == 0;
   126011     if ($30) {
   126012       label = 23;
   126013       break;
   126014     } else {
   126015       label = 24;
   126016       break;
   126017     }
   126018    case 23:
   126019     $inc83 = $pos_0106 + 1 | 0;
   126020     $arrayidx84 = $11 + ($pos_0106 << 2) | 0;
   126021     HEAP32[$arrayidx84 >> 2] = $16;
   126022     $pos_1 = $inc83;
   126023     label = 30;
   126024     break;
   126025    case 24:
   126026     $mark86 = $16 + 163 | 0;
   126027     $31 = HEAP8[$mark86] | 0;
   126028     $cmp88_not = $31 << 24 >> 24 != 0;
   126029     $cmp77_not = ($local_in_cnt_0_lcssa | 0) != 0;
   126030     $brmerge = $cmp88_not | $cmp77_not;
   126031     if ($brmerge) {
   126032       $pos_1 = $pos_0106;
   126033       label = 30;
   126034       break;
   126035     } else {
   126036       label = 25;
   126037       break;
   126038     }
   126039    case 25:
   126040     $add_ptr = $11 + ($pos_0106 << 2) | 0;
   126041     $call94 = _postorder($g, $16, $add_ptr, $r_0120) | 0;
   126042     $32 = HEAP32[$rankdir >> 2] | 0;
   126043     $and96 = $32 & 1;
   126044     $cmp97 = ($and96 | 0) == 0;
   126045     if ($cmp97) {
   126046       label = 26;
   126047       break;
   126048     } else {
   126049       label = 29;
   126050       break;
   126051     }
   126052    case 26:
   126053     $add_ptr_sum = $pos_0106 - 1 | 0;
   126054     $add_ptr100_sum = $add_ptr_sum + $call94 | 0;
   126055     $cmp102101 = ($pos_0106 | 0) < ($add_ptr100_sum | 0);
   126056     if ($cmp102101) {
   126057       label = 27;
   126058       break;
   126059     } else {
   126060       label = 29;
   126061       break;
   126062     }
   126063    case 27:
   126064     $add_ptr101 = $11 + ($add_ptr100_sum << 2) | 0;
   126065     $left_0102 = $add_ptr;
   126066     $right_0103 = $add_ptr101;
   126067     label = 28;
   126068     break;
   126069    case 28:
   126070     $33 = HEAP32[$left_0102 >> 2] | 0;
   126071     $34 = HEAP32[$right_0103 >> 2] | 0;
   126072     HEAP32[$left_0102 >> 2] = $34;
   126073     HEAP32[$right_0103 >> 2] = $33;
   126074     $incdec_ptr = $left_0102 + 4 | 0;
   126075     $incdec_ptr104 = $right_0103 - 4 | 0;
   126076     $cmp102 = $incdec_ptr >>> 0 < $incdec_ptr104 >>> 0;
   126077     if ($cmp102) {
   126078       $left_0102 = $incdec_ptr;
   126079       $right_0103 = $incdec_ptr104;
   126080       label = 28;
   126081       break;
   126082     } else {
   126083       label = 29;
   126084       break;
   126085     }
   126086    case 29:
   126087     $add106 = $call94 + $pos_0106 | 0;
   126088     $pos_1 = $add106;
   126089     label = 30;
   126090     break;
   126091    case 30:
   126092     $inc110 = $i_1107 + 1 | 0;
   126093     $35 = HEAP32[$rank >> 2] | 0;
   126094     $n26 = $35 + ($r_0120 * 44 & -1) | 0;
   126095     $36 = HEAP32[$n26 >> 2] | 0;
   126096     $cmp27 = ($inc110 | 0) < ($36 | 0);
   126097     if ($cmp27) {
   126098       $pos_0106 = $pos_1;
   126099       $i_1107 = $inc110;
   126100       $14 = $35;
   126101       label = 12;
   126102       break;
   126103     } else {
   126104       label = 31;
   126105       break;
   126106     }
   126107    case 31:
   126108     $tobool112 = ($pos_1 | 0) == 0;
   126109     if ($tobool112) {
   126110       label = 41;
   126111       break;
   126112     } else {
   126113       label = 32;
   126114       break;
   126115     }
   126116    case 32:
   126117     $37 = HEAP32[$rank >> 2] | 0;
   126118     $n118109 = $37 + ($r_0120 * 44 & -1) | 0;
   126119     $38 = HEAP32[$n118109 >> 2] | 0;
   126120     $cmp119110 = ($38 | 0) > 0;
   126121     if ($cmp119110) {
   126122       $i_2111 = 0;
   126123       $39 = $37;
   126124       label = 33;
   126125       break;
   126126     } else {
   126127       label = 34;
   126128       break;
   126129     }
   126130    case 33:
   126131     $arrayidx122 = $11 + ($i_2111 << 2) | 0;
   126132     $40 = HEAP32[$arrayidx122 >> 2] | 0;
   126133     $v126 = $39 + ($r_0120 * 44 & -1) + 4 | 0;
   126134     $41 = HEAP32[$v126 >> 2] | 0;
   126135     $arrayidx127 = $41 + ($i_2111 << 2) | 0;
   126136     HEAP32[$arrayidx127 >> 2] = $40;
   126137     $42 = HEAP32[$rank >> 2] | 0;
   126138     $v131 = $42 + ($r_0120 * 44 & -1) + 4 | 0;
   126139     $43 = HEAP32[$v131 >> 2] | 0;
   126140     $44 = HEAP32[41526] | 0;
   126141     $rank133 = $44 + 224 | 0;
   126142     $45 = HEAP32[$rank133 >> 2] | 0;
   126143     $v135 = $45 + ($r_0120 * 44 & -1) + 4 | 0;
   126144     $46 = HEAP32[$v135 >> 2] | 0;
   126145     $sub_ptr_lhs_cast = $43;
   126146     $sub_ptr_rhs_cast = $46;
   126147     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   126148     $sub_ptr_div = $sub_ptr_sub >> 2;
   126149     $add136 = $sub_ptr_div + $i_2111 | 0;
   126150     $order = $40 + 240 | 0;
   126151     HEAP32[$order >> 2] = $add136;
   126152     $inc139 = $i_2111 + 1 | 0;
   126153     $47 = HEAP32[$rank >> 2] | 0;
   126154     $n118 = $47 + ($r_0120 * 44 & -1) | 0;
   126155     $48 = HEAP32[$n118 >> 2] | 0;
   126156     $cmp119 = ($inc139 | 0) < ($48 | 0);
   126157     if ($cmp119) {
   126158       $i_2111 = $inc139;
   126159       $39 = $47;
   126160       label = 33;
   126161       break;
   126162     } else {
   126163       label = 34;
   126164       break;
   126165     }
   126166    case 34:
   126167     $49 = HEAP32[$rank >> 2] | 0;
   126168     $n145115 = $49 + ($r_0120 * 44 & -1) | 0;
   126169     $50 = HEAP32[$n145115 >> 2] | 0;
   126170     $cmp146116 = ($50 | 0) > 0;
   126171     if ($cmp146116) {
   126172       $i_3117 = 0;
   126173       $51 = $49;
   126174       label = 35;
   126175       break;
   126176     } else {
   126177       label = 41;
   126178       break;
   126179     }
   126180    case 35:
   126181     $v152 = $51 + ($r_0120 * 44 & -1) + 4 | 0;
   126182     $52 = HEAP32[$v152 >> 2] | 0;
   126183     $arrayidx153 = $52 + ($i_3117 << 2) | 0;
   126184     $53 = HEAP32[$arrayidx153 >> 2] | 0;
   126185     $list156 = $53 + 192 | 0;
   126186     $54 = HEAP32[$list156 >> 2] | 0;
   126187     $tobool157 = ($54 | 0) == 0;
   126188     if ($tobool157) {
   126189       label = 40;
   126190       break;
   126191     } else {
   126192       label = 36;
   126193       break;
   126194     }
   126195    case 36:
   126196     $55 = HEAP32[$list156 >> 2] | 0;
   126197     $56 = HEAP32[$55 >> 2] | 0;
   126198     $tobool164113 = ($56 | 0) == 0;
   126199     if ($tobool164113) {
   126200       label = 40;
   126201       break;
   126202     } else {
   126203       $j_2114 = 0;
   126204       $57 = $56;
   126205       label = 37;
   126206       break;
   126207     }
   126208    case 37:
   126209     $head166 = $57 + 12 | 0;
   126210     $58 = HEAP32[$head166 >> 2] | 0;
   126211     $order168 = $58 + 240 | 0;
   126212     $59 = HEAP32[$order168 >> 2] | 0;
   126213     $tail169 = $57 + 16 | 0;
   126214     $60 = HEAP32[$tail169 >> 2] | 0;
   126215     $order171 = $60 + 240 | 0;
   126216     $61 = HEAP32[$order171 >> 2] | 0;
   126217     $cmp172 = ($59 | 0) < ($61 | 0);
   126218     if ($cmp172) {
   126219       label = 38;
   126220       break;
   126221     } else {
   126222       $j_3 = $j_2114;
   126223       label = 39;
   126224       break;
   126225     }
   126226    case 38:
   126227     _delete_flat_edge($57);
   126228     $dec = $j_2114 - 1 | 0;
   126229     _flat_rev($g, $57);
   126230     $j_3 = $dec;
   126231     label = 39;
   126232     break;
   126233    case 39:
   126234     $inc177 = $j_3 + 1 | 0;
   126235     $62 = HEAP32[$list156 >> 2] | 0;
   126236     $arrayidx163 = $62 + ($inc177 << 2) | 0;
   126237     $63 = HEAP32[$arrayidx163 >> 2] | 0;
   126238     $tobool164 = ($63 | 0) == 0;
   126239     if ($tobool164) {
   126240       label = 40;
   126241       break;
   126242     } else {
   126243       $j_2114 = $inc177;
   126244       $57 = $63;
   126245       label = 37;
   126246       break;
   126247     }
   126248    case 40:
   126249     $inc181 = $i_3117 + 1 | 0;
   126250     $64 = HEAP32[$rank >> 2] | 0;
   126251     $n145 = $64 + ($r_0120 * 44 & -1) | 0;
   126252     $65 = HEAP32[$n145 >> 2] | 0;
   126253     $cmp146 = ($inc181 | 0) < ($65 | 0);
   126254     if ($cmp146) {
   126255       $i_3117 = $inc181;
   126256       $51 = $64;
   126257       label = 35;
   126258       break;
   126259     } else {
   126260       label = 41;
   126261       break;
   126262     }
   126263    case 41:
   126264     $66 = HEAP32[41526] | 0;
   126265     $rank185 = $66 + 224 | 0;
   126266     $67 = HEAP32[$rank185 >> 2] | 0;
   126267     $valid = $67 + ($r_0120 * 44 & -1) + 33 | 0;
   126268     HEAP8[$valid] = 0;
   126269     $inc188 = $r_0120 + 1 | 0;
   126270     $68 = HEAP16[$maxrank >> 1] | 0;
   126271     $conv5 = $68 << 16 >> 16;
   126272     $cmp6 = ($inc188 | 0) > ($conv5 | 0);
   126273     if ($cmp6) {
   126274       label = 42;
   126275       break;
   126276     } else {
   126277       $r_0120 = $inc188;
   126278       $temprank_0123 = $11;
   126279       label = 5;
   126280       break;
   126281     }
   126282    case 42:
   126283     $tobool190 = ($call_sink | 0) == 0;
   126284     if ($tobool190) {
   126285       label = 44;
   126286       break;
   126287     } else {
   126288       label = 43;
   126289       break;
   126290     }
   126291    case 43:
   126292     _free($call_sink);
   126293     label = 44;
   126294     break;
   126295    case 44:
   126296     return;
   126297   }
   126298 }
   126299 function _restore_best($g) {
   126300   $g = $g | 0;
   126301   var $nlist = 0, $n_015 = 0, $tobool16 = 0, $n_017 = 0, $x = 0, $0 = 0.0, $conv = 0, $order = 0, $next = 0, $n_0 = 0, $tobool = 0, $minrank = 0, $1 = 0, $maxrank = 0, $2 = 0, $cmp13 = 0, $conv5 = 0, $rank13 = 0, $r_014 = 0, $3 = 0, $rank = 0, $4 = 0, $valid = 0, $5 = 0, $v = 0, $6 = 0, $7 = 0, $n18 = 0, $8 = 0, $inc = 0, $9 = 0, $conv8 = 0, $cmp = 0, label = 0;
   126302   label = 2;
   126303   while (1) switch (label | 0) {
   126304    case 2:
   126305     $nlist = $g + 220 | 0;
   126306     $n_015 = HEAP32[$nlist >> 2] | 0;
   126307     $tobool16 = ($n_015 | 0) == 0;
   126308     if ($tobool16) {
   126309       label = 4;
   126310       break;
   126311     } else {
   126312       $n_017 = $n_015;
   126313       label = 3;
   126314       break;
   126315     }
   126316    case 3:
   126317     $x = $n_017 + 32 | 0;
   126318     $0 = +HEAPF64[$x >> 3];
   126319     $conv = ~~$0;
   126320     $order = $n_017 + 240 | 0;
   126321     HEAP32[$order >> 2] = $conv;
   126322     $next = $n_017 + 168 | 0;
   126323     $n_0 = HEAP32[$next >> 2] | 0;
   126324     $tobool = ($n_0 | 0) == 0;
   126325     if ($tobool) {
   126326       label = 4;
   126327       break;
   126328     } else {
   126329       $n_017 = $n_0;
   126330       label = 3;
   126331       break;
   126332     }
   126333    case 4:
   126334     $minrank = $g + 248 | 0;
   126335     $1 = HEAP16[$minrank >> 1] | 0;
   126336     $maxrank = $g + 250 | 0;
   126337     $2 = HEAP16[$maxrank >> 1] | 0;
   126338     $cmp13 = $1 << 16 >> 16 > $2 << 16 >> 16;
   126339     if ($cmp13) {
   126340       label = 7;
   126341       break;
   126342     } else {
   126343       label = 5;
   126344       break;
   126345     }
   126346    case 5:
   126347     $conv5 = $1 << 16 >> 16;
   126348     $rank13 = $g + 224 | 0;
   126349     $r_014 = $conv5;
   126350     label = 6;
   126351     break;
   126352    case 6:
   126353     $3 = HEAP32[41526] | 0;
   126354     $rank = $3 + 224 | 0;
   126355     $4 = HEAP32[$rank >> 2] | 0;
   126356     $valid = $4 + ($r_014 * 44 & -1) + 33 | 0;
   126357     HEAP8[$valid] = 0;
   126358     $5 = HEAP32[$rank13 >> 2] | 0;
   126359     $v = $5 + ($r_014 * 44 & -1) + 4 | 0;
   126360     $6 = HEAP32[$v >> 2] | 0;
   126361     $7 = $6;
   126362     $n18 = $5 + ($r_014 * 44 & -1) | 0;
   126363     $8 = HEAP32[$n18 >> 2] | 0;
   126364     _qsort($7 | 0, $8 | 0, 4, 406);
   126365     $inc = $r_014 + 1 | 0;
   126366     $9 = HEAP16[$maxrank >> 1] | 0;
   126367     $conv8 = $9 << 16 >> 16;
   126368     $cmp = ($inc | 0) > ($conv8 | 0);
   126369     if ($cmp) {
   126370       label = 7;
   126371       break;
   126372     } else {
   126373       $r_014 = $inc;
   126374       label = 6;
   126375       break;
   126376     }
   126377    case 7:
   126378     return;
   126379   }
   126380 }
   126381 function _ordercmpf($i0, $i1) {
   126382   $i0 = $i0 | 0;
   126383   $i1 = $i1 | 0;
   126384   return (HEAP32[$i0 >> 2] | 0) - (HEAP32[$i1 >> 2] | 0) | 0;
   126385 }
   126386 function _flat_mval($n) {
   126387   $n = $n | 0;
   126388   var $size = 0, $0 = 0, $cmp = 0, $list = 0, $1 = 0, $2 = 0, $tail = 0, $3 = 0, $arrayidx319 = 0, $4 = 0, $tobool20 = 0, $5 = 0, $nn_022 = 0, $i_021 = 0, $tail4 = 0, $6 = 0, $order = 0, $7 = 0, $order7 = 0, $8 = 0, $cmp8 = 0, $_nn_0 = 0, $inc = 0, $arrayidx3 = 0, $9 = 0, $tobool = 0, $nn_0_lcssa = 0, $mval = 0, $10 = 0, $cmp12 = 0, $add = 0, $mval17 = 0, $size20 = 0, $11 = 0, $cmp21 = 0, $list25 = 0, $12 = 0, $13 = 0, $head = 0, $14 = 0, $arrayidx2823 = 0, $15 = 0, $tobool2924 = 0, $16 = 0, $nn_226 = 0, $i_125 = 0, $head31 = 0, $17 = 0, $order33 = 0, $18 = 0, $order35 = 0, $19 = 0, $cmp36 = 0, $_nn_2 = 0, $inc41 = 0, $arrayidx28 = 0, $20 = 0, $tobool29 = 0, $nn_2_lcssa = 0, $mval44 = 0, $21 = 0, $cmp45 = 0, $sub = 0, $mval50 = 0, $retval_0 = 0, label = 0;
   126389   label = 2;
   126390   while (1) switch (label | 0) {
   126391    case 2:
   126392     $size = $n + 204 | 0;
   126393     $0 = HEAP32[$size >> 2] | 0;
   126394     $cmp = ($0 | 0) > 0;
   126395     if ($cmp) {
   126396       label = 3;
   126397       break;
   126398     } else {
   126399       label = 7;
   126400       break;
   126401     }
   126402    case 3:
   126403     $list = $n + 200 | 0;
   126404     $1 = HEAP32[$list >> 2] | 0;
   126405     $2 = HEAP32[$1 >> 2] | 0;
   126406     $tail = $2 + 16 | 0;
   126407     $3 = HEAP32[$tail >> 2] | 0;
   126408     $arrayidx319 = $1 + 4 | 0;
   126409     $4 = HEAP32[$arrayidx319 >> 2] | 0;
   126410     $tobool20 = ($4 | 0) == 0;
   126411     if ($tobool20) {
   126412       $nn_0_lcssa = $3;
   126413       label = 5;
   126414       break;
   126415     } else {
   126416       $i_021 = 1;
   126417       $nn_022 = $3;
   126418       $5 = $4;
   126419       label = 4;
   126420       break;
   126421     }
   126422    case 4:
   126423     $tail4 = $5 + 16 | 0;
   126424     $6 = HEAP32[$tail4 >> 2] | 0;
   126425     $order = $6 + 240 | 0;
   126426     $7 = HEAP32[$order >> 2] | 0;
   126427     $order7 = $nn_022 + 240 | 0;
   126428     $8 = HEAP32[$order7 >> 2] | 0;
   126429     $cmp8 = ($7 | 0) > ($8 | 0);
   126430     $_nn_0 = $cmp8 ? $6 : $nn_022;
   126431     $inc = $i_021 + 1 | 0;
   126432     $arrayidx3 = $1 + ($inc << 2) | 0;
   126433     $9 = HEAP32[$arrayidx3 >> 2] | 0;
   126434     $tobool = ($9 | 0) == 0;
   126435     if ($tobool) {
   126436       $nn_0_lcssa = $_nn_0;
   126437       label = 5;
   126438       break;
   126439     } else {
   126440       $i_021 = $inc;
   126441       $nn_022 = $_nn_0;
   126442       $5 = $9;
   126443       label = 4;
   126444       break;
   126445     }
   126446    case 5:
   126447     $mval = $nn_0_lcssa + 244 | 0;
   126448     $10 = HEAP32[$mval >> 2] | 0;
   126449     $cmp12 = ($10 | 0) > -1;
   126450     if ($cmp12) {
   126451       label = 6;
   126452       break;
   126453     } else {
   126454       $retval_0 = 1;
   126455       label = 12;
   126456       break;
   126457     }
   126458    case 6:
   126459     $add = $10 + 1 | 0;
   126460     $mval17 = $n + 244 | 0;
   126461     HEAP32[$mval17 >> 2] = $add;
   126462     $retval_0 = 0;
   126463     label = 12;
   126464     break;
   126465    case 7:
   126466     $size20 = $n + 196 | 0;
   126467     $11 = HEAP32[$size20 >> 2] | 0;
   126468     $cmp21 = ($11 | 0) > 0;
   126469     if ($cmp21) {
   126470       label = 8;
   126471       break;
   126472     } else {
   126473       $retval_0 = 1;
   126474       label = 12;
   126475       break;
   126476     }
   126477    case 8:
   126478     $list25 = $n + 192 | 0;
   126479     $12 = HEAP32[$list25 >> 2] | 0;
   126480     $13 = HEAP32[$12 >> 2] | 0;
   126481     $head = $13 + 12 | 0;
   126482     $14 = HEAP32[$head >> 2] | 0;
   126483     $arrayidx2823 = $12 + 4 | 0;
   126484     $15 = HEAP32[$arrayidx2823 >> 2] | 0;
   126485     $tobool2924 = ($15 | 0) == 0;
   126486     if ($tobool2924) {
   126487       $nn_2_lcssa = $14;
   126488       label = 10;
   126489       break;
   126490     } else {
   126491       $i_125 = 1;
   126492       $nn_226 = $14;
   126493       $16 = $15;
   126494       label = 9;
   126495       break;
   126496     }
   126497    case 9:
   126498     $head31 = $16 + 12 | 0;
   126499     $17 = HEAP32[$head31 >> 2] | 0;
   126500     $order33 = $17 + 240 | 0;
   126501     $18 = HEAP32[$order33 >> 2] | 0;
   126502     $order35 = $nn_226 + 240 | 0;
   126503     $19 = HEAP32[$order35 >> 2] | 0;
   126504     $cmp36 = ($18 | 0) < ($19 | 0);
   126505     $_nn_2 = $cmp36 ? $17 : $nn_226;
   126506     $inc41 = $i_125 + 1 | 0;
   126507     $arrayidx28 = $12 + ($inc41 << 2) | 0;
   126508     $20 = HEAP32[$arrayidx28 >> 2] | 0;
   126509     $tobool29 = ($20 | 0) == 0;
   126510     if ($tobool29) {
   126511       $nn_2_lcssa = $_nn_2;
   126512       label = 10;
   126513       break;
   126514     } else {
   126515       $i_125 = $inc41;
   126516       $nn_226 = $_nn_2;
   126517       $16 = $20;
   126518       label = 9;
   126519       break;
   126520     }
   126521    case 10:
   126522     $mval44 = $nn_2_lcssa + 244 | 0;
   126523     $21 = HEAP32[$mval44 >> 2] | 0;
   126524     $cmp45 = ($21 | 0) > 0;
   126525     if ($cmp45) {
   126526       label = 11;
   126527       break;
   126528     } else {
   126529       $retval_0 = 1;
   126530       label = 12;
   126531       break;
   126532     }
   126533    case 11:
   126534     $sub = $21 - 1 | 0;
   126535     $mval50 = $n + 244 | 0;
   126536     HEAP32[$mval50 >> 2] = $sub;
   126537     $retval_0 = 0;
   126538     label = 12;
   126539     break;
   126540    case 12:
   126541     return $retval_0 | 0;
   126542   }
   126543   return 0;
   126544 }
   126545 function _nodeposcmpf($n0, $n1) {
   126546   $n0 = $n0 | 0;
   126547   $n1 = $n1 | 0;
   126548   return (HEAP32[(HEAP32[$n0 >> 2] | 0) + 240 >> 2] | 0) - (HEAP32[(HEAP32[$n1 >> 2] | 0) + 240 >> 2] | 0) | 0;
   126549 }
   126550 function _mincross_step($g, $pass) {
   126551   $g = $g | 0;
   126552   $pass = $pass | 0;
   126553   var $rem = 0, $cmp = 0, $_ = 0, $rem120 = 0, $cmp6 = 0, $minrank = 0, $0 = 0, $conv10 = 0, $1 = 0, $minrank15 = 0, $2 = 0, $not_cmp17 = 0, $add = 0, $conv10_add = 0, $maxrank22 = 0, $maxrank26 = 0, $3 = 0, $conv27 = 0, $minrank30 = 0, $4 = 0, $maxrank36 = 0, $5 = 0, $not_cmp38 = 0, $sub28 = 0, $conv27_sub28 = 0, $first_2 = 0, $last_0_in_in = 0, $dir_0 = 0, $last_0_in = 0, $last_0 = 0, $add43 = 0, $cmp4421 = 0, $r_022 = 0, $sub46 = 0, $call = 0, $conv47 = 0, $add48 = 0, $cmp44 = 0, $6 = 0, label = 0;
   126554   label = 2;
   126555   while (1) switch (label | 0) {
   126556    case 2:
   126557     $rem = ($pass | 0) % 4;
   126558     $cmp = ($rem | 0) < 2;
   126559     $_ = $cmp & 1;
   126560     $rem120 = $pass & 1;
   126561     $cmp6 = ($rem120 | 0) == 0;
   126562     if ($cmp6) {
   126563       label = 3;
   126564       break;
   126565     } else {
   126566       label = 4;
   126567       break;
   126568     }
   126569    case 3:
   126570     $minrank = $g + 248 | 0;
   126571     $0 = HEAP16[$minrank >> 1] | 0;
   126572     $conv10 = $0 << 16 >> 16;
   126573     $1 = HEAP32[41526] | 0;
   126574     $minrank15 = $1 + 248 | 0;
   126575     $2 = HEAP16[$minrank15 >> 1] | 0;
   126576     $not_cmp17 = $0 << 16 >> 16 <= $2 << 16 >> 16;
   126577     $add = $not_cmp17 & 1;
   126578     $conv10_add = $add + $conv10 | 0;
   126579     $maxrank22 = $g + 250 | 0;
   126580     $dir_0 = 1;
   126581     $last_0_in_in = $maxrank22;
   126582     $first_2 = $conv10_add;
   126583     label = 5;
   126584     break;
   126585    case 4:
   126586     $maxrank26 = $g + 250 | 0;
   126587     $3 = HEAP16[$maxrank26 >> 1] | 0;
   126588     $conv27 = $3 << 16 >> 16;
   126589     $minrank30 = $g + 248 | 0;
   126590     $4 = HEAP32[41526] | 0;
   126591     $maxrank36 = $4 + 250 | 0;
   126592     $5 = HEAP16[$maxrank36 >> 1] | 0;
   126593     $not_cmp38 = $3 << 16 >> 16 >= $5 << 16 >> 16;
   126594     $sub28 = $not_cmp38 << 31 >> 31;
   126595     $conv27_sub28 = $sub28 + $conv27 | 0;
   126596     $dir_0 = -1;
   126597     $last_0_in_in = $minrank30;
   126598     $first_2 = $conv27_sub28;
   126599     label = 5;
   126600     break;
   126601    case 5:
   126602     $last_0_in = HEAP16[$last_0_in_in >> 1] | 0;
   126603     $last_0 = $last_0_in << 16 >> 16;
   126604     $add43 = $last_0 + $dir_0 | 0;
   126605     $cmp4421 = ($first_2 | 0) == ($add43 | 0);
   126606     if ($cmp4421) {
   126607       label = 7;
   126608       break;
   126609     } else {
   126610       $r_022 = $first_2;
   126611       label = 6;
   126612       break;
   126613     }
   126614    case 6:
   126615     $sub46 = $r_022 - $dir_0 | 0;
   126616     $call = _medians($g, $r_022, $sub46) | 0;
   126617     $conv47 = $call & 255;
   126618     _reorder($g, $r_022, $_, $conv47);
   126619     $add48 = $r_022 + $dir_0 | 0;
   126620     $cmp44 = ($add48 | 0) == ($add43 | 0);
   126621     if ($cmp44) {
   126622       label = 7;
   126623       break;
   126624     } else {
   126625       $r_022 = $add48;
   126626       label = 6;
   126627       break;
   126628     }
   126629    case 7:
   126630     $6 = $_ ^ 1;
   126631     _transpose($g, $6);
   126632     return;
   126633   }
   126634 }
   126635 function _balanceNodes($g, $r, $v, $w) {
   126636   $g = $g | 0;
   126637   $r = $r | 0;
   126638   $v = $v | 0;
   126639   $w = $w | 0;
   126640   var $node_type = 0, $0 = 0, $node_type2 = 0, $1 = 0, $cmp = 0, $rank = 0, $2 = 0, $n79 = 0, $3 = 0, $cmp680 = 0, $4 = 0, $cmp2888 = 0, $5 = 0, $n = 0, $6 = 0, $7 = 0, $i_083 = 0, $cntOri_082 = 0, $cntDummy_081 = 0, $v11 = 0, $8 = 0, $arrayidx12 = 0, $9 = 0, $node_type14 = 0, $10 = 0, $cmp16 = 0, $11 = 0, $inc19 = 0, $cntDummy_1 = 0, $inc = 0, $cntOri_1 = 0, $inc21 = 0, $cmp6 = 0, $cmp22 = 0, $12 = 0, $cmp28 = 0, $v_w = 0, $cmp2889 = 0, $w_v = 0, $s_0 = 0, $13 = 0, $n4774 = 0, $14 = 0, $cmp4875 = 0, $15 = 0, $n47 = 0, $16 = 0, $17 = 0, $i_177 = 0, $sepIndex_076 = 0, $v54 = 0, $18 = 0, $arrayidx55 = 0, $19 = 0, $cmp56 = 0, $i_1_sepIndex_0 = 0, $inc61 = 0, $cmp48 = 0, $sepIndex_0_lcssa = 0, $node_type64 = 0, $20 = 0, $cmp66 = 0, $cond = 0, $k_0 = 0, $i_2_in = 0, $i_2 = 0, $cmp69 = 0, $21 = 0, $n92 = 0, $22 = 0, $v99 = 0, $23 = 0, $v75 = 0, $24 = 0, $arrayidx76 = 0, $25 = 0, $node_type78 = 0, $26 = 0, $conv79 = 0, $cmp80 = 0, $inc83 = 0, $m_0 = 0, $i_3_in = 0, $i_3 = 0, $cmp93 = 0, $27 = 0, $arrayidx100 = 0, $28 = 0, $node_type102 = 0, $29 = 0, $conv103 = 0, $cmp104 = 0, $inc107 = 0, $30 = 0, $n11770 = 0, $31 = 0, $cmp11871 = 0, $32 = 0, $n117 = 0, $33 = 0, $sepIndex_2_lcssa = 0, $34 = 0, $i_473 = 0, $sepIndex_272 = 0, $v124 = 0, $35 = 0, $arrayidx125 = 0, $36 = 0, $cmp126 = 0, $i_4_sepIndex_2 = 0, $inc131 = 0, $cmp118 = 0, $k1_0 = 0, $i_5_in = 0, $i_5 = 0, $cmp135 = 0, $37 = 0, $n160 = 0, $38 = 0, $v167 = 0, $39 = 0, $v141 = 0, $40 = 0, $arrayidx142 = 0, $41 = 0, $node_type144 = 0, $42 = 0, $conv145 = 0, $cmp146 = 0, $inc149 = 0, $m1_0 = 0, $i_6_in = 0, $i_6 = 0, $cmp161 = 0, $43 = 0, $arrayidx168 = 0, $44 = 0, $node_type170 = 0, $45 = 0, $conv171 = 0, $cmp172 = 0, $inc175 = 0, $sub181 = 0, $ispos = 0, $neg = 0, $call = 0, $sub182 = 0, $ispos68 = 0, $neg69 = 0, $call183 = 0, $cmp184 = 0, label = 0;
   126641   label = 2;
   126642   while (1) switch (label | 0) {
   126643    case 2:
   126644     $node_type = $v + 162 | 0;
   126645     $0 = HEAP8[$node_type] | 0;
   126646     $node_type2 = $w + 162 | 0;
   126647     $1 = HEAP8[$node_type2] | 0;
   126648     $cmp = $0 << 24 >> 24 == $1 << 24 >> 24;
   126649     if ($cmp) {
   126650       label = 30;
   126651       break;
   126652     } else {
   126653       label = 3;
   126654       break;
   126655     }
   126656    case 3:
   126657     $rank = $g + 224 | 0;
   126658     $2 = HEAP32[$rank >> 2] | 0;
   126659     $n79 = $2 + ($r * 44 & -1) | 0;
   126660     $3 = HEAP32[$n79 >> 2] | 0;
   126661     $cmp680 = ($3 | 0) > 0;
   126662     if ($cmp680) {
   126663       label = 5;
   126664       break;
   126665     } else {
   126666       label = 4;
   126667       break;
   126668     }
   126669    case 4:
   126670     $4 = HEAP8[$node_type] | 0;
   126671     $cmp2888 = $4 << 24 >> 24 == 0;
   126672     $cmp2889 = $cmp2888;
   126673     label = 9;
   126674     break;
   126675    case 5:
   126676     $5 = HEAP32[$rank >> 2] | 0;
   126677     $n = $5 + ($r * 44 & -1) | 0;
   126678     $6 = HEAP32[$n >> 2] | 0;
   126679     $cntDummy_081 = 0;
   126680     $cntOri_082 = 0;
   126681     $i_083 = 0;
   126682     $7 = $2;
   126683     label = 6;
   126684     break;
   126685    case 6:
   126686     $v11 = $7 + ($r * 44 & -1) + 4 | 0;
   126687     $8 = HEAP32[$v11 >> 2] | 0;
   126688     $arrayidx12 = $8 + ($i_083 << 2) | 0;
   126689     $9 = HEAP32[$arrayidx12 >> 2] | 0;
   126690     $node_type14 = $9 + 162 | 0;
   126691     $10 = HEAP8[$node_type14] | 0;
   126692     $cmp16 = $10 << 24 >> 24 == 0;
   126693     $11 = $cmp16 & 1;
   126694     $inc19 = $11 ^ 1;
   126695     $cntDummy_1 = $inc19 + $cntDummy_081 | 0;
   126696     $inc = $cmp16 & 1;
   126697     $cntOri_1 = $inc + $cntOri_082 | 0;
   126698     $inc21 = $i_083 + 1 | 0;
   126699     $cmp6 = ($inc21 | 0) < ($6 | 0);
   126700     if ($cmp6) {
   126701       $cntDummy_081 = $cntDummy_1;
   126702       $cntOri_082 = $cntOri_1;
   126703       $i_083 = $inc21;
   126704       $7 = $5;
   126705       label = 6;
   126706       break;
   126707     } else {
   126708       label = 7;
   126709       break;
   126710     }
   126711    case 7:
   126712     $cmp22 = ($cntOri_1 | 0) < ($cntDummy_1 | 0);
   126713     $12 = HEAP8[$node_type] | 0;
   126714     $cmp28 = $12 << 24 >> 24 == 0;
   126715     if ($cmp22) {
   126716       label = 8;
   126717       break;
   126718     } else {
   126719       $cmp2889 = $cmp28;
   126720       label = 9;
   126721       break;
   126722     }
   126723    case 8:
   126724     $v_w = $cmp28 ? $v : $w;
   126725     $s_0 = $v_w;
   126726     label = 10;
   126727     break;
   126728    case 9:
   126729     $w_v = $cmp2889 ? $w : $v;
   126730     $s_0 = $w_v;
   126731     label = 10;
   126732     break;
   126733    case 10:
   126734     $13 = HEAP32[$rank >> 2] | 0;
   126735     $n4774 = $13 + ($r * 44 & -1) | 0;
   126736     $14 = HEAP32[$n4774 >> 2] | 0;
   126737     $cmp4875 = ($14 | 0) > 0;
   126738     if ($cmp4875) {
   126739       label = 11;
   126740       break;
   126741     } else {
   126742       $sepIndex_0_lcssa = 0;
   126743       label = 13;
   126744       break;
   126745     }
   126746    case 11:
   126747     $15 = HEAP32[$rank >> 2] | 0;
   126748     $n47 = $15 + ($r * 44 & -1) | 0;
   126749     $16 = HEAP32[$n47 >> 2] | 0;
   126750     $sepIndex_076 = 0;
   126751     $i_177 = 0;
   126752     $17 = $13;
   126753     label = 12;
   126754     break;
   126755    case 12:
   126756     $v54 = $17 + ($r * 44 & -1) + 4 | 0;
   126757     $18 = HEAP32[$v54 >> 2] | 0;
   126758     $arrayidx55 = $18 + ($i_177 << 2) | 0;
   126759     $19 = HEAP32[$arrayidx55 >> 2] | 0;
   126760     $cmp56 = ($19 | 0) == ($s_0 | 0);
   126761     $i_1_sepIndex_0 = $cmp56 ? $i_177 : $sepIndex_076;
   126762     $inc61 = $i_177 + 1 | 0;
   126763     $cmp48 = ($inc61 | 0) < ($16 | 0);
   126764     if ($cmp48) {
   126765       $sepIndex_076 = $i_1_sepIndex_0;
   126766       $i_177 = $inc61;
   126767       $17 = $15;
   126768       label = 12;
   126769       break;
   126770     } else {
   126771       $sepIndex_0_lcssa = $i_1_sepIndex_0;
   126772       label = 13;
   126773       break;
   126774     }
   126775    case 13:
   126776     $node_type64 = $s_0 + 162 | 0;
   126777     $20 = HEAP8[$node_type64] | 0;
   126778     $cmp66 = $20 << 24 >> 24 == 0;
   126779     $cond = $cmp66 & 1;
   126780     $i_2_in = $sepIndex_0_lcssa;
   126781     $k_0 = 0;
   126782     label = 14;
   126783     break;
   126784    case 14:
   126785     $i_2 = $i_2_in - 1 | 0;
   126786     $cmp69 = ($i_2_in | 0) > 0;
   126787     if ($cmp69) {
   126788       label = 16;
   126789       break;
   126790     } else {
   126791       label = 15;
   126792       break;
   126793     }
   126794    case 15:
   126795     $21 = HEAP32[$rank >> 2] | 0;
   126796     $n92 = $21 + ($r * 44 & -1) | 0;
   126797     $22 = HEAP32[$n92 >> 2] | 0;
   126798     $v99 = $21 + ($r * 44 & -1) + 4 | 0;
   126799     $i_3_in = $sepIndex_0_lcssa;
   126800     $m_0 = 0;
   126801     label = 17;
   126802     break;
   126803    case 16:
   126804     $23 = HEAP32[$rank >> 2] | 0;
   126805     $v75 = $23 + ($r * 44 & -1) + 4 | 0;
   126806     $24 = HEAP32[$v75 >> 2] | 0;
   126807     $arrayidx76 = $24 + ($i_2 << 2) | 0;
   126808     $25 = HEAP32[$arrayidx76 >> 2] | 0;
   126809     $node_type78 = $25 + 162 | 0;
   126810     $26 = HEAP8[$node_type78] | 0;
   126811     $conv79 = $26 << 24 >> 24;
   126812     $cmp80 = ($conv79 | 0) == ($cond | 0);
   126813     $inc83 = $k_0 + 1 | 0;
   126814     if ($cmp80) {
   126815       $i_2_in = $i_2;
   126816       $k_0 = $inc83;
   126817       label = 14;
   126818       break;
   126819     } else {
   126820       label = 15;
   126821       break;
   126822     }
   126823    case 17:
   126824     $i_3 = $i_3_in + 1 | 0;
   126825     $cmp93 = ($i_3 | 0) < ($22 | 0);
   126826     if ($cmp93) {
   126827       label = 18;
   126828       break;
   126829     } else {
   126830       label = 19;
   126831       break;
   126832     }
   126833    case 18:
   126834     $27 = HEAP32[$v99 >> 2] | 0;
   126835     $arrayidx100 = $27 + ($i_3 << 2) | 0;
   126836     $28 = HEAP32[$arrayidx100 >> 2] | 0;
   126837     $node_type102 = $28 + 162 | 0;
   126838     $29 = HEAP8[$node_type102] | 0;
   126839     $conv103 = $29 << 24 >> 24;
   126840     $cmp104 = ($conv103 | 0) == ($cond | 0);
   126841     $inc107 = $m_0 + 1 | 0;
   126842     if ($cmp104) {
   126843       $i_3_in = $i_3;
   126844       $m_0 = $inc107;
   126845       label = 17;
   126846       break;
   126847     } else {
   126848       label = 19;
   126849       break;
   126850     }
   126851    case 19:
   126852     _exchange($v, $w);
   126853     $30 = HEAP32[$rank >> 2] | 0;
   126854     $n11770 = $30 + ($r * 44 & -1) | 0;
   126855     $31 = HEAP32[$n11770 >> 2] | 0;
   126856     $cmp11871 = ($31 | 0) > 0;
   126857     if ($cmp11871) {
   126858       label = 20;
   126859       break;
   126860     } else {
   126861       $sepIndex_2_lcssa = $sepIndex_0_lcssa;
   126862       label = 21;
   126863       break;
   126864     }
   126865    case 20:
   126866     $32 = HEAP32[$rank >> 2] | 0;
   126867     $n117 = $32 + ($r * 44 & -1) | 0;
   126868     $33 = HEAP32[$n117 >> 2] | 0;
   126869     $sepIndex_272 = $sepIndex_0_lcssa;
   126870     $i_473 = 0;
   126871     $34 = $30;
   126872     label = 22;
   126873     break;
   126874    case 21:
   126875     $i_5_in = $sepIndex_2_lcssa;
   126876     $k1_0 = 0;
   126877     label = 23;
   126878     break;
   126879    case 22:
   126880     $v124 = $34 + ($r * 44 & -1) + 4 | 0;
   126881     $35 = HEAP32[$v124 >> 2] | 0;
   126882     $arrayidx125 = $35 + ($i_473 << 2) | 0;
   126883     $36 = HEAP32[$arrayidx125 >> 2] | 0;
   126884     $cmp126 = ($36 | 0) == ($s_0 | 0);
   126885     $i_4_sepIndex_2 = $cmp126 ? $i_473 : $sepIndex_272;
   126886     $inc131 = $i_473 + 1 | 0;
   126887     $cmp118 = ($inc131 | 0) < ($33 | 0);
   126888     if ($cmp118) {
   126889       $sepIndex_272 = $i_4_sepIndex_2;
   126890       $i_473 = $inc131;
   126891       $34 = $32;
   126892       label = 22;
   126893       break;
   126894     } else {
   126895       $sepIndex_2_lcssa = $i_4_sepIndex_2;
   126896       label = 21;
   126897       break;
   126898     }
   126899    case 23:
   126900     $i_5 = $i_5_in - 1 | 0;
   126901     $cmp135 = ($i_5_in | 0) > 0;
   126902     if ($cmp135) {
   126903       label = 25;
   126904       break;
   126905     } else {
   126906       label = 24;
   126907       break;
   126908     }
   126909    case 24:
   126910     $37 = HEAP32[$rank >> 2] | 0;
   126911     $n160 = $37 + ($r * 44 & -1) | 0;
   126912     $38 = HEAP32[$n160 >> 2] | 0;
   126913     $v167 = $37 + ($r * 44 & -1) + 4 | 0;
   126914     $i_6_in = $sepIndex_2_lcssa;
   126915     $m1_0 = 0;
   126916     label = 26;
   126917     break;
   126918    case 25:
   126919     $39 = HEAP32[$rank >> 2] | 0;
   126920     $v141 = $39 + ($r * 44 & -1) + 4 | 0;
   126921     $40 = HEAP32[$v141 >> 2] | 0;
   126922     $arrayidx142 = $40 + ($i_5 << 2) | 0;
   126923     $41 = HEAP32[$arrayidx142 >> 2] | 0;
   126924     $node_type144 = $41 + 162 | 0;
   126925     $42 = HEAP8[$node_type144] | 0;
   126926     $conv145 = $42 << 24 >> 24;
   126927     $cmp146 = ($conv145 | 0) == ($cond | 0);
   126928     $inc149 = $k1_0 + 1 | 0;
   126929     if ($cmp146) {
   126930       $i_5_in = $i_5;
   126931       $k1_0 = $inc149;
   126932       label = 23;
   126933       break;
   126934     } else {
   126935       label = 24;
   126936       break;
   126937     }
   126938    case 26:
   126939     $i_6 = $i_6_in + 1 | 0;
   126940     $cmp161 = ($i_6 | 0) < ($38 | 0);
   126941     if ($cmp161) {
   126942       label = 27;
   126943       break;
   126944     } else {
   126945       label = 28;
   126946       break;
   126947     }
   126948    case 27:
   126949     $43 = HEAP32[$v167 >> 2] | 0;
   126950     $arrayidx168 = $43 + ($i_6 << 2) | 0;
   126951     $44 = HEAP32[$arrayidx168 >> 2] | 0;
   126952     $node_type170 = $44 + 162 | 0;
   126953     $45 = HEAP8[$node_type170] | 0;
   126954     $conv171 = $45 << 24 >> 24;
   126955     $cmp172 = ($conv171 | 0) == ($cond | 0);
   126956     $inc175 = $m1_0 + 1 | 0;
   126957     if ($cmp172) {
   126958       $i_6_in = $i_6;
   126959       $m1_0 = $inc175;
   126960       label = 26;
   126961       break;
   126962     } else {
   126963       label = 28;
   126964       break;
   126965     }
   126966    case 28:
   126967     $sub181 = $k1_0 - $m1_0 | 0;
   126968     $ispos = ($sub181 | 0) > -1;
   126969     $neg = -$sub181 | 0;
   126970     $call = $ispos ? $sub181 : $neg;
   126971     $sub182 = $k_0 - $m_0 | 0;
   126972     $ispos68 = ($sub182 | 0) > -1;
   126973     $neg69 = -$sub182 | 0;
   126974     $call183 = $ispos68 ? $sub182 : $neg69;
   126975     $cmp184 = ($call | 0) > ($call183 | 0);
   126976     if ($cmp184) {
   126977       label = 29;
   126978       break;
   126979     } else {
   126980       label = 30;
   126981       break;
   126982     }
   126983    case 29:
   126984     _exchange($v, $w);
   126985     label = 30;
   126986     break;
   126987    case 30:
   126988     return;
   126989   }
   126990 }
   126991 function _medians($g, $r0, $r1) {
   126992   $g = $g | 0;
   126993   $r0 = $r0 | 0;
   126994   $r1 = $r1 | 0;
   126995   var $0 = 0, $rank = 0, $1 = 0, $v1 = 0, $2 = 0, $n574 = 0, $3 = 0, $cmp76 = 0, $cmp7 = 0, $4 = 0, $arrayidx56 = 0, $5 = 0, $n10359 = 0, $6 = 0, $cmp10460 = 0, $i_077 = 0, $arrayidx6 = 0, $7 = 0, $list10 = 0, $8 = 0, $9 = 0, $tobool70 = 0, $list25 = 0, $10 = 0, $11 = 0, $tobool2764 = 0, $12 = 0, $j_072 = 0, $j0_071 = 0, $xpenalty = 0, $13 = 0, $cmp14 = 0, $head = 0, $14 = 0, $order = 0, $15 = 0, $mul = 0, $order19 = 0, $16 = 0, $conv20 = 0, $add = 0, $inc = 0, $arrayidx21 = 0, $j_1 = 0, $inc22 = 0, $17 = 0, $arrayidx11 = 0, $18 = 0, $tobool = 0, $19 = 0, $j_266 = 0, $j0_165 = 0, $xpenalty30 = 0, $20 = 0, $cmp32 = 0, $tail = 0, $21 = 0, $order36 = 0, $22 = 0, $mul37 = 0, $order39 = 0, $23 = 0, $conv40 = 0, $add41 = 0, $inc42 = 0, $arrayidx43 = 0, $j_3 = 0, $inc46 = 0, $24 = 0, $arrayidx26 = 0, $25 = 0, $tobool27 = 0, $j_4 = 0, $mval = 0, $26 = 0, $mval53 = 0, $27 = 0, $28 = 0, $add57 = 0, $div = 0, $mval59 = 0, $rem58 = 0, $tobool60 = 0, $div62 = 0, $arrayidx63 = 0, $29 = 0, $mval65 = 0, $sub = 0, $sub68 = 0, $arrayidx69 = 0, $30 = 0, $arrayidx70 = 0, $31 = 0, $sub71 = 0, $arrayidx72 = 0, $32 = 0, $33 = 0, $sub74 = 0, $cmp75 = 0, $add80 = 0, $div81 = 0, $mval83 = 0, $mul86 = 0, $mul88 = 0, $add89 = 0, $add90 = 0, $div91 = 0, $mval93 = 0, $inc97 = 0, $34 = 0, $n5 = 0, $35 = 0, $cmp = 0, $i_162 = 0, $hasfixed_061 = 0, $arrayidx107 = 0, $36 = 0, $size = 0, $37 = 0, $cmp110 = 0, $size114 = 0, $38 = 0, $cmp115 = 0, $call = 0, $conv118 = 0, $or = 0, $conv119 = 0, $hasfixed_1 = 0, $inc122 = 0, $39 = 0, $n103 = 0, $40 = 0, $cmp104 = 0, $hasfixed_0_lcssa = 0, label = 0;
   126996   label = 2;
   126997   while (1) switch (label | 0) {
   126998    case 2:
   126999     $0 = HEAP32[41344] | 0;
   127000     $rank = $g + 224 | 0;
   127001     $1 = HEAP32[$rank >> 2] | 0;
   127002     $v1 = $1 + ($r0 * 44 & -1) + 4 | 0;
   127003     $2 = HEAP32[$v1 >> 2] | 0;
   127004     $n574 = $1 + ($r0 * 44 & -1) | 0;
   127005     $3 = HEAP32[$n574 >> 2] | 0;
   127006     $cmp76 = ($3 | 0) > 0;
   127007     if ($cmp76) {
   127008       label = 3;
   127009       break;
   127010     } else {
   127011       label = 4;
   127012       break;
   127013     }
   127014    case 3:
   127015     $cmp7 = ($r1 | 0) > ($r0 | 0);
   127016     $4 = $0;
   127017     $arrayidx56 = $0 + 4 | 0;
   127018     $i_077 = 0;
   127019     label = 5;
   127020     break;
   127021    case 4:
   127022     $5 = HEAP32[$rank >> 2] | 0;
   127023     $n10359 = $5 + ($r0 * 44 & -1) | 0;
   127024     $6 = HEAP32[$n10359 >> 2] | 0;
   127025     $cmp10460 = ($6 | 0) > 0;
   127026     if ($cmp10460) {
   127027       $hasfixed_061 = 0;
   127028       $i_162 = 0;
   127029       label = 24;
   127030       break;
   127031     } else {
   127032       $hasfixed_0_lcssa = 0;
   127033       label = 28;
   127034       break;
   127035     }
   127036    case 5:
   127037     $arrayidx6 = $2 + ($i_077 << 2) | 0;
   127038     $7 = HEAP32[$arrayidx6 >> 2] | 0;
   127039     if ($cmp7) {
   127040       label = 6;
   127041       break;
   127042     } else {
   127043       label = 7;
   127044       break;
   127045     }
   127046    case 6:
   127047     $list10 = $7 + 184 | 0;
   127048     $8 = HEAP32[$list10 >> 2] | 0;
   127049     $9 = HEAP32[$8 >> 2] | 0;
   127050     $tobool70 = ($9 | 0) == 0;
   127051     if ($tobool70) {
   127052       label = 15;
   127053       break;
   127054     } else {
   127055       $j0_071 = 0;
   127056       $j_072 = 0;
   127057       $12 = $9;
   127058       label = 8;
   127059       break;
   127060     }
   127061    case 7:
   127062     $list25 = $7 + 176 | 0;
   127063     $10 = HEAP32[$list25 >> 2] | 0;
   127064     $11 = HEAP32[$10 >> 2] | 0;
   127065     $tobool2764 = ($11 | 0) == 0;
   127066     if ($tobool2764) {
   127067       label = 15;
   127068       break;
   127069     } else {
   127070       $j0_165 = 0;
   127071       $j_266 = 0;
   127072       $19 = $11;
   127073       label = 11;
   127074       break;
   127075     }
   127076    case 8:
   127077     $xpenalty = $12 + 170 | 0;
   127078     $13 = HEAP16[$xpenalty >> 1] | 0;
   127079     $cmp14 = $13 << 16 >> 16 > 0;
   127080     if ($cmp14) {
   127081       label = 9;
   127082       break;
   127083     } else {
   127084       $j_1 = $j_072;
   127085       label = 10;
   127086       break;
   127087     }
   127088    case 9:
   127089     $head = $12 + 12 | 0;
   127090     $14 = HEAP32[$head >> 2] | 0;
   127091     $order = $14 + 240 | 0;
   127092     $15 = HEAP32[$order >> 2] | 0;
   127093     $mul = $15 << 8;
   127094     $order19 = $12 + 104 | 0;
   127095     $16 = HEAP8[$order19] | 0;
   127096     $conv20 = $16 & 255;
   127097     $add = $conv20 | $mul;
   127098     $inc = $j_072 + 1 | 0;
   127099     $arrayidx21 = $0 + ($j_072 << 2) | 0;
   127100     HEAP32[$arrayidx21 >> 2] = $add;
   127101     $j_1 = $inc;
   127102     label = 10;
   127103     break;
   127104    case 10:
   127105     $inc22 = $j0_071 + 1 | 0;
   127106     $17 = HEAP32[$list10 >> 2] | 0;
   127107     $arrayidx11 = $17 + ($inc22 << 2) | 0;
   127108     $18 = HEAP32[$arrayidx11 >> 2] | 0;
   127109     $tobool = ($18 | 0) == 0;
   127110     if ($tobool) {
   127111       $j_4 = $j_1;
   127112       label = 14;
   127113       break;
   127114     } else {
   127115       $j0_071 = $inc22;
   127116       $j_072 = $j_1;
   127117       $12 = $18;
   127118       label = 8;
   127119       break;
   127120     }
   127121    case 11:
   127122     $xpenalty30 = $19 + 170 | 0;
   127123     $20 = HEAP16[$xpenalty30 >> 1] | 0;
   127124     $cmp32 = $20 << 16 >> 16 > 0;
   127125     if ($cmp32) {
   127126       label = 12;
   127127       break;
   127128     } else {
   127129       $j_3 = $j_266;
   127130       label = 13;
   127131       break;
   127132     }
   127133    case 12:
   127134     $tail = $19 + 16 | 0;
   127135     $21 = HEAP32[$tail >> 2] | 0;
   127136     $order36 = $21 + 240 | 0;
   127137     $22 = HEAP32[$order36 >> 2] | 0;
   127138     $mul37 = $22 << 8;
   127139     $order39 = $19 + 64 | 0;
   127140     $23 = HEAP8[$order39] | 0;
   127141     $conv40 = $23 & 255;
   127142     $add41 = $conv40 | $mul37;
   127143     $inc42 = $j_266 + 1 | 0;
   127144     $arrayidx43 = $0 + ($j_266 << 2) | 0;
   127145     HEAP32[$arrayidx43 >> 2] = $add41;
   127146     $j_3 = $inc42;
   127147     label = 13;
   127148     break;
   127149    case 13:
   127150     $inc46 = $j0_165 + 1 | 0;
   127151     $24 = HEAP32[$list25 >> 2] | 0;
   127152     $arrayidx26 = $24 + ($inc46 << 2) | 0;
   127153     $25 = HEAP32[$arrayidx26 >> 2] | 0;
   127154     $tobool27 = ($25 | 0) == 0;
   127155     if ($tobool27) {
   127156       $j_4 = $j_3;
   127157       label = 14;
   127158       break;
   127159     } else {
   127160       $j0_165 = $inc46;
   127161       $j_266 = $j_3;
   127162       $19 = $25;
   127163       label = 11;
   127164       break;
   127165     }
   127166    case 14:
   127167     if (($j_4 | 0) == 0) {
   127168       label = 15;
   127169       break;
   127170     } else if (($j_4 | 0) == 1) {
   127171       label = 16;
   127172       break;
   127173     } else if (($j_4 | 0) == 2) {
   127174       label = 17;
   127175       break;
   127176     } else {
   127177       label = 18;
   127178       break;
   127179     }
   127180    case 15:
   127181     $mval = $7 + 244 | 0;
   127182     HEAP32[$mval >> 2] = -1;
   127183     label = 23;
   127184     break;
   127185    case 16:
   127186     $26 = HEAP32[$0 >> 2] | 0;
   127187     $mval53 = $7 + 244 | 0;
   127188     HEAP32[$mval53 >> 2] = $26;
   127189     label = 23;
   127190     break;
   127191    case 17:
   127192     $27 = HEAP32[$0 >> 2] | 0;
   127193     $28 = HEAP32[$arrayidx56 >> 2] | 0;
   127194     $add57 = $28 + $27 | 0;
   127195     $div = ($add57 | 0) / 2 & -1;
   127196     $mval59 = $7 + 244 | 0;
   127197     HEAP32[$mval59 >> 2] = $div;
   127198     label = 23;
   127199     break;
   127200    case 18:
   127201     _qsort($4 | 0, $j_4 | 0, 4, 136);
   127202     $rem58 = $j_4 & 1;
   127203     $tobool60 = ($rem58 | 0) == 0;
   127204     $div62 = ($j_4 | 0) / 2 & -1;
   127205     if ($tobool60) {
   127206       label = 20;
   127207       break;
   127208     } else {
   127209       label = 19;
   127210       break;
   127211     }
   127212    case 19:
   127213     $arrayidx63 = $0 + ($div62 << 2) | 0;
   127214     $29 = HEAP32[$arrayidx63 >> 2] | 0;
   127215     $mval65 = $7 + 244 | 0;
   127216     HEAP32[$mval65 >> 2] = $29;
   127217     label = 23;
   127218     break;
   127219    case 20:
   127220     $sub = $div62 - 1 | 0;
   127221     $sub68 = $j_4 - 1 | 0;
   127222     $arrayidx69 = $0 + ($sub68 << 2) | 0;
   127223     $30 = HEAP32[$arrayidx69 >> 2] | 0;
   127224     $arrayidx70 = $0 + ($div62 << 2) | 0;
   127225     $31 = HEAP32[$arrayidx70 >> 2] | 0;
   127226     $sub71 = $30 - $31 | 0;
   127227     $arrayidx72 = $0 + ($sub << 2) | 0;
   127228     $32 = HEAP32[$arrayidx72 >> 2] | 0;
   127229     $33 = HEAP32[$0 >> 2] | 0;
   127230     $sub74 = $32 - $33 | 0;
   127231     $cmp75 = ($sub74 | 0) == ($sub71 | 0);
   127232     if ($cmp75) {
   127233       label = 21;
   127234       break;
   127235     } else {
   127236       label = 22;
   127237       break;
   127238     }
   127239    case 21:
   127240     $add80 = $32 + $31 | 0;
   127241     $div81 = ($add80 | 0) / 2 & -1;
   127242     $mval83 = $7 + 244 | 0;
   127243     HEAP32[$mval83 >> 2] = $div81;
   127244     label = 23;
   127245     break;
   127246    case 22:
   127247     $mul86 = Math_imul($32, $sub71);
   127248     $mul88 = Math_imul($sub74, $31);
   127249     $add89 = $mul88 + $mul86 | 0;
   127250     $add90 = $sub74 + $sub71 | 0;
   127251     $div91 = ($add89 | 0) / ($add90 | 0) & -1;
   127252     $mval93 = $7 + 244 | 0;
   127253     HEAP32[$mval93 >> 2] = $div91;
   127254     label = 23;
   127255     break;
   127256    case 23:
   127257     $inc97 = $i_077 + 1 | 0;
   127258     $34 = HEAP32[$rank >> 2] | 0;
   127259     $n5 = $34 + ($r0 * 44 & -1) | 0;
   127260     $35 = HEAP32[$n5 >> 2] | 0;
   127261     $cmp = ($inc97 | 0) < ($35 | 0);
   127262     if ($cmp) {
   127263       $i_077 = $inc97;
   127264       label = 5;
   127265       break;
   127266     } else {
   127267       label = 4;
   127268       break;
   127269     }
   127270    case 24:
   127271     $arrayidx107 = $2 + ($i_162 << 2) | 0;
   127272     $36 = HEAP32[$arrayidx107 >> 2] | 0;
   127273     $size = $36 + 188 | 0;
   127274     $37 = HEAP32[$size >> 2] | 0;
   127275     $cmp110 = ($37 | 0) == 0;
   127276     if ($cmp110) {
   127277       label = 25;
   127278       break;
   127279     } else {
   127280       $hasfixed_1 = $hasfixed_061;
   127281       label = 27;
   127282       break;
   127283     }
   127284    case 25:
   127285     $size114 = $36 + 180 | 0;
   127286     $38 = HEAP32[$size114 >> 2] | 0;
   127287     $cmp115 = ($38 | 0) == 0;
   127288     if ($cmp115) {
   127289       label = 26;
   127290       break;
   127291     } else {
   127292       $hasfixed_1 = $hasfixed_061;
   127293       label = 27;
   127294       break;
   127295     }
   127296    case 26:
   127297     $call = _flat_mval($36) | 0;
   127298     $conv118 = $hasfixed_061 & 255;
   127299     $or = $call | $conv118;
   127300     $conv119 = $or & 255;
   127301     $hasfixed_1 = $conv119;
   127302     label = 27;
   127303     break;
   127304    case 27:
   127305     $inc122 = $i_162 + 1 | 0;
   127306     $39 = HEAP32[$rank >> 2] | 0;
   127307     $n103 = $39 + ($r0 * 44 & -1) | 0;
   127308     $40 = HEAP32[$n103 >> 2] | 0;
   127309     $cmp104 = ($inc122 | 0) < ($40 | 0);
   127310     if ($cmp104) {
   127311       $hasfixed_061 = $hasfixed_1;
   127312       $i_162 = $inc122;
   127313       label = 24;
   127314       break;
   127315     } else {
   127316       $hasfixed_0_lcssa = $hasfixed_1;
   127317       label = 28;
   127318       break;
   127319     }
   127320    case 28:
   127321     return $hasfixed_0_lcssa | 0;
   127322   }
   127323   return 0;
   127324 }
   127325 function _reorder($g, $r, $reverse, $hasfixed) {
   127326   $g = $g | 0;
   127327   $r = $r | 0;
   127328   $reverse = $reverse | 0;
   127329   $hasfixed = $hasfixed | 0;
   127330   var $rank = 0, $0 = 0, $v = 0, $1 = 0, $n = 0, $2 = 0, $cmp56 = 0, $add_ptr = 0, $3 = 0, $4 = 0, $tobool55 = 0, $nelt_062_in = 0, $changed_061 = 0, $ep_057 = 0, $nelt_062 = 0, $cmp84452 = 0, $changed_1_ph54 = 0, $lp_0_ph53 = 0, $lp_1 = 0, $cmp10 = 0, $5 = 0, $mval = 0, $6 = 0, $cmp12 = 0, $incdec_ptr = 0, $sawclust_0_ph = 0, $lp_1_pn_ph = 0, $tobool = 0, $lp_1_pn = 0, $rp_0 = 0, $cmp17 = 0, $7 = 0, $clust = 0, $8 = 0, $tobool20 = 0, $9 = 0, $10 = 0, $call = 0, $tobool23 = 0, $mval27 = 0, $11 = 0, $cmp28 = 0, $clust33 = 0, $12 = 0, $tobool34 = 0, $sawclust_0_ = 0, $muststay_0 = 0, $cmp43 = 0, $13 = 0, $mval47 = 0, $14 = 0, $15 = 0, $mval49 = 0, $16 = 0, $cmp50 = 0, $cmp52 = 0, $or_cond = 0, $or_cond77 = 0, $cmp8 = 0, $17 = 0, $18 = 0, $inc = 0, $cmp844 = 0, $changed_1_ph50 = 0, $incdec_ptr66 = 0, $ep_1 = 0, $cmp = 0, $tobool70 = 0, $19 = 0, $rank73 = 0, $20 = 0, $valid = 0, $cmp75 = 0, $sub78 = 0, $21 = 0, $rank80 = 0, $22 = 0, $valid82 = 0, label = 0;
   127331   label = 2;
   127332   while (1) switch (label | 0) {
   127333    case 2:
   127334     $rank = $g + 224 | 0;
   127335     $0 = HEAP32[$rank >> 2] | 0;
   127336     $v = $0 + ($r * 44 & -1) + 4 | 0;
   127337     $1 = HEAP32[$v >> 2] | 0;
   127338     $n = $0 + ($r * 44 & -1) | 0;
   127339     $2 = HEAP32[$n >> 2] | 0;
   127340     $cmp56 = ($2 | 0) > 0;
   127341     if ($cmp56) {
   127342       label = 3;
   127343       break;
   127344     } else {
   127345       label = 24;
   127346       break;
   127347     }
   127348    case 3:
   127349     $add_ptr = $1 + ($2 << 2) | 0;
   127350     $3 = $hasfixed | $reverse;
   127351     $4 = ($3 | 0) == 0;
   127352     $tobool55 = ($reverse | 0) == 0;
   127353     $ep_057 = $add_ptr;
   127354     $changed_061 = 0;
   127355     $nelt_062_in = $2;
   127356     label = 4;
   127357     break;
   127358    case 4:
   127359     $nelt_062 = $nelt_062_in - 1 | 0;
   127360     $cmp84452 = $1 >>> 0 < $ep_057 >>> 0;
   127361     if ($cmp84452) {
   127362       $lp_0_ph53 = $1;
   127363       $changed_1_ph54 = $changed_061;
   127364       label = 5;
   127365       break;
   127366     } else {
   127367       $changed_1_ph50 = $changed_061;
   127368       label = 20;
   127369       break;
   127370     }
   127371    case 5:
   127372     $lp_1 = $lp_0_ph53;
   127373     label = 6;
   127374     break;
   127375    case 6:
   127376     $cmp10 = $lp_1 >>> 0 < $ep_057 >>> 0;
   127377     if ($cmp10) {
   127378       label = 7;
   127379       break;
   127380     } else {
   127381       $changed_1_ph50 = $changed_1_ph54;
   127382       label = 20;
   127383       break;
   127384     }
   127385    case 7:
   127386     $5 = HEAP32[$lp_1 >> 2] | 0;
   127387     $mval = $5 + 244 | 0;
   127388     $6 = HEAP32[$mval >> 2] | 0;
   127389     $cmp12 = ($6 | 0) < 0;
   127390     $incdec_ptr = $lp_1 + 4 | 0;
   127391     if ($cmp12) {
   127392       $lp_1 = $incdec_ptr;
   127393       label = 6;
   127394       break;
   127395     } else {
   127396       $lp_1_pn_ph = $lp_1;
   127397       $sawclust_0_ph = 0;
   127398       label = 8;
   127399       break;
   127400     }
   127401    case 8:
   127402     $tobool = $sawclust_0_ph << 24 >> 24 == 0;
   127403     $lp_1_pn = $lp_1_pn_ph;
   127404     label = 9;
   127405     break;
   127406    case 9:
   127407     $rp_0 = $lp_1_pn + 4 | 0;
   127408     $cmp17 = $rp_0 >>> 0 < $ep_057 >>> 0;
   127409     if ($cmp17) {
   127410       label = 10;
   127411       break;
   127412     } else {
   127413       $changed_1_ph50 = $changed_1_ph54;
   127414       label = 20;
   127415       break;
   127416     }
   127417    case 10:
   127418     if ($tobool) {
   127419       label = 12;
   127420       break;
   127421     } else {
   127422       label = 11;
   127423       break;
   127424     }
   127425    case 11:
   127426     $7 = HEAP32[$rp_0 >> 2] | 0;
   127427     $clust = $7 + 216 | 0;
   127428     $8 = HEAP32[$clust >> 2] | 0;
   127429     $tobool20 = ($8 | 0) == 0;
   127430     if ($tobool20) {
   127431       label = 12;
   127432       break;
   127433     } else {
   127434       $lp_1_pn = $rp_0;
   127435       label = 9;
   127436       break;
   127437     }
   127438    case 12:
   127439     $9 = HEAP32[$lp_1 >> 2] | 0;
   127440     $10 = HEAP32[$rp_0 >> 2] | 0;
   127441     $call = _left2right($g, $9, $10) | 0;
   127442     $tobool23 = ($call | 0) == 0;
   127443     if ($tobool23) {
   127444       label = 13;
   127445       break;
   127446     } else {
   127447       $muststay_0 = 1;
   127448       label = 15;
   127449       break;
   127450     }
   127451    case 13:
   127452     $mval27 = $10 + 244 | 0;
   127453     $11 = HEAP32[$mval27 >> 2] | 0;
   127454     $cmp28 = ($11 | 0) > -1;
   127455     if ($cmp28) {
   127456       $muststay_0 = 0;
   127457       label = 15;
   127458       break;
   127459     } else {
   127460       label = 14;
   127461       break;
   127462     }
   127463    case 14:
   127464     $clust33 = $10 + 216 | 0;
   127465     $12 = HEAP32[$clust33 >> 2] | 0;
   127466     $tobool34 = ($12 | 0) == 0;
   127467     $sawclust_0_ = $tobool34 ? $sawclust_0_ph : 1;
   127468     $lp_1_pn_ph = $rp_0;
   127469     $sawclust_0_ph = $sawclust_0_;
   127470     label = 8;
   127471     break;
   127472    case 15:
   127473     if ($cmp17) {
   127474       label = 16;
   127475       break;
   127476     } else {
   127477       $changed_1_ph50 = $changed_1_ph54;
   127478       label = 20;
   127479       break;
   127480     }
   127481    case 16:
   127482     $cmp43 = ($muststay_0 | 0) == 0;
   127483     if ($cmp43) {
   127484       label = 17;
   127485       break;
   127486     } else {
   127487       label = 18;
   127488       break;
   127489     }
   127490    case 17:
   127491     $13 = HEAP32[$lp_1 >> 2] | 0;
   127492     $mval47 = $13 + 244 | 0;
   127493     $14 = HEAP32[$mval47 >> 2] | 0;
   127494     $15 = HEAP32[$rp_0 >> 2] | 0;
   127495     $mval49 = $15 + 244 | 0;
   127496     $16 = HEAP32[$mval49 >> 2] | 0;
   127497     $cmp50 = ($14 | 0) <= ($16 | 0);
   127498     $cmp52 = ($14 | 0) != ($16 | 0);
   127499     $or_cond = $cmp52 | $tobool55;
   127500     $or_cond77 = $cmp50 & $or_cond;
   127501     if ($or_cond77) {
   127502       label = 18;
   127503       break;
   127504     } else {
   127505       label = 19;
   127506       break;
   127507     }
   127508    case 18:
   127509     $cmp8 = $rp_0 >>> 0 < $ep_057 >>> 0;
   127510     if ($cmp8) {
   127511       $lp_1 = $rp_0;
   127512       label = 6;
   127513       break;
   127514     } else {
   127515       $changed_1_ph50 = $changed_1_ph54;
   127516       label = 20;
   127517       break;
   127518     }
   127519    case 19:
   127520     $17 = HEAP32[$lp_1 >> 2] | 0;
   127521     $18 = HEAP32[$rp_0 >> 2] | 0;
   127522     _exchange($17, $18);
   127523     $inc = $changed_1_ph54 + 1 | 0;
   127524     $cmp844 = $rp_0 >>> 0 < $ep_057 >>> 0;
   127525     if ($cmp844) {
   127526       $lp_0_ph53 = $rp_0;
   127527       $changed_1_ph54 = $inc;
   127528       label = 5;
   127529       break;
   127530     } else {
   127531       $changed_1_ph50 = $inc;
   127532       label = 20;
   127533       break;
   127534     }
   127535    case 20:
   127536     $incdec_ptr66 = $ep_057 - 4 | 0;
   127537     $ep_1 = $4 ? $incdec_ptr66 : $ep_057;
   127538     $cmp = ($nelt_062 | 0) > 0;
   127539     if ($cmp) {
   127540       $ep_057 = $ep_1;
   127541       $changed_061 = $changed_1_ph50;
   127542       $nelt_062_in = $nelt_062;
   127543       label = 4;
   127544       break;
   127545     } else {
   127546       label = 21;
   127547       break;
   127548     }
   127549    case 21:
   127550     $tobool70 = ($changed_1_ph50 | 0) == 0;
   127551     if ($tobool70) {
   127552       label = 24;
   127553       break;
   127554     } else {
   127555       label = 22;
   127556       break;
   127557     }
   127558    case 22:
   127559     $19 = HEAP32[41526] | 0;
   127560     $rank73 = $19 + 224 | 0;
   127561     $20 = HEAP32[$rank73 >> 2] | 0;
   127562     $valid = $20 + ($r * 44 & -1) + 33 | 0;
   127563     HEAP8[$valid] = 0;
   127564     $cmp75 = ($r | 0) > 0;
   127565     if ($cmp75) {
   127566       label = 23;
   127567       break;
   127568     } else {
   127569       label = 24;
   127570       break;
   127571     }
   127572    case 23:
   127573     $sub78 = $r - 1 | 0;
   127574     $21 = HEAP32[41526] | 0;
   127575     $rank80 = $21 + 224 | 0;
   127576     $22 = HEAP32[$rank80 >> 2] | 0;
   127577     $valid82 = $22 + ($sub78 * 44 & -1) + 33 | 0;
   127578     HEAP8[$valid82] = 0;
   127579     label = 24;
   127580     break;
   127581    case 24:
   127582     return;
   127583   }
   127584 }
   127585 function _inside_cluster($g, $v) {
   127586   $g = $g | 0;
   127587   $v = $v | 0;
   127588   var $call = 0;
   127589   $call = _is_a_normal_node_of($g, $v) | 0;
   127590   return _is_a_vnode_of_an_edge_of($g, $v) | $call | 0;
   127591 }
   127592 function _balance($g) {
   127593   $g = $g | 0;
   127594   var $maxrank = 0, $0 = 0, $minrank = 0, $1 = 0, $cmp6 = 0, $conv = 0, $rank = 0, $r_07 = 0, $2 = 0, $candidate = 0, $3 = 0, $n1 = 0, $4 = 0, $sub2 = 0, $cmp93 = 0, $cmp27 = 0, $add35 = 0, $5 = 0, $i_04 = 0, $v15 = 0, $6 = 0, $arrayidx16 = 0, $7 = 0, $add = 0, $arrayidx21 = 0, $8 = 0, $order = 0, $9 = 0, $order24 = 0, $10 = 0, $cmp25 = 0, $call = 0, $tobool = 0, $call30 = 0, $call32 = 0, $c1_0 = 0, $c0_0 = 0, $11 = 0, $n39 = 0, $12 = 0, $cmp40 = 0, $call43 = 0, $add44 = 0, $call45 = 0, $add46 = 0, $c1_1 = 0, $c0_1 = 0, $cmp48 = 0, $13 = 0, $n = 0, $14 = 0, $sub = 0, $cmp9 = 0, $dec = 0, $15 = 0, $conv2 = 0, $cmp = 0, label = 0;
   127595   label = 2;
   127596   while (1) switch (label | 0) {
   127597    case 2:
   127598     $maxrank = $g + 250 | 0;
   127599     $0 = HEAP16[$maxrank >> 1] | 0;
   127600     $minrank = $g + 248 | 0;
   127601     $1 = HEAP16[$minrank >> 1] | 0;
   127602     $cmp6 = $0 << 16 >> 16 < $1 << 16 >> 16;
   127603     if ($cmp6) {
   127604       label = 17;
   127605       break;
   127606     } else {
   127607       label = 3;
   127608       break;
   127609     }
   127610    case 3:
   127611     $conv = $0 << 16 >> 16;
   127612     $rank = $g + 224 | 0;
   127613     $r_07 = $conv;
   127614     label = 4;
   127615     break;
   127616    case 4:
   127617     $2 = HEAP32[$rank >> 2] | 0;
   127618     $candidate = $2 + ($r_07 * 44 & -1) + 32 | 0;
   127619     HEAP8[$candidate] = 0;
   127620     $3 = HEAP32[$rank >> 2] | 0;
   127621     $n1 = $3 + ($r_07 * 44 & -1) | 0;
   127622     $4 = HEAP32[$n1 >> 2] | 0;
   127623     $sub2 = $4 - 1 | 0;
   127624     $cmp93 = ($sub2 | 0) > 0;
   127625     if ($cmp93) {
   127626       label = 5;
   127627       break;
   127628     } else {
   127629       label = 16;
   127630       break;
   127631     }
   127632    case 5:
   127633     $cmp27 = ($r_07 | 0) > 0;
   127634     $add35 = $r_07 + 1 | 0;
   127635     $i_04 = 0;
   127636     $5 = $3;
   127637     label = 6;
   127638     break;
   127639    case 6:
   127640     $v15 = $5 + ($r_07 * 44 & -1) + 4 | 0;
   127641     $6 = HEAP32[$v15 >> 2] | 0;
   127642     $arrayidx16 = $6 + ($i_04 << 2) | 0;
   127643     $7 = HEAP32[$arrayidx16 >> 2] | 0;
   127644     $add = $i_04 + 1 | 0;
   127645     $arrayidx21 = $6 + ($add << 2) | 0;
   127646     $8 = HEAP32[$arrayidx21 >> 2] | 0;
   127647     $order = $7 + 240 | 0;
   127648     $9 = HEAP32[$order >> 2] | 0;
   127649     $order24 = $8 + 240 | 0;
   127650     $10 = HEAP32[$order24 >> 2] | 0;
   127651     $cmp25 = ($9 | 0) < ($10 | 0);
   127652     if ($cmp25) {
   127653       label = 8;
   127654       break;
   127655     } else {
   127656       label = 7;
   127657       break;
   127658     }
   127659    case 7:
   127660     ___assert_func(119784, 474, 164640, 105480);
   127661    case 8:
   127662     $call = _left2right($g, $7, $8) | 0;
   127663     $tobool = ($call | 0) == 0;
   127664     if ($tobool) {
   127665       label = 9;
   127666       break;
   127667     } else {
   127668       label = 14;
   127669       break;
   127670     }
   127671    case 9:
   127672     if ($cmp27) {
   127673       label = 10;
   127674       break;
   127675     } else {
   127676       $c0_0 = 0;
   127677       $c1_0 = 0;
   127678       label = 11;
   127679       break;
   127680     }
   127681    case 10:
   127682     $call30 = _in_cross($7, $8) | 0;
   127683     $call32 = _in_cross($8, $7) | 0;
   127684     $c0_0 = $call30;
   127685     $c1_0 = $call32;
   127686     label = 11;
   127687     break;
   127688    case 11:
   127689     $11 = HEAP32[$rank >> 2] | 0;
   127690     $n39 = $11 + ($add35 * 44 & -1) | 0;
   127691     $12 = HEAP32[$n39 >> 2] | 0;
   127692     $cmp40 = ($12 | 0) > 0;
   127693     if ($cmp40) {
   127694       label = 12;
   127695       break;
   127696     } else {
   127697       $c0_1 = $c0_0;
   127698       $c1_1 = $c1_0;
   127699       label = 13;
   127700       break;
   127701     }
   127702    case 12:
   127703     $call43 = _out_cross($7, $8) | 0;
   127704     $add44 = $call43 + $c0_0 | 0;
   127705     $call45 = _out_cross($8, $7) | 0;
   127706     $add46 = $call45 + $c1_0 | 0;
   127707     $c0_1 = $add44;
   127708     $c1_1 = $add46;
   127709     label = 13;
   127710     break;
   127711    case 13:
   127712     $cmp48 = ($c1_1 | 0) > ($c0_1 | 0);
   127713     if ($cmp48) {
   127714       label = 14;
   127715       break;
   127716     } else {
   127717       label = 15;
   127718       break;
   127719     }
   127720    case 14:
   127721     $13 = HEAP32[$rank >> 2] | 0;
   127722     $n = $13 + ($r_07 * 44 & -1) | 0;
   127723     $14 = HEAP32[$n >> 2] | 0;
   127724     $sub = $14 - 1 | 0;
   127725     $cmp9 = ($add | 0) < ($sub | 0);
   127726     if ($cmp9) {
   127727       $i_04 = $add;
   127728       $5 = $13;
   127729       label = 6;
   127730       break;
   127731     } else {
   127732       label = 16;
   127733       break;
   127734     }
   127735    case 15:
   127736     _balanceNodes($g, $r_07, $7, $8);
   127737     label = 14;
   127738     break;
   127739    case 16:
   127740     $dec = $r_07 - 1 | 0;
   127741     $15 = HEAP16[$minrank >> 1] | 0;
   127742     $conv2 = $15 << 16 >> 16;
   127743     $cmp = ($dec | 0) < ($conv2 | 0);
   127744     if ($cmp) {
   127745       label = 17;
   127746       break;
   127747     } else {
   127748       $r_07 = $dec;
   127749       label = 4;
   127750       break;
   127751     }
   127752    case 17:
   127753     return;
   127754   }
   127755 }
   127756 function _set_xcoords($g) {
   127757   $g = $g | 0;
   127758   var $rank1 = 0, $0 = 0, $minrank = 0, $1 = 0, $maxrank = 0, $2 = 0, $cmp15 = 0, $conv = 0, $i_016 = 0, $n = 0, $3 = 0, $cmp712 = 0, $v11 = 0, $j_013 = 0, $4 = 0, $arrayidx12 = 0, $5 = 0, $rank14 = 0, $6 = 0, $conv15 = 0.0, $x = 0, $inc = 0, $7 = 0, $cmp7 = 0, $inc20 = 0, $8 = 0, $conv4 = 0, $cmp = 0, label = 0;
   127759   label = 2;
   127760   while (1) switch (label | 0) {
   127761    case 2:
   127762     $rank1 = $g + 224 | 0;
   127763     $0 = HEAP32[$rank1 >> 2] | 0;
   127764     $minrank = $g + 248 | 0;
   127765     $1 = HEAP16[$minrank >> 1] | 0;
   127766     $maxrank = $g + 250 | 0;
   127767     $2 = HEAP16[$maxrank >> 1] | 0;
   127768     $cmp15 = $1 << 16 >> 16 > $2 << 16 >> 16;
   127769     if ($cmp15) {
   127770       label = 8;
   127771       break;
   127772     } else {
   127773       label = 3;
   127774       break;
   127775     }
   127776    case 3:
   127777     $conv = $1 << 16 >> 16;
   127778     $i_016 = $conv;
   127779     label = 4;
   127780     break;
   127781    case 4:
   127782     $n = $0 + ($i_016 * 44 & -1) | 0;
   127783     $3 = HEAP32[$n >> 2] | 0;
   127784     $cmp712 = ($3 | 0) > 0;
   127785     if ($cmp712) {
   127786       label = 5;
   127787       break;
   127788     } else {
   127789       label = 7;
   127790       break;
   127791     }
   127792    case 5:
   127793     $v11 = $0 + ($i_016 * 44 & -1) + 4 | 0;
   127794     $j_013 = 0;
   127795     label = 6;
   127796     break;
   127797    case 6:
   127798     $4 = HEAP32[$v11 >> 2] | 0;
   127799     $arrayidx12 = $4 + ($j_013 << 2) | 0;
   127800     $5 = HEAP32[$arrayidx12 >> 2] | 0;
   127801     $rank14 = $5 + 236 | 0;
   127802     $6 = HEAP32[$rank14 >> 2] | 0;
   127803     $conv15 = +($6 | 0);
   127804     $x = $5 + 32 | 0;
   127805     HEAPF64[$x >> 3] = $conv15;
   127806     HEAP32[$rank14 >> 2] = $i_016;
   127807     $inc = $j_013 + 1 | 0;
   127808     $7 = HEAP32[$n >> 2] | 0;
   127809     $cmp7 = ($inc | 0) < ($7 | 0);
   127810     if ($cmp7) {
   127811       $j_013 = $inc;
   127812       label = 6;
   127813       break;
   127814     } else {
   127815       label = 7;
   127816       break;
   127817     }
   127818    case 7:
   127819     $inc20 = $i_016 + 1 | 0;
   127820     $8 = HEAP16[$maxrank >> 1] | 0;
   127821     $conv4 = $8 << 16 >> 16;
   127822     $cmp = ($inc20 | 0) > ($conv4 | 0);
   127823     if ($cmp) {
   127824       label = 8;
   127825       break;
   127826     } else {
   127827       $i_016 = $inc20;
   127828       label = 4;
   127829       break;
   127830     }
   127831    case 8:
   127832     return;
   127833   }
   127834 }
   127835 function _new_matrix($i, $j) {
   127836   $i = $i | 0;
   127837   $j = $j | 0;
   127838   var $call = 0;
   127839   $call = _zmalloc(12) | 0;
   127840   HEAP32[$call >> 2] = $i;
   127841   HEAP32[$call + 4 >> 2] = $j;
   127842   HEAP32[$call + 8 >> 2] = _zmalloc(Math_imul($j, $i)) | 0;
   127843   return $call | 0;
   127844 }
   127845 function _set_ycoords($g) {
   127846   $g = $g | 0;
   127847   var $rank1 = 0, $0 = 0, $minrank = 0, $1 = 0, $maxrank = 0, $2 = 0, $cmp124 = 0, $conv = 0, $r_0125 = 0, $n7 = 0, $3 = 0, $cmp8121 = 0, $v = 0, $pht2 = 0, $ht261 = 0, $pht1 = 0, $ht1 = 0, $i_0122 = 0, $4 = 0, $arrayidx12 = 0, $5 = 0, $ht = 0, $6 = 0.0, $cmp14 = 0, $add = 0.0, $sub = 0.0, $add_sink = 0.0, $conv18 = 0, $add22 = 0, $div = 0, $list = 0, $7 = 0, $tobool = 0, $8 = 0, $9 = 0, $tobool29117 = 0, $10 = 0, $11 = 0, $j_0119 = 0, $ht2_0118 = 0, $tail = 0, $12 = 0, $head = 0, $13 = 0, $cmp31 = 0, $label = 0, $14 = 0, $tobool35 = 0, $conv37 = 0.0, $y = 0, $15 = 0.0, $div40 = 0.0, $cmp41 = 0, $conv37_div40 = 0.0, $conv53 = 0, $ht2_1 = 0, $inc = 0, $arrayidx28 = 0, $16 = 0, $tobool29 = 0, $ht2_2 = 0, $17 = 0, $cmp57 = 0, $18 = 0, $cmp66 = 0, $clust74 = 0, $19 = 0, $tobool75 = 0, $cmp77 = 0, $cond79 = 0, $rank81 = 0, $20 = 0, $minrank83 = 0, $21 = 0, $conv84 = 0, $cmp85 = 0, $ht289 = 0, $22 = 0, $add90 = 0, $cmp91 = 0, $_add90 = 0, $23 = 0, $maxrank106 = 0, $24 = 0, $conv107 = 0, $cmp108 = 0, $ht1112 = 0, $25 = 0, $add113 = 0, $cmp114 = 0, $_add113 = 0, $inc128 = 0, $26 = 0, $cmp8 = 0, $inc131 = 0, $27 = 0, $conv4 = 0, $cmp = 0, $call = 0, $28 = 0, $conv135 = 0, $ht1137 = 0, $29 = 0, $conv138 = 0.0, $v140 = 0, $30 = 0, $31 = 0, $y143 = 0, $dec110 = 0, $32 = 0, $conv146111 = 0, $cmp147112 = 0, $ranksep = 0, $dec115 = 0, $r_1114 = 0, $maxht_0113 = 0, $pht2151 = 0, $33 = 0, $pht1153 = 0, $34 = 0, $add154 = 0, $35 = 0, $add156 = 0, $ht2159 = 0, $36 = 0, $ht1161 = 0, $37 = 0, $add162 = 0, $add163 = 0, $cmp164 = 0, $cond169 = 0, $n171 = 0, $38 = 0, $cmp172 = 0, $v177 = 0, $39 = 0, $40 = 0, $y181 = 0, $41 = 0.0, $conv182 = 0.0, $add183 = 0.0, $v185 = 0, $42 = 0, $43 = 0, $y189 = 0, $cmp191 = 0, $cond196 = 0, $dec = 0, $44 = 0, $conv146 = 0, $cmp147 = 0, $phitmp = 0.0, $maxht_0_lcssa = 0.0, $exact_ranksep = 0, $45 = 0, $tobool198 = 0, $46 = 0, $conv202 = 0, $r_2105 = 0, $47 = 0, $conv207106 = 0, $cmp208107 = 0, $r_2109 = 0, $r_2_in108 = 0, $n212 = 0, $48 = 0, $cmp213 = 0, $r_2 = 0, $49 = 0, $conv207 = 0, $cmp208 = 0, $v218 = 0, $50 = 0, $51 = 0, $y222 = 0, $52 = 0.0, $add224 = 0.0, $v226 = 0, $53 = 0, $54 = 0, $y230 = 0, $tobool236 = 0, $rankdir = 0, $55 = 0, $and238 = 0, $tobool239 = 0, $56 = 0, $conv243 = 0, $nlist = 0, $n_0102 = 0, $tobool247103 = 0, $n_0104 = 0, $rank250 = 0, $57 = 0, $v252 = 0, $58 = 0, $59 = 0, $y256 = 0, $60 = 0.0, $y259 = 0, $next = 0, $n_0 = 0, $tobool247 = 0, label = 0;
   127848   label = 2;
   127849   while (1) switch (label | 0) {
   127850    case 2:
   127851     $rank1 = $g + 224 | 0;
   127852     $0 = HEAP32[$rank1 >> 2] | 0;
   127853     $minrank = $g + 248 | 0;
   127854     $1 = HEAP16[$minrank >> 1] | 0;
   127855     $maxrank = $g + 250 | 0;
   127856     $2 = HEAP16[$maxrank >> 1] | 0;
   127857     $cmp124 = $1 << 16 >> 16 > $2 << 16 >> 16;
   127858     if ($cmp124) {
   127859       label = 27;
   127860       break;
   127861     } else {
   127862       label = 3;
   127863       break;
   127864     }
   127865    case 3:
   127866     $conv = $1 << 16 >> 16;
   127867     $r_0125 = $conv;
   127868     label = 4;
   127869     break;
   127870    case 4:
   127871     $n7 = $0 + ($r_0125 * 44 & -1) | 0;
   127872     $3 = HEAP32[$n7 >> 2] | 0;
   127873     $cmp8121 = ($3 | 0) > 0;
   127874     if ($cmp8121) {
   127875       label = 5;
   127876       break;
   127877     } else {
   127878       label = 26;
   127879       break;
   127880     }
   127881    case 5:
   127882     $v = $0 + ($r_0125 * 44 & -1) + 4 | 0;
   127883     $pht2 = $0 + ($r_0125 * 44 & -1) + 28 | 0;
   127884     $ht261 = $0 + ($r_0125 * 44 & -1) + 20 | 0;
   127885     $pht1 = $0 + ($r_0125 * 44 & -1) + 24 | 0;
   127886     $ht1 = $0 + ($r_0125 * 44 & -1) + 16 | 0;
   127887     $i_0122 = 0;
   127888     label = 6;
   127889     break;
   127890    case 6:
   127891     $4 = HEAP32[$v >> 2] | 0;
   127892     $arrayidx12 = $4 + ($i_0122 << 2) | 0;
   127893     $5 = HEAP32[$arrayidx12 >> 2] | 0;
   127894     $ht = $5 + 96 | 0;
   127895     $6 = +HEAPF64[$ht >> 3];
   127896     $cmp14 = $6 < 0.0;
   127897     if ($cmp14) {
   127898       label = 8;
   127899       break;
   127900     } else {
   127901       label = 7;
   127902       break;
   127903     }
   127904    case 7:
   127905     $add = $6 + .5;
   127906     $add_sink = $add;
   127907     label = 9;
   127908     break;
   127909    case 8:
   127910     $sub = $6 + -.5;
   127911     $add_sink = $sub;
   127912     label = 9;
   127913     break;
   127914    case 9:
   127915     $conv18 = ~~$add_sink;
   127916     $add22 = $conv18 + 1 | 0;
   127917     $div = ($add22 | 0) / 2 & -1;
   127918     $list = $5 + 208 | 0;
   127919     $7 = HEAP32[$list >> 2] | 0;
   127920     $tobool = ($7 | 0) == 0;
   127921     if ($tobool) {
   127922       $ht2_2 = $div;
   127923       label = 16;
   127924       break;
   127925     } else {
   127926       label = 10;
   127927       break;
   127928     }
   127929    case 10:
   127930     $8 = HEAP32[$list >> 2] | 0;
   127931     $9 = HEAP32[$8 >> 2] | 0;
   127932     $tobool29117 = ($9 | 0) == 0;
   127933     if ($tobool29117) {
   127934       $ht2_2 = $div;
   127935       label = 16;
   127936       break;
   127937     } else {
   127938       label = 11;
   127939       break;
   127940     }
   127941    case 11:
   127942     $10 = HEAP32[$list >> 2] | 0;
   127943     $ht2_0118 = $div;
   127944     $j_0119 = 0;
   127945     $11 = $9;
   127946     label = 12;
   127947     break;
   127948    case 12:
   127949     $tail = $11 + 16 | 0;
   127950     $12 = HEAP32[$tail >> 2] | 0;
   127951     $head = $11 + 12 | 0;
   127952     $13 = HEAP32[$head >> 2] | 0;
   127953     $cmp31 = ($12 | 0) == ($13 | 0);
   127954     if ($cmp31) {
   127955       label = 13;
   127956       break;
   127957     } else {
   127958       $ht2_1 = $ht2_0118;
   127959       label = 15;
   127960       break;
   127961     }
   127962    case 13:
   127963     $label = $11 + 112 | 0;
   127964     $14 = HEAP32[$label >> 2] | 0;
   127965     $tobool35 = ($14 | 0) == 0;
   127966     if ($tobool35) {
   127967       $ht2_1 = $ht2_0118;
   127968       label = 15;
   127969       break;
   127970     } else {
   127971       label = 14;
   127972       break;
   127973     }
   127974    case 14:
   127975     $conv37 = +($ht2_0118 | 0);
   127976     $y = $14 + 32 | 0;
   127977     $15 = +HEAPF64[$y >> 3];
   127978     $div40 = $15 * .5;
   127979     $cmp41 = $conv37 > $div40;
   127980     $conv37_div40 = $cmp41 ? $conv37 : $div40;
   127981     $conv53 = ~~$conv37_div40;
   127982     $ht2_1 = $conv53;
   127983     label = 15;
   127984     break;
   127985    case 15:
   127986     $inc = $j_0119 + 1 | 0;
   127987     $arrayidx28 = $10 + ($inc << 2) | 0;
   127988     $16 = HEAP32[$arrayidx28 >> 2] | 0;
   127989     $tobool29 = ($16 | 0) == 0;
   127990     if ($tobool29) {
   127991       $ht2_2 = $ht2_1;
   127992       label = 16;
   127993       break;
   127994     } else {
   127995       $ht2_0118 = $ht2_1;
   127996       $j_0119 = $inc;
   127997       $11 = $16;
   127998       label = 12;
   127999       break;
   128000     }
   128001    case 16:
   128002     $17 = HEAP32[$pht2 >> 2] | 0;
   128003     $cmp57 = ($17 | 0) < ($ht2_2 | 0);
   128004     if ($cmp57) {
   128005       label = 17;
   128006       break;
   128007     } else {
   128008       label = 18;
   128009       break;
   128010     }
   128011    case 17:
   128012     HEAP32[$ht261 >> 2] = $ht2_2;
   128013     HEAP32[$pht2 >> 2] = $ht2_2;
   128014     label = 18;
   128015     break;
   128016    case 18:
   128017     $18 = HEAP32[$pht1 >> 2] | 0;
   128018     $cmp66 = ($18 | 0) < ($ht2_2 | 0);
   128019     if ($cmp66) {
   128020       label = 19;
   128021       break;
   128022     } else {
   128023       label = 20;
   128024       break;
   128025     }
   128026    case 19:
   128027     HEAP32[$ht1 >> 2] = $ht2_2;
   128028     HEAP32[$pht1 >> 2] = $ht2_2;
   128029     label = 20;
   128030     break;
   128031    case 20:
   128032     $clust74 = $5 + 216 | 0;
   128033     $19 = HEAP32[$clust74 >> 2] | 0;
   128034     $tobool75 = ($19 | 0) == 0;
   128035     if ($tobool75) {
   128036       label = 25;
   128037       break;
   128038     } else {
   128039       label = 21;
   128040       break;
   128041     }
   128042    case 21:
   128043     $cmp77 = ($19 | 0) == ($g | 0);
   128044     $cond79 = $cmp77 ? 0 : 8;
   128045     $rank81 = $5 + 236 | 0;
   128046     $20 = HEAP32[$rank81 >> 2] | 0;
   128047     $minrank83 = $19 + 248 | 0;
   128048     $21 = HEAP16[$minrank83 >> 1] | 0;
   128049     $conv84 = $21 << 16 >> 16;
   128050     $cmp85 = ($20 | 0) == ($conv84 | 0);
   128051     if ($cmp85) {
   128052       label = 22;
   128053       break;
   128054     } else {
   128055       label = 23;
   128056       break;
   128057     }
   128058    case 22:
   128059     $ht289 = $19 + 164 | 0;
   128060     $22 = HEAP32[$ht289 >> 2] | 0;
   128061     $add90 = $cond79 + $ht2_2 | 0;
   128062     $cmp91 = ($22 | 0) > ($add90 | 0);
   128063     $_add90 = $cmp91 ? $22 : $add90;
   128064     HEAP32[$ht289 >> 2] = $_add90;
   128065     label = 23;
   128066     break;
   128067    case 23:
   128068     $23 = HEAP32[$rank81 >> 2] | 0;
   128069     $maxrank106 = $19 + 250 | 0;
   128070     $24 = HEAP16[$maxrank106 >> 1] | 0;
   128071     $conv107 = $24 << 16 >> 16;
   128072     $cmp108 = ($23 | 0) == ($conv107 | 0);
   128073     if ($cmp108) {
   128074       label = 24;
   128075       break;
   128076     } else {
   128077       label = 25;
   128078       break;
   128079     }
   128080    case 24:
   128081     $ht1112 = $19 + 160 | 0;
   128082     $25 = HEAP32[$ht1112 >> 2] | 0;
   128083     $add113 = $cond79 + $ht2_2 | 0;
   128084     $cmp114 = ($25 | 0) > ($add113 | 0);
   128085     $_add113 = $cmp114 ? $25 : $add113;
   128086     HEAP32[$ht1112 >> 2] = $_add113;
   128087     label = 25;
   128088     break;
   128089    case 25:
   128090     $inc128 = $i_0122 + 1 | 0;
   128091     $26 = HEAP32[$n7 >> 2] | 0;
   128092     $cmp8 = ($inc128 | 0) < ($26 | 0);
   128093     if ($cmp8) {
   128094       $i_0122 = $inc128;
   128095       label = 6;
   128096       break;
   128097     } else {
   128098       label = 26;
   128099       break;
   128100     }
   128101    case 26:
   128102     $inc131 = $r_0125 + 1 | 0;
   128103     $27 = HEAP16[$maxrank >> 1] | 0;
   128104     $conv4 = $27 << 16 >> 16;
   128105     $cmp = ($inc131 | 0) > ($conv4 | 0);
   128106     if ($cmp) {
   128107       label = 27;
   128108       break;
   128109     } else {
   128110       $r_0125 = $inc131;
   128111       label = 4;
   128112       break;
   128113     }
   128114    case 27:
   128115     $call = _clust_ht($g) | 0;
   128116     $28 = HEAP16[$maxrank >> 1] | 0;
   128117     $conv135 = $28 << 16 >> 16;
   128118     $ht1137 = $0 + ($conv135 * 44 & -1) + 16 | 0;
   128119     $29 = HEAP32[$ht1137 >> 2] | 0;
   128120     $conv138 = +($29 | 0);
   128121     $v140 = $0 + ($conv135 * 44 & -1) + 4 | 0;
   128122     $30 = HEAP32[$v140 >> 2] | 0;
   128123     $31 = HEAP32[$30 >> 2] | 0;
   128124     $y143 = $31 + 40 | 0;
   128125     HEAPF64[$y143 >> 3] = $conv138;
   128126     $dec110 = $conv135 - 1 | 0;
   128127     $32 = HEAP16[$minrank >> 1] | 0;
   128128     $conv146111 = $32 << 16 >> 16;
   128129     $cmp147112 = ($dec110 | 0) < ($conv146111 | 0);
   128130     if ($cmp147112) {
   128131       $maxht_0_lcssa = 0.0;
   128132       label = 33;
   128133       break;
   128134     } else {
   128135       label = 28;
   128136       break;
   128137     }
   128138    case 28:
   128139     $ranksep = $g + 264 | 0;
   128140     $maxht_0113 = 0;
   128141     $r_1114 = $conv135;
   128142     $dec115 = $dec110;
   128143     label = 29;
   128144     break;
   128145    case 29:
   128146     $pht2151 = $0 + ($r_1114 * 44 & -1) + 28 | 0;
   128147     $33 = HEAP32[$pht2151 >> 2] | 0;
   128148     $pht1153 = $0 + ($dec115 * 44 & -1) + 24 | 0;
   128149     $34 = HEAP32[$pht1153 >> 2] | 0;
   128150     $add154 = $34 + $33 | 0;
   128151     $35 = HEAP32[$ranksep >> 2] | 0;
   128152     $add156 = $add154 + $35 | 0;
   128153     $ht2159 = $0 + ($r_1114 * 44 & -1) + 20 | 0;
   128154     $36 = HEAP32[$ht2159 >> 2] | 0;
   128155     $ht1161 = $0 + ($dec115 * 44 & -1) + 16 | 0;
   128156     $37 = HEAP32[$ht1161 >> 2] | 0;
   128157     $add162 = $36 + 8 | 0;
   128158     $add163 = $add162 + $37 | 0;
   128159     $cmp164 = ($add156 | 0) > ($add163 | 0);
   128160     $cond169 = $cmp164 ? $add156 : $add163;
   128161     $n171 = $0 + ($dec115 * 44 & -1) | 0;
   128162     $38 = HEAP32[$n171 >> 2] | 0;
   128163     $cmp172 = ($38 | 0) > 0;
   128164     if ($cmp172) {
   128165       label = 30;
   128166       break;
   128167     } else {
   128168       label = 31;
   128169       break;
   128170     }
   128171    case 30:
   128172     $v177 = $0 + ($r_1114 * 44 & -1) + 4 | 0;
   128173     $39 = HEAP32[$v177 >> 2] | 0;
   128174     $40 = HEAP32[$39 >> 2] | 0;
   128175     $y181 = $40 + 40 | 0;
   128176     $41 = +HEAPF64[$y181 >> 3];
   128177     $conv182 = +($cond169 | 0);
   128178     $add183 = $conv182 + $41;
   128179     $v185 = $0 + ($dec115 * 44 & -1) + 4 | 0;
   128180     $42 = HEAP32[$v185 >> 2] | 0;
   128181     $43 = HEAP32[$42 >> 2] | 0;
   128182     $y189 = $43 + 40 | 0;
   128183     HEAPF64[$y189 >> 3] = $add183;
   128184     label = 31;
   128185     break;
   128186    case 31:
   128187     $cmp191 = ($maxht_0113 | 0) > ($cond169 | 0);
   128188     $cond196 = $cmp191 ? $maxht_0113 : $cond169;
   128189     $dec = $dec115 - 1 | 0;
   128190     $44 = HEAP16[$minrank >> 1] | 0;
   128191     $conv146 = $44 << 16 >> 16;
   128192     $cmp147 = ($dec | 0) < ($conv146 | 0);
   128193     if ($cmp147) {
   128194       label = 32;
   128195       break;
   128196     } else {
   128197       $maxht_0113 = $cond196;
   128198       $r_1114 = $dec115;
   128199       $dec115 = $dec;
   128200       label = 29;
   128201       break;
   128202     }
   128203    case 32:
   128204     $phitmp = +($cond196 | 0);
   128205     $maxht_0_lcssa = $phitmp;
   128206     label = 33;
   128207     break;
   128208    case 33:
   128209     $exact_ranksep = $g + 288 | 0;
   128210     $45 = HEAP8[$exact_ranksep] | 0;
   128211     $tobool198 = $45 << 24 >> 24 == 0;
   128212     if ($tobool198) {
   128213       label = 38;
   128214       break;
   128215     } else {
   128216       label = 34;
   128217       break;
   128218     }
   128219    case 34:
   128220     $46 = HEAP16[$maxrank >> 1] | 0;
   128221     $conv202 = $46 << 16 >> 16;
   128222     $r_2105 = $conv202 - 1 | 0;
   128223     $47 = HEAP16[$minrank >> 1] | 0;
   128224     $conv207106 = $47 << 16 >> 16;
   128225     $cmp208107 = ($r_2105 | 0) < ($conv207106 | 0);
   128226     if ($cmp208107) {
   128227       label = 38;
   128228       break;
   128229     } else {
   128230       $r_2_in108 = $conv202;
   128231       $r_2109 = $r_2105;
   128232       label = 35;
   128233       break;
   128234     }
   128235    case 35:
   128236     $n212 = $0 + ($r_2109 * 44 & -1) | 0;
   128237     $48 = HEAP32[$n212 >> 2] | 0;
   128238     $cmp213 = ($48 | 0) > 0;
   128239     if ($cmp213) {
   128240       label = 37;
   128241       break;
   128242     } else {
   128243       label = 36;
   128244       break;
   128245     }
   128246    case 36:
   128247     $r_2 = $r_2109 - 1 | 0;
   128248     $49 = HEAP16[$minrank >> 1] | 0;
   128249     $conv207 = $49 << 16 >> 16;
   128250     $cmp208 = ($r_2 | 0) < ($conv207 | 0);
   128251     if ($cmp208) {
   128252       label = 38;
   128253       break;
   128254     } else {
   128255       $r_2_in108 = $r_2109;
   128256       $r_2109 = $r_2;
   128257       label = 35;
   128258       break;
   128259     }
   128260    case 37:
   128261     $v218 = $0 + ($r_2_in108 * 44 & -1) + 4 | 0;
   128262     $50 = HEAP32[$v218 >> 2] | 0;
   128263     $51 = HEAP32[$50 >> 2] | 0;
   128264     $y222 = $51 + 40 | 0;
   128265     $52 = +HEAPF64[$y222 >> 3];
   128266     $add224 = $maxht_0_lcssa + $52;
   128267     $v226 = $0 + ($r_2109 * 44 & -1) + 4 | 0;
   128268     $53 = HEAP32[$v226 >> 2] | 0;
   128269     $54 = HEAP32[$53 >> 2] | 0;
   128270     $y230 = $54 + 40 | 0;
   128271     HEAPF64[$y230 >> 3] = $add224;
   128272     label = 36;
   128273     break;
   128274    case 38:
   128275     $tobool236 = ($call | 0) == 0;
   128276     if ($tobool236) {
   128277       label = 41;
   128278       break;
   128279     } else {
   128280       label = 39;
   128281       break;
   128282     }
   128283    case 39:
   128284     $rankdir = $g + 156 | 0;
   128285     $55 = HEAP32[$rankdir >> 2] | 0;
   128286     $and238 = $55 & 1;
   128287     $tobool239 = ($and238 | 0) == 0;
   128288     if ($tobool239) {
   128289       label = 41;
   128290       break;
   128291     } else {
   128292       label = 40;
   128293       break;
   128294     }
   128295    case 40:
   128296     $56 = HEAP8[$exact_ranksep] | 0;
   128297     $conv243 = $56 & 255;
   128298     _adjustRanks($g, $conv243);
   128299     label = 41;
   128300     break;
   128301    case 41:
   128302     $nlist = $g + 220 | 0;
   128303     $n_0102 = HEAP32[$nlist >> 2] | 0;
   128304     $tobool247103 = ($n_0102 | 0) == 0;
   128305     if ($tobool247103) {
   128306       label = 43;
   128307       break;
   128308     } else {
   128309       $n_0104 = $n_0102;
   128310       label = 42;
   128311       break;
   128312     }
   128313    case 42:
   128314     $rank250 = $n_0104 + 236 | 0;
   128315     $57 = HEAP32[$rank250 >> 2] | 0;
   128316     $v252 = $0 + ($57 * 44 & -1) + 4 | 0;
   128317     $58 = HEAP32[$v252 >> 2] | 0;
   128318     $59 = HEAP32[$58 >> 2] | 0;
   128319     $y256 = $59 + 40 | 0;
   128320     $60 = +HEAPF64[$y256 >> 3];
   128321     $y259 = $n_0104 + 40 | 0;
   128322     HEAPF64[$y259 >> 3] = $60;
   128323     $next = $n_0104 + 168 | 0;
   128324     $n_0 = HEAP32[$next >> 2] | 0;
   128325     $tobool247 = ($n_0 | 0) == 0;
   128326     if ($tobool247) {
   128327       label = 43;
   128328       break;
   128329     } else {
   128330       $n_0104 = $n_0;
   128331       label = 42;
   128332       break;
   128333     }
   128334    case 43:
   128335     return;
   128336   }
   128337 }
   128338 function _expand_leaves($g) {
   128339   $g = $g | 0;
   128340   var $nlist = 0, $n_019 = 0, $tobool20 = 0, $n_021 = 0, $inleaf = 0, $0 = 0, $tobool2 = 0, $outleaf = 0, $1 = 0, $tobool6 = 0, $list = 0, $2 = 0, $tobool12 = 0, $3 = 0, $i_0 = 0, $arrayidx = 0, $4 = 0, $tobool18 = 0, $inc = 0, $next = 0, $n_0 = 0, $tobool = 0, label = 0;
   128341   label = 2;
   128342   while (1) switch (label | 0) {
   128343    case 2:
   128344     _make_leafslots($g);
   128345     $nlist = $g + 220 | 0;
   128346     $n_019 = HEAP32[$nlist >> 2] | 0;
   128347     $tobool20 = ($n_019 | 0) == 0;
   128348     if ($tobool20) {
   128349       label = 11;
   128350       break;
   128351     } else {
   128352       $n_021 = $n_019;
   128353       label = 3;
   128354       break;
   128355     }
   128356    case 3:
   128357     $inleaf = $n_021 + 228 | 0;
   128358     $0 = HEAP32[$inleaf >> 2] | 0;
   128359     $tobool2 = ($0 | 0) == 0;
   128360     if ($tobool2) {
   128361       label = 5;
   128362       break;
   128363     } else {
   128364       label = 4;
   128365       break;
   128366     }
   128367    case 4:
   128368     _do_leaves($g, $0);
   128369     label = 5;
   128370     break;
   128371    case 5:
   128372     $outleaf = $n_021 + 232 | 0;
   128373     $1 = HEAP32[$outleaf >> 2] | 0;
   128374     $tobool6 = ($1 | 0) == 0;
   128375     if ($tobool6) {
   128376       label = 7;
   128377       break;
   128378     } else {
   128379       label = 6;
   128380       break;
   128381     }
   128382    case 6:
   128383     _do_leaves($g, $1);
   128384     label = 7;
   128385     break;
   128386    case 7:
   128387     $list = $n_021 + 208 | 0;
   128388     $2 = HEAP32[$list >> 2] | 0;
   128389     $tobool12 = ($2 | 0) == 0;
   128390     if ($tobool12) {
   128391       label = 10;
   128392       break;
   128393     } else {
   128394       label = 8;
   128395       break;
   128396     }
   128397    case 8:
   128398     $3 = HEAP32[$list >> 2] | 0;
   128399     $i_0 = 0;
   128400     label = 9;
   128401     break;
   128402    case 9:
   128403     $arrayidx = $3 + ($i_0 << 2) | 0;
   128404     $4 = HEAP32[$arrayidx >> 2] | 0;
   128405     $tobool18 = ($4 | 0) == 0;
   128406     $inc = $i_0 + 1 | 0;
   128407     if ($tobool18) {
   128408       label = 10;
   128409       break;
   128410     } else {
   128411       $i_0 = $inc;
   128412       label = 9;
   128413       break;
   128414     }
   128415    case 10:
   128416     $next = $n_021 + 168 | 0;
   128417     $n_0 = HEAP32[$next >> 2] | 0;
   128418     $tobool = ($n_0 | 0) == 0;
   128419     if ($tobool) {
   128420       label = 11;
   128421       break;
   128422     } else {
   128423       $n_021 = $n_0;
   128424       label = 3;
   128425       break;
   128426     }
   128427    case 11:
   128428     return;
   128429   }
   128430 }
   128431 function _create_aux_edges($g) {
   128432   $g = $g | 0;
   128433   _allocate_aux_edges($g);
   128434   _make_LR_constraints($g);
   128435   _make_edge_pairs($g);
   128436   _pos_clusters($g);
   128437   _compress_graph($g);
   128438   return;
   128439 }
   128440 function _nsiter2($g) {
   128441   $g = $g | 0;
   128442   var $0 = 0, $call = 0, $tobool = 0, $call1 = 0.0, $1 = 0, $call2 = 0, $conv = 0.0, $mul = 0.0, $conv3 = 0, $maxiter_0 = 0, label = 0;
   128443   label = 2;
   128444   while (1) switch (label | 0) {
   128445    case 2:
   128446     $0 = $g | 0;
   128447     $call = _agget($0, 96112) | 0;
   128448     $tobool = ($call | 0) == 0;
   128449     if ($tobool) {
   128450       $maxiter_0 = 2147483647;
   128451       label = 4;
   128452       break;
   128453     } else {
   128454       label = 3;
   128455       break;
   128456     }
   128457    case 3:
   128458     $call1 = +_atof($call);
   128459     $1 = $g;
   128460     $call2 = _agnnodes($1) | 0;
   128461     $conv = +($call2 | 0);
   128462     $mul = $call1 * $conv;
   128463     $conv3 = ~~$mul;
   128464     $maxiter_0 = $conv3;
   128465     label = 4;
   128466     break;
   128467    case 4:
   128468     return $maxiter_0 | 0;
   128469   }
   128470   return 0;
   128471 }
   128472 function _postorder($g, $v, $list, $r) {
   128473   $g = $g | 0;
   128474   $v = $v | 0;
   128475   $list = $list | 0;
   128476   $r = $r | 0;
   128477   var $mark = 0, $size = 0, $0 = 0, $cmp = 0, $list4 = 0, $1 = 0, $2 = 0, $tobool19 = 0, $3 = 0, $4 = 0, $cnt_021 = 0, $i_020 = 0, $weight = 0, $5 = 0.0, $cmp6 = 0, $head = 0, $6 = 0, $node_type = 0, $7 = 0, $cmp9 = 0, $8 = 0, $call = 0, $lnot = 0, $and18 = 0, $9 = 0, $clust = 0, $10 = 0, $tail = 0, $11 = 0, $clust19 = 0, $12 = 0, $cmp20 = 0, $mark26 = 0, $13 = 0, $cmp28 = 0, $add_ptr = 0, $call32 = 0, $add = 0, $cnt_1 = 0, $inc = 0, $14 = 0, $arrayidx = 0, $15 = 0, $tobool = 0, $cnt_2 = 0, $rank = 0, $16 = 0, $cmp36 = 0, $inc38 = 0, $arrayidx39 = 0, label = 0;
   128478   label = 2;
   128479   while (1) switch (label | 0) {
   128480    case 2:
   128481     $mark = $v + 163 | 0;
   128482     HEAP8[$mark] = 1;
   128483     $size = $v + 196 | 0;
   128484     $0 = HEAP32[$size >> 2] | 0;
   128485     $cmp = ($0 | 0) > 0;
   128486     if ($cmp) {
   128487       label = 3;
   128488       break;
   128489     } else {
   128490       $cnt_2 = 0;
   128491       label = 11;
   128492       break;
   128493     }
   128494    case 3:
   128495     $list4 = $v + 192 | 0;
   128496     $1 = HEAP32[$list4 >> 2] | 0;
   128497     $2 = HEAP32[$1 >> 2] | 0;
   128498     $tobool19 = ($2 | 0) == 0;
   128499     if ($tobool19) {
   128500       $cnt_2 = 0;
   128501       label = 11;
   128502       break;
   128503     } else {
   128504       label = 4;
   128505       break;
   128506     }
   128507    case 4:
   128508     $3 = $g;
   128509     $i_020 = 0;
   128510     $cnt_021 = 0;
   128511     $4 = $2;
   128512     label = 5;
   128513     break;
   128514    case 5:
   128515     $weight = $4 + 172 | 0;
   128516     $5 = +HEAPF32[$weight >> 2];
   128517     $cmp6 = $5 == 0.0;
   128518     if ($cmp6) {
   128519       $cnt_1 = $cnt_021;
   128520       label = 10;
   128521       break;
   128522     } else {
   128523       label = 6;
   128524       break;
   128525     }
   128526    case 6:
   128527     $head = $4 + 12 | 0;
   128528     $6 = HEAP32[$head >> 2] | 0;
   128529     $node_type = $6 + 162 | 0;
   128530     $7 = HEAP8[$node_type] | 0;
   128531     $cmp9 = $7 << 24 >> 24 == 0;
   128532     $8 = $6 | 0;
   128533     $call = _agcontains($3, $8) | 0;
   128534     $lnot = ($call | 0) == 0;
   128535     $and18 = $cmp9 & $lnot;
   128536     if ($and18) {
   128537       $cnt_1 = $cnt_021;
   128538       label = 10;
   128539       break;
   128540     } else {
   128541       label = 7;
   128542       break;
   128543     }
   128544    case 7:
   128545     $9 = HEAP32[$head >> 2] | 0;
   128546     $clust = $9 + 216 | 0;
   128547     $10 = HEAP32[$clust >> 2] | 0;
   128548     $tail = $4 + 16 | 0;
   128549     $11 = HEAP32[$tail >> 2] | 0;
   128550     $clust19 = $11 + 216 | 0;
   128551     $12 = HEAP32[$clust19 >> 2] | 0;
   128552     $cmp20 = ($10 | 0) == ($12 | 0);
   128553     if ($cmp20) {
   128554       label = 8;
   128555       break;
   128556     } else {
   128557       $cnt_1 = $cnt_021;
   128558       label = 10;
   128559       break;
   128560     }
   128561    case 8:
   128562     $mark26 = $9 + 163 | 0;
   128563     $13 = HEAP8[$mark26] | 0;
   128564     $cmp28 = $13 << 24 >> 24 == 0;
   128565     if ($cmp28) {
   128566       label = 9;
   128567       break;
   128568     } else {
   128569       $cnt_1 = $cnt_021;
   128570       label = 10;
   128571       break;
   128572     }
   128573    case 9:
   128574     $add_ptr = $list + ($cnt_021 << 2) | 0;
   128575     $call32 = _postorder($g, $9, $add_ptr, $r) | 0;
   128576     $add = $call32 + $cnt_021 | 0;
   128577     $cnt_1 = $add;
   128578     label = 10;
   128579     break;
   128580    case 10:
   128581     $inc = $i_020 + 1 | 0;
   128582     $14 = HEAP32[$list4 >> 2] | 0;
   128583     $arrayidx = $14 + ($inc << 2) | 0;
   128584     $15 = HEAP32[$arrayidx >> 2] | 0;
   128585     $tobool = ($15 | 0) == 0;
   128586     if ($tobool) {
   128587       $cnt_2 = $cnt_1;
   128588       label = 11;
   128589       break;
   128590     } else {
   128591       $i_020 = $inc;
   128592       $cnt_021 = $cnt_1;
   128593       $4 = $15;
   128594       label = 5;
   128595       break;
   128596     }
   128597    case 11:
   128598     $rank = $v + 236 | 0;
   128599     $16 = HEAP32[$rank >> 2] | 0;
   128600     $cmp36 = ($16 | 0) == ($r | 0);
   128601     if ($cmp36) {
   128602       label = 13;
   128603       break;
   128604     } else {
   128605       label = 12;
   128606       break;
   128607     }
   128608    case 12:
   128609     ___assert_func(119784, 1161, 163920, 146624);
   128610     return 0;
   128611    case 13:
   128612     $inc38 = $cnt_2 + 1 | 0;
   128613     $arrayidx39 = $list + ($cnt_2 << 2) | 0;
   128614     HEAP32[$arrayidx39 >> 2] = $v;
   128615     return $inc38 | 0;
   128616   }
   128617   return 0;
   128618 }
   128619 function _flat_search($g, $v) {
   128620   $g = $g | 0;
   128621   $v = $v | 0;
   128622   var $rank = 0, $0 = 0, $rank2 = 0, $1 = 0, $flat = 0, $2 = 0, $mark = 0, $onstack = 0, $root = 0, $3 = 0, $n_cluster = 0, $4 = 0, $cmp = 0, $list = 0, $5 = 0, $tobool = 0, $6 = 0, $7 = 0, $tobool1234 = 0, $8 = 0, $nrows = 0, $ncols = 0, $data = 0, $ncols70 = 0, $data85 = 0, $9 = 0, $i_035 = 0, $tail = 0, $10 = 0, $11 = 0, $call = 0, $tobool15 = 0, $head = 0, $12 = 0, $13 = 0, $call17 = 0, $tobool18 = 0, $weight = 0, $14 = 0.0, $cmp21 = 0, $head25 = 0, $15 = 0, $onstack27 = 0, $16 = 0, $cmp29 = 0, $low = 0, $17 = 0, $18 = 0, $cmp34 = 0, $tail36 = 0, $19 = 0, $low38 = 0, $20 = 0, $21 = 0, $cmp39 = 0, $mul = 0, $add = 0, $22 = 0, $arrayidx51 = 0, $dec = 0, $edge_type = 0, $23 = 0, $cmp54 = 0, $tail67 = 0, $24 = 0, $low69 = 0, $25 = 0, $26 = 0, $cmp71 = 0, $mul80 = 0, $add84 = 0, $27 = 0, $arrayidx86 = 0, $28 = 0, $mark89 = 0, $29 = 0, $cmp91 = 0, $i_1 = 0, $inc = 0, $30 = 0, $arrayidx11 = 0, $31 = 0, $tobool12 = 0, label = 0;
   128623   label = 2;
   128624   while (1) switch (label | 0) {
   128625    case 2:
   128626     $rank = $v + 236 | 0;
   128627     $0 = HEAP32[$rank >> 2] | 0;
   128628     $rank2 = $g + 224 | 0;
   128629     $1 = HEAP32[$rank2 >> 2] | 0;
   128630     $flat = $1 + ($0 * 44 & -1) + 40 | 0;
   128631     $2 = HEAP32[$flat >> 2] | 0;
   128632     $mark = $v + 163 | 0;
   128633     HEAP8[$mark] = 1;
   128634     $onstack = $v + 164 | 0;
   128635     HEAP8[$onstack] = 1;
   128636     $root = $g + 32 | 0;
   128637     $3 = HEAP32[$root >> 2] | 0;
   128638     $n_cluster = $3 + 212 | 0;
   128639     $4 = HEAP32[$n_cluster >> 2] | 0;
   128640     $cmp = ($4 | 0) > 0;
   128641     $list = $v + 192 | 0;
   128642     $5 = HEAP32[$list >> 2] | 0;
   128643     $tobool = ($5 | 0) == 0;
   128644     if ($tobool) {
   128645       label = 23;
   128646       break;
   128647     } else {
   128648       label = 3;
   128649       break;
   128650     }
   128651    case 3:
   128652     $6 = HEAP32[$list >> 2] | 0;
   128653     $7 = HEAP32[$6 >> 2] | 0;
   128654     $tobool1234 = ($7 | 0) == 0;
   128655     if ($tobool1234) {
   128656       label = 23;
   128657       break;
   128658     } else {
   128659       label = 4;
   128660       break;
   128661     }
   128662    case 4:
   128663     $8 = $g;
   128664     $nrows = $2 | 0;
   128665     $ncols = $2 + 4 | 0;
   128666     $data = $2 + 8 | 0;
   128667     $ncols70 = $2 + 4 | 0;
   128668     $data85 = $2 + 8 | 0;
   128669     $i_035 = 0;
   128670     $9 = $7;
   128671     label = 5;
   128672     break;
   128673    case 5:
   128674     if ($cmp) {
   128675       label = 6;
   128676       break;
   128677     } else {
   128678       label = 8;
   128679       break;
   128680     }
   128681    case 6:
   128682     $tail = $9 + 16 | 0;
   128683     $10 = HEAP32[$tail >> 2] | 0;
   128684     $11 = $10 | 0;
   128685     $call = _agcontains($8, $11) | 0;
   128686     $tobool15 = ($call | 0) == 0;
   128687     if ($tobool15) {
   128688       $i_1 = $i_035;
   128689       label = 22;
   128690       break;
   128691     } else {
   128692       label = 7;
   128693       break;
   128694     }
   128695    case 7:
   128696     $head = $9 + 12 | 0;
   128697     $12 = HEAP32[$head >> 2] | 0;
   128698     $13 = $12 | 0;
   128699     $call17 = _agcontains($8, $13) | 0;
   128700     $tobool18 = ($call17 | 0) == 0;
   128701     if ($tobool18) {
   128702       $i_1 = $i_035;
   128703       label = 22;
   128704       break;
   128705     } else {
   128706       label = 8;
   128707       break;
   128708     }
   128709    case 8:
   128710     $weight = $9 + 172 | 0;
   128711     $14 = +HEAPF32[$weight >> 2];
   128712     $cmp21 = $14 == 0.0;
   128713     if ($cmp21) {
   128714       $i_1 = $i_035;
   128715       label = 22;
   128716       break;
   128717     } else {
   128718       label = 9;
   128719       break;
   128720     }
   128721    case 9:
   128722     $head25 = $9 + 12 | 0;
   128723     $15 = HEAP32[$head25 >> 2] | 0;
   128724     $onstack27 = $15 + 164 | 0;
   128725     $16 = HEAP8[$onstack27] | 0;
   128726     $cmp29 = $16 << 24 >> 24 == 1;
   128727     $low = $15 + 284 | 0;
   128728     $17 = HEAP32[$low >> 2] | 0;
   128729     $18 = HEAP32[$nrows >> 2] | 0;
   128730     $cmp34 = ($17 | 0) < ($18 | 0);
   128731     if ($cmp29) {
   128732       label = 10;
   128733       break;
   128734     } else {
   128735       label = 16;
   128736       break;
   128737     }
   128738    case 10:
   128739     if ($cmp34) {
   128740       label = 12;
   128741       break;
   128742     } else {
   128743       label = 11;
   128744       break;
   128745     }
   128746    case 11:
   128747     ___assert_func(119784, 933, 164240, 143792);
   128748    case 12:
   128749     $tail36 = $9 + 16 | 0;
   128750     $19 = HEAP32[$tail36 >> 2] | 0;
   128751     $low38 = $19 + 284 | 0;
   128752     $20 = HEAP32[$low38 >> 2] | 0;
   128753     $21 = HEAP32[$ncols >> 2] | 0;
   128754     $cmp39 = ($20 | 0) < ($21 | 0);
   128755     if ($cmp39) {
   128756       label = 14;
   128757       break;
   128758     } else {
   128759       label = 13;
   128760       break;
   128761     }
   128762    case 13:
   128763     ___assert_func(119784, 934, 164240, 142616);
   128764    case 14:
   128765     $mul = Math_imul($21, $17);
   128766     $add = $mul + $20 | 0;
   128767     $22 = HEAP32[$data >> 2] | 0;
   128768     $arrayidx51 = $22 + $add | 0;
   128769     HEAP8[$arrayidx51] = 1;
   128770     _delete_flat_edge($9);
   128771     $dec = $i_035 - 1 | 0;
   128772     $edge_type = $9 + 128 | 0;
   128773     $23 = HEAP8[$edge_type] | 0;
   128774     $cmp54 = $23 << 24 >> 24 == 4;
   128775     if ($cmp54) {
   128776       $i_1 = $dec;
   128777       label = 22;
   128778       break;
   128779     } else {
   128780       label = 15;
   128781       break;
   128782     }
   128783    case 15:
   128784     _flat_rev($g, $9);
   128785     $i_1 = $dec;
   128786     label = 22;
   128787     break;
   128788    case 16:
   128789     if ($cmp34) {
   128790       label = 18;
   128791       break;
   128792     } else {
   128793       label = 17;
   128794       break;
   128795     }
   128796    case 17:
   128797     ___assert_func(119784, 942, 164240, 143792);
   128798    case 18:
   128799     $tail67 = $9 + 16 | 0;
   128800     $24 = HEAP32[$tail67 >> 2] | 0;
   128801     $low69 = $24 + 284 | 0;
   128802     $25 = HEAP32[$low69 >> 2] | 0;
   128803     $26 = HEAP32[$ncols70 >> 2] | 0;
   128804     $cmp71 = ($25 | 0) < ($26 | 0);
   128805     if ($cmp71) {
   128806       label = 20;
   128807       break;
   128808     } else {
   128809       label = 19;
   128810       break;
   128811     }
   128812    case 19:
   128813     ___assert_func(119784, 943, 164240, 142616);
   128814    case 20:
   128815     $mul80 = Math_imul($26, $25);
   128816     $add84 = $mul80 + $17 | 0;
   128817     $27 = HEAP32[$data85 >> 2] | 0;
   128818     $arrayidx86 = $27 + $add84 | 0;
   128819     HEAP8[$arrayidx86] = 1;
   128820     $28 = HEAP32[$head25 >> 2] | 0;
   128821     $mark89 = $28 + 163 | 0;
   128822     $29 = HEAP8[$mark89] | 0;
   128823     $cmp91 = $29 << 24 >> 24 == 0;
   128824     if ($cmp91) {
   128825       label = 21;
   128826       break;
   128827     } else {
   128828       $i_1 = $i_035;
   128829       label = 22;
   128830       break;
   128831     }
   128832    case 21:
   128833     _flat_search($g, $28);
   128834     $i_1 = $i_035;
   128835     label = 22;
   128836     break;
   128837    case 22:
   128838     $inc = $i_1 + 1 | 0;
   128839     $30 = HEAP32[$list >> 2] | 0;
   128840     $arrayidx11 = $30 + ($inc << 2) | 0;
   128841     $31 = HEAP32[$arrayidx11 >> 2] | 0;
   128842     $tobool12 = ($31 | 0) == 0;
   128843     if ($tobool12) {
   128844       label = 23;
   128845       break;
   128846     } else {
   128847       $i_035 = $inc;
   128848       $9 = $31;
   128849       label = 5;
   128850       break;
   128851     }
   128852    case 23:
   128853     HEAP8[$onstack] = 0;
   128854     return;
   128855   }
   128856 }
   128857 function _dot_position($g, $asp) {
   128858   $g = $g | 0;
   128859   $asp = $asp | 0;
   128860   var $nlist = 0, $0 = 0, $cmp = 0, $1 = 0, $2 = 0, $tobool = 0, $call = 0, $tobool3 = 0, $call6 = 0, $call7 = 0, $tobool8 = 0, $call10 = 0, $call11 = 0, $cmp12 = 0, label = 0;
   128861   label = 2;
   128862   while (1) switch (label | 0) {
   128863    case 2:
   128864     $nlist = $g + 220 | 0;
   128865     $0 = HEAP32[$nlist >> 2] | 0;
   128866     $cmp = ($0 | 0) == 0;
   128867     if ($cmp) {
   128868       label = 11;
   128869       break;
   128870     } else {
   128871       label = 3;
   128872       break;
   128873     }
   128874    case 3:
   128875     $1 = $g;
   128876     _mark_lowclusters($1);
   128877     _set_ycoords($g);
   128878     $2 = HEAP8[167952] | 0;
   128879     $tobool = $2 << 24 >> 24 == 0;
   128880     if ($tobool) {
   128881       label = 5;
   128882       break;
   128883     } else {
   128884       label = 4;
   128885       break;
   128886     }
   128887    case 4:
   128888     _dot_concentrate($1);
   128889     label = 5;
   128890     break;
   128891    case 5:
   128892     _expand_leaves($g);
   128893     $call = _flat_edges($g) | 0;
   128894     $tobool3 = ($call | 0) == 0;
   128895     if ($tobool3) {
   128896       label = 7;
   128897       break;
   128898     } else {
   128899       label = 6;
   128900       break;
   128901     }
   128902    case 6:
   128903     _set_ycoords($g);
   128904     label = 7;
   128905     break;
   128906    case 7:
   128907     _create_aux_edges($g);
   128908     $call6 = _nsiter2($g) | 0;
   128909     $call7 = _rank($g, 2, $call6) | 0;
   128910     $tobool8 = ($call7 | 0) == 0;
   128911     if ($tobool8) {
   128912       label = 10;
   128913       break;
   128914     } else {
   128915       label = 8;
   128916       break;
   128917     }
   128918    case 8:
   128919     _connectGraph($g);
   128920     $call10 = _nsiter2($g) | 0;
   128921     $call11 = _rank($g, 2, $call10) | 0;
   128922     $cmp12 = ($call11 | 0) == 0;
   128923     if ($cmp12) {
   128924       label = 10;
   128925       break;
   128926     } else {
   128927       label = 9;
   128928       break;
   128929     }
   128930    case 9:
   128931     ___assert_func(157760, 133, 164352, 159168);
   128932    case 10:
   128933     _set_xcoords($g);
   128934     _set_aspect($g, $asp);
   128935     _remove_aux_edges($g);
   128936     label = 11;
   128937     break;
   128938    case 11:
   128939     return;
   128940   }
   128941 }
   128942 function _connectGraph($g) {
   128943   $g = $g | 0;
   128944   var $minrank = 0, $0 = 0, $maxrank = 0, $1 = 0, $cmp51 = 0, $conv = 0, $rank = 0, $r_052 = 0, $2 = 0, $n = 0, $3 = 0, $cmp647 = 0, $v = 0, $4 = 0, $i_048 = 0, $arrayidx = 0, $5 = 0, $list = 0, $6 = 0, $tobool = 0, $7 = 0, $j_0 = 0, $arrayidx14 = 0, $8 = 0, $tobool15 = 0, $head = 0, $9 = 0, $rank18 = 0, $10 = 0, $cmp19 = 0, $tail = 0, $11 = 0, $rank22 = 0, $12 = 0, $cmp23 = 0, $inc = 0, $list31 = 0, $13 = 0, $tobool32 = 0, $14 = 0, $j_1 = 0, $arrayidx38 = 0, $15 = 0, $tobool39 = 0, $tail41 = 0, $16 = 0, $rank43 = 0, $17 = 0, $cmp44 = 0, $head47 = 0, $18 = 0, $rank49 = 0, $19 = 0, $cmp50 = 0, $inc55 = 0, $inc62 = 0, $20 = 0, $cmp6 = 0, $phitmp = 0, $v69 = 0, $21 = 0, $22 = 0, $23 = 0, $conv73 = 0, $cmp74 = 0, $add_ptr_sum38_pn_v = 0, $add_ptr_sum38_pn = 0, $hp_0_in_in = 0, $hp_0_in = 0, $hp_0 = 0, $tobool84 = 0, $call = 0, $node_type = 0, $call86 = 0, $call87 = 0, $rank89 = 0, $24 = 0, $rank91 = 0, $25 = 0, $cmp92 = 0, $_ = 0, $rank102 = 0, $inc104 = 0, $26 = 0, $conv2 = 0, $cmp = 0, label = 0;
   128945   label = 2;
   128946   while (1) switch (label | 0) {
   128947    case 2:
   128948     $minrank = $g + 248 | 0;
   128949     $0 = HEAP16[$minrank >> 1] | 0;
   128950     $maxrank = $g + 250 | 0;
   128951     $1 = HEAP16[$maxrank >> 1] | 0;
   128952     $cmp51 = $0 << 16 >> 16 > $1 << 16 >> 16;
   128953     if ($cmp51) {
   128954       label = 22;
   128955       break;
   128956     } else {
   128957       label = 3;
   128958       break;
   128959     }
   128960    case 3:
   128961     $conv = $0 << 16 >> 16;
   128962     $rank = $g + 224 | 0;
   128963     $r_052 = $conv;
   128964     label = 4;
   128965     break;
   128966    case 4:
   128967     $2 = HEAP32[$rank >> 2] | 0;
   128968     $n = $2 + ($r_052 * 44 & -1) | 0;
   128969     $3 = HEAP32[$n >> 2] | 0;
   128970     $cmp647 = ($3 | 0) > 0;
   128971     if ($cmp647) {
   128972       label = 5;
   128973       break;
   128974     } else {
   128975       label = 21;
   128976       break;
   128977     }
   128978    case 5:
   128979     $v = $2 + ($r_052 * 44 & -1) + 4 | 0;
   128980     $4 = HEAP32[$v >> 2] | 0;
   128981     $i_048 = 0;
   128982     label = 6;
   128983     break;
   128984    case 6:
   128985     $arrayidx = $4 + ($i_048 << 2) | 0;
   128986     $5 = HEAP32[$arrayidx >> 2] | 0;
   128987     $list = $5 + 256 | 0;
   128988     $6 = HEAP32[$list >> 2] | 0;
   128989     $tobool = ($6 | 0) == 0;
   128990     if ($tobool) {
   128991       label = 11;
   128992       break;
   128993     } else {
   128994       label = 7;
   128995       break;
   128996     }
   128997    case 7:
   128998     $7 = HEAP32[$list >> 2] | 0;
   128999     $j_0 = 0;
   129000     label = 8;
   129001     break;
   129002    case 8:
   129003     $arrayidx14 = $7 + ($j_0 << 2) | 0;
   129004     $8 = HEAP32[$arrayidx14 >> 2] | 0;
   129005     $tobool15 = ($8 | 0) == 0;
   129006     if ($tobool15) {
   129007       label = 11;
   129008       break;
   129009     } else {
   129010       label = 9;
   129011       break;
   129012     }
   129013    case 9:
   129014     $head = $8 + 12 | 0;
   129015     $9 = HEAP32[$head >> 2] | 0;
   129016     $rank18 = $9 + 236 | 0;
   129017     $10 = HEAP32[$rank18 >> 2] | 0;
   129018     $cmp19 = ($10 | 0) > ($r_052 | 0);
   129019     if ($cmp19) {
   129020       label = 21;
   129021       break;
   129022     } else {
   129023       label = 10;
   129024       break;
   129025     }
   129026    case 10:
   129027     $tail = $8 + 16 | 0;
   129028     $11 = HEAP32[$tail >> 2] | 0;
   129029     $rank22 = $11 + 236 | 0;
   129030     $12 = HEAP32[$rank22 >> 2] | 0;
   129031     $cmp23 = ($12 | 0) > ($r_052 | 0);
   129032     $inc = $j_0 + 1 | 0;
   129033     if ($cmp23) {
   129034       label = 21;
   129035       break;
   129036     } else {
   129037       $j_0 = $inc;
   129038       label = 8;
   129039       break;
   129040     }
   129041    case 11:
   129042     $list31 = $5 + 248 | 0;
   129043     $13 = HEAP32[$list31 >> 2] | 0;
   129044     $tobool32 = ($13 | 0) == 0;
   129045     if ($tobool32) {
   129046       label = 16;
   129047       break;
   129048     } else {
   129049       label = 12;
   129050       break;
   129051     }
   129052    case 12:
   129053     $14 = HEAP32[$list31 >> 2] | 0;
   129054     $j_1 = 0;
   129055     label = 13;
   129056     break;
   129057    case 13:
   129058     $arrayidx38 = $14 + ($j_1 << 2) | 0;
   129059     $15 = HEAP32[$arrayidx38 >> 2] | 0;
   129060     $tobool39 = ($15 | 0) == 0;
   129061     if ($tobool39) {
   129062       label = 16;
   129063       break;
   129064     } else {
   129065       label = 14;
   129066       break;
   129067     }
   129068    case 14:
   129069     $tail41 = $15 + 16 | 0;
   129070     $16 = HEAP32[$tail41 >> 2] | 0;
   129071     $rank43 = $16 + 236 | 0;
   129072     $17 = HEAP32[$rank43 >> 2] | 0;
   129073     $cmp44 = ($17 | 0) > ($r_052 | 0);
   129074     if ($cmp44) {
   129075       label = 21;
   129076       break;
   129077     } else {
   129078       label = 15;
   129079       break;
   129080     }
   129081    case 15:
   129082     $head47 = $15 + 12 | 0;
   129083     $18 = HEAP32[$head47 >> 2] | 0;
   129084     $rank49 = $18 + 236 | 0;
   129085     $19 = HEAP32[$rank49 >> 2] | 0;
   129086     $cmp50 = ($19 | 0) > ($r_052 | 0);
   129087     $inc55 = $j_1 + 1 | 0;
   129088     if ($cmp50) {
   129089       label = 21;
   129090       break;
   129091     } else {
   129092       $j_1 = $inc55;
   129093       label = 13;
   129094       break;
   129095     }
   129096    case 16:
   129097     $inc62 = $i_048 + 1 | 0;
   129098     $20 = HEAP32[$n >> 2] | 0;
   129099     $cmp6 = ($inc62 | 0) < ($20 | 0);
   129100     if ($cmp6) {
   129101       $i_048 = $inc62;
   129102       label = 6;
   129103       break;
   129104     } else {
   129105       label = 17;
   129106       break;
   129107     }
   129108    case 17:
   129109     $phitmp = ($5 | 0) == 0;
   129110     if ($phitmp) {
   129111       label = 21;
   129112       break;
   129113     } else {
   129114       label = 18;
   129115       break;
   129116     }
   129117    case 18:
   129118     $v69 = $2 + ($r_052 * 44 & -1) + 4 | 0;
   129119     $21 = HEAP32[$v69 >> 2] | 0;
   129120     $22 = HEAP32[$21 >> 2] | 0;
   129121     $23 = HEAP16[$maxrank >> 1] | 0;
   129122     $conv73 = $23 << 16 >> 16;
   129123     $cmp74 = ($r_052 | 0) < ($conv73 | 0);
   129124     $add_ptr_sum38_pn_v = $cmp74 ? 1 : -1;
   129125     $add_ptr_sum38_pn = $add_ptr_sum38_pn_v + $r_052 | 0;
   129126     $hp_0_in_in = $2 + ($add_ptr_sum38_pn * 44 & -1) + 4 | 0;
   129127     $hp_0_in = HEAP32[$hp_0_in_in >> 2] | 0;
   129128     $hp_0 = HEAP32[$hp_0_in >> 2] | 0;
   129129     $tobool84 = ($hp_0 | 0) == 0;
   129130     if ($tobool84) {
   129131       label = 19;
   129132       break;
   129133     } else {
   129134       label = 20;
   129135       break;
   129136     }
   129137    case 19:
   129138     ___assert_func(157760, 110, 164560, 92712);
   129139    case 20:
   129140     $call = _virtual_node($g) | 0;
   129141     $node_type = $call + 162 | 0;
   129142     HEAP8[$node_type] = 2;
   129143     $call86 = _make_aux_edge($call, $22, 0.0, 0) | 0;
   129144     $call87 = _make_aux_edge($call, $hp_0, 0.0, 0) | 0;
   129145     $rank89 = $22 + 236 | 0;
   129146     $24 = HEAP32[$rank89 >> 2] | 0;
   129147     $rank91 = $hp_0 + 236 | 0;
   129148     $25 = HEAP32[$rank91 >> 2] | 0;
   129149     $cmp92 = ($24 | 0) < ($25 | 0);
   129150     $_ = $cmp92 ? $24 : $25;
   129151     $rank102 = $call + 236 | 0;
   129152     HEAP32[$rank102 >> 2] = $_;
   129153     label = 21;
   129154     break;
   129155    case 21:
   129156     $inc104 = $r_052 + 1 | 0;
   129157     $26 = HEAP16[$maxrank >> 1] | 0;
   129158     $conv2 = $26 << 16 >> 16;
   129159     $cmp = ($inc104 | 0) > ($conv2 | 0);
   129160     if ($cmp) {
   129161       label = 22;
   129162       break;
   129163     } else {
   129164       $r_052 = $inc104;
   129165       label = 4;
   129166       break;
   129167     }
   129168    case 22:
   129169     return;
   129170   }
   129171 }
   129172 function _ports_eq($e, $f) {
   129173   $e = $e | 0;
   129174   $f = $f | 0;
   129175   var $defined = 0, $0 = 0, $defined3 = 0, $1 = 0, $cmp = 0, $x = 0, $2 = 0.0, $x11 = 0, $3 = 0.0, $cmp12 = 0, $y = 0, $4 = 0.0, $y21 = 0, $5 = 0.0, $cmp22 = 0, $6 = 0, $cmp28 = 0, $x32 = 0, $7 = 0.0, $x36 = 0, $8 = 0.0, $cmp37 = 0, $y43 = 0, $9 = 0.0, $y47 = 0, $10 = 0.0, $cmp48 = 0, $defined52 = 0, $11 = 0, $cmp54 = 0, $phitmp = 0, $12 = 0, label = 0;
   129176   label = 2;
   129177   while (1) switch (label | 0) {
   129178    case 2:
   129179     $defined = $e + 100 | 0;
   129180     $0 = HEAP8[$defined] | 0;
   129181     $defined3 = $f + 100 | 0;
   129182     $1 = HEAP8[$defined3] | 0;
   129183     $cmp = $0 << 24 >> 24 == $1 << 24 >> 24;
   129184     if ($cmp) {
   129185       label = 3;
   129186       break;
   129187     } else {
   129188       $12 = 0;
   129189       label = 9;
   129190       break;
   129191     }
   129192    case 3:
   129193     $x = $e + 72 | 0;
   129194     $2 = +HEAPF64[$x >> 3];
   129195     $x11 = $f + 72 | 0;
   129196     $3 = +HEAPF64[$x11 >> 3];
   129197     $cmp12 = $2 == $3;
   129198     if ($cmp12) {
   129199       label = 4;
   129200       break;
   129201     } else {
   129202       label = 5;
   129203       break;
   129204     }
   129205    case 4:
   129206     $y = $e + 80 | 0;
   129207     $4 = +HEAPF64[$y >> 3];
   129208     $y21 = $f + 80 | 0;
   129209     $5 = +HEAPF64[$y21 >> 3];
   129210     $cmp22 = $4 == $5;
   129211     if ($cmp22) {
   129212       label = 6;
   129213       break;
   129214     } else {
   129215       label = 5;
   129216       break;
   129217     }
   129218    case 5:
   129219     $6 = HEAP8[$defined] | 0;
   129220     $cmp28 = $6 << 24 >> 24 == 0;
   129221     if ($cmp28) {
   129222       label = 6;
   129223       break;
   129224     } else {
   129225       $12 = 0;
   129226       label = 9;
   129227       break;
   129228     }
   129229    case 6:
   129230     $x32 = $e + 32 | 0;
   129231     $7 = +HEAPF64[$x32 >> 3];
   129232     $x36 = $f + 32 | 0;
   129233     $8 = +HEAPF64[$x36 >> 3];
   129234     $cmp37 = $7 == $8;
   129235     if ($cmp37) {
   129236       label = 7;
   129237       break;
   129238     } else {
   129239       label = 8;
   129240       break;
   129241     }
   129242    case 7:
   129243     $y43 = $e + 40 | 0;
   129244     $9 = +HEAPF64[$y43 >> 3];
   129245     $y47 = $f + 40 | 0;
   129246     $10 = +HEAPF64[$y47 >> 3];
   129247     $cmp48 = $9 == $10;
   129248     if ($cmp48) {
   129249       $12 = 1;
   129250       label = 9;
   129251       break;
   129252     } else {
   129253       label = 8;
   129254       break;
   129255     }
   129256    case 8:
   129257     $defined52 = $e + 60 | 0;
   129258     $11 = HEAP8[$defined52] | 0;
   129259     $cmp54 = $11 << 24 >> 24 == 0;
   129260     $phitmp = $cmp54 & 1;
   129261     $12 = $phitmp;
   129262     label = 9;
   129263     break;
   129264    case 9:
   129265     return $12 | 0;
   129266   }
   129267   return 0;
   129268 }
   129269 function _set_aspect($g, $asp) {
   129270   $g = $g | 0;
   129271   $asp = $asp | 0;
   129272   var $maxrank = 0, $0 = 0, $cmp = 0, $drawing = 0, $1 = 0, $ratio_kind = 0, $2 = 0, $tobool = 0, $x = 0, $3 = 0.0, $x6 = 0, $4 = 0.0, $sub = 0.0, $conv7 = 0, $y = 0, $5 = 0.0, $y15 = 0, $6 = 0.0, $sub16 = 0.0, $conv17 = 0, $rankdir = 0, $7 = 0, $and20 = 0, $tobool21 = 0, $conv17_conv7 = 0, $conv7_conv17 = 0, $cmp30 = 0, $call = 0, $cmp36 = 0, $conv38 = 0, $filled_0 = 0, $tobool40 = 0, $8 = 0, $x44 = 0, $9 = 0.0, $cmp45 = 0, $conv54 = 0.0, $div = 0.0, $y58 = 0, $10 = 0.0, $conv60 = 0.0, $div61 = 0.0, $cmp62 = 0, $cmp64 = 0, $or_cond = 0, $cmp67 = 0, $div70 = 0.0, $div72 = 0.0, $ratio_kind79 = 0, $11 = 0, $x86 = 0, $12 = 0.0, $cmp87 = 0, $13 = 0.0, $div99 = 0.0, $y103 = 0, $14 = 0.0, $15 = 0.0, $div108 = 0.0, $cmp109 = 0, $cmp112 = 0, $or_cond61 = 0, $cmp115 = 0, $cond = 0.0, $ratio = 0, $16 = 0.0, $conv130 = 0.0, $conv132 = 0.0, $div133 = 0.0, $cmp134 = 0, $div137 = 0.0, $div139 = 0.0, $yf_0_ph = 0.0, $xf_0_ph = 0.0, $17 = 0, $and150 = 0, $tobool151 = 0, $yf_0_xf_0 = 0.0, $xf_0_yf_0 = 0.0, $nlist = 0, $n_064 = 0, $tobool15665 = 0, $n_066 = 0, $x158 = 0, $18 = 0.0, $mul = 0.0, $cmp159 = 0, $add = 0.0, $sub172 = 0.0, $add_sink = 0.0, $conv166 = 0, $conv176 = 0.0, $y182 = 0, $19 = 0.0, $mul183 = 0.0, $cmp184 = 0, $add191 = 0.0, $sub198 = 0.0, $add191_sink = 0.0, $conv192 = 0, $conv202 = 0.0, $next = 0, $n_0 = 0, $tobool156 = 0, $tobool209 = 0, label = 0;
   129273   label = 2;
   129274   while (1) switch (label | 0) {
   129275    case 2:
   129276     _rec_bb($g, $g);
   129277     $maxrank = $g + 250 | 0;
   129278     $0 = HEAP16[$maxrank >> 1] | 0;
   129279     $cmp = $0 << 16 >> 16 > 0;
   129280     if ($cmp) {
   129281       label = 3;
   129282       break;
   129283     } else {
   129284       label = 29;
   129285       break;
   129286     }
   129287    case 3:
   129288     $drawing = $g + 48 | 0;
   129289     $1 = HEAP32[$drawing >> 2] | 0;
   129290     $ratio_kind = $1 + 84 | 0;
   129291     $2 = HEAP32[$ratio_kind >> 2] | 0;
   129292     $tobool = ($2 | 0) == 0;
   129293     if ($tobool) {
   129294       label = 29;
   129295       break;
   129296     } else {
   129297       label = 4;
   129298       break;
   129299     }
   129300    case 4:
   129301     $x = $g + 72 | 0;
   129302     $3 = +HEAPF64[$x >> 3];
   129303     $x6 = $g + 56 | 0;
   129304     $4 = +HEAPF64[$x6 >> 3];
   129305     $sub = $3 - $4;
   129306     $conv7 = ~~$sub;
   129307     $y = $g + 80 | 0;
   129308     $5 = +HEAPF64[$y >> 3];
   129309     $y15 = $g + 64 | 0;
   129310     $6 = +HEAPF64[$y15 >> 3];
   129311     $sub16 = $5 - $6;
   129312     $conv17 = ~~$sub16;
   129313     $rankdir = $g + 156 | 0;
   129314     $7 = HEAP32[$rankdir >> 2] | 0;
   129315     $and20 = $7 & 1;
   129316     $tobool21 = ($and20 | 0) == 0;
   129317     $conv17_conv7 = $tobool21 ? $conv17 : $conv7;
   129318     $conv7_conv17 = $tobool21 ? $conv7 : $conv17;
   129319     $cmp30 = ($2 | 0) == 4;
   129320     if ($cmp30) {
   129321       label = 5;
   129322       break;
   129323     } else {
   129324       label = 6;
   129325       break;
   129326     }
   129327    case 5:
   129328     $call = _idealsize($g) | 0;
   129329     $filled_0 = $call;
   129330     label = 7;
   129331     break;
   129332    case 6:
   129333     $cmp36 = ($2 | 0) == 2;
   129334     $conv38 = $cmp36 & 1;
   129335     $filled_0 = $conv38;
   129336     label = 7;
   129337     break;
   129338    case 7:
   129339     $tobool40 = $filled_0 << 24 >> 24 == 0;
   129340     $8 = HEAP32[$drawing >> 2] | 0;
   129341     if ($tobool40) {
   129342       label = 13;
   129343       break;
   129344     } else {
   129345       label = 8;
   129346       break;
   129347     }
   129348    case 8:
   129349     $x44 = $8 + 64 | 0;
   129350     $9 = +HEAPF64[$x44 >> 3];
   129351     $cmp45 = $9 > 0.0;
   129352     if ($cmp45) {
   129353       label = 9;
   129354       break;
   129355     } else {
   129356       label = 29;
   129357       break;
   129358     }
   129359    case 9:
   129360     $conv54 = +($conv7_conv17 | 0);
   129361     $div = $9 / $conv54;
   129362     $y58 = $8 + 72 | 0;
   129363     $10 = +HEAPF64[$y58 >> 3];
   129364     $conv60 = +($conv17_conv7 | 0);
   129365     $div61 = $10 / $conv60;
   129366     $cmp62 = $div < 1.0;
   129367     $cmp64 = $div61 < 1.0;
   129368     $or_cond = $cmp62 | $cmp64;
   129369     if ($or_cond) {
   129370       label = 10;
   129371       break;
   129372     } else {
   129373       $xf_0_ph = $div;
   129374       $yf_0_ph = $div61;
   129375       label = 20;
   129376       break;
   129377     }
   129378    case 10:
   129379     $cmp67 = $div < $div61;
   129380     if ($cmp67) {
   129381       label = 11;
   129382       break;
   129383     } else {
   129384       label = 12;
   129385       break;
   129386     }
   129387    case 11:
   129388     $div70 = $div61 / $div;
   129389     $xf_0_ph = 1.0;
   129390     $yf_0_ph = $div70;
   129391     label = 20;
   129392     break;
   129393    case 12:
   129394     $div72 = $div / $div61;
   129395     $xf_0_ph = $div72;
   129396     $yf_0_ph = 1.0;
   129397     label = 20;
   129398     break;
   129399    case 13:
   129400     $ratio_kind79 = $8 + 84 | 0;
   129401     $11 = HEAP32[$ratio_kind79 >> 2] | 0;
   129402     if (($11 | 0) == 5) {
   129403       label = 14;
   129404       break;
   129405     } else if (($11 | 0) == 1) {
   129406       label = 17;
   129407       break;
   129408     } else {
   129409       label = 29;
   129410       break;
   129411     }
   129412    case 14:
   129413     $x86 = $8 + 64 | 0;
   129414     $12 = +HEAPF64[$x86 >> 3];
   129415     $cmp87 = $12 > 0.0;
   129416     if ($cmp87) {
   129417       label = 15;
   129418       break;
   129419     } else {
   129420       label = 29;
   129421       break;
   129422     }
   129423    case 15:
   129424     $13 = +HEAPF64[$x >> 3];
   129425     $div99 = $12 / $13;
   129426     $y103 = $8 + 72 | 0;
   129427     $14 = +HEAPF64[$y103 >> 3];
   129428     $15 = +HEAPF64[$y >> 3];
   129429     $div108 = $14 / $15;
   129430     $cmp109 = $div99 > 1.0;
   129431     $cmp112 = $div108 > 1.0;
   129432     $or_cond61 = $cmp109 & $cmp112;
   129433     if ($or_cond61) {
   129434       label = 16;
   129435       break;
   129436     } else {
   129437       label = 29;
   129438       break;
   129439     }
   129440    case 16:
   129441     $cmp115 = $div99 < $div108;
   129442     $cond = $cmp115 ? $div99 : $div108;
   129443     $xf_0_ph = $cond;
   129444     $yf_0_ph = $cond;
   129445     label = 20;
   129446     break;
   129447    case 17:
   129448     $ratio = $8 + 16 | 0;
   129449     $16 = +HEAPF64[$ratio >> 3];
   129450     $conv130 = +($conv17_conv7 | 0);
   129451     $conv132 = +($conv7_conv17 | 0);
   129452     $div133 = $conv130 / $conv132;
   129453     $cmp134 = $div133 < $16;
   129454     if ($cmp134) {
   129455       label = 18;
   129456       break;
   129457     } else {
   129458       label = 19;
   129459       break;
   129460     }
   129461    case 18:
   129462     $div137 = $16 / $div133;
   129463     $xf_0_ph = 1.0;
   129464     $yf_0_ph = $div137;
   129465     label = 20;
   129466     break;
   129467    case 19:
   129468     $div139 = $div133 / $16;
   129469     $xf_0_ph = $div139;
   129470     $yf_0_ph = 1.0;
   129471     label = 20;
   129472     break;
   129473    case 20:
   129474     $17 = HEAP32[$rankdir >> 2] | 0;
   129475     $and150 = $17 & 1;
   129476     $tobool151 = ($and150 | 0) == 0;
   129477     $yf_0_xf_0 = $tobool151 ? $yf_0_ph : $xf_0_ph;
   129478     $xf_0_yf_0 = $tobool151 ? $xf_0_ph : $yf_0_ph;
   129479     $nlist = $g + 220 | 0;
   129480     $n_064 = HEAP32[$nlist >> 2] | 0;
   129481     $tobool15665 = ($n_064 | 0) == 0;
   129482     if ($tobool15665) {
   129483       label = 28;
   129484       break;
   129485     } else {
   129486       $n_066 = $n_064;
   129487       label = 21;
   129488       break;
   129489     }
   129490    case 21:
   129491     $x158 = $n_066 + 32 | 0;
   129492     $18 = +HEAPF64[$x158 >> 3];
   129493     $mul = $xf_0_yf_0 * $18;
   129494     $cmp159 = $mul < 0.0;
   129495     if ($cmp159) {
   129496       label = 23;
   129497       break;
   129498     } else {
   129499       label = 22;
   129500       break;
   129501     }
   129502    case 22:
   129503     $add = $mul + .5;
   129504     $add_sink = $add;
   129505     label = 24;
   129506     break;
   129507    case 23:
   129508     $sub172 = $mul + -.5;
   129509     $add_sink = $sub172;
   129510     label = 24;
   129511     break;
   129512    case 24:
   129513     $conv166 = ~~$add_sink;
   129514     $conv176 = +($conv166 | 0);
   129515     HEAPF64[$x158 >> 3] = $conv176;
   129516     $y182 = $n_066 + 40 | 0;
   129517     $19 = +HEAPF64[$y182 >> 3];
   129518     $mul183 = $yf_0_xf_0 * $19;
   129519     $cmp184 = $mul183 < 0.0;
   129520     if ($cmp184) {
   129521       label = 26;
   129522       break;
   129523     } else {
   129524       label = 25;
   129525       break;
   129526     }
   129527    case 25:
   129528     $add191 = $mul183 + .5;
   129529     $add191_sink = $add191;
   129530     label = 27;
   129531     break;
   129532    case 26:
   129533     $sub198 = $mul183 + -.5;
   129534     $add191_sink = $sub198;
   129535     label = 27;
   129536     break;
   129537    case 27:
   129538     $conv192 = ~~$add191_sink;
   129539     $conv202 = +($conv192 | 0);
   129540     HEAPF64[$y182 >> 3] = $conv202;
   129541     $next = $n_066 + 168 | 0;
   129542     $n_0 = HEAP32[$next >> 2] | 0;
   129543     $tobool156 = ($n_0 | 0) == 0;
   129544     if ($tobool156) {
   129545       label = 28;
   129546       break;
   129547     } else {
   129548       $n_066 = $n_0;
   129549       label = 21;
   129550       break;
   129551     }
   129552    case 28:
   129553     _scale_bb($g, $xf_0_yf_0, $yf_0_xf_0);
   129554     label = 29;
   129555     break;
   129556    case 29:
   129557     $tobool209 = ($asp | 0) == 0;
   129558     if ($tobool209) {
   129559       label = 31;
   129560       break;
   129561     } else {
   129562       label = 30;
   129563       break;
   129564     }
   129565    case 30:
   129566     _adjustAspectRatio($g, $asp);
   129567     label = 31;
   129568     break;
   129569    case 31:
   129570     return;
   129571   }
   129572 }
   129573 function _remove_aux_edges($g) {
   129574   $g = $g | 0;
   129575   var $nlist = 0, $n_030 = 0, $tobool31 = 0, $n_032 = 0, $out = 0, $list = 0, $0 = 0, $1 = 0, $tobool327 = 0, $2 = 0, $i_028 = 0, $3 = 0, $inc = 0, $4 = 0, $arrayidx = 0, $5 = 0, $tobool3 = 0, $_lcssa25 = 0, $tobool8 = 0, $6 = 0, $in = 0, $list14 = 0, $7 = 0, $tobool15 = 0, $8 = 0, $save_out = 0, $9 = 0, $10 = 0, $ld$0$0 = 0, $11$0 = 0, $ld$1$1 = 0, $11$1 = 0, $st$2$0 = 0, $st$3$1 = 0, $save_in = 0, $12 = 0, $13 = 0, $ld$4$0 = 0, $14$0 = 0, $ld$5$1 = 0, $14$1 = 0, $st$6$0 = 0, $st$7$1 = 0, $next = 0, $n_0 = 0, $tobool = 0, $_pr = 0, $tobool342333 = 0, $tobool3423 = 0, $nprev_0_ph35 = 0, $n_1_ph34 = 0, $tobool41 = 0, $next44 = 0, $n_124 = 0, $next37 = 0, $15 = 0, $node_type = 0, $16 = 0, $cmp = 0, $17 = 0, $tobool34 = 0, $18 = 0, $prev = 0, label = 0;
   129576   label = 2;
   129577   while (1) switch (label | 0) {
   129578    case 2:
   129579     $nlist = $g + 220 | 0;
   129580     $n_030 = HEAP32[$nlist >> 2] | 0;
   129581     $tobool31 = ($n_030 | 0) == 0;
   129582     if ($tobool31) {
   129583       label = 18;
   129584       break;
   129585     } else {
   129586       $n_032 = $n_030;
   129587       label = 3;
   129588       break;
   129589     }
   129590    case 3:
   129591     $out = $n_032 + 184 | 0;
   129592     $list = $out | 0;
   129593     $0 = HEAP32[$list >> 2] | 0;
   129594     $1 = HEAP32[$0 >> 2] | 0;
   129595     $tobool327 = ($1 | 0) == 0;
   129596     if ($tobool327) {
   129597       $_lcssa25 = $0;
   129598       label = 5;
   129599       break;
   129600     } else {
   129601       $i_028 = 0;
   129602       $2 = $1;
   129603       label = 4;
   129604       break;
   129605     }
   129606    case 4:
   129607     $3 = $2 | 0;
   129608     _free($3);
   129609     $inc = $i_028 + 1 | 0;
   129610     $4 = HEAP32[$list >> 2] | 0;
   129611     $arrayidx = $4 + ($inc << 2) | 0;
   129612     $5 = HEAP32[$arrayidx >> 2] | 0;
   129613     $tobool3 = ($5 | 0) == 0;
   129614     if ($tobool3) {
   129615       $_lcssa25 = $4;
   129616       label = 5;
   129617       break;
   129618     } else {
   129619       $i_028 = $inc;
   129620       $2 = $5;
   129621       label = 4;
   129622       break;
   129623     }
   129624    case 5:
   129625     $tobool8 = ($_lcssa25 | 0) == 0;
   129626     if ($tobool8) {
   129627       label = 7;
   129628       break;
   129629     } else {
   129630       label = 6;
   129631       break;
   129632     }
   129633    case 6:
   129634     $6 = $_lcssa25;
   129635     _free($6);
   129636     label = 7;
   129637     break;
   129638    case 7:
   129639     $in = $n_032 + 176 | 0;
   129640     $list14 = $in | 0;
   129641     $7 = HEAP32[$list14 >> 2] | 0;
   129642     $tobool15 = ($7 | 0) == 0;
   129643     if ($tobool15) {
   129644       label = 9;
   129645       break;
   129646     } else {
   129647       label = 8;
   129648       break;
   129649     }
   129650    case 8:
   129651     $8 = $7;
   129652     _free($8);
   129653     label = 9;
   129654     break;
   129655    case 9:
   129656     $save_out = $n_032 + 256 | 0;
   129657     $9 = $save_out;
   129658     $10 = $out;
   129659     $ld$0$0 = $9 | 0;
   129660     $11$0 = HEAP32[$ld$0$0 >> 2] | 0;
   129661     $ld$1$1 = $9 + 4 | 0;
   129662     $11$1 = HEAP32[$ld$1$1 >> 2] | 0;
   129663     $st$2$0 = $10 | 0;
   129664     HEAP32[$st$2$0 >> 2] = $11$0;
   129665     $st$3$1 = $10 + 4 | 0;
   129666     HEAP32[$st$3$1 >> 2] = $11$1;
   129667     $save_in = $n_032 + 248 | 0;
   129668     $12 = $save_in;
   129669     $13 = $in;
   129670     $ld$4$0 = $12 | 0;
   129671     $14$0 = HEAP32[$ld$4$0 >> 2] | 0;
   129672     $ld$5$1 = $12 + 4 | 0;
   129673     $14$1 = HEAP32[$ld$5$1 >> 2] | 0;
   129674     $st$6$0 = $13 | 0;
   129675     HEAP32[$st$6$0 >> 2] = $14$0;
   129676     $st$7$1 = $13 + 4 | 0;
   129677     HEAP32[$st$7$1 >> 2] = $14$1;
   129678     $next = $n_032 + 168 | 0;
   129679     $n_0 = HEAP32[$next >> 2] | 0;
   129680     $tobool = ($n_0 | 0) == 0;
   129681     if ($tobool) {
   129682       label = 10;
   129683       break;
   129684     } else {
   129685       $n_032 = $n_0;
   129686       label = 3;
   129687       break;
   129688     }
   129689    case 10:
   129690     $_pr = HEAP32[$nlist >> 2] | 0;
   129691     $tobool342333 = ($_pr | 0) == 0;
   129692     if ($tobool342333) {
   129693       label = 18;
   129694       break;
   129695     } else {
   129696       $n_1_ph34 = $_pr;
   129697       $nprev_0_ph35 = 0;
   129698       label = 12;
   129699       break;
   129700     }
   129701    case 11:
   129702     $tobool3423 = ($15 | 0) == 0;
   129703     if ($tobool3423) {
   129704       label = 18;
   129705       break;
   129706     } else {
   129707       $n_1_ph34 = $15;
   129708       $nprev_0_ph35 = $n_124;
   129709       label = 12;
   129710       break;
   129711     }
   129712    case 12:
   129713     $tobool41 = ($nprev_0_ph35 | 0) == 0;
   129714     $next44 = $nprev_0_ph35 + 168 | 0;
   129715     $n_124 = $n_1_ph34;
   129716     label = 13;
   129717     break;
   129718    case 13:
   129719     $next37 = $n_124 + 168 | 0;
   129720     $15 = HEAP32[$next37 >> 2] | 0;
   129721     $node_type = $n_124 + 162 | 0;
   129722     $16 = HEAP8[$node_type] | 0;
   129723     $cmp = $16 << 24 >> 24 == 2;
   129724     if ($cmp) {
   129725       label = 14;
   129726       break;
   129727     } else {
   129728       label = 11;
   129729       break;
   129730     }
   129731    case 14:
   129732     if ($tobool41) {
   129733       label = 16;
   129734       break;
   129735     } else {
   129736       label = 15;
   129737       break;
   129738     }
   129739    case 15:
   129740     HEAP32[$next44 >> 2] = $15;
   129741     label = 17;
   129742     break;
   129743    case 16:
   129744     HEAP32[$nlist >> 2] = $15;
   129745     label = 17;
   129746     break;
   129747    case 17:
   129748     $17 = $n_124 | 0;
   129749     _free($17);
   129750     $tobool34 = ($15 | 0) == 0;
   129751     if ($tobool34) {
   129752       label = 18;
   129753       break;
   129754     } else {
   129755       $n_124 = $15;
   129756       label = 13;
   129757       break;
   129758     }
   129759    case 18:
   129760     $18 = HEAP32[$nlist >> 2] | 0;
   129761     $prev = $18 + 172 | 0;
   129762     HEAP32[$prev >> 2] = 0;
   129763     return;
   129764   }
   129765 }
   129766 function _make_leafslots($g) {
   129767   $g = $g | 0;
   129768   var $minrank = 0, $0 = 0, $maxrank = 0, $1 = 0, $cmp54 = 0, $conv = 0, $rank = 0, $r_055 = 0, $2 = 0, $n41 = 0, $3 = 0, $cmp642 = 0, $4 = 0, $j_044 = 0, $i_043 = 0, $v12 = 0, $5 = 0, $arrayidx13 = 0, $6 = 0, $order = 0, $ranktype = 0, $7 = 0, $cmp17 = 0, $UF_size = 0, $8 = 0, $_pn = 0, $j_1 = 0, $inc20 = 0, $9 = 0, $n = 0, $10 = 0, $cmp6 = 0, $_lcssa40 = 0, $_lcssa = 0, $j_0_lcssa = 0, $cmp25 = 0, $v32 = 0, $11 = 0, $tobool = 0, $12 = 0, $add37 = 0, $mul = 0, $call = 0, $add38 = 0, $mul39 = 0, $call40 = 0, $call_sink = 0, $13 = 0, $14 = 0, $v44 = 0, $15 = 0, $n48 = 0, $16 = 0, $cmp5050 = 0, $17 = 0, $i_151_in = 0, $i_151 = 0, $v56 = 0, $18 = 0, $arrayidx57 = 0, $19 = 0, $order59 = 0, $20 = 0, $arrayidx64 = 0, $cmp50 = 0, $21 = 0, $_lcssa48 = 0, $n70 = 0, $22 = 0, $v74 = 0, $23 = 0, $arrayidx75 = 0, $inc77 = 0, $24 = 0, $conv2 = 0, $cmp = 0, label = 0;
   129769   label = 2;
   129770   while (1) switch (label | 0) {
   129771    case 2:
   129772     $minrank = $g + 248 | 0;
   129773     $0 = HEAP16[$minrank >> 1] | 0;
   129774     $maxrank = $g + 250 | 0;
   129775     $1 = HEAP16[$maxrank >> 1] | 0;
   129776     $cmp54 = $0 << 16 >> 16 > $1 << 16 >> 16;
   129777     if ($cmp54) {
   129778       label = 16;
   129779       break;
   129780     } else {
   129781       label = 3;
   129782       break;
   129783     }
   129784    case 3:
   129785     $conv = $0 << 16 >> 16;
   129786     $rank = $g + 224 | 0;
   129787     $r_055 = $conv;
   129788     label = 4;
   129789     break;
   129790    case 4:
   129791     $2 = HEAP32[$rank >> 2] | 0;
   129792     $n41 = $2 + ($r_055 * 44 & -1) | 0;
   129793     $3 = HEAP32[$n41 >> 2] | 0;
   129794     $cmp642 = ($3 | 0) > 0;
   129795     if ($cmp642) {
   129796       $i_043 = 0;
   129797       $j_044 = 0;
   129798       $4 = $2;
   129799       label = 5;
   129800       break;
   129801     } else {
   129802       $j_0_lcssa = 0;
   129803       $_lcssa = $2;
   129804       $_lcssa40 = $3;
   129805       label = 8;
   129806       break;
   129807     }
   129808    case 5:
   129809     $v12 = $4 + ($r_055 * 44 & -1) + 4 | 0;
   129810     $5 = HEAP32[$v12 >> 2] | 0;
   129811     $arrayidx13 = $5 + ($i_043 << 2) | 0;
   129812     $6 = HEAP32[$arrayidx13 >> 2] | 0;
   129813     $order = $6 + 240 | 0;
   129814     HEAP32[$order >> 2] = $j_044;
   129815     $ranktype = $6 + 165 | 0;
   129816     $7 = HEAP8[$ranktype] | 0;
   129817     $cmp17 = $7 << 24 >> 24 == 6;
   129818     if ($cmp17) {
   129819       label = 6;
   129820       break;
   129821     } else {
   129822       $_pn = 1;
   129823       label = 7;
   129824       break;
   129825     }
   129826    case 6:
   129827     $UF_size = $6 + 220 | 0;
   129828     $8 = HEAP32[$UF_size >> 2] | 0;
   129829     $_pn = $8;
   129830     label = 7;
   129831     break;
   129832    case 7:
   129833     $j_1 = $_pn + $j_044 | 0;
   129834     $inc20 = $i_043 + 1 | 0;
   129835     $9 = HEAP32[$rank >> 2] | 0;
   129836     $n = $9 + ($r_055 * 44 & -1) | 0;
   129837     $10 = HEAP32[$n >> 2] | 0;
   129838     $cmp6 = ($inc20 | 0) < ($10 | 0);
   129839     if ($cmp6) {
   129840       $i_043 = $inc20;
   129841       $j_044 = $j_1;
   129842       $4 = $9;
   129843       label = 5;
   129844       break;
   129845     } else {
   129846       $j_0_lcssa = $j_1;
   129847       $_lcssa = $9;
   129848       $_lcssa40 = $10;
   129849       label = 8;
   129850       break;
   129851     }
   129852    case 8:
   129853     $cmp25 = ($j_0_lcssa | 0) > ($_lcssa40 | 0);
   129854     if ($cmp25) {
   129855       label = 9;
   129856       break;
   129857     } else {
   129858       label = 15;
   129859       break;
   129860     }
   129861    case 9:
   129862     $v32 = $_lcssa + ($r_055 * 44 & -1) + 4 | 0;
   129863     $11 = HEAP32[$v32 >> 2] | 0;
   129864     $tobool = ($11 | 0) == 0;
   129865     if ($tobool) {
   129866       label = 11;
   129867       break;
   129868     } else {
   129869       label = 10;
   129870       break;
   129871     }
   129872    case 10:
   129873     $12 = $11;
   129874     $add37 = $j_0_lcssa << 2;
   129875     $mul = $add37 + 4 | 0;
   129876     $call = _grealloc($12, $mul) | 0;
   129877     $call_sink = $call;
   129878     label = 12;
   129879     break;
   129880    case 11:
   129881     $add38 = $j_0_lcssa << 2;
   129882     $mul39 = $add38 + 4 | 0;
   129883     $call40 = _gmalloc($mul39) | 0;
   129884     $call_sink = $call40;
   129885     label = 12;
   129886     break;
   129887    case 12:
   129888     $13 = $call_sink;
   129889     $14 = HEAP32[$rank >> 2] | 0;
   129890     $v44 = $14 + ($r_055 * 44 & -1) + 4 | 0;
   129891     HEAP32[$v44 >> 2] = $13;
   129892     $15 = HEAP32[$rank >> 2] | 0;
   129893     $n48 = $15 + ($r_055 * 44 & -1) | 0;
   129894     $16 = HEAP32[$n48 >> 2] | 0;
   129895     $cmp5050 = ($16 | 0) > 0;
   129896     if ($cmp5050) {
   129897       $i_151_in = $16;
   129898       $17 = $15;
   129899       label = 13;
   129900       break;
   129901     } else {
   129902       $_lcssa48 = $15;
   129903       label = 14;
   129904       break;
   129905     }
   129906    case 13:
   129907     $i_151 = $i_151_in - 1 | 0;
   129908     $v56 = $17 + ($r_055 * 44 & -1) + 4 | 0;
   129909     $18 = HEAP32[$v56 >> 2] | 0;
   129910     $arrayidx57 = $18 + ($i_151 << 2) | 0;
   129911     $19 = HEAP32[$arrayidx57 >> 2] | 0;
   129912     $order59 = $19 + 240 | 0;
   129913     $20 = HEAP32[$order59 >> 2] | 0;
   129914     $arrayidx64 = $18 + ($20 << 2) | 0;
   129915     HEAP32[$arrayidx64 >> 2] = $19;
   129916     $cmp50 = ($i_151 | 0) > 0;
   129917     $21 = HEAP32[$rank >> 2] | 0;
   129918     if ($cmp50) {
   129919       $i_151_in = $i_151;
   129920       $17 = $21;
   129921       label = 13;
   129922       break;
   129923     } else {
   129924       $_lcssa48 = $21;
   129925       label = 14;
   129926       break;
   129927     }
   129928    case 14:
   129929     $n70 = $_lcssa48 + ($r_055 * 44 & -1) | 0;
   129930     HEAP32[$n70 >> 2] = $j_0_lcssa;
   129931     $22 = HEAP32[$rank >> 2] | 0;
   129932     $v74 = $22 + ($r_055 * 44 & -1) + 4 | 0;
   129933     $23 = HEAP32[$v74 >> 2] | 0;
   129934     $arrayidx75 = $23 + ($j_0_lcssa << 2) | 0;
   129935     HEAP32[$arrayidx75 >> 2] = 0;
   129936     label = 15;
   129937     break;
   129938    case 15:
   129939     $inc77 = $r_055 + 1 | 0;
   129940     $24 = HEAP16[$maxrank >> 1] | 0;
   129941     $conv2 = $24 << 16 >> 16;
   129942     $cmp = ($inc77 | 0) > ($conv2 | 0);
   129943     if ($cmp) {
   129944       label = 16;
   129945       break;
   129946     } else {
   129947       $r_055 = $inc77;
   129948       label = 4;
   129949       break;
   129950     }
   129951    case 16:
   129952     return;
   129953   }
   129954 }
   129955 function _do_leaves($g, $leader) {
   129956   $g = $g | 0;
   129957   $leader = $leader | 0;
   129958   var $lbound = 0, $tmpcast = 0, $tmp = 0, $tmp23 = 0, $tmpcast49 = 0, $tmp93 = 0, $tmpcast48 = 0, $UF_size = 0, $0 = 0, $cmp = 0, $tmpcast47 = 0, $x = 0, $1 = 0.0, $lw = 0, $2 = 0.0, $sub = 0.0, $conv = 0, $x3 = 0, $y = 0, $3 = 0.0, $conv6 = 0, $y7 = 0, $ld$0$0 = 0, $4$0 = 0, $ld$1$1 = 0, $4$1 = 0, $st$2$0 = 0, $st$3$1 = 0, $size = 0, $5 = 0, $cmp9 = 0, $list = 0, $6 = 0, $7 = 0, $head = 0, $8 = 0, $order = 0, $9 = 0, $10 = 0, $11 = 0, $call = 0, $tobool51 = 0, $add = 0, $e_054_in = 0, $j_052 = 0, $e_054 = 0, $tail = 0, $12 = 0, $13 = 0, $cmp15 = 0, $call18 = 0, $cmp19 = 0, $14 = 0, $15 = 0, $inc = 0, $ld$4$0 = 0, $16$0 = 0, $ld$5$1 = 0, $16$1 = 0, $st$6$0 = 0, $st$7$1 = 0, $head24 = 0, $17 = 0, $u25 = 0, $in = 0, $list26 = 0, $18 = 0, $tobool27 = 0, $19 = 0, $size35 = 0, $20 = 0, $21 = 0, $add36 = 0, $mul = 0, $call37 = 0, $size41 = 0, $22 = 0, $23 = 0, $add42 = 0, $mul43 = 0, $call44 = 0, $call37_sink = 0, $24 = 0, $25 = 0, $u46 = 0, $in47 = 0, $list48 = 0, $26 = 0, $u50 = 0, $size52 = 0, $27 = 0, $28 = 0, $inc53 = 0, $29 = 0, $u55 = 0, $in56 = 0, $list57 = 0, $30 = 0, $arrayidx58 = 0, $31 = 0, $u60 = 0, $in61 = 0, $size62 = 0, $32 = 0, $33 = 0, $list66 = 0, $34 = 0, $arrayidx67 = 0, $j_1 = 0, $call69 = 0, $tobool = 0, $list72 = 0, $35 = 0, $36 = 0, $tail74 = 0, $37 = 0, $order76 = 0, $38 = 0, $39 = 0, $40 = 0, $call78 = 0, $tobool8057 = 0, $add77 = 0, $e_160_in = 0, $j_258 = 0, $e_160 = 0, $head82 = 0, $41 = 0, $42 = 0, $cmp83 = 0, $call87 = 0, $cmp88 = 0, $43 = 0, $44 = 0, $inc92 = 0, $ld$8$0 = 0, $45$0 = 0, $ld$9$1 = 0, $45$1 = 0, $st$10$0 = 0, $st$11$1 = 0, $tail95 = 0, $46 = 0, $u96 = 0, $out97 = 0, $list98 = 0, $47 = 0, $tobool99 = 0, $48 = 0, $size108 = 0, $49 = 0, $50 = 0, $add109 = 0, $mul110 = 0, $call111 = 0, $size116 = 0, $51 = 0, $52 = 0, $add117 = 0, $mul118 = 0, $call119 = 0, $call111_sink = 0, $53 = 0, $54 = 0, $u123 = 0, $out124 = 0, $list125 = 0, $55 = 0, $u127 = 0, $size129 = 0, $56 = 0, $57 = 0, $inc130 = 0, $58 = 0, $u132 = 0, $out133 = 0, $list134 = 0, $59 = 0, $arrayidx135 = 0, $60 = 0, $u137 = 0, $out138 = 0, $size139 = 0, $61 = 0, $62 = 0, $list143 = 0, $63 = 0, $arrayidx144 = 0, $j_3 = 0, $call148 = 0, $tobool80 = 0, label = 0, __stackBase__ = 0;
   129959   __stackBase__ = STACKTOP;
   129960   STACKTOP = STACKTOP + 8 | 0;
   129961   label = 2;
   129962   while (1) switch (label | 0) {
   129963    case 2:
   129964     $lbound = __stackBase__ | 0;
   129965     $tmpcast = $lbound;
   129966     $tmp = STACKTOP;
   129967     STACKTOP = STACKTOP + 8 | 0;
   129968     $tmp23 = STACKTOP;
   129969     STACKTOP = STACKTOP + 8 | 0;
   129970     $tmpcast49 = $tmp23;
   129971     $tmp93 = STACKTOP;
   129972     STACKTOP = STACKTOP + 8 | 0;
   129973     $tmpcast48 = $tmp93;
   129974     $UF_size = $leader + 220 | 0;
   129975     $0 = HEAP32[$UF_size >> 2] | 0;
   129976     $cmp = ($0 | 0) < 2;
   129977     if ($cmp) {
   129978       label = 22;
   129979       break;
   129980     } else {
   129981       label = 3;
   129982       break;
   129983     }
   129984    case 3:
   129985     $tmpcast47 = $tmp;
   129986     $x = $leader + 32 | 0;
   129987     $1 = +HEAPF64[$x >> 3];
   129988     $lw = $leader + 104 | 0;
   129989     $2 = +HEAPF64[$lw >> 3];
   129990     $sub = $1 - $2;
   129991     $conv = ~~$sub;
   129992     $x3 = $lbound;
   129993     HEAP32[$x3 >> 2] = $conv;
   129994     $y = $leader + 40 | 0;
   129995     $3 = +HEAPF64[$y >> 3];
   129996     $conv6 = ~~$3;
   129997     $y7 = $tmpcast + 4 | 0;
   129998     HEAP32[$y7 >> 2] = $conv6;
   129999     _resize_leaf($tmpcast47, $leader, $tmpcast);
   130000     $ld$0$0 = $tmp | 0;
   130001     $4$0 = HEAP32[$ld$0$0 >> 2] | 0;
   130002     $ld$1$1 = $tmp + 4 | 0;
   130003     $4$1 = HEAP32[$ld$1$1 >> 2] | 0;
   130004     $st$2$0 = $lbound | 0;
   130005     HEAP32[$st$2$0 >> 2] = $4$0;
   130006     $st$3$1 = $lbound + 4 | 0;
   130007     HEAP32[$st$3$1 >> 2] = $4$1;
   130008     $size = $leader + 188 | 0;
   130009     $5 = HEAP32[$size >> 2] | 0;
   130010     $cmp9 = ($5 | 0) > 0;
   130011     if ($cmp9) {
   130012       label = 4;
   130013       break;
   130014     } else {
   130015       label = 13;
   130016       break;
   130017     }
   130018    case 4:
   130019     $list = $leader + 184 | 0;
   130020     $6 = HEAP32[$list >> 2] | 0;
   130021     $7 = HEAP32[$6 >> 2] | 0;
   130022     $head = $7 + 12 | 0;
   130023     $8 = HEAP32[$head >> 2] | 0;
   130024     $order = $leader + 240 | 0;
   130025     $9 = HEAP32[$order >> 2] | 0;
   130026     $10 = $g;
   130027     $11 = $8;
   130028     $call = _agfstin($10, $11) | 0;
   130029     $tobool51 = ($call | 0) == 0;
   130030     if ($tobool51) {
   130031       label = 22;
   130032       break;
   130033     } else {
   130034       label = 5;
   130035       break;
   130036     }
   130037    case 5:
   130038     $add = $9 + 1 | 0;
   130039     $j_052 = $add;
   130040     $e_054_in = $call;
   130041     label = 6;
   130042     break;
   130043    case 6:
   130044     $e_054 = $e_054_in;
   130045     $tail = $e_054_in + 16 | 0;
   130046     $12 = HEAP32[$tail >> 2] | 0;
   130047     $13 = $12;
   130048     $cmp15 = ($13 | 0) == ($leader | 0);
   130049     if ($cmp15) {
   130050       $j_1 = $j_052;
   130051       label = 12;
   130052       break;
   130053     } else {
   130054       label = 7;
   130055       break;
   130056     }
   130057    case 7:
   130058     $call18 = _UF_find($13) | 0;
   130059     $cmp19 = ($call18 | 0) == ($leader | 0);
   130060     if ($cmp19) {
   130061       label = 8;
   130062       break;
   130063     } else {
   130064       $j_1 = $j_052;
   130065       label = 12;
   130066       break;
   130067     }
   130068    case 8:
   130069     $14 = HEAP32[$tail >> 2] | 0;
   130070     $15 = $14;
   130071     $inc = $j_052 + 1 | 0;
   130072     _place_leaf($tmpcast49, $15, $tmpcast, $j_052);
   130073     $ld$4$0 = $tmp23 | 0;
   130074     $16$0 = HEAP32[$ld$4$0 >> 2] | 0;
   130075     $ld$5$1 = $tmp23 + 4 | 0;
   130076     $16$1 = HEAP32[$ld$5$1 >> 2] | 0;
   130077     $st$6$0 = $lbound | 0;
   130078     HEAP32[$st$6$0 >> 2] = $16$0;
   130079     $st$7$1 = $lbound + 4 | 0;
   130080     HEAP32[$st$7$1 >> 2] = $16$1;
   130081     _unmerge_oneway($e_054);
   130082     $head24 = $e_054_in + 12 | 0;
   130083     $17 = HEAP32[$head24 >> 2] | 0;
   130084     $u25 = $17 + 24 | 0;
   130085     $in = $u25 + 152 | 0;
   130086     $list26 = $in;
   130087     $18 = HEAP32[$list26 >> 2] | 0;
   130088     $tobool27 = ($18 | 0) == 0;
   130089     if ($tobool27) {
   130090       label = 10;
   130091       break;
   130092     } else {
   130093       label = 9;
   130094       break;
   130095     }
   130096    case 9:
   130097     $19 = $18;
   130098     $size35 = $u25 + 156 | 0;
   130099     $20 = $size35;
   130100     $21 = HEAP32[$20 >> 2] | 0;
   130101     $add36 = $21 << 2;
   130102     $mul = $add36 + 8 | 0;
   130103     $call37 = _grealloc($19, $mul) | 0;
   130104     $call37_sink = $call37;
   130105     label = 11;
   130106     break;
   130107    case 10:
   130108     $size41 = $u25 + 156 | 0;
   130109     $22 = $size41;
   130110     $23 = HEAP32[$22 >> 2] | 0;
   130111     $add42 = $23 << 2;
   130112     $mul43 = $add42 + 8 | 0;
   130113     $call44 = _gmalloc($mul43) | 0;
   130114     $call37_sink = $call44;
   130115     label = 11;
   130116     break;
   130117    case 11:
   130118     $24 = $call37_sink;
   130119     $25 = HEAP32[$head24 >> 2] | 0;
   130120     $u46 = $25 + 24 | 0;
   130121     $in47 = $u46 + 152 | 0;
   130122     $list48 = $in47;
   130123     HEAP32[$list48 >> 2] = $24;
   130124     $26 = HEAP32[$head24 >> 2] | 0;
   130125     $u50 = $26 + 24 | 0;
   130126     $size52 = $u50 + 156 | 0;
   130127     $27 = $size52;
   130128     $28 = HEAP32[$27 >> 2] | 0;
   130129     $inc53 = $28 + 1 | 0;
   130130     HEAP32[$27 >> 2] = $inc53;
   130131     $29 = HEAP32[$head24 >> 2] | 0;
   130132     $u55 = $29 + 24 | 0;
   130133     $in56 = $u55 + 152 | 0;
   130134     $list57 = $in56;
   130135     $30 = HEAP32[$list57 >> 2] | 0;
   130136     $arrayidx58 = $30 + ($28 << 2) | 0;
   130137     HEAP32[$arrayidx58 >> 2] = $e_054;
   130138     $31 = HEAP32[$head24 >> 2] | 0;
   130139     $u60 = $31 + 24 | 0;
   130140     $in61 = $u60 + 152 | 0;
   130141     $size62 = $u60 + 156 | 0;
   130142     $32 = $size62;
   130143     $33 = HEAP32[$32 >> 2] | 0;
   130144     $list66 = $in61;
   130145     $34 = HEAP32[$list66 >> 2] | 0;
   130146     $arrayidx67 = $34 + ($33 << 2) | 0;
   130147     HEAP32[$arrayidx67 >> 2] = 0;
   130148     $j_1 = $inc;
   130149     label = 12;
   130150     break;
   130151    case 12:
   130152     $call69 = _agnxtin($10, $e_054_in) | 0;
   130153     $tobool = ($call69 | 0) == 0;
   130154     if ($tobool) {
   130155       label = 22;
   130156       break;
   130157     } else {
   130158       $j_052 = $j_1;
   130159       $e_054_in = $call69;
   130160       label = 6;
   130161       break;
   130162     }
   130163    case 13:
   130164     $list72 = $leader + 176 | 0;
   130165     $35 = HEAP32[$list72 >> 2] | 0;
   130166     $36 = HEAP32[$35 >> 2] | 0;
   130167     $tail74 = $36 + 16 | 0;
   130168     $37 = HEAP32[$tail74 >> 2] | 0;
   130169     $order76 = $leader + 240 | 0;
   130170     $38 = HEAP32[$order76 >> 2] | 0;
   130171     $39 = $g;
   130172     $40 = $37;
   130173     $call78 = _agfstout($39, $40) | 0;
   130174     $tobool8057 = ($call78 | 0) == 0;
   130175     if ($tobool8057) {
   130176       label = 22;
   130177       break;
   130178     } else {
   130179       label = 14;
   130180       break;
   130181     }
   130182    case 14:
   130183     $add77 = $38 + 1 | 0;
   130184     $j_258 = $add77;
   130185     $e_160_in = $call78;
   130186     label = 15;
   130187     break;
   130188    case 15:
   130189     $e_160 = $e_160_in;
   130190     $head82 = $e_160_in + 12 | 0;
   130191     $41 = HEAP32[$head82 >> 2] | 0;
   130192     $42 = $41;
   130193     $cmp83 = ($42 | 0) == ($leader | 0);
   130194     if ($cmp83) {
   130195       $j_3 = $j_258;
   130196       label = 21;
   130197       break;
   130198     } else {
   130199       label = 16;
   130200       break;
   130201     }
   130202    case 16:
   130203     $call87 = _UF_find($42) | 0;
   130204     $cmp88 = ($call87 | 0) == ($leader | 0);
   130205     if ($cmp88) {
   130206       label = 17;
   130207       break;
   130208     } else {
   130209       $j_3 = $j_258;
   130210       label = 21;
   130211       break;
   130212     }
   130213    case 17:
   130214     $43 = HEAP32[$head82 >> 2] | 0;
   130215     $44 = $43;
   130216     $inc92 = $j_258 + 1 | 0;
   130217     _place_leaf($tmpcast48, $44, $tmpcast, $j_258);
   130218     $ld$8$0 = $tmp93 | 0;
   130219     $45$0 = HEAP32[$ld$8$0 >> 2] | 0;
   130220     $ld$9$1 = $tmp93 + 4 | 0;
   130221     $45$1 = HEAP32[$ld$9$1 >> 2] | 0;
   130222     $st$10$0 = $lbound | 0;
   130223     HEAP32[$st$10$0 >> 2] = $45$0;
   130224     $st$11$1 = $lbound + 4 | 0;
   130225     HEAP32[$st$11$1 >> 2] = $45$1;
   130226     _unmerge_oneway($e_160);
   130227     $tail95 = $e_160_in + 16 | 0;
   130228     $46 = HEAP32[$tail95 >> 2] | 0;
   130229     $u96 = $46 + 24 | 0;
   130230     $out97 = $u96 + 160 | 0;
   130231     $list98 = $out97;
   130232     $47 = HEAP32[$list98 >> 2] | 0;
   130233     $tobool99 = ($47 | 0) == 0;
   130234     if ($tobool99) {
   130235       label = 19;
   130236       break;
   130237     } else {
   130238       label = 18;
   130239       break;
   130240     }
   130241    case 18:
   130242     $48 = $47;
   130243     $size108 = $u96 + 164 | 0;
   130244     $49 = $size108;
   130245     $50 = HEAP32[$49 >> 2] | 0;
   130246     $add109 = $50 << 2;
   130247     $mul110 = $add109 + 8 | 0;
   130248     $call111 = _grealloc($48, $mul110) | 0;
   130249     $call111_sink = $call111;
   130250     label = 20;
   130251     break;
   130252    case 19:
   130253     $size116 = $u96 + 164 | 0;
   130254     $51 = $size116;
   130255     $52 = HEAP32[$51 >> 2] | 0;
   130256     $add117 = $52 << 2;
   130257     $mul118 = $add117 + 8 | 0;
   130258     $call119 = _gmalloc($mul118) | 0;
   130259     $call111_sink = $call119;
   130260     label = 20;
   130261     break;
   130262    case 20:
   130263     $53 = $call111_sink;
   130264     $54 = HEAP32[$tail95 >> 2] | 0;
   130265     $u123 = $54 + 24 | 0;
   130266     $out124 = $u123 + 160 | 0;
   130267     $list125 = $out124;
   130268     HEAP32[$list125 >> 2] = $53;
   130269     $55 = HEAP32[$tail95 >> 2] | 0;
   130270     $u127 = $55 + 24 | 0;
   130271     $size129 = $u127 + 164 | 0;
   130272     $56 = $size129;
   130273     $57 = HEAP32[$56 >> 2] | 0;
   130274     $inc130 = $57 + 1 | 0;
   130275     HEAP32[$56 >> 2] = $inc130;
   130276     $58 = HEAP32[$tail95 >> 2] | 0;
   130277     $u132 = $58 + 24 | 0;
   130278     $out133 = $u132 + 160 | 0;
   130279     $list134 = $out133;
   130280     $59 = HEAP32[$list134 >> 2] | 0;
   130281     $arrayidx135 = $59 + ($57 << 2) | 0;
   130282     HEAP32[$arrayidx135 >> 2] = $e_160;
   130283     $60 = HEAP32[$tail95 >> 2] | 0;
   130284     $u137 = $60 + 24 | 0;
   130285     $out138 = $u137 + 160 | 0;
   130286     $size139 = $u137 + 164 | 0;
   130287     $61 = $size139;
   130288     $62 = HEAP32[$61 >> 2] | 0;
   130289     $list143 = $out138;
   130290     $63 = HEAP32[$list143 >> 2] | 0;
   130291     $arrayidx144 = $63 + ($62 << 2) | 0;
   130292     HEAP32[$arrayidx144 >> 2] = 0;
   130293     $j_3 = $inc92;
   130294     label = 21;
   130295     break;
   130296    case 21:
   130297     $call148 = _agnxtout($39, $e_160_in) | 0;
   130298     $tobool80 = ($call148 | 0) == 0;
   130299     if ($tobool80) {
   130300       label = 22;
   130301       break;
   130302     } else {
   130303       $j_258 = $j_3;
   130304       $e_160_in = $call148;
   130305       label = 15;
   130306       break;
   130307     }
   130308    case 22:
   130309     STACKTOP = __stackBase__;
   130310     return;
   130311   }
   130312 }
   130313 function _resize_leaf($agg_result, $leaf, $lbound) {
   130314   $agg_result = $agg_result | 0;
   130315   $leaf = $leaf | 0;
   130316   $lbound = $lbound | 0;
   130317   var $graph = 0, $x = 0, $lw = 0, $10 = 0, $11 = 0, $12$1 = 0, tempParam = 0, __stackBase__ = 0;
   130318   __stackBase__ = STACKTOP;
   130319   tempParam = $lbound;
   130320   $lbound = STACKTOP;
   130321   STACKTOP = STACKTOP + 8 | 0;
   130322   HEAP32[$lbound >> 2] = HEAP32[tempParam >> 2] | 0;
   130323   HEAP32[$lbound + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   130324   $graph = $leaf + 20 | 0;
   130325   _gv_nodesize($leaf, HEAP32[(HEAP32[$graph >> 2] | 0) + 156 >> 2] & 1);
   130326   HEAPF64[$leaf + 40 >> 3] = +(HEAP32[$lbound + 4 >> 2] | 0);
   130327   $x = $lbound | 0;
   130328   $lw = $leaf + 104 | 0;
   130329   HEAPF64[$leaf + 32 >> 3] = +(HEAP32[$x >> 2] | 0) + +HEAPF64[$lw >> 3];
   130330   HEAP32[$x >> 2] = ~~(+(HEAP32[$x >> 2] | 0) + +HEAPF64[$lw >> 3] + +HEAPF64[$leaf + 112 >> 3] + +(HEAP32[(HEAP32[$graph >> 2] | 0) + 260 >> 2] | 0));
   130331   $10 = $lbound;
   130332   $11 = $agg_result;
   130333   $12$1 = HEAP32[$10 + 4 >> 2] | 0;
   130334   HEAP32[$11 >> 2] = HEAP32[$10 >> 2] | 0;
   130335   HEAP32[$11 + 4 >> 2] = $12$1;
   130336   STACKTOP = __stackBase__;
   130337   return;
   130338 }
   130339 function _place_leaf($agg_result, $leaf, $lbound, $order) {
   130340   $agg_result = $agg_result | 0;
   130341   $leaf = $leaf | 0;
   130342   $lbound = $lbound | 0;
   130343   $order = $order | 0;
   130344   var $graph = 0, $0 = 0, $call = 0, $cmp = 0, $order1 = 0, $rank = 0, $1 = 0, $rank4 = 0, $2 = 0, $rank10 = 0, $3 = 0, $v = 0, $4 = 0, $arrayidx11 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   130345   __stackBase__ = STACKTOP;
   130346   tempParam = $lbound;
   130347   $lbound = STACKTOP;
   130348   STACKTOP = STACKTOP + 8 | 0;
   130349   HEAP32[$lbound >> 2] = HEAP32[tempParam >> 2] | 0;
   130350   HEAP32[$lbound + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   130351   label = 2;
   130352   while (1) switch (label | 0) {
   130353    case 2:
   130354     $graph = $leaf + 20 | 0;
   130355     $0 = HEAP32[$graph >> 2] | 0;
   130356     $call = _UF_find($leaf) | 0;
   130357     $cmp = ($call | 0) == ($leaf | 0);
   130358     if ($cmp) {
   130359       label = 4;
   130360       break;
   130361     } else {
   130362       label = 3;
   130363       break;
   130364     }
   130365    case 3:
   130366     _fast_nodeapp($call, $leaf);
   130367     label = 4;
   130368     break;
   130369    case 4:
   130370     $order1 = $leaf + 240 | 0;
   130371     HEAP32[$order1 >> 2] = $order;
   130372     $rank = $call + 236 | 0;
   130373     $1 = HEAP32[$rank >> 2] | 0;
   130374     $rank4 = $leaf + 236 | 0;
   130375     HEAP32[$rank4 >> 2] = $1;
   130376     $2 = HEAP32[$order1 >> 2] | 0;
   130377     $rank10 = $0 + 224 | 0;
   130378     $3 = HEAP32[$rank10 >> 2] | 0;
   130379     $v = $3 + ($1 * 44 & -1) + 4 | 0;
   130380     $4 = HEAP32[$v >> 2] | 0;
   130381     $arrayidx11 = $4 + ($2 << 2) | 0;
   130382     HEAP32[$arrayidx11 >> 2] = $leaf;
   130383     _resize_leaf($agg_result, $leaf, $lbound);
   130384     STACKTOP = __stackBase__;
   130385     return;
   130386   }
   130387 }
   130388 function _rec_bb($g, $root) {
   130389   $g = $g | 0;
   130390   $root = $root | 0;
   130391   var $n_cluster = 0, $0 = 0, $cmp6 = 0, $clust = 0, $c_07 = 0, $1 = 0, $arrayidx = 0, $2 = 0, $inc = 0, $3 = 0, $cmp = 0, label = 0;
   130392   label = 2;
   130393   while (1) switch (label | 0) {
   130394    case 2:
   130395     $n_cluster = $g + 212 | 0;
   130396     $0 = HEAP32[$n_cluster >> 2] | 0;
   130397     $cmp6 = ($0 | 0) < 1;
   130398     if ($cmp6) {
   130399       label = 5;
   130400       break;
   130401     } else {
   130402       label = 3;
   130403       break;
   130404     }
   130405    case 3:
   130406     $clust = $g + 216 | 0;
   130407     $c_07 = 1;
   130408     label = 4;
   130409     break;
   130410    case 4:
   130411     $1 = HEAP32[$clust >> 2] | 0;
   130412     $arrayidx = $1 + ($c_07 << 2) | 0;
   130413     $2 = HEAP32[$arrayidx >> 2] | 0;
   130414     _rec_bb($2, $root);
   130415     $inc = $c_07 + 1 | 0;
   130416     $3 = HEAP32[$n_cluster >> 2] | 0;
   130417     $cmp = ($inc | 0) > ($3 | 0);
   130418     if ($cmp) {
   130419       label = 5;
   130420       break;
   130421     } else {
   130422       $c_07 = $inc;
   130423       label = 4;
   130424       break;
   130425     }
   130426    case 5:
   130427     _dot_compute_bb($g, $root);
   130428     return;
   130429   }
   130430 }
   130431 function _idealsize($g) {
   130432   $g = $g | 0;
   130433   var $relpage = 0, $margin = 0, $tmp = 0, $tmp5 = 0, $drawing = 0, $0 = 0, $page = 0, $1 = 0, $2 = 0, $x = 0, $3 = 0.0, $cmp = 0, $y = 0, $4 = 0.0, $cmp1 = 0, $5 = 0, $margin4 = 0, $6 = 0, $7 = 0, $8 = 0, $9 = 0, $x7 = 0, $10 = 0.0, $y12 = 0, $11 = 0.0, $12 = 0.0, $div = 0.0, $13 = 0.0, $div18 = 0.0, $cmp19 = 0, $cmp20 = 0, $or_cond = 0, $cmp23 = 0, $cond = 0.0, $cmp24 = 0, $cond28 = 0.0, $mul = 0.0, $div31 = 0.0, $call = 0.0, $mul33 = 0.0, $div35 = 0.0, $mul37 = 0.0, $div39 = 0.0, $call40 = 0.0, $mul42 = 0.0, $div44 = 0.0, $mul46 = 0.0, $14 = 0, $x49 = 0, $mul51 = 0.0, $15 = 0, $y55 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   130434   __stackBase__ = STACKTOP;
   130435   STACKTOP = STACKTOP + 64 | 0;
   130436   label = 2;
   130437   while (1) switch (label | 0) {
   130438    case 2:
   130439     $relpage = __stackBase__ | 0;
   130440     $margin = __stackBase__ + 16 | 0;
   130441     $tmp = __stackBase__ + 32 | 0;
   130442     $tmp5 = __stackBase__ + 48 | 0;
   130443     $drawing = $g + 48 | 0;
   130444     $0 = HEAP32[$drawing >> 2] | 0;
   130445     $page = $0 + 48 | 0;
   130446     $1 = $relpage;
   130447     $2 = $page;
   130448     HEAP32[$1 >> 2] = HEAP32[$2 >> 2] | 0;
   130449     HEAP32[$1 + 4 >> 2] = HEAP32[$2 + 4 >> 2] | 0;
   130450     HEAP32[$1 + 8 >> 2] = HEAP32[$2 + 8 >> 2] | 0;
   130451     HEAP32[$1 + 12 >> 2] = HEAP32[$2 + 12 >> 2] | 0;
   130452     $x = $relpage | 0;
   130453     $3 = +HEAPF64[$x >> 3];
   130454     $cmp = $3 < .001;
   130455     if ($cmp) {
   130456       $retval_0 = 0;
   130457       label = 6;
   130458       break;
   130459     } else {
   130460       label = 3;
   130461       break;
   130462     }
   130463    case 3:
   130464     $y = $relpage + 8 | 0;
   130465     $4 = +HEAPF64[$y >> 3];
   130466     $cmp1 = $4 < .001;
   130467     if ($cmp1) {
   130468       $retval_0 = 0;
   130469       label = 6;
   130470       break;
   130471     } else {
   130472       label = 4;
   130473       break;
   130474     }
   130475    case 4:
   130476     $5 = HEAP32[$drawing >> 2] | 0;
   130477     $margin4 = $5 + 32 | 0;
   130478     $6 = $margin;
   130479     $7 = $margin4;
   130480     HEAP32[$6 >> 2] = HEAP32[$7 >> 2] | 0;
   130481     HEAP32[$6 + 4 >> 2] = HEAP32[$7 + 4 >> 2] | 0;
   130482     HEAP32[$6 + 8 >> 2] = HEAP32[$7 + 8 >> 2] | 0;
   130483     HEAP32[$6 + 12 >> 2] = HEAP32[$7 + 12 >> 2] | 0;
   130484     _sub_pointf3019($tmp, $relpage, $margin);
   130485     $8 = $tmp;
   130486     HEAP32[$1 >> 2] = HEAP32[$8 >> 2] | 0;
   130487     HEAP32[$1 + 4 >> 2] = HEAP32[$8 + 4 >> 2] | 0;
   130488     HEAP32[$1 + 8 >> 2] = HEAP32[$8 + 8 >> 2] | 0;
   130489     HEAP32[$1 + 12 >> 2] = HEAP32[$8 + 12 >> 2] | 0;
   130490     _sub_pointf3019($tmp5, $relpage, $margin);
   130491     $9 = $tmp5;
   130492     HEAP32[$1 >> 2] = HEAP32[$9 >> 2] | 0;
   130493     HEAP32[$1 + 4 >> 2] = HEAP32[$9 + 4 >> 2] | 0;
   130494     HEAP32[$1 + 8 >> 2] = HEAP32[$9 + 8 >> 2] | 0;
   130495     HEAP32[$1 + 12 >> 2] = HEAP32[$9 + 12 >> 2] | 0;
   130496     $x7 = $g + 72 | 0;
   130497     $10 = +HEAPF64[$x7 >> 3];
   130498     $y12 = $g + 80 | 0;
   130499     $11 = +HEAPF64[$y12 >> 3];
   130500     $12 = +HEAPF64[$x >> 3];
   130501     $div = $12 / $10;
   130502     $13 = +HEAPF64[$y >> 3];
   130503     $div18 = $13 / $11;
   130504     $cmp19 = $div < 1.0;
   130505     $cmp20 = $div18 < 1.0;
   130506     $or_cond = $cmp19 | $cmp20;
   130507     if ($or_cond) {
   130508       label = 5;
   130509       break;
   130510     } else {
   130511       $retval_0 = 0;
   130512       label = 6;
   130513       break;
   130514     }
   130515    case 5:
   130516     $cmp23 = $div < $div18;
   130517     $cond = $cmp23 ? $div : $div18;
   130518     $cmp24 = $cond > .5;
   130519     $cond28 = $cmp24 ? $cond : .5;
   130520     $mul = $10 * $cond28;
   130521     $div31 = $mul / $12;
   130522     $call = +Math_ceil(+$div31);
   130523     $mul33 = $12 * $call;
   130524     $div35 = $mul33 / $10;
   130525     $mul37 = $11 * $cond28;
   130526     $div39 = $mul37 / $13;
   130527     $call40 = +Math_ceil(+$div39);
   130528     $mul42 = $13 * $call40;
   130529     $div44 = $mul42 / $11;
   130530     $mul46 = $10 * $div35;
   130531     $14 = HEAP32[$drawing >> 2] | 0;
   130532     $x49 = $14 + 64 | 0;
   130533     HEAPF64[$x49 >> 3] = $mul46;
   130534     $mul51 = $11 * $div44;
   130535     $15 = HEAP32[$drawing >> 2] | 0;
   130536     $y55 = $15 + 72 | 0;
   130537     HEAPF64[$y55 >> 3] = $mul51;
   130538     $retval_0 = 1;
   130539     label = 6;
   130540     break;
   130541    case 6:
   130542     STACKTOP = __stackBase__;
   130543     return $retval_0 | 0;
   130544   }
   130545   return 0;
   130546 }
   130547 function _make_aux_edge($u, $v, $len, $wt) {
   130548   $u = $u | 0;
   130549   $v = $v | 0;
   130550   $len = +$len;
   130551   $wt = $wt | 0;
   130552   var $call = 0, $0 = 0, $tail = 0, $1 = 0, $head = 0, $2 = 0, $cmp = 0, $cmp1 = 0, $add = 0.0, $sub = 0.0, $add_sink = 0.0, $conv = 0, $conv3 = 0, $minlen = 0, $3 = 0, $conv5 = 0.0, $weight = 0, $4 = 0, $call7 = 0, label = 0;
   130553   label = 2;
   130554   while (1) switch (label | 0) {
   130555    case 2:
   130556     $call = _zmalloc(192) | 0;
   130557     $0 = $call;
   130558     $tail = $call + 16 | 0;
   130559     $1 = $tail;
   130560     HEAP32[$1 >> 2] = $u;
   130561     $head = $call + 12 | 0;
   130562     $2 = $head;
   130563     HEAP32[$2 >> 2] = $v;
   130564     $cmp = $len > 65535.0;
   130565     if ($cmp) {
   130566       label = 3;
   130567       break;
   130568     } else {
   130569       label = 4;
   130570       break;
   130571     }
   130572    case 3:
   130573     _largeMinlen($len);
   130574     return 0;
   130575    case 4:
   130576     $cmp1 = $len < 0.0;
   130577     if ($cmp1) {
   130578       label = 6;
   130579       break;
   130580     } else {
   130581       label = 5;
   130582       break;
   130583     }
   130584    case 5:
   130585     $add = $len + .5;
   130586     $add_sink = $add;
   130587     label = 7;
   130588     break;
   130589    case 6:
   130590     $sub = $len + -.5;
   130591     $add_sink = $sub;
   130592     label = 7;
   130593     break;
   130594    case 7:
   130595     $conv = ~~$add_sink;
   130596     $conv3 = $conv & 65535;
   130597     $minlen = $call + 186 | 0;
   130598     $3 = $minlen;
   130599     HEAP16[$3 >> 1] = $conv3;
   130600     $conv5 = +($wt | 0);
   130601     $weight = $call + 172 | 0;
   130602     $4 = $weight;
   130603     HEAPF32[$4 >> 2] = $conv5;
   130604     $call7 = _fast_edge($0) | 0;
   130605     return $0 | 0;
   130606   }
   130607   return 0;
   130608 }
   130609 function _largeMinlen($l) {
   130610   $l = +$l;
   130611   _agerr(1, 100240, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = $l, HEAP32[tempInt + 8 >> 2] = 65535, tempInt) | 0);
   130612   _exit(1);
   130613 }
   130614 function _sub_pointf3019($agg_result, $p, $q) {
   130615   $agg_result = $agg_result | 0;
   130616   $p = $p | 0;
   130617   $q = $q | 0;
   130618   var $sub4 = 0.0, tempParam = 0, __stackBase__ = 0;
   130619   __stackBase__ = STACKTOP;
   130620   tempParam = $p;
   130621   $p = STACKTOP;
   130622   STACKTOP = STACKTOP + 16 | 0;
   130623   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   130624   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   130625   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   130626   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   130627   tempParam = $q;
   130628   $q = STACKTOP;
   130629   STACKTOP = STACKTOP + 16 | 0;
   130630   HEAP32[$q >> 2] = HEAP32[tempParam >> 2] | 0;
   130631   HEAP32[$q + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   130632   HEAP32[$q + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   130633   HEAP32[$q + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   130634   $sub4 = +HEAPF64[$p + 8 >> 3] - +HEAPF64[$q + 8 >> 3];
   130635   HEAPF64[$agg_result >> 3] = +HEAPF64[$p >> 3] - +HEAPF64[$q >> 3];
   130636   HEAPF64[$agg_result + 8 >> 3] = $sub4;
   130637   STACKTOP = __stackBase__;
   130638   return;
   130639 }
   130640 function _dot_compute_bb($g, $root) {
   130641   $g = $g | 0;
   130642   $root = $root | 0;
   130643   var $root1 = 0, $0 = 0, $cmp = 0, $minrank = 0, $1 = 0, $maxrank = 0, $2 = 0, $cmp678 = 0, $conv = 0, $rank = 0, $3 = 0, $4 = 0, $conv5 = 0, $UR_sroa_0_0_lcssa = 0.0, $LL_sroa_0_0_lcssa = 0.0, $n_cluster = 0, $5 = 0, $cmp9460 = 0, $clust = 0, $6 = 0, $7 = 0, $r_081 = 0, $UR_sroa_0_080 = 0.0, $LL_sroa_0_079 = 0.0, $n = 0, $8 = 0, $cmp9 = 0, $v15 = 0, $9 = 0, $10 = 0, $cmp17 = 0, $node_type65 = 0, $11 = 0, $cmp2466 = 0, $cmp2667 = 0, $or_cond68 = 0, $12 = 0, $v32 = 0, $13 = 0, $c_069 = 0, $arrayidx33 = 0, $14 = 0, $inc = 0, $node_type = 0, $15 = 0, $cmp24 = 0, $cmp26 = 0, $or_cond = 0, $_lcssa = 0, $v_0_lcssa = 0, $cmp37 = 0, $x41 = 0, $16 = 0.0, $lw = 0, $17 = 0.0, $sub = 0.0, $conv43 = 0, $conv45 = 0.0, $cmp46 = 0, $LL_sroa_0_0_conv45 = 0.0, $sub52 = 0, $18 = 0, $v56 = 0, $19 = 0, $arrayidx57 = 0, $v_172 = 0, $node_type6173 = 0, $20 = 0, $cmp6374 = 0, $sub58 = 0, $21 = 0, $v69 = 0, $22 = 0, $c_175 = 0, $arrayidx70 = 0, $dec = 0, $v_1 = 0, $node_type61 = 0, $23 = 0, $cmp63 = 0, $v_1_lcssa = 0, $x75 = 0, $24 = 0.0, $rw = 0, $25 = 0.0, $add = 0.0, $conv77 = 0, $conv79 = 0.0, $cmp80 = 0, $UR_sroa_0_0_conv79 = 0.0, $LL_sroa_0_1 = 0.0, $UR_sroa_0_1 = 0.0, $inc90 = 0, $cmp6 = 0, $UR_sroa_0_263 = 0.0, $c_262 = 0, $LL_sroa_0_261 = 0.0, $arrayidx98 = 0, $26 = 0, $x101 = 0, $27 = 0.0, $sub103 = 0.0, $conv104 = 0, $conv106 = 0.0, $cmp107 = 0, $LL_sroa_0_2_conv106 = 0.0, $x122 = 0, $28 = 0.0, $add124 = 0.0, $conv125 = 0, $conv127 = 0.0, $cmp128 = 0, $cond135 = 0.0, $inc138 = 0, $cmp94 = 0, $ln = 0, $29 = 0, $rank143 = 0, $30 = 0, $conv144 = 0.0, $rn = 0, $31 = 0, $rank148 = 0, $32 = 0, $conv149 = 0.0, $LL_sroa_0_3 = 0.0, $UR_sroa_0_3 = 0.0, $maxrank153 = 0, $33 = 0, $idxprom = 0, $rank155 = 0, $34 = 0, $v157 = 0, $35 = 0, $36 = 0, $y = 0, $37 = 0.0, $ht1 = 0, $38 = 0, $conv162 = 0.0, $sub163 = 0.0, $minrank166 = 0, $39 = 0, $idxprom167 = 0, $v171 = 0, $40 = 0, $41 = 0, $y175 = 0, $42 = 0.0, $ht2 = 0, $43 = 0, $conv177 = 0.0, $add178 = 0.0, $LL_sroa_0_0__idx = 0, $LL_sroa_1_8__idx11 = 0, $UR_sroa_0_0__idx = 0, $UR_sroa_1_8__idx7 = 0, label = 0;
   130644   label = 2;
   130645   while (1) switch (label | 0) {
   130646    case 2:
   130647     $root1 = $g + 32 | 0;
   130648     $0 = HEAP32[$root1 >> 2] | 0;
   130649     $cmp = ($0 | 0) == ($g | 0);
   130650     if ($cmp) {
   130651       label = 3;
   130652       break;
   130653     } else {
   130654       label = 19;
   130655       break;
   130656     }
   130657    case 3:
   130658     $minrank = $g + 248 | 0;
   130659     $1 = HEAP16[$minrank >> 1] | 0;
   130660     $maxrank = $g + 250 | 0;
   130661     $2 = HEAP16[$maxrank >> 1] | 0;
   130662     $cmp678 = $1 << 16 >> 16 > $2 << 16 >> 16;
   130663     if ($cmp678) {
   130664       $LL_sroa_0_0_lcssa = 2147483647.0;
   130665       $UR_sroa_0_0_lcssa = -2147483647.0;
   130666       label = 5;
   130667       break;
   130668     } else {
   130669       label = 4;
   130670       break;
   130671     }
   130672    case 4:
   130673     $conv = $1 << 16 >> 16;
   130674     $rank = $g + 224 | 0;
   130675     $3 = HEAP32[$rank >> 2] | 0;
   130676     $4 = HEAP16[$maxrank >> 1] | 0;
   130677     $conv5 = $4 << 16 >> 16;
   130678     $LL_sroa_0_079 = 2147483647.0;
   130679     $UR_sroa_0_080 = -2147483647.0;
   130680     $r_081 = $conv;
   130681     label = 7;
   130682     break;
   130683    case 5:
   130684     $n_cluster = $g + 212 | 0;
   130685     $5 = HEAP32[$n_cluster >> 2] | 0;
   130686     $cmp9460 = ($5 | 0) < 1;
   130687     if ($cmp9460) {
   130688       $UR_sroa_0_3 = $UR_sroa_0_0_lcssa;
   130689       $LL_sroa_0_3 = $LL_sroa_0_0_lcssa;
   130690       label = 20;
   130691       break;
   130692     } else {
   130693       label = 6;
   130694       break;
   130695     }
   130696    case 6:
   130697     $clust = $g + 216 | 0;
   130698     $6 = HEAP32[$clust >> 2] | 0;
   130699     $7 = HEAP32[$n_cluster >> 2] | 0;
   130700     $LL_sroa_0_261 = $LL_sroa_0_0_lcssa;
   130701     $c_262 = 1;
   130702     $UR_sroa_0_263 = $UR_sroa_0_0_lcssa;
   130703     label = 18;
   130704     break;
   130705    case 7:
   130706     $n = $3 + ($r_081 * 44 & -1) | 0;
   130707     $8 = HEAP32[$n >> 2] | 0;
   130708     $cmp9 = ($8 | 0) == 0;
   130709     if ($cmp9) {
   130710       $UR_sroa_0_1 = $UR_sroa_0_080;
   130711       $LL_sroa_0_1 = $LL_sroa_0_079;
   130712       label = 17;
   130713       break;
   130714     } else {
   130715       label = 8;
   130716       break;
   130717     }
   130718    case 8:
   130719     $v15 = $3 + ($r_081 * 44 & -1) + 4 | 0;
   130720     $9 = HEAP32[$v15 >> 2] | 0;
   130721     $10 = HEAP32[$9 >> 2] | 0;
   130722     $cmp17 = ($10 | 0) == 0;
   130723     if ($cmp17) {
   130724       $UR_sroa_0_1 = $UR_sroa_0_080;
   130725       $LL_sroa_0_1 = $LL_sroa_0_079;
   130726       label = 17;
   130727       break;
   130728     } else {
   130729       label = 9;
   130730       break;
   130731     }
   130732    case 9:
   130733     $node_type65 = $10 + 162 | 0;
   130734     $11 = HEAP8[$node_type65] | 0;
   130735     $cmp2466 = $11 << 24 >> 24 != 0;
   130736     $cmp2667 = ($8 | 0) > 1;
   130737     $or_cond68 = $cmp2466 & $cmp2667;
   130738     if ($or_cond68) {
   130739       label = 10;
   130740       break;
   130741     } else {
   130742       $v_0_lcssa = $10;
   130743       $_lcssa = $11;
   130744       label = 12;
   130745       break;
   130746     }
   130747    case 10:
   130748     $12 = HEAP32[$rank >> 2] | 0;
   130749     $v32 = $12 + ($r_081 * 44 & -1) + 4 | 0;
   130750     $13 = HEAP32[$v32 >> 2] | 0;
   130751     $c_069 = 1;
   130752     label = 11;
   130753     break;
   130754    case 11:
   130755     $arrayidx33 = $13 + ($c_069 << 2) | 0;
   130756     $14 = HEAP32[$arrayidx33 >> 2] | 0;
   130757     $inc = $c_069 + 1 | 0;
   130758     $node_type = $14 + 162 | 0;
   130759     $15 = HEAP8[$node_type] | 0;
   130760     $cmp24 = $15 << 24 >> 24 != 0;
   130761     $cmp26 = ($inc | 0) < ($8 | 0);
   130762     $or_cond = $cmp24 & $cmp26;
   130763     if ($or_cond) {
   130764       $c_069 = $inc;
   130765       label = 11;
   130766       break;
   130767     } else {
   130768       $v_0_lcssa = $14;
   130769       $_lcssa = $15;
   130770       label = 12;
   130771       break;
   130772     }
   130773    case 12:
   130774     $cmp37 = $_lcssa << 24 >> 24 == 0;
   130775     if ($cmp37) {
   130776       label = 13;
   130777       break;
   130778     } else {
   130779       $UR_sroa_0_1 = $UR_sroa_0_080;
   130780       $LL_sroa_0_1 = $LL_sroa_0_079;
   130781       label = 17;
   130782       break;
   130783     }
   130784    case 13:
   130785     $x41 = $v_0_lcssa + 32 | 0;
   130786     $16 = +HEAPF64[$x41 >> 3];
   130787     $lw = $v_0_lcssa + 104 | 0;
   130788     $17 = +HEAPF64[$lw >> 3];
   130789     $sub = $16 - $17;
   130790     $conv43 = ~~$sub;
   130791     $conv45 = +($conv43 | 0);
   130792     $cmp46 = $LL_sroa_0_079 < $conv45;
   130793     $LL_sroa_0_0_conv45 = $cmp46 ? $LL_sroa_0_079 : $conv45;
   130794     $sub52 = $8 - 1 | 0;
   130795     $18 = HEAP32[$rank >> 2] | 0;
   130796     $v56 = $18 + ($r_081 * 44 & -1) + 4 | 0;
   130797     $19 = HEAP32[$v56 >> 2] | 0;
   130798     $arrayidx57 = $19 + ($sub52 << 2) | 0;
   130799     $v_172 = HEAP32[$arrayidx57 >> 2] | 0;
   130800     $node_type6173 = $v_172 + 162 | 0;
   130801     $20 = HEAP8[$node_type6173] | 0;
   130802     $cmp6374 = $20 << 24 >> 24 == 0;
   130803     if ($cmp6374) {
   130804       $v_1_lcssa = $v_172;
   130805       label = 16;
   130806       break;
   130807     } else {
   130808       label = 14;
   130809       break;
   130810     }
   130811    case 14:
   130812     $sub58 = $8 - 2 | 0;
   130813     $21 = HEAP32[$rank >> 2] | 0;
   130814     $v69 = $21 + ($r_081 * 44 & -1) + 4 | 0;
   130815     $22 = HEAP32[$v69 >> 2] | 0;
   130816     $c_175 = $sub58;
   130817     label = 15;
   130818     break;
   130819    case 15:
   130820     $arrayidx70 = $22 + ($c_175 << 2) | 0;
   130821     $dec = $c_175 - 1 | 0;
   130822     $v_1 = HEAP32[$arrayidx70 >> 2] | 0;
   130823     $node_type61 = $v_1 + 162 | 0;
   130824     $23 = HEAP8[$node_type61] | 0;
   130825     $cmp63 = $23 << 24 >> 24 == 0;
   130826     if ($cmp63) {
   130827       $v_1_lcssa = $v_1;
   130828       label = 16;
   130829       break;
   130830     } else {
   130831       $c_175 = $dec;
   130832       label = 15;
   130833       break;
   130834     }
   130835    case 16:
   130836     $x75 = $v_1_lcssa + 32 | 0;
   130837     $24 = +HEAPF64[$x75 >> 3];
   130838     $rw = $v_1_lcssa + 112 | 0;
   130839     $25 = +HEAPF64[$rw >> 3];
   130840     $add = $24 + $25;
   130841     $conv77 = ~~$add;
   130842     $conv79 = +($conv77 | 0);
   130843     $cmp80 = $UR_sroa_0_080 > $conv79;
   130844     $UR_sroa_0_0_conv79 = $cmp80 ? $UR_sroa_0_080 : $conv79;
   130845     $UR_sroa_0_1 = $UR_sroa_0_0_conv79;
   130846     $LL_sroa_0_1 = $LL_sroa_0_0_conv45;
   130847     label = 17;
   130848     break;
   130849    case 17:
   130850     $inc90 = $r_081 + 1 | 0;
   130851     $cmp6 = ($inc90 | 0) > ($conv5 | 0);
   130852     if ($cmp6) {
   130853       $LL_sroa_0_0_lcssa = $LL_sroa_0_1;
   130854       $UR_sroa_0_0_lcssa = $UR_sroa_0_1;
   130855       label = 5;
   130856       break;
   130857     } else {
   130858       $LL_sroa_0_079 = $LL_sroa_0_1;
   130859       $UR_sroa_0_080 = $UR_sroa_0_1;
   130860       $r_081 = $inc90;
   130861       label = 7;
   130862       break;
   130863     }
   130864    case 18:
   130865     $arrayidx98 = $6 + ($c_262 << 2) | 0;
   130866     $26 = HEAP32[$arrayidx98 >> 2] | 0;
   130867     $x101 = $26 + 56 | 0;
   130868     $27 = +HEAPF64[$x101 >> 3];
   130869     $sub103 = $27 + -8.0;
   130870     $conv104 = ~~$sub103;
   130871     $conv106 = +($conv104 | 0);
   130872     $cmp107 = $LL_sroa_0_261 < $conv106;
   130873     $LL_sroa_0_2_conv106 = $cmp107 ? $LL_sroa_0_261 : $conv106;
   130874     $x122 = $26 + 72 | 0;
   130875     $28 = +HEAPF64[$x122 >> 3];
   130876     $add124 = $28 + 8.0;
   130877     $conv125 = ~~$add124;
   130878     $conv127 = +($conv125 | 0);
   130879     $cmp128 = $UR_sroa_0_263 > $conv127;
   130880     $cond135 = $cmp128 ? $UR_sroa_0_263 : $conv127;
   130881     $inc138 = $c_262 + 1 | 0;
   130882     $cmp94 = ($inc138 | 0) > ($7 | 0);
   130883     if ($cmp94) {
   130884       $UR_sroa_0_3 = $cond135;
   130885       $LL_sroa_0_3 = $LL_sroa_0_2_conv106;
   130886       label = 20;
   130887       break;
   130888     } else {
   130889       $LL_sroa_0_261 = $LL_sroa_0_2_conv106;
   130890       $c_262 = $inc138;
   130891       $UR_sroa_0_263 = $cond135;
   130892       label = 18;
   130893       break;
   130894     }
   130895    case 19:
   130896     $ln = $g + 268 | 0;
   130897     $29 = HEAP32[$ln >> 2] | 0;
   130898     $rank143 = $29 + 236 | 0;
   130899     $30 = HEAP32[$rank143 >> 2] | 0;
   130900     $conv144 = +($30 | 0);
   130901     $rn = $g + 272 | 0;
   130902     $31 = HEAP32[$rn >> 2] | 0;
   130903     $rank148 = $31 + 236 | 0;
   130904     $32 = HEAP32[$rank148 >> 2] | 0;
   130905     $conv149 = +($32 | 0);
   130906     $UR_sroa_0_3 = $conv149;
   130907     $LL_sroa_0_3 = $conv144;
   130908     label = 20;
   130909     break;
   130910    case 20:
   130911     $maxrank153 = $g + 250 | 0;
   130912     $33 = HEAP16[$maxrank153 >> 1] | 0;
   130913     $idxprom = $33 << 16 >> 16;
   130914     $rank155 = $root + 224 | 0;
   130915     $34 = HEAP32[$rank155 >> 2] | 0;
   130916     $v157 = $34 + ($idxprom * 44 & -1) + 4 | 0;
   130917     $35 = HEAP32[$v157 >> 2] | 0;
   130918     $36 = HEAP32[$35 >> 2] | 0;
   130919     $y = $36 + 40 | 0;
   130920     $37 = +HEAPF64[$y >> 3];
   130921     $ht1 = $g + 160 | 0;
   130922     $38 = HEAP32[$ht1 >> 2] | 0;
   130923     $conv162 = +($38 | 0);
   130924     $sub163 = $37 - $conv162;
   130925     $minrank166 = $g + 248 | 0;
   130926     $39 = HEAP16[$minrank166 >> 1] | 0;
   130927     $idxprom167 = $39 << 16 >> 16;
   130928     $v171 = $34 + ($idxprom167 * 44 & -1) + 4 | 0;
   130929     $40 = HEAP32[$v171 >> 2] | 0;
   130930     $41 = HEAP32[$40 >> 2] | 0;
   130931     $y175 = $41 + 40 | 0;
   130932     $42 = +HEAPF64[$y175 >> 3];
   130933     $ht2 = $g + 164 | 0;
   130934     $43 = HEAP32[$ht2 >> 2] | 0;
   130935     $conv177 = +($43 | 0);
   130936     $add178 = $42 + $conv177;
   130937     $LL_sroa_0_0__idx = $g + 56 | 0;
   130938     HEAPF64[$LL_sroa_0_0__idx >> 3] = $LL_sroa_0_3;
   130939     $LL_sroa_1_8__idx11 = $g + 64 | 0;
   130940     HEAPF64[$LL_sroa_1_8__idx11 >> 3] = $sub163;
   130941     $UR_sroa_0_0__idx = $g + 72 | 0;
   130942     HEAPF64[$UR_sroa_0_0__idx >> 3] = $UR_sroa_0_3;
   130943     $UR_sroa_1_8__idx7 = $g + 80 | 0;
   130944     HEAPF64[$UR_sroa_1_8__idx7 >> 3] = $add178;
   130945     return;
   130946   }
   130947 }
   130948 function _adjustEqual($g, $delta) {
   130949   $g = $g | 0;
   130950   $delta = $delta | 0;
   130951   var $root1 = 0, $0 = 0, $rank2 = 0, $1 = 0, $maxrank = 0, $2 = 0, $conv = 0, $minrank = 0, $3 = 0, $conv5 = 0, $ht2 = 0, $4 = 0, $ht27 = 0, $5 = 0, $sub = 0, $ht1 = 0, $6 = 0, $ht110 = 0, $7 = 0, $sub11 = 0, $add = 0, $cmp = 0, $add13 = 0, $div = 0, $cmp14 = 0, $cmp17 = 0, $add22 = 0, $sub23 = 0, $8 = 0, $add26 = 0, $sub30 = 0, $9 = 0, $add33 = 0, $cmp35 = 0, $add40 = 0, $sub41 = 0, $10 = 0, $add44 = 0, $sub49 = 0, $11 = 0, $add52 = 0, $sub56 = 0, $add57 = 0, $sub58 = 0, $add59 = 0, $div60 = 0, $maxrank62 = 0, $12 = 0, $conv63 = 0, $r_048 = 0, $minrank66 = 0, $13 = 0, $conv6749 = 0, $cmp6850 = 0, $r_052 = 0, $y_051 = 0, $n = 0, $14 = 0, $cmp71 = 0, $conv74 = 0.0, $v = 0, $15 = 0, $16 = 0, $y78 = 0, $17 = 0.0, $add79 = 0.0, $add81 = 0, $r_0 = 0, $18 = 0, $conv67 = 0, $cmp68 = 0, $19 = 0, $add84 = 0, $20 = 0, $add87 = 0, label = 0;
   130952   label = 2;
   130953   while (1) switch (label | 0) {
   130954    case 2:
   130955     $root1 = $g + 32 | 0;
   130956     $0 = HEAP32[$root1 >> 2] | 0;
   130957     $rank2 = $0 + 224 | 0;
   130958     $1 = HEAP32[$rank2 >> 2] | 0;
   130959     $maxrank = $g + 250 | 0;
   130960     $2 = HEAP16[$maxrank >> 1] | 0;
   130961     $conv = $2 << 16 >> 16;
   130962     $minrank = $g + 248 | 0;
   130963     $3 = HEAP16[$minrank >> 1] | 0;
   130964     $conv5 = $3 << 16 >> 16;
   130965     $ht2 = $1 + ($conv5 * 44 & -1) + 20 | 0;
   130966     $4 = HEAP32[$ht2 >> 2] | 0;
   130967     $ht27 = $g + 164 | 0;
   130968     $5 = HEAP32[$ht27 >> 2] | 0;
   130969     $sub = $4 - $5 | 0;
   130970     $ht1 = $1 + ($conv * 44 & -1) + 16 | 0;
   130971     $6 = HEAP32[$ht1 >> 2] | 0;
   130972     $ht110 = $g + 160 | 0;
   130973     $7 = HEAP32[$ht110 >> 2] | 0;
   130974     $sub11 = $6 - $7 | 0;
   130975     $add = $sub11 + $sub | 0;
   130976     $cmp = ($add | 0) < ($delta | 0);
   130977     if ($cmp) {
   130978       label = 10;
   130979       break;
   130980     } else {
   130981       label = 3;
   130982       break;
   130983     }
   130984    case 3:
   130985     $add13 = $delta + 1 | 0;
   130986     $div = ($add13 | 0) / 2 & -1;
   130987     $cmp14 = ($sub | 0) > ($sub11 | 0);
   130988     if ($cmp14) {
   130989       label = 7;
   130990       break;
   130991     } else {
   130992       label = 4;
   130993       break;
   130994     }
   130995    case 4:
   130996     $cmp17 = ($div | 0) > ($sub | 0);
   130997     if ($cmp17) {
   130998       label = 6;
   130999       break;
   131000     } else {
   131001       label = 5;
   131002       break;
   131003     }
   131004    case 5:
   131005     $add22 = $div + $5 | 0;
   131006     HEAP32[$ht27 >> 2] = $add22;
   131007     $sub23 = $delta - $div | 0;
   131008     $8 = HEAP32[$ht110 >> 2] | 0;
   131009     $add26 = $sub23 + $8 | 0;
   131010     HEAP32[$ht110 >> 2] = $add26;
   131011     label = 15;
   131012     break;
   131013    case 6:
   131014     HEAP32[$ht27 >> 2] = $4;
   131015     $sub30 = $delta - $sub | 0;
   131016     $9 = HEAP32[$ht110 >> 2] | 0;
   131017     $add33 = $sub30 + $9 | 0;
   131018     HEAP32[$ht110 >> 2] = $add33;
   131019     label = 15;
   131020     break;
   131021    case 7:
   131022     $cmp35 = ($div | 0) > ($sub11 | 0);
   131023     if ($cmp35) {
   131024       label = 9;
   131025       break;
   131026     } else {
   131027       label = 8;
   131028       break;
   131029     }
   131030    case 8:
   131031     $add40 = $div + $7 | 0;
   131032     HEAP32[$ht110 >> 2] = $add40;
   131033     $sub41 = $delta - $div | 0;
   131034     $10 = HEAP32[$ht27 >> 2] | 0;
   131035     $add44 = $sub41 + $10 | 0;
   131036     HEAP32[$ht27 >> 2] = $add44;
   131037     label = 15;
   131038     break;
   131039    case 9:
   131040     HEAP32[$ht110 >> 2] = $6;
   131041     $sub49 = $delta - $sub11 | 0;
   131042     $11 = HEAP32[$ht27 >> 2] | 0;
   131043     $add52 = $sub49 + $11 | 0;
   131044     HEAP32[$ht27 >> 2] = $add52;
   131045     label = 15;
   131046     break;
   131047    case 10:
   131048     $sub56 = $conv - $conv5 | 0;
   131049     $add57 = $sub56 + 2 | 0;
   131050     $sub58 = $delta + 1 | 0;
   131051     $add59 = $sub58 + $sub56 | 0;
   131052     $div60 = ($add59 | 0) / ($add57 | 0) & -1;
   131053     $maxrank62 = $0 + 250 | 0;
   131054     $12 = HEAP16[$maxrank62 >> 1] | 0;
   131055     $conv63 = $12 << 16 >> 16;
   131056     $r_048 = $conv63 - 1 | 0;
   131057     $minrank66 = $0 + 248 | 0;
   131058     $13 = HEAP16[$minrank66 >> 1] | 0;
   131059     $conv6749 = $13 << 16 >> 16;
   131060     $cmp6850 = ($r_048 | 0) < ($conv6749 | 0);
   131061     if ($cmp6850) {
   131062       label = 14;
   131063       break;
   131064     } else {
   131065       $y_051 = $div60;
   131066       $r_052 = $r_048;
   131067       label = 11;
   131068       break;
   131069     }
   131070    case 11:
   131071     $n = $1 + ($r_052 * 44 & -1) | 0;
   131072     $14 = HEAP32[$n >> 2] | 0;
   131073     $cmp71 = ($14 | 0) > 0;
   131074     if ($cmp71) {
   131075       label = 12;
   131076       break;
   131077     } else {
   131078       label = 13;
   131079       break;
   131080     }
   131081    case 12:
   131082     $conv74 = +($y_051 | 0);
   131083     $v = $1 + ($r_052 * 44 & -1) + 4 | 0;
   131084     $15 = HEAP32[$v >> 2] | 0;
   131085     $16 = HEAP32[$15 >> 2] | 0;
   131086     $y78 = $16 + 40 | 0;
   131087     $17 = +HEAPF64[$y78 >> 3];
   131088     $add79 = $conv74 + $17;
   131089     HEAPF64[$y78 >> 3] = $add79;
   131090     label = 13;
   131091     break;
   131092    case 13:
   131093     $add81 = $y_051 + $div60 | 0;
   131094     $r_0 = $r_052 - 1 | 0;
   131095     $18 = HEAP16[$minrank66 >> 1] | 0;
   131096     $conv67 = $18 << 16 >> 16;
   131097     $cmp68 = ($r_0 | 0) < ($conv67 | 0);
   131098     if ($cmp68) {
   131099       label = 14;
   131100       break;
   131101     } else {
   131102       $y_051 = $add81;
   131103       $r_052 = $r_0;
   131104       label = 11;
   131105       break;
   131106     }
   131107    case 14:
   131108     $19 = HEAP32[$ht27 >> 2] | 0;
   131109     $add84 = $19 + $div60 | 0;
   131110     HEAP32[$ht27 >> 2] = $add84;
   131111     $20 = HEAP32[$ht110 >> 2] | 0;
   131112     $add87 = $20 + $div60 | 0;
   131113     HEAP32[$ht110 >> 2] = $add87;
   131114     label = 15;
   131115     break;
   131116    case 15:
   131117     return;
   131118   }
   131119 }
   131120 function _adjustSimple($g, $delta) {
   131121   $g = $g | 0;
   131122   $delta = $delta | 0;
   131123   var $root1 = 0, $0 = 0, $rank2 = 0, $1 = 0, $maxrank = 0, $2 = 0, $conv = 0, $minrank = 0, $3 = 0, $conv5 = 0, $add = 0, $div = 0, $ht1 = 0, $4 = 0, $add7 = 0, $ht18 = 0, $5 = 0, $sub = 0, $cmp = 0, $cmp1042 = 0, $conv16 = 0.0, $r_043 = 0, $n = 0, $6 = 0, $cmp13 = 0, $v = 0, $7 = 0, $8 = 0, $y = 0, $9 = 0.0, $add20 = 0.0, $dec = 0, $cmp10 = 0, $ht2 = 0, $10 = 0, $sub22 = 0, $ht226 = 0, $11 = 0, $add23 = 0, $add24 = 0, $sub27 = 0, $ht229 = 0, $12 = 0, $sub30 = 0, $add31 = 0, $ht233 = 0, $13 = 0, $sub34 = 0, $deltop_0 = 0, $cmp36 = 0, $r_138 = 0, $minrank42 = 0, $14 = 0, $conv4339 = 0, $cmp4440 = 0, $conv52 = 0.0, $r_141 = 0, $n48 = 0, $15 = 0, $cmp49 = 0, $r_1 = 0, $16 = 0, $conv43 = 0, $cmp44 = 0, $v54 = 0, $17 = 0, $18 = 0, $y58 = 0, $19 = 0.0, $add59 = 0.0, $sub65 = 0, $ht267 = 0, $20 = 0, $add68 = 0, $21 = 0, $add71 = 0, label = 0;
   131124   label = 2;
   131125   while (1) switch (label | 0) {
   131126    case 2:
   131127     $root1 = $g + 32 | 0;
   131128     $0 = HEAP32[$root1 >> 2] | 0;
   131129     $rank2 = $0 + 224 | 0;
   131130     $1 = HEAP32[$rank2 >> 2] | 0;
   131131     $maxrank = $g + 250 | 0;
   131132     $2 = HEAP16[$maxrank >> 1] | 0;
   131133     $conv = $2 << 16 >> 16;
   131134     $minrank = $g + 248 | 0;
   131135     $3 = HEAP16[$minrank >> 1] | 0;
   131136     $conv5 = $3 << 16 >> 16;
   131137     $add = $delta + 1 | 0;
   131138     $div = ($add | 0) / 2 & -1;
   131139     $ht1 = $g + 160 | 0;
   131140     $4 = HEAP32[$ht1 >> 2] | 0;
   131141     $add7 = $4 + $div | 0;
   131142     $ht18 = $1 + ($conv * 44 & -1) + 16 | 0;
   131143     $5 = HEAP32[$ht18 >> 2] | 0;
   131144     $sub = $add7 - $5 | 0;
   131145     $cmp = ($sub | 0) > 0;
   131146     if ($cmp) {
   131147       label = 3;
   131148       break;
   131149     } else {
   131150       label = 9;
   131151       break;
   131152     }
   131153    case 3:
   131154     $cmp1042 = $2 << 16 >> 16 < $3 << 16 >> 16;
   131155     if ($cmp1042) {
   131156       label = 8;
   131157       break;
   131158     } else {
   131159       label = 4;
   131160       break;
   131161     }
   131162    case 4:
   131163     $conv16 = +($sub | 0);
   131164     $r_043 = $conv;
   131165     label = 5;
   131166     break;
   131167    case 5:
   131168     $n = $1 + ($r_043 * 44 & -1) | 0;
   131169     $6 = HEAP32[$n >> 2] | 0;
   131170     $cmp13 = ($6 | 0) > 0;
   131171     if ($cmp13) {
   131172       label = 6;
   131173       break;
   131174     } else {
   131175       label = 7;
   131176       break;
   131177     }
   131178    case 6:
   131179     $v = $1 + ($r_043 * 44 & -1) + 4 | 0;
   131180     $7 = HEAP32[$v >> 2] | 0;
   131181     $8 = HEAP32[$7 >> 2] | 0;
   131182     $y = $8 + 40 | 0;
   131183     $9 = +HEAPF64[$y >> 3];
   131184     $add20 = $conv16 + $9;
   131185     HEAPF64[$y >> 3] = $add20;
   131186     label = 7;
   131187     break;
   131188    case 7:
   131189     $dec = $r_043 - 1 | 0;
   131190     $cmp10 = ($dec | 0) < ($conv5 | 0);
   131191     if ($cmp10) {
   131192       label = 8;
   131193       break;
   131194     } else {
   131195       $r_043 = $dec;
   131196       label = 5;
   131197       break;
   131198     }
   131199    case 8:
   131200     $ht2 = $g + 164 | 0;
   131201     $10 = HEAP32[$ht2 >> 2] | 0;
   131202     $sub22 = $delta - $div | 0;
   131203     $ht226 = $1 + ($conv5 * 44 & -1) + 20 | 0;
   131204     $11 = HEAP32[$ht226 >> 2] | 0;
   131205     $add23 = $sub22 + $sub | 0;
   131206     $add24 = $add23 + $10 | 0;
   131207     $sub27 = $add24 - $11 | 0;
   131208     $deltop_0 = $sub27;
   131209     label = 10;
   131210     break;
   131211    case 9:
   131212     $ht229 = $g + 164 | 0;
   131213     $12 = HEAP32[$ht229 >> 2] | 0;
   131214     $sub30 = $delta - $div | 0;
   131215     $add31 = $sub30 + $12 | 0;
   131216     $ht233 = $1 + ($conv5 * 44 & -1) + 20 | 0;
   131217     $13 = HEAP32[$ht233 >> 2] | 0;
   131218     $sub34 = $add31 - $13 | 0;
   131219     $deltop_0 = $sub34;
   131220     label = 10;
   131221     break;
   131222    case 10:
   131223     $cmp36 = ($deltop_0 | 0) > 0;
   131224     if ($cmp36) {
   131225       label = 11;
   131226       break;
   131227     } else {
   131228       label = 16;
   131229       break;
   131230     }
   131231    case 11:
   131232     $r_138 = $conv5 - 1 | 0;
   131233     $minrank42 = $0 + 248 | 0;
   131234     $14 = HEAP16[$minrank42 >> 1] | 0;
   131235     $conv4339 = $14 << 16 >> 16;
   131236     $cmp4440 = ($r_138 | 0) < ($conv4339 | 0);
   131237     if ($cmp4440) {
   131238       label = 16;
   131239       break;
   131240     } else {
   131241       label = 12;
   131242       break;
   131243     }
   131244    case 12:
   131245     $conv52 = +($deltop_0 | 0);
   131246     $r_141 = $r_138;
   131247     label = 13;
   131248     break;
   131249    case 13:
   131250     $n48 = $1 + ($r_141 * 44 & -1) | 0;
   131251     $15 = HEAP32[$n48 >> 2] | 0;
   131252     $cmp49 = ($15 | 0) > 0;
   131253     if ($cmp49) {
   131254       label = 15;
   131255       break;
   131256     } else {
   131257       label = 14;
   131258       break;
   131259     }
   131260    case 14:
   131261     $r_1 = $r_141 - 1 | 0;
   131262     $16 = HEAP16[$minrank42 >> 1] | 0;
   131263     $conv43 = $16 << 16 >> 16;
   131264     $cmp44 = ($r_1 | 0) < ($conv43 | 0);
   131265     if ($cmp44) {
   131266       label = 16;
   131267       break;
   131268     } else {
   131269       $r_141 = $r_1;
   131270       label = 13;
   131271       break;
   131272     }
   131273    case 15:
   131274     $v54 = $1 + ($r_141 * 44 & -1) + 4 | 0;
   131275     $17 = HEAP32[$v54 >> 2] | 0;
   131276     $18 = HEAP32[$17 >> 2] | 0;
   131277     $y58 = $18 + 40 | 0;
   131278     $19 = +HEAPF64[$y58 >> 3];
   131279     $add59 = $conv52 + $19;
   131280     HEAPF64[$y58 >> 3] = $add59;
   131281     label = 14;
   131282     break;
   131283    case 16:
   131284     $sub65 = $delta - $div | 0;
   131285     $ht267 = $g + 164 | 0;
   131286     $20 = HEAP32[$ht267 >> 2] | 0;
   131287     $add68 = $sub65 + $20 | 0;
   131288     HEAP32[$ht267 >> 2] = $add68;
   131289     $21 = HEAP32[$ht1 >> 2] | 0;
   131290     $add71 = $21 + $div | 0;
   131291     HEAP32[$ht1 >> 2] = $add71;
   131292     return;
   131293   }
   131294 }
   131295 function _scale_bb($g, $xf, $yf) {
   131296   $g = $g | 0;
   131297   $xf = +$xf;
   131298   $yf = +$yf;
   131299   var $n_cluster = 0, $0 = 0, $cmp1 = 0, $clust = 0, $c_02 = 0, $1 = 0, $arrayidx = 0, $2 = 0, $inc = 0, $3 = 0, $cmp = 0, $x = 0, $4 = 0.0, $mul = 0.0, $y = 0, $5 = 0.0, $mul6 = 0.0, $x9 = 0, $6 = 0.0, $mul10 = 0.0, $y14 = 0, $7 = 0.0, $mul15 = 0.0, label = 0;
   131300   label = 2;
   131301   while (1) switch (label | 0) {
   131302    case 2:
   131303     $n_cluster = $g + 212 | 0;
   131304     $0 = HEAP32[$n_cluster >> 2] | 0;
   131305     $cmp1 = ($0 | 0) < 1;
   131306     if ($cmp1) {
   131307       label = 5;
   131308       break;
   131309     } else {
   131310       label = 3;
   131311       break;
   131312     }
   131313    case 3:
   131314     $clust = $g + 216 | 0;
   131315     $c_02 = 1;
   131316     label = 4;
   131317     break;
   131318    case 4:
   131319     $1 = HEAP32[$clust >> 2] | 0;
   131320     $arrayidx = $1 + ($c_02 << 2) | 0;
   131321     $2 = HEAP32[$arrayidx >> 2] | 0;
   131322     _scale_bb($2, $xf, $yf);
   131323     $inc = $c_02 + 1 | 0;
   131324     $3 = HEAP32[$n_cluster >> 2] | 0;
   131325     $cmp = ($inc | 0) > ($3 | 0);
   131326     if ($cmp) {
   131327       label = 5;
   131328       break;
   131329     } else {
   131330       $c_02 = $inc;
   131331       label = 4;
   131332       break;
   131333     }
   131334    case 5:
   131335     $x = $g + 56 | 0;
   131336     $4 = +HEAPF64[$x >> 3];
   131337     $mul = $4 * $xf;
   131338     HEAPF64[$x >> 3] = $mul;
   131339     $y = $g + 64 | 0;
   131340     $5 = +HEAPF64[$y >> 3];
   131341     $mul6 = $5 * $yf;
   131342     HEAPF64[$y >> 3] = $mul6;
   131343     $x9 = $g + 72 | 0;
   131344     $6 = +HEAPF64[$x9 >> 3];
   131345     $mul10 = $6 * $xf;
   131346     HEAPF64[$x9 >> 3] = $mul10;
   131347     $y14 = $g + 80 | 0;
   131348     $7 = +HEAPF64[$y14 >> 3];
   131349     $mul15 = $7 * $yf;
   131350     HEAPF64[$y14 >> 3] = $mul15;
   131351     return;
   131352   }
   131353 }
   131354 function _adjustAspectRatio($g, $asp) {
   131355   $g = $g | 0;
   131356   $asp = $asp | 0;
   131357   var $x = 0, $0 = 0.0, $x3 = 0, $1 = 0.0, $sub = 0.0, $y = 0, $2 = 0.0, $y10 = 0, $3 = 0.0, $sub11 = 0.0, $div = 0.0, $4 = 0, $tobool = 0, $5 = 0, $mul = 0.0, $div30 = 0.0, $call = 0, $6 = 0, $call31 = 0, $call32 = 0, $targetAR = 0, $7 = 0.0, $mul33 = 0.0, $cmp = 0, $curIterations = 0, $8 = 0, $prevIterations = 0, $9 = 0, $sub36 = 0, $conv = 0.0, $mul37 = 0.0, $div38 = 0.0, $conv39 = 0, $nextIter = 0, $mul41 = 0.0, $cmp42 = 0, $nextIter45 = 0, $10 = 0, $tobool46 = 0, $11 = 0, $12 = 0, $_pr = 0, $tobool54 = 0, $13 = 0, $nextIter56 = 0, $14 = 0, $call57 = 0, label = 0, __stackBase__ = 0;
   131358   __stackBase__ = STACKTOP;
   131359   label = 2;
   131360   while (1) switch (label | 0) {
   131361    case 2:
   131362     $x = $g + 72 | 0;
   131363     $0 = +HEAPF64[$x >> 3];
   131364     $x3 = $g + 56 | 0;
   131365     $1 = +HEAPF64[$x3 >> 3];
   131366     $sub = $0 - $1;
   131367     $y = $g + 80 | 0;
   131368     $2 = +HEAPF64[$y >> 3];
   131369     $y10 = $g + 64 | 0;
   131370     $3 = +HEAPF64[$y10 >> 3];
   131371     $sub11 = $2 - $3;
   131372     $div = $sub / $sub11;
   131373     $4 = HEAP8[164912] | 0;
   131374     $tobool = $4 << 24 >> 24 == 0;
   131375     if ($tobool) {
   131376       label = 4;
   131377       break;
   131378     } else {
   131379       label = 3;
   131380       break;
   131381     }
   131382    case 3:
   131383     $5 = HEAP32[_stderr >> 2] | 0;
   131384     $mul = $sub * $sub11;
   131385     $div30 = $mul / 1.0e4;
   131386     $call = _fprintf($5 | 0, 130144, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = $div, HEAPF64[tempInt + 8 >> 3] = $div30, tempInt) | 0) | 0;
   131387     $6 = HEAP32[_stderr >> 2] | 0;
   131388     $call31 = _countDummyNodes($g) | 0;
   131389     $call32 = _fprintf($6 | 0, 118264, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $call31, tempInt) | 0) | 0;
   131390     label = 4;
   131391     break;
   131392    case 4:
   131393     $targetAR = $asp | 0;
   131394     $7 = +HEAPF64[$targetAR >> 3];
   131395     $mul33 = $7 * 1.1;
   131396     $cmp = $div > $mul33;
   131397     if ($cmp) {
   131398       label = 5;
   131399       break;
   131400     } else {
   131401       label = 6;
   131402       break;
   131403     }
   131404    case 5:
   131405     $curIterations = $asp + 20 | 0;
   131406     $8 = HEAP32[$curIterations >> 2] | 0;
   131407     $prevIterations = $asp + 16 | 0;
   131408     $9 = HEAP32[$prevIterations >> 2] | 0;
   131409     $sub36 = $8 - $9 | 0;
   131410     $conv = +($sub36 | 0);
   131411     $mul37 = $7 * $conv;
   131412     $div38 = $mul37 / $div;
   131413     $conv39 = ~~$div38;
   131414     $nextIter = $asp + 24 | 0;
   131415     HEAP32[$nextIter >> 2] = $conv39;
   131416     label = 10;
   131417     break;
   131418    case 6:
   131419     $mul41 = $7 * .8;
   131420     $cmp42 = $div > $mul41;
   131421     $nextIter45 = $asp + 24 | 0;
   131422     if ($cmp42) {
   131423       label = 9;
   131424       break;
   131425     } else {
   131426       label = 7;
   131427       break;
   131428     }
   131429    case 7:
   131430     HEAP32[$nextIter45 >> 2] = -1;
   131431     $10 = HEAP8[164912] | 0;
   131432     $tobool46 = $10 << 24 >> 24 == 0;
   131433     if ($tobool46) {
   131434       label = 12;
   131435       break;
   131436     } else {
   131437       label = 8;
   131438       break;
   131439     }
   131440    case 8:
   131441     $11 = HEAP32[_stderr >> 2] | 0;
   131442     $12 = _fwrite(112984, 34, 1, $11 | 0) | 0;
   131443     label = 10;
   131444     break;
   131445    case 9:
   131446     HEAP32[$nextIter45 >> 2] = 0;
   131447     label = 10;
   131448     break;
   131449    case 10:
   131450     $_pr = HEAP8[164912] | 0;
   131451     $tobool54 = $_pr << 24 >> 24 == 0;
   131452     if ($tobool54) {
   131453       label = 12;
   131454       break;
   131455     } else {
   131456       label = 11;
   131457       break;
   131458     }
   131459    case 11:
   131460     $13 = HEAP32[_stderr >> 2] | 0;
   131461     $nextIter56 = $asp + 24 | 0;
   131462     $14 = HEAP32[$nextIter56 >> 2] | 0;
   131463     $call57 = _fprintf($13 | 0, 108456, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $14, tempInt) | 0) | 0;
   131464     label = 12;
   131465     break;
   131466    case 12:
   131467     STACKTOP = __stackBase__;
   131468     return;
   131469   }
   131470 }
   131471 function _clust_ht($g) {
   131472   $g = $g | 0;
   131473   var $root = 0, $0 = 0, $rank1 = 0, $1 = 0, $ht13 = 0, $2 = 0, $ht25 = 0, $3 = 0, $n_cluster = 0, $4 = 0, $cmp47 = 0, $clust = 0, $maxrank10 = 0, $minrank24 = 0, $haveClustLabel_051 = 0, $c_050 = 0, $ht1_049 = 0, $ht2_048 = 0, $5 = 0, $arrayidx = 0, $6 = 0, $call = 0, $or = 0, $maxrank = 0, $7 = 0, $8 = 0, $cmp12 = 0, $ht115 = 0, $9 = 0, $add = 0, $cmp16 = 0, $ht1_0_add = 0, $ht1_1 = 0, $minrank = 0, $10 = 0, $11 = 0, $cmp26 = 0, $ht230 = 0, $12 = 0, $add31 = 0, $cmp32 = 0, $ht2_0_add31 = 0, $ht2_1 = 0, $inc = 0, $13 = 0, $cmp = 0, $haveClustLabel_0_lcssa = 0, $ht1_0_lcssa = 0, $ht2_0_lcssa = 0, $14 = 0, $cmp43 = 0, $label = 0, $15 = 0, $tobool = 0, $rankdir = 0, $16 = 0, $and49 = 0, $tobool50 = 0, $y = 0, $17 = 0.0, $conv54 = 0.0, $add55 = 0.0, $conv56 = 0, $y60 = 0, $18 = 0.0, $conv61 = 0.0, $add62 = 0.0, $conv63 = 0, $ht2_2 = 0, $ht1_2 = 0, $haveClustLabel_1 = 0, $19 = 0, $cmp71 = 0, $minrank75 = 0, $20 = 0, $idxprom = 0, $ht277 = 0, $21 = 0, $cmp78 = 0, $_ht2_2 = 0, $maxrank95 = 0, $22 = 0, $idxprom96 = 0, $ht198 = 0, $23 = 0, $cmp99 = 0, $cond109 = 0, label = 0;
   131474   label = 2;
   131475   while (1) switch (label | 0) {
   131476    case 2:
   131477     $root = $g + 32 | 0;
   131478     $0 = HEAP32[$root >> 2] | 0;
   131479     $rank1 = $0 + 224 | 0;
   131480     $1 = HEAP32[$rank1 >> 2] | 0;
   131481     $ht13 = $g + 160 | 0;
   131482     $2 = HEAP32[$ht13 >> 2] | 0;
   131483     $ht25 = $g + 164 | 0;
   131484     $3 = HEAP32[$ht25 >> 2] | 0;
   131485     $n_cluster = $g + 212 | 0;
   131486     $4 = HEAP32[$n_cluster >> 2] | 0;
   131487     $cmp47 = ($4 | 0) < 1;
   131488     if ($cmp47) {
   131489       $ht2_0_lcssa = $3;
   131490       $ht1_0_lcssa = $2;
   131491       $haveClustLabel_0_lcssa = 0;
   131492       label = 9;
   131493       break;
   131494     } else {
   131495       label = 3;
   131496       break;
   131497     }
   131498    case 3:
   131499     $clust = $g + 216 | 0;
   131500     $maxrank10 = $g + 250 | 0;
   131501     $minrank24 = $g + 248 | 0;
   131502     $ht2_048 = $3;
   131503     $ht1_049 = $2;
   131504     $c_050 = 1;
   131505     $haveClustLabel_051 = 0;
   131506     label = 4;
   131507     break;
   131508    case 4:
   131509     $5 = HEAP32[$clust >> 2] | 0;
   131510     $arrayidx = $5 + ($c_050 << 2) | 0;
   131511     $6 = HEAP32[$arrayidx >> 2] | 0;
   131512     $call = _clust_ht($6) | 0;
   131513     $or = $call | $haveClustLabel_051;
   131514     $maxrank = $6 + 250 | 0;
   131515     $7 = HEAP16[$maxrank >> 1] | 0;
   131516     $8 = HEAP16[$maxrank10 >> 1] | 0;
   131517     $cmp12 = $7 << 16 >> 16 == $8 << 16 >> 16;
   131518     if ($cmp12) {
   131519       label = 5;
   131520       break;
   131521     } else {
   131522       $ht1_1 = $ht1_049;
   131523       label = 6;
   131524       break;
   131525     }
   131526    case 5:
   131527     $ht115 = $6 + 160 | 0;
   131528     $9 = HEAP32[$ht115 >> 2] | 0;
   131529     $add = $9 + 8 | 0;
   131530     $cmp16 = ($ht1_049 | 0) > ($add | 0);
   131531     $ht1_0_add = $cmp16 ? $ht1_049 : $add;
   131532     $ht1_1 = $ht1_0_add;
   131533     label = 6;
   131534     break;
   131535    case 6:
   131536     $minrank = $6 + 248 | 0;
   131537     $10 = HEAP16[$minrank >> 1] | 0;
   131538     $11 = HEAP16[$minrank24 >> 1] | 0;
   131539     $cmp26 = $10 << 16 >> 16 == $11 << 16 >> 16;
   131540     if ($cmp26) {
   131541       label = 7;
   131542       break;
   131543     } else {
   131544       $ht2_1 = $ht2_048;
   131545       label = 8;
   131546       break;
   131547     }
   131548    case 7:
   131549     $ht230 = $6 + 164 | 0;
   131550     $12 = HEAP32[$ht230 >> 2] | 0;
   131551     $add31 = $12 + 8 | 0;
   131552     $cmp32 = ($ht2_048 | 0) > ($add31 | 0);
   131553     $ht2_0_add31 = $cmp32 ? $ht2_048 : $add31;
   131554     $ht2_1 = $ht2_0_add31;
   131555     label = 8;
   131556     break;
   131557    case 8:
   131558     $inc = $c_050 + 1 | 0;
   131559     $13 = HEAP32[$n_cluster >> 2] | 0;
   131560     $cmp = ($inc | 0) > ($13 | 0);
   131561     if ($cmp) {
   131562       $ht2_0_lcssa = $ht2_1;
   131563       $ht1_0_lcssa = $ht1_1;
   131564       $haveClustLabel_0_lcssa = $or;
   131565       label = 9;
   131566       break;
   131567     } else {
   131568       $ht2_048 = $ht2_1;
   131569       $ht1_049 = $ht1_1;
   131570       $c_050 = $inc;
   131571       $haveClustLabel_051 = $or;
   131572       label = 4;
   131573       break;
   131574     }
   131575    case 9:
   131576     $14 = HEAP32[$root >> 2] | 0;
   131577     $cmp43 = ($14 | 0) == ($g | 0);
   131578     if ($cmp43) {
   131579       $haveClustLabel_1 = $haveClustLabel_0_lcssa;
   131580       $ht1_2 = $ht1_0_lcssa;
   131581       $ht2_2 = $ht2_0_lcssa;
   131582       label = 13;
   131583       break;
   131584     } else {
   131585       label = 10;
   131586       break;
   131587     }
   131588    case 10:
   131589     $label = $g + 52 | 0;
   131590     $15 = HEAP32[$label >> 2] | 0;
   131591     $tobool = ($15 | 0) == 0;
   131592     if ($tobool) {
   131593       $haveClustLabel_1 = $haveClustLabel_0_lcssa;
   131594       $ht1_2 = $ht1_0_lcssa;
   131595       $ht2_2 = $ht2_0_lcssa;
   131596       label = 13;
   131597       break;
   131598     } else {
   131599       label = 11;
   131600       break;
   131601     }
   131602    case 11:
   131603     $rankdir = $14 + 156 | 0;
   131604     $16 = HEAP32[$rankdir >> 2] | 0;
   131605     $and49 = $16 & 1;
   131606     $tobool50 = ($and49 | 0) == 0;
   131607     if ($tobool50) {
   131608       label = 12;
   131609       break;
   131610     } else {
   131611       $haveClustLabel_1 = 1;
   131612       $ht1_2 = $ht1_0_lcssa;
   131613       $ht2_2 = $ht2_0_lcssa;
   131614       label = 13;
   131615       break;
   131616     }
   131617    case 12:
   131618     $y = $g + 96 | 0;
   131619     $17 = +HEAPF64[$y >> 3];
   131620     $conv54 = +($ht1_0_lcssa | 0);
   131621     $add55 = $conv54 + $17;
   131622     $conv56 = ~~$add55;
   131623     $y60 = $g + 128 | 0;
   131624     $18 = +HEAPF64[$y60 >> 3];
   131625     $conv61 = +($ht2_0_lcssa | 0);
   131626     $add62 = $conv61 + $18;
   131627     $conv63 = ~~$add62;
   131628     $haveClustLabel_1 = 1;
   131629     $ht1_2 = $conv56;
   131630     $ht2_2 = $conv63;
   131631     label = 13;
   131632     break;
   131633    case 13:
   131634     HEAP32[$ht13 >> 2] = $ht1_2;
   131635     HEAP32[$ht25 >> 2] = $ht2_2;
   131636     $19 = HEAP32[$root >> 2] | 0;
   131637     $cmp71 = ($19 | 0) == ($g | 0);
   131638     if ($cmp71) {
   131639       label = 15;
   131640       break;
   131641     } else {
   131642       label = 14;
   131643       break;
   131644     }
   131645    case 14:
   131646     $minrank75 = $g + 248 | 0;
   131647     $20 = HEAP16[$minrank75 >> 1] | 0;
   131648     $idxprom = $20 << 16 >> 16;
   131649     $ht277 = $1 + ($idxprom * 44 & -1) + 20 | 0;
   131650     $21 = HEAP32[$ht277 >> 2] | 0;
   131651     $cmp78 = ($21 | 0) > ($ht2_2 | 0);
   131652     $_ht2_2 = $cmp78 ? $21 : $ht2_2;
   131653     HEAP32[$ht277 >> 2] = $_ht2_2;
   131654     $maxrank95 = $g + 250 | 0;
   131655     $22 = HEAP16[$maxrank95 >> 1] | 0;
   131656     $idxprom96 = $22 << 16 >> 16;
   131657     $ht198 = $1 + ($idxprom96 * 44 & -1) + 16 | 0;
   131658     $23 = HEAP32[$ht198 >> 2] | 0;
   131659     $cmp99 = ($23 | 0) > ($ht1_2 | 0);
   131660     $cond109 = $cmp99 ? $23 : $ht1_2;
   131661     HEAP32[$ht198 >> 2] = $cond109;
   131662     label = 15;
   131663     break;
   131664    case 15:
   131665     return $haveClustLabel_1 | 0;
   131666   }
   131667   return 0;
   131668 }
   131669 function _adjustRanks($g, $equal) {
   131670   $g = $g | 0;
   131671   $equal = $equal | 0;
   131672   var $root = 0, $0 = 0, $rank1 = 0, $1 = 0, $ht13 = 0, $2 = 0, $ht25 = 0, $3 = 0, $n_cluster = 0, $4 = 0, $cmp52 = 0, $clust = 0, $maxrank10 = 0, $minrank24 = 0, $c_055 = 0, $ht1_054 = 0, $ht2_053 = 0, $5 = 0, $arrayidx = 0, $6 = 0, $maxrank = 0, $7 = 0, $8 = 0, $cmp12 = 0, $ht115 = 0, $9 = 0, $add = 0, $cmp16 = 0, $ht1_0_add = 0, $ht1_1 = 0, $minrank = 0, $10 = 0, $11 = 0, $cmp26 = 0, $ht230 = 0, $12 = 0, $add31 = 0, $cmp32 = 0, $ht2_0_add31 = 0, $ht2_1 = 0, $inc = 0, $13 = 0, $cmp = 0, $ht1_0_lcssa = 0, $ht2_0_lcssa = 0, $14 = 0, $cmp47 = 0, $label = 0, $15 = 0, $tobool = 0, $y = 0, $16 = 0.0, $y56 = 0, $17 = 0.0, $cmp57 = 0, $_ = 0.0, $conv71 = 0, $maxrank73 = 0, $18 = 0, $conv74 = 0, $minrank76 = 0, $19 = 0, $conv77 = 0, $v = 0, $20 = 0, $21 = 0, $y81 = 0, $22 = 0.0, $v83 = 0, $23 = 0, $24 = 0, $y87 = 0, $25 = 0.0, $sub = 0.0, $conv88 = 0, $sum = 0, $add90_neg = 0, $sub91 = 0, $cmp92 = 0, $tobool95 = 0, $26 = 0, $cmp101 = 0, $minrank105 = 0, $27 = 0, $idxprom = 0, $ht2107 = 0, $28 = 0, $29 = 0, $cmp110 = 0, $_51 = 0, $maxrank129 = 0, $30 = 0, $idxprom130 = 0, $ht1132 = 0, $31 = 0, $32 = 0, $cmp135 = 0, $cond147 = 0, label = 0;
   131673   label = 2;
   131674   while (1) switch (label | 0) {
   131675    case 2:
   131676     $root = $g + 32 | 0;
   131677     $0 = HEAP32[$root >> 2] | 0;
   131678     $rank1 = $0 + 224 | 0;
   131679     $1 = HEAP32[$rank1 >> 2] | 0;
   131680     $ht13 = $g + 160 | 0;
   131681     $2 = HEAP32[$ht13 >> 2] | 0;
   131682     $ht25 = $g + 164 | 0;
   131683     $3 = HEAP32[$ht25 >> 2] | 0;
   131684     $n_cluster = $g + 212 | 0;
   131685     $4 = HEAP32[$n_cluster >> 2] | 0;
   131686     $cmp52 = ($4 | 0) < 1;
   131687     if ($cmp52) {
   131688       $ht2_0_lcssa = $3;
   131689       $ht1_0_lcssa = $2;
   131690       label = 9;
   131691       break;
   131692     } else {
   131693       label = 3;
   131694       break;
   131695     }
   131696    case 3:
   131697     $clust = $g + 216 | 0;
   131698     $maxrank10 = $g + 250 | 0;
   131699     $minrank24 = $g + 248 | 0;
   131700     $ht2_053 = $3;
   131701     $ht1_054 = $2;
   131702     $c_055 = 1;
   131703     label = 4;
   131704     break;
   131705    case 4:
   131706     $5 = HEAP32[$clust >> 2] | 0;
   131707     $arrayidx = $5 + ($c_055 << 2) | 0;
   131708     $6 = HEAP32[$arrayidx >> 2] | 0;
   131709     _adjustRanks($6, $equal);
   131710     $maxrank = $6 + 250 | 0;
   131711     $7 = HEAP16[$maxrank >> 1] | 0;
   131712     $8 = HEAP16[$maxrank10 >> 1] | 0;
   131713     $cmp12 = $7 << 16 >> 16 == $8 << 16 >> 16;
   131714     if ($cmp12) {
   131715       label = 5;
   131716       break;
   131717     } else {
   131718       $ht1_1 = $ht1_054;
   131719       label = 6;
   131720       break;
   131721     }
   131722    case 5:
   131723     $ht115 = $6 + 160 | 0;
   131724     $9 = HEAP32[$ht115 >> 2] | 0;
   131725     $add = $9 + 8 | 0;
   131726     $cmp16 = ($ht1_054 | 0) > ($add | 0);
   131727     $ht1_0_add = $cmp16 ? $ht1_054 : $add;
   131728     $ht1_1 = $ht1_0_add;
   131729     label = 6;
   131730     break;
   131731    case 6:
   131732     $minrank = $6 + 248 | 0;
   131733     $10 = HEAP16[$minrank >> 1] | 0;
   131734     $11 = HEAP16[$minrank24 >> 1] | 0;
   131735     $cmp26 = $10 << 16 >> 16 == $11 << 16 >> 16;
   131736     if ($cmp26) {
   131737       label = 7;
   131738       break;
   131739     } else {
   131740       $ht2_1 = $ht2_053;
   131741       label = 8;
   131742       break;
   131743     }
   131744    case 7:
   131745     $ht230 = $6 + 164 | 0;
   131746     $12 = HEAP32[$ht230 >> 2] | 0;
   131747     $add31 = $12 + 8 | 0;
   131748     $cmp32 = ($ht2_053 | 0) > ($add31 | 0);
   131749     $ht2_0_add31 = $cmp32 ? $ht2_053 : $add31;
   131750     $ht2_1 = $ht2_0_add31;
   131751     label = 8;
   131752     break;
   131753    case 8:
   131754     $inc = $c_055 + 1 | 0;
   131755     $13 = HEAP32[$n_cluster >> 2] | 0;
   131756     $cmp = ($inc | 0) > ($13 | 0);
   131757     if ($cmp) {
   131758       $ht2_0_lcssa = $ht2_1;
   131759       $ht1_0_lcssa = $ht1_1;
   131760       label = 9;
   131761       break;
   131762     } else {
   131763       $ht2_053 = $ht2_1;
   131764       $ht1_054 = $ht1_1;
   131765       $c_055 = $inc;
   131766       label = 4;
   131767       break;
   131768     }
   131769    case 9:
   131770     HEAP32[$ht13 >> 2] = $ht1_0_lcssa;
   131771     HEAP32[$ht25 >> 2] = $ht2_0_lcssa;
   131772     $14 = HEAP32[$root >> 2] | 0;
   131773     $cmp47 = ($14 | 0) == ($g | 0);
   131774     if ($cmp47) {
   131775       label = 15;
   131776       break;
   131777     } else {
   131778       label = 10;
   131779       break;
   131780     }
   131781    case 10:
   131782     $label = $g + 52 | 0;
   131783     $15 = HEAP32[$label >> 2] | 0;
   131784     $tobool = ($15 | 0) == 0;
   131785     if ($tobool) {
   131786       label = 15;
   131787       break;
   131788     } else {
   131789       label = 11;
   131790       break;
   131791     }
   131792    case 11:
   131793     $y = $g + 144 | 0;
   131794     $16 = +HEAPF64[$y >> 3];
   131795     $y56 = $g + 112 | 0;
   131796     $17 = +HEAPF64[$y56 >> 3];
   131797     $cmp57 = $16 > $17;
   131798     $_ = $cmp57 ? $16 : $17;
   131799     $conv71 = ~~$_;
   131800     $maxrank73 = $g + 250 | 0;
   131801     $18 = HEAP16[$maxrank73 >> 1] | 0;
   131802     $conv74 = $18 << 16 >> 16;
   131803     $minrank76 = $g + 248 | 0;
   131804     $19 = HEAP16[$minrank76 >> 1] | 0;
   131805     $conv77 = $19 << 16 >> 16;
   131806     $v = $1 + ($conv77 * 44 & -1) + 4 | 0;
   131807     $20 = HEAP32[$v >> 2] | 0;
   131808     $21 = HEAP32[$20 >> 2] | 0;
   131809     $y81 = $21 + 40 | 0;
   131810     $22 = +HEAPF64[$y81 >> 3];
   131811     $v83 = $1 + ($conv74 * 44 & -1) + 4 | 0;
   131812     $23 = HEAP32[$v83 >> 2] | 0;
   131813     $24 = HEAP32[$23 >> 2] | 0;
   131814     $y87 = $24 + 40 | 0;
   131815     $25 = +HEAPF64[$y87 >> 3];
   131816     $sub = $22 - $25;
   131817     $conv88 = ~~$sub;
   131818     $sum = $ht1_0_lcssa + $ht2_0_lcssa | 0;
   131819     $add90_neg = $conv71 - $sum | 0;
   131820     $sub91 = $add90_neg - $conv88 | 0;
   131821     $cmp92 = ($sub91 | 0) > 0;
   131822     if ($cmp92) {
   131823       label = 12;
   131824       break;
   131825     } else {
   131826       label = 15;
   131827       break;
   131828     }
   131829    case 12:
   131830     $tobool95 = ($equal | 0) == 0;
   131831     if ($tobool95) {
   131832       label = 14;
   131833       break;
   131834     } else {
   131835       label = 13;
   131836       break;
   131837     }
   131838    case 13:
   131839     _adjustEqual($g, $sub91);
   131840     label = 15;
   131841     break;
   131842    case 14:
   131843     _adjustSimple($g, $sub91);
   131844     label = 15;
   131845     break;
   131846    case 15:
   131847     $26 = HEAP32[$root >> 2] | 0;
   131848     $cmp101 = ($26 | 0) == ($g | 0);
   131849     if ($cmp101) {
   131850       label = 17;
   131851       break;
   131852     } else {
   131853       label = 16;
   131854       break;
   131855     }
   131856    case 16:
   131857     $minrank105 = $g + 248 | 0;
   131858     $27 = HEAP16[$minrank105 >> 1] | 0;
   131859     $idxprom = $27 << 16 >> 16;
   131860     $ht2107 = $1 + ($idxprom * 44 & -1) + 20 | 0;
   131861     $28 = HEAP32[$ht2107 >> 2] | 0;
   131862     $29 = HEAP32[$ht25 >> 2] | 0;
   131863     $cmp110 = ($28 | 0) > ($29 | 0);
   131864     $_51 = $cmp110 ? $28 : $29;
   131865     HEAP32[$ht2107 >> 2] = $_51;
   131866     $maxrank129 = $g + 250 | 0;
   131867     $30 = HEAP16[$maxrank129 >> 1] | 0;
   131868     $idxprom130 = $30 << 16 >> 16;
   131869     $ht1132 = $1 + ($idxprom130 * 44 & -1) + 16 | 0;
   131870     $31 = HEAP32[$ht1132 >> 2] | 0;
   131871     $32 = HEAP32[$ht13 >> 2] | 0;
   131872     $cmp135 = ($31 | 0) > ($32 | 0);
   131873     $cond147 = $cmp135 ? $31 : $32;
   131874     HEAP32[$ht1132 >> 2] = $cond147;
   131875     label = 17;
   131876     break;
   131877    case 17:
   131878     return;
   131879   }
   131880 }
   131881 function _allocate_aux_edges($g) {
   131882   $g = $g | 0;
   131883   var $nlist = 0, $n_016 = 0, $tobool17 = 0, $n_018 = 0, $save_in = 0, $in = 0, $0 = 0, $1 = 0, $ld$0$0 = 0, $2$0 = 0, $ld$1$1 = 0, $2$1 = 0, $st$2$0 = 0, $st$3$1 = 0, $save_out = 0, $out = 0, $3 = 0, $4 = 0, $ld$4$0 = 0, $5$0 = 0, $ld$5$1 = 0, $5$1 = 0, $st$6$0 = 0, $st$7$1 = 0, $list = 0, $6 = 0, $i_0 = 0, $arrayidx = 0, $7 = 0, $tobool8 = 0, $inc = 0, $list13 = 0, $8 = 0, $j_0 = 0, $arrayidx14 = 0, $9 = 0, $tobool15 = 0, $inc18 = 0, $add = 0, $size = 0, $add23 = 0, $mul = 0, $call = 0, $10 = 0, $size30 = 0, $call31 = 0, $11 = 0, $next = 0, $n_0 = 0, $tobool = 0, label = 0;
   131884   label = 2;
   131885   while (1) switch (label | 0) {
   131886    case 2:
   131887     $nlist = $g + 220 | 0;
   131888     $n_016 = HEAP32[$nlist >> 2] | 0;
   131889     $tobool17 = ($n_016 | 0) == 0;
   131890     if ($tobool17) {
   131891       label = 8;
   131892       break;
   131893     } else {
   131894       $n_018 = $n_016;
   131895       label = 3;
   131896       break;
   131897     }
   131898    case 3:
   131899     $save_in = $n_018 + 248 | 0;
   131900     $in = $n_018 + 176 | 0;
   131901     $0 = $in;
   131902     $1 = $save_in;
   131903     $ld$0$0 = $0 | 0;
   131904     $2$0 = HEAP32[$ld$0$0 >> 2] | 0;
   131905     $ld$1$1 = $0 + 4 | 0;
   131906     $2$1 = HEAP32[$ld$1$1 >> 2] | 0;
   131907     $st$2$0 = $1 | 0;
   131908     HEAP32[$st$2$0 >> 2] = $2$0;
   131909     $st$3$1 = $1 + 4 | 0;
   131910     HEAP32[$st$3$1 >> 2] = $2$1;
   131911     $save_out = $n_018 + 256 | 0;
   131912     $out = $n_018 + 184 | 0;
   131913     $3 = $out;
   131914     $4 = $save_out;
   131915     $ld$4$0 = $3 | 0;
   131916     $5$0 = HEAP32[$ld$4$0 >> 2] | 0;
   131917     $ld$5$1 = $3 + 4 | 0;
   131918     $5$1 = HEAP32[$ld$5$1 >> 2] | 0;
   131919     $st$6$0 = $4 | 0;
   131920     HEAP32[$st$6$0 >> 2] = $5$0;
   131921     $st$7$1 = $4 + 4 | 0;
   131922     HEAP32[$st$7$1 >> 2] = $5$1;
   131923     $list = $out | 0;
   131924     $6 = HEAP32[$list >> 2] | 0;
   131925     $i_0 = 0;
   131926     label = 4;
   131927     break;
   131928    case 4:
   131929     $arrayidx = $6 + ($i_0 << 2) | 0;
   131930     $7 = HEAP32[$arrayidx >> 2] | 0;
   131931     $tobool8 = ($7 | 0) == 0;
   131932     $inc = $i_0 + 1 | 0;
   131933     if ($tobool8) {
   131934       label = 5;
   131935       break;
   131936     } else {
   131937       $i_0 = $inc;
   131938       label = 4;
   131939       break;
   131940     }
   131941    case 5:
   131942     $list13 = $in | 0;
   131943     $8 = HEAP32[$list13 >> 2] | 0;
   131944     $j_0 = 0;
   131945     label = 6;
   131946     break;
   131947    case 6:
   131948     $arrayidx14 = $8 + ($j_0 << 2) | 0;
   131949     $9 = HEAP32[$arrayidx14 >> 2] | 0;
   131950     $tobool15 = ($9 | 0) == 0;
   131951     $inc18 = $j_0 + 1 | 0;
   131952     if ($tobool15) {
   131953       label = 7;
   131954       break;
   131955     } else {
   131956       $j_0 = $inc18;
   131957       label = 6;
   131958       break;
   131959     }
   131960    case 7:
   131961     $add = $j_0 + $i_0 | 0;
   131962     $size = $n_018 + 180 | 0;
   131963     HEAP32[$size >> 2] = 0;
   131964     $add23 = $add << 2;
   131965     $mul = $add23 + 16 | 0;
   131966     $call = _zmalloc($mul) | 0;
   131967     $10 = $call;
   131968     HEAP32[$list13 >> 2] = $10;
   131969     $size30 = $n_018 + 188 | 0;
   131970     HEAP32[$size30 >> 2] = 0;
   131971     $call31 = _zmalloc(16) | 0;
   131972     $11 = $call31;
   131973     HEAP32[$list >> 2] = $11;
   131974     $next = $n_018 + 168 | 0;
   131975     $n_0 = HEAP32[$next >> 2] | 0;
   131976     $tobool = ($n_0 | 0) == 0;
   131977     if ($tobool) {
   131978       label = 8;
   131979       break;
   131980     } else {
   131981       $n_018 = $n_0;
   131982       label = 3;
   131983       break;
   131984     }
   131985    case 8:
   131986     return;
   131987   }
   131988 }
   131989 function _make_edge_pairs($g) {
   131990   $g = $g | 0;
   131991   var $nlist = 0, $n_026 = 0, $tobool27 = 0, $n_028 = 0, $list = 0, $0 = 0, $tobool2 = 0, $1 = 0, $2 = 0, $tobool724 = 0, $3 = 0, $i_025 = 0, $call = 0, $node_type = 0, $x = 0, $4 = 0.0, $x13 = 0, $5 = 0.0, $sub = 0.0, $conv = 0, $cmp = 0, $sub16 = 0, $m1_0 = 0, $m0_0 = 0, $tail = 0, $6 = 0, $add = 0, $conv17 = 0.0, $weight = 0, $7 = 0.0, $conv19 = 0, $call20 = 0, $head = 0, $8 = 0, $add21 = 0, $conv22 = 0.0, $9 = 0.0, $conv25 = 0, $call26 = 0, $10 = 0, $rank = 0, $11 = 0, $sub29 = 0, $12 = 0, $rank33 = 0, $13 = 0, $sub34 = 0, $cmp36 = 0, $sub29_sub34 = 0, $sub42 = 0, $rank49 = 0, $inc = 0, $14 = 0, $arrayidx = 0, $15 = 0, $tobool7 = 0, $next = 0, $n_0 = 0, $tobool = 0, label = 0;
   131992   label = 2;
   131993   while (1) switch (label | 0) {
   131994    case 2:
   131995     $nlist = $g + 220 | 0;
   131996     $n_026 = HEAP32[$nlist >> 2] | 0;
   131997     $tobool27 = ($n_026 | 0) == 0;
   131998     if ($tobool27) {
   131999       label = 7;
   132000       break;
   132001     } else {
   132002       $n_028 = $n_026;
   132003       label = 3;
   132004       break;
   132005     }
   132006    case 3:
   132007     $list = $n_028 + 256 | 0;
   132008     $0 = HEAP32[$list >> 2] | 0;
   132009     $tobool2 = ($0 | 0) == 0;
   132010     if ($tobool2) {
   132011       label = 6;
   132012       break;
   132013     } else {
   132014       label = 4;
   132015       break;
   132016     }
   132017    case 4:
   132018     $1 = HEAP32[$list >> 2] | 0;
   132019     $2 = HEAP32[$1 >> 2] | 0;
   132020     $tobool724 = ($2 | 0) == 0;
   132021     if ($tobool724) {
   132022       label = 6;
   132023       break;
   132024     } else {
   132025       $i_025 = 0;
   132026       $3 = $2;
   132027       label = 5;
   132028       break;
   132029     }
   132030    case 5:
   132031     $call = _virtual_node($g) | 0;
   132032     $node_type = $call + 162 | 0;
   132033     HEAP8[$node_type] = 2;
   132034     $x = $3 + 72 | 0;
   132035     $4 = +HEAPF64[$x >> 3];
   132036     $x13 = $3 + 32 | 0;
   132037     $5 = +HEAPF64[$x13 >> 3];
   132038     $sub = $4 - $5;
   132039     $conv = ~~$sub;
   132040     $cmp = ($conv | 0) > 0;
   132041     $sub16 = -$conv | 0;
   132042     $m1_0 = $cmp ? 0 : $sub16;
   132043     $m0_0 = $cmp ? $conv : 0;
   132044     $tail = $3 + 16 | 0;
   132045     $6 = HEAP32[$tail >> 2] | 0;
   132046     $add = $m0_0 + 1 | 0;
   132047     $conv17 = +($add | 0);
   132048     $weight = $3 + 172 | 0;
   132049     $7 = +HEAPF32[$weight >> 2];
   132050     $conv19 = ~~$7;
   132051     $call20 = _make_aux_edge($call, $6, $conv17, $conv19) | 0;
   132052     $head = $3 + 12 | 0;
   132053     $8 = HEAP32[$head >> 2] | 0;
   132054     $add21 = $m1_0 + 1 | 0;
   132055     $conv22 = +($add21 | 0);
   132056     $9 = +HEAPF32[$weight >> 2];
   132057     $conv25 = ~~$9;
   132058     $call26 = _make_aux_edge($call, $8, $conv22, $conv25) | 0;
   132059     $10 = HEAP32[$tail >> 2] | 0;
   132060     $rank = $10 + 236 | 0;
   132061     $11 = HEAP32[$rank >> 2] | 0;
   132062     $sub29 = $11 - $m0_0 | 0;
   132063     $12 = HEAP32[$head >> 2] | 0;
   132064     $rank33 = $12 + 236 | 0;
   132065     $13 = HEAP32[$rank33 >> 2] | 0;
   132066     $sub34 = $13 - $m1_0 | 0;
   132067     $cmp36 = ($sub29 | 0) < ($sub34 | 0);
   132068     $sub29_sub34 = $cmp36 ? $sub29 : $sub34;
   132069     $sub42 = $sub29_sub34 - 1 | 0;
   132070     $rank49 = $call + 236 | 0;
   132071     HEAP32[$rank49 >> 2] = $sub42;
   132072     $inc = $i_025 + 1 | 0;
   132073     $14 = HEAP32[$list >> 2] | 0;
   132074     $arrayidx = $14 + ($inc << 2) | 0;
   132075     $15 = HEAP32[$arrayidx >> 2] | 0;
   132076     $tobool7 = ($15 | 0) == 0;
   132077     if ($tobool7) {
   132078       label = 6;
   132079       break;
   132080     } else {
   132081       $i_025 = $inc;
   132082       $3 = $15;
   132083       label = 5;
   132084       break;
   132085     }
   132086    case 6:
   132087     $next = $n_028 + 168 | 0;
   132088     $n_0 = HEAP32[$next >> 2] | 0;
   132089     $tobool = ($n_0 | 0) == 0;
   132090     if ($tobool) {
   132091       label = 7;
   132092       break;
   132093     } else {
   132094       $n_028 = $n_0;
   132095       label = 3;
   132096       break;
   132097     }
   132098    case 7:
   132099     return;
   132100   }
   132101 }
   132102 function _pos_clusters($g) {
   132103   $g = $g | 0;
   132104   var $n_cluster = 0, $0 = 0, $cmp = 0, label = 0;
   132105   label = 2;
   132106   while (1) switch (label | 0) {
   132107    case 2:
   132108     $n_cluster = $g + 212 | 0;
   132109     $0 = HEAP32[$n_cluster >> 2] | 0;
   132110     $cmp = ($0 | 0) > 0;
   132111     if ($cmp) {
   132112       label = 3;
   132113       break;
   132114     } else {
   132115       label = 4;
   132116       break;
   132117     }
   132118    case 3:
   132119     _contain_clustnodes($g);
   132120     _keepout_othernodes($g);
   132121     _contain_subclust($g);
   132122     _separate_subclust($g);
   132123     label = 4;
   132124     break;
   132125    case 4:
   132126     return;
   132127   }
   132128 }
   132129 function _compress_graph($g) {
   132130   $g = $g | 0;
   132131   var $drawing = 0, $0 = 0, $ratio_kind = 0, $1 = 0, $cmp = 0, $p_sroa_0_0__idx = 0, $p_sroa_0_0_copyload = 0.0, $p_sroa_1_8__idx3 = 0, $p_sroa_1_8_copyload = 0.0, $mul = 0.0, $cmp4 = 0, $rankdir = 0, $2 = 0, $and8 = 0, $cmp9 = 0, $p_sroa_0_0_copyload_p_sroa_1_8_copyload = 0.0, $cmp14 = 0, $cond = 0.0, $ln = 0, $3 = 0, $rn = 0, $4 = 0, $call = 0, label = 0;
   132132   label = 2;
   132133   while (1) switch (label | 0) {
   132134    case 2:
   132135     $drawing = $g + 48 | 0;
   132136     $0 = HEAP32[$drawing >> 2] | 0;
   132137     $ratio_kind = $0 + 84 | 0;
   132138     $1 = HEAP32[$ratio_kind >> 2] | 0;
   132139     $cmp = ($1 | 0) == 3;
   132140     if ($cmp) {
   132141       label = 3;
   132142       break;
   132143     } else {
   132144       label = 5;
   132145       break;
   132146     }
   132147    case 3:
   132148     $p_sroa_0_0__idx = $0 + 64 | 0;
   132149     $p_sroa_0_0_copyload = +HEAPF64[$p_sroa_0_0__idx >> 3];
   132150     $p_sroa_1_8__idx3 = $0 + 72 | 0;
   132151     $p_sroa_1_8_copyload = +HEAPF64[$p_sroa_1_8__idx3 >> 3];
   132152     $mul = $p_sroa_0_0_copyload * $p_sroa_1_8_copyload;
   132153     $cmp4 = $mul > 1.0;
   132154     if ($cmp4) {
   132155       label = 4;
   132156       break;
   132157     } else {
   132158       label = 5;
   132159       break;
   132160     }
   132161    case 4:
   132162     _contain_nodes($g);
   132163     $rankdir = $g + 156 | 0;
   132164     $2 = HEAP32[$rankdir >> 2] | 0;
   132165     $and8 = $2 & 1;
   132166     $cmp9 = ($and8 | 0) == 0;
   132167     $p_sroa_0_0_copyload_p_sroa_1_8_copyload = $cmp9 ? $p_sroa_0_0_copyload : $p_sroa_1_8_copyload;
   132168     $cmp14 = $p_sroa_0_0_copyload_p_sroa_1_8_copyload < 65535.0;
   132169     $cond = $cmp14 ? $p_sroa_0_0_copyload_p_sroa_1_8_copyload : 65535.0;
   132170     $ln = $g + 268 | 0;
   132171     $3 = HEAP32[$ln >> 2] | 0;
   132172     $rn = $g + 272 | 0;
   132173     $4 = HEAP32[$rn >> 2] | 0;
   132174     $call = _make_aux_edge($3, $4, $cond, 1e3) | 0;
   132175     label = 5;
   132176     break;
   132177    case 5:
   132178     return;
   132179   }
   132180 }
   132181 function _contain_nodes($g) {
   132182   $g = $g | 0;
   132183   var $ln1 = 0, $0 = 0, $rn3 = 0, $1 = 0, $minrank = 0, $2 = 0, $maxrank = 0, $3 = 0, $cmp23 = 0, $conv = 0, $rank = 0, $name = 0, $x = 0, $x39 = 0, $r_024 = 0, $4 = 0, $n = 0, $5 = 0, $cmp9 = 0, $v14 = 0, $6 = 0, $7 = 0, $cmp16 = 0, $8 = 0, $call = 0, $lw = 0, $9 = 0.0, $add = 0.0, $10 = 0.0, $add23 = 0.0, $call24 = 0, $11 = 0, $n28 = 0, $12 = 0, $sub = 0, $v32 = 0, $13 = 0, $arrayidx33 = 0, $14 = 0, $rw = 0, $15 = 0.0, $add35 = 0.0, $16 = 0.0, $add40 = 0.0, $call41 = 0, $inc = 0, $17 = 0, $conv6 = 0, $cmp = 0, label = 0, __stackBase__ = 0;
   132184   __stackBase__ = STACKTOP;
   132185   label = 2;
   132186   while (1) switch (label | 0) {
   132187    case 2:
   132188     _make_lrvn($g);
   132189     $ln1 = $g + 268 | 0;
   132190     $0 = HEAP32[$ln1 >> 2] | 0;
   132191     $rn3 = $g + 272 | 0;
   132192     $1 = HEAP32[$rn3 >> 2] | 0;
   132193     $minrank = $g + 248 | 0;
   132194     $2 = HEAP16[$minrank >> 1] | 0;
   132195     $maxrank = $g + 250 | 0;
   132196     $3 = HEAP16[$maxrank >> 1] | 0;
   132197     $cmp23 = $2 << 16 >> 16 > $3 << 16 >> 16;
   132198     if ($cmp23) {
   132199       label = 9;
   132200       break;
   132201     } else {
   132202       label = 3;
   132203       break;
   132204     }
   132205    case 3:
   132206     $conv = $2 << 16 >> 16;
   132207     $rank = $g + 224 | 0;
   132208     $name = $g + 12 | 0;
   132209     $x = $g + 136 | 0;
   132210     $x39 = $g + 104 | 0;
   132211     $r_024 = $conv;
   132212     label = 4;
   132213     break;
   132214    case 4:
   132215     $4 = HEAP32[$rank >> 2] | 0;
   132216     $n = $4 + ($r_024 * 44 & -1) | 0;
   132217     $5 = HEAP32[$n >> 2] | 0;
   132218     $cmp9 = ($5 | 0) == 0;
   132219     if ($cmp9) {
   132220       label = 8;
   132221       break;
   132222     } else {
   132223       label = 5;
   132224       break;
   132225     }
   132226    case 5:
   132227     $v14 = $4 + ($r_024 * 44 & -1) + 4 | 0;
   132228     $6 = HEAP32[$v14 >> 2] | 0;
   132229     $7 = HEAP32[$6 >> 2] | 0;
   132230     $cmp16 = ($7 | 0) == 0;
   132231     if ($cmp16) {
   132232       label = 6;
   132233       break;
   132234     } else {
   132235       label = 7;
   132236       break;
   132237     }
   132238    case 6:
   132239     $8 = HEAP32[$name >> 2] | 0;
   132240     $call = _agerr(1, 104488, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $8, HEAP32[tempInt + 8 >> 2] = $r_024, tempInt) | 0) | 0;
   132241     label = 8;
   132242     break;
   132243    case 7:
   132244     $lw = $7 + 104 | 0;
   132245     $9 = +HEAPF64[$lw >> 3];
   132246     $add = $9 + 8.0;
   132247     $10 = +HEAPF64[$x >> 3];
   132248     $add23 = $add + $10;
   132249     $call24 = _make_aux_edge($0, $7, $add23, 0) | 0;
   132250     $11 = HEAP32[$rank >> 2] | 0;
   132251     $n28 = $11 + ($r_024 * 44 & -1) | 0;
   132252     $12 = HEAP32[$n28 >> 2] | 0;
   132253     $sub = $12 - 1 | 0;
   132254     $v32 = $11 + ($r_024 * 44 & -1) + 4 | 0;
   132255     $13 = HEAP32[$v32 >> 2] | 0;
   132256     $arrayidx33 = $13 + ($sub << 2) | 0;
   132257     $14 = HEAP32[$arrayidx33 >> 2] | 0;
   132258     $rw = $14 + 112 | 0;
   132259     $15 = +HEAPF64[$rw >> 3];
   132260     $add35 = $15 + 8.0;
   132261     $16 = +HEAPF64[$x39 >> 3];
   132262     $add40 = $add35 + $16;
   132263     $call41 = _make_aux_edge($14, $1, $add40, 0) | 0;
   132264     label = 8;
   132265     break;
   132266    case 8:
   132267     $inc = $r_024 + 1 | 0;
   132268     $17 = HEAP16[$maxrank >> 1] | 0;
   132269     $conv6 = $17 << 16 >> 16;
   132270     $cmp = ($inc | 0) > ($conv6 | 0);
   132271     if ($cmp) {
   132272       label = 9;
   132273       break;
   132274     } else {
   132275       $r_024 = $inc;
   132276       label = 4;
   132277       break;
   132278     }
   132279    case 9:
   132280     STACKTOP = __stackBase__;
   132281     return;
   132282   }
   132283 }
   132284 function _make_lrvn($g) {
   132285   $g = $g | 0;
   132286   var $ln1 = 0, $0 = 0, $tobool = 0, $root = 0, $1 = 0, $call = 0, $node_type = 0, $2 = 0, $call4 = 0, $node_type6 = 0, $label = 0, $3 = 0, $tobool8 = 0, $4 = 0, $cmp = 0, $rankdir = 0, $5 = 0, $and13 = 0, $tobool14 = 0, $x = 0, $6 = 0.0, $x20 = 0, $7 = 0.0, $cmp21 = 0, $_ = 0.0, $conv = 0, $conv30 = 0.0, $call31 = 0, $rn36 = 0, label = 0;
   132287   label = 2;
   132288   while (1) switch (label | 0) {
   132289    case 2:
   132290     $ln1 = $g + 268 | 0;
   132291     $0 = HEAP32[$ln1 >> 2] | 0;
   132292     $tobool = ($0 | 0) == 0;
   132293     if ($tobool) {
   132294       label = 3;
   132295       break;
   132296     } else {
   132297       label = 8;
   132298       break;
   132299     }
   132300    case 3:
   132301     $root = $g + 32 | 0;
   132302     $1 = HEAP32[$root >> 2] | 0;
   132303     $call = _virtual_node($1) | 0;
   132304     $node_type = $call + 162 | 0;
   132305     HEAP8[$node_type] = 2;
   132306     $2 = HEAP32[$root >> 2] | 0;
   132307     $call4 = _virtual_node($2) | 0;
   132308     $node_type6 = $call4 + 162 | 0;
   132309     HEAP8[$node_type6] = 2;
   132310     $label = $g + 52 | 0;
   132311     $3 = HEAP32[$label >> 2] | 0;
   132312     $tobool8 = ($3 | 0) == 0;
   132313     if ($tobool8) {
   132314       label = 7;
   132315       break;
   132316     } else {
   132317       label = 4;
   132318       break;
   132319     }
   132320    case 4:
   132321     $4 = HEAP32[$root >> 2] | 0;
   132322     $cmp = ($4 | 0) == ($g | 0);
   132323     if ($cmp) {
   132324       label = 7;
   132325       break;
   132326     } else {
   132327       label = 5;
   132328       break;
   132329     }
   132330    case 5:
   132331     $rankdir = $4 + 156 | 0;
   132332     $5 = HEAP32[$rankdir >> 2] | 0;
   132333     $and13 = $5 & 1;
   132334     $tobool14 = ($and13 | 0) == 0;
   132335     if ($tobool14) {
   132336       label = 6;
   132337       break;
   132338     } else {
   132339       label = 7;
   132340       break;
   132341     }
   132342    case 6:
   132343     $x = $g + 88 | 0;
   132344     $6 = +HEAPF64[$x >> 3];
   132345     $x20 = $g + 120 | 0;
   132346     $7 = +HEAPF64[$x20 >> 3];
   132347     $cmp21 = $6 > $7;
   132348     $_ = $cmp21 ? $6 : $7;
   132349     $conv = ~~$_;
   132350     $conv30 = +($conv | 0);
   132351     $call31 = _make_aux_edge($call, $call4, $conv30, 0) | 0;
   132352     label = 7;
   132353     break;
   132354    case 7:
   132355     HEAP32[$ln1 >> 2] = $call;
   132356     $rn36 = $g + 272 | 0;
   132357     HEAP32[$rn36 >> 2] = $call4;
   132358     label = 8;
   132359     break;
   132360    case 8:
   132361     return;
   132362   }
   132363 }
   132364 function _contain_clustnodes($g) {
   132365   $g = $g | 0;
   132366   var $root = 0, $0 = 0, $cmp = 0, $ln = 0, $1 = 0, $rn = 0, $2 = 0, $call = 0, $tobool = 0, $weight = 0, $3 = 0.0, $add = 0.0, $call8 = 0, $n_cluster = 0, $4 = 0, $cmp1111 = 0, $clust = 0, $c_012 = 0, $5 = 0, $arrayidx = 0, $6 = 0, $inc = 0, $7 = 0, $cmp11 = 0, label = 0;
   132367   label = 2;
   132368   while (1) switch (label | 0) {
   132369    case 2:
   132370     $root = $g + 32 | 0;
   132371     $0 = HEAP32[$root >> 2] | 0;
   132372     $cmp = ($0 | 0) == ($g | 0);
   132373     if ($cmp) {
   132374       label = 6;
   132375       break;
   132376     } else {
   132377       label = 3;
   132378       break;
   132379     }
   132380    case 3:
   132381     _contain_nodes($g);
   132382     $ln = $g + 268 | 0;
   132383     $1 = HEAP32[$ln >> 2] | 0;
   132384     $rn = $g + 272 | 0;
   132385     $2 = HEAP32[$rn >> 2] | 0;
   132386     $call = _find_fast_edge($1, $2) | 0;
   132387     $tobool = ($call | 0) == 0;
   132388     if ($tobool) {
   132389       label = 5;
   132390       break;
   132391     } else {
   132392       label = 4;
   132393       break;
   132394     }
   132395    case 4:
   132396     $weight = $call + 172 | 0;
   132397     $3 = +HEAPF32[$weight >> 2];
   132398     $add = $3 + 128.0;
   132399     HEAPF32[$weight >> 2] = $add;
   132400     label = 6;
   132401     break;
   132402    case 5:
   132403     $call8 = _make_aux_edge($1, $2, 1.0, 128) | 0;
   132404     label = 6;
   132405     break;
   132406    case 6:
   132407     $n_cluster = $g + 212 | 0;
   132408     $4 = HEAP32[$n_cluster >> 2] | 0;
   132409     $cmp1111 = ($4 | 0) < 1;
   132410     if ($cmp1111) {
   132411       label = 9;
   132412       break;
   132413     } else {
   132414       label = 7;
   132415       break;
   132416     }
   132417    case 7:
   132418     $clust = $g + 216 | 0;
   132419     $c_012 = 1;
   132420     label = 8;
   132421     break;
   132422    case 8:
   132423     $5 = HEAP32[$clust >> 2] | 0;
   132424     $arrayidx = $5 + ($c_012 << 2) | 0;
   132425     $6 = HEAP32[$arrayidx >> 2] | 0;
   132426     _contain_clustnodes($6);
   132427     $inc = $c_012 + 1 | 0;
   132428     $7 = HEAP32[$n_cluster >> 2] | 0;
   132429     $cmp11 = ($inc | 0) > ($7 | 0);
   132430     if ($cmp11) {
   132431       label = 9;
   132432       break;
   132433     } else {
   132434       $c_012 = $inc;
   132435       label = 8;
   132436       break;
   132437     }
   132438    case 9:
   132439     return;
   132440   }
   132441 }
   132442 function _keepout_othernodes($g) {
   132443   $g = $g | 0;
   132444   var $minrank = 0, $0 = 0, $maxrank = 0, $1 = 0, $cmp41 = 0, $conv = 0, $rank = 0, $root = 0, $ln = 0, $root44 = 0, $rn = 0, $n_cluster = 0, $2 = 0, $cmp7937 = 0, $clust = 0, $r_042 = 0, $3 = 0, $n = 0, $4 = 0, $cmp6 = 0, $v11 = 0, $5 = 0, $6 = 0, $cmp13 = 0, $order = 0, $7 = 0, $i_0_in = 0, $i_0 = 0, $cmp19 = 0, $8 = 0, $rank23 = 0, $9 = 0, $v25 = 0, $10 = 0, $arrayidx26 = 0, $11 = 0, $node_type = 0, $12 = 0, $cmp29 = 0, $call = 0, $tobool = 0, $13 = 0, $rw = 0, $14 = 0.0, $add = 0.0, $call34 = 0, $15 = 0, $16 = 0, $n41 = 0, $17 = 0, $add42 = 0, $i_1 = 0, $18 = 0, $rank46 = 0, $19 = 0, $n48 = 0, $20 = 0, $cmp49 = 0, $v56 = 0, $21 = 0, $arrayidx57 = 0, $22 = 0, $node_type59 = 0, $23 = 0, $cmp61 = 0, $call64 = 0, $tobool65 = 0, $inc = 0, $24 = 0, $lw = 0, $25 = 0.0, $add69 = 0.0, $call70 = 0, $inc75 = 0, $26 = 0, $conv3 = 0, $cmp = 0, $c_038 = 0, $27 = 0, $arrayidx83 = 0, $28 = 0, $inc85 = 0, $29 = 0, $cmp79 = 0, label = 0;
   132445   label = 2;
   132446   while (1) switch (label | 0) {
   132447    case 2:
   132448     $minrank = $g + 248 | 0;
   132449     $0 = HEAP16[$minrank >> 1] | 0;
   132450     $maxrank = $g + 250 | 0;
   132451     $1 = HEAP16[$maxrank >> 1] | 0;
   132452     $cmp41 = $0 << 16 >> 16 > $1 << 16 >> 16;
   132453     if ($cmp41) {
   132454       label = 4;
   132455       break;
   132456     } else {
   132457       label = 3;
   132458       break;
   132459     }
   132460    case 3:
   132461     $conv = $0 << 16 >> 16;
   132462     $rank = $g + 224 | 0;
   132463     $root = $g + 32 | 0;
   132464     $ln = $g + 268 | 0;
   132465     $root44 = $g + 32 | 0;
   132466     $rn = $g + 272 | 0;
   132467     $r_042 = $conv;
   132468     label = 6;
   132469     break;
   132470    case 4:
   132471     $n_cluster = $g + 212 | 0;
   132472     $2 = HEAP32[$n_cluster >> 2] | 0;
   132473     $cmp7937 = ($2 | 0) < 1;
   132474     if ($cmp7937) {
   132475       label = 20;
   132476       break;
   132477     } else {
   132478       label = 5;
   132479       break;
   132480     }
   132481    case 5:
   132482     $clust = $g + 216 | 0;
   132483     $c_038 = 1;
   132484     label = 19;
   132485     break;
   132486    case 6:
   132487     $3 = HEAP32[$rank >> 2] | 0;
   132488     $n = $3 + ($r_042 * 44 & -1) | 0;
   132489     $4 = HEAP32[$n >> 2] | 0;
   132490     $cmp6 = ($4 | 0) == 0;
   132491     if ($cmp6) {
   132492       label = 18;
   132493       break;
   132494     } else {
   132495       label = 7;
   132496       break;
   132497     }
   132498    case 7:
   132499     $v11 = $3 + ($r_042 * 44 & -1) + 4 | 0;
   132500     $5 = HEAP32[$v11 >> 2] | 0;
   132501     $6 = HEAP32[$5 >> 2] | 0;
   132502     $cmp13 = ($6 | 0) == 0;
   132503     if ($cmp13) {
   132504       label = 18;
   132505       break;
   132506     } else {
   132507       label = 8;
   132508       break;
   132509     }
   132510    case 8:
   132511     $order = $6 + 240 | 0;
   132512     $7 = HEAP32[$order >> 2] | 0;
   132513     $i_0_in = $7;
   132514     label = 9;
   132515     break;
   132516    case 9:
   132517     $i_0 = $i_0_in - 1 | 0;
   132518     $cmp19 = ($i_0_in | 0) > 0;
   132519     if ($cmp19) {
   132520       label = 10;
   132521       break;
   132522     } else {
   132523       label = 13;
   132524       break;
   132525     }
   132526    case 10:
   132527     $8 = HEAP32[$root >> 2] | 0;
   132528     $rank23 = $8 + 224 | 0;
   132529     $9 = HEAP32[$rank23 >> 2] | 0;
   132530     $v25 = $9 + ($r_042 * 44 & -1) + 4 | 0;
   132531     $10 = HEAP32[$v25 >> 2] | 0;
   132532     $arrayidx26 = $10 + ($i_0 << 2) | 0;
   132533     $11 = HEAP32[$arrayidx26 >> 2] | 0;
   132534     $node_type = $11 + 162 | 0;
   132535     $12 = HEAP8[$node_type] | 0;
   132536     $cmp29 = $12 << 24 >> 24 == 0;
   132537     if ($cmp29) {
   132538       label = 12;
   132539       break;
   132540     } else {
   132541       label = 11;
   132542       break;
   132543     }
   132544    case 11:
   132545     $call = _vnode_not_related_to($g, $11) | 0;
   132546     $tobool = ($call | 0) == 0;
   132547     if ($tobool) {
   132548       $i_0_in = $i_0;
   132549       label = 9;
   132550       break;
   132551     } else {
   132552       label = 12;
   132553       break;
   132554     }
   132555    case 12:
   132556     $13 = HEAP32[$ln >> 2] | 0;
   132557     $rw = $11 + 112 | 0;
   132558     $14 = +HEAPF64[$rw >> 3];
   132559     $add = $14 + 8.0;
   132560     $call34 = _make_aux_edge($11, $13, $add, 0) | 0;
   132561     label = 13;
   132562     break;
   132563    case 13:
   132564     $15 = HEAP32[$order >> 2] | 0;
   132565     $16 = HEAP32[$rank >> 2] | 0;
   132566     $n41 = $16 + ($r_042 * 44 & -1) | 0;
   132567     $17 = HEAP32[$n41 >> 2] | 0;
   132568     $add42 = $17 + $15 | 0;
   132569     $i_1 = $add42;
   132570     label = 14;
   132571     break;
   132572    case 14:
   132573     $18 = HEAP32[$root44 >> 2] | 0;
   132574     $rank46 = $18 + 224 | 0;
   132575     $19 = HEAP32[$rank46 >> 2] | 0;
   132576     $n48 = $19 + ($r_042 * 44 & -1) | 0;
   132577     $20 = HEAP32[$n48 >> 2] | 0;
   132578     $cmp49 = ($i_1 | 0) < ($20 | 0);
   132579     if ($cmp49) {
   132580       label = 15;
   132581       break;
   132582     } else {
   132583       label = 18;
   132584       break;
   132585     }
   132586    case 15:
   132587     $v56 = $19 + ($r_042 * 44 & -1) + 4 | 0;
   132588     $21 = HEAP32[$v56 >> 2] | 0;
   132589     $arrayidx57 = $21 + ($i_1 << 2) | 0;
   132590     $22 = HEAP32[$arrayidx57 >> 2] | 0;
   132591     $node_type59 = $22 + 162 | 0;
   132592     $23 = HEAP8[$node_type59] | 0;
   132593     $cmp61 = $23 << 24 >> 24 == 0;
   132594     if ($cmp61) {
   132595       label = 17;
   132596       break;
   132597     } else {
   132598       label = 16;
   132599       break;
   132600     }
   132601    case 16:
   132602     $call64 = _vnode_not_related_to($g, $22) | 0;
   132603     $tobool65 = ($call64 | 0) == 0;
   132604     $inc = $i_1 + 1 | 0;
   132605     if ($tobool65) {
   132606       $i_1 = $inc;
   132607       label = 14;
   132608       break;
   132609     } else {
   132610       label = 17;
   132611       break;
   132612     }
   132613    case 17:
   132614     $24 = HEAP32[$rn >> 2] | 0;
   132615     $lw = $22 + 104 | 0;
   132616     $25 = +HEAPF64[$lw >> 3];
   132617     $add69 = $25 + 8.0;
   132618     $call70 = _make_aux_edge($24, $22, $add69, 0) | 0;
   132619     label = 18;
   132620     break;
   132621    case 18:
   132622     $inc75 = $r_042 + 1 | 0;
   132623     $26 = HEAP16[$maxrank >> 1] | 0;
   132624     $conv3 = $26 << 16 >> 16;
   132625     $cmp = ($inc75 | 0) > ($conv3 | 0);
   132626     if ($cmp) {
   132627       label = 4;
   132628       break;
   132629     } else {
   132630       $r_042 = $inc75;
   132631       label = 6;
   132632       break;
   132633     }
   132634    case 19:
   132635     $27 = HEAP32[$clust >> 2] | 0;
   132636     $arrayidx83 = $27 + ($c_038 << 2) | 0;
   132637     $28 = HEAP32[$arrayidx83 >> 2] | 0;
   132638     _keepout_othernodes($28);
   132639     $inc85 = $c_038 + 1 | 0;
   132640     $29 = HEAP32[$n_cluster >> 2] | 0;
   132641     $cmp79 = ($inc85 | 0) > ($29 | 0);
   132642     if ($cmp79) {
   132643       label = 20;
   132644       break;
   132645     } else {
   132646       $c_038 = $inc85;
   132647       label = 19;
   132648       break;
   132649     }
   132650    case 20:
   132651     return;
   132652   }
   132653 }
   132654 function _contain_subclust($g) {
   132655   $g = $g | 0;
   132656   var $n_cluster = 0, $0 = 0, $cmp12 = 0, $clust = 0, $ln = 0, $x = 0, $rn9 = 0, $x13 = 0, $c_013 = 0, $1 = 0, $arrayidx = 0, $2 = 0, $3 = 0, $ln4 = 0, $4 = 0, $5 = 0.0, $add = 0.0, $call = 0, $rn = 0, $6 = 0, $7 = 0, $8 = 0.0, $add14 = 0.0, $call15 = 0, $inc = 0, $9 = 0, $cmp = 0, label = 0;
   132657   label = 2;
   132658   while (1) switch (label | 0) {
   132659    case 2:
   132660     _make_lrvn($g);
   132661     $n_cluster = $g + 212 | 0;
   132662     $0 = HEAP32[$n_cluster >> 2] | 0;
   132663     $cmp12 = ($0 | 0) < 1;
   132664     if ($cmp12) {
   132665       label = 5;
   132666       break;
   132667     } else {
   132668       label = 3;
   132669       break;
   132670     }
   132671    case 3:
   132672     $clust = $g + 216 | 0;
   132673     $ln = $g + 268 | 0;
   132674     $x = $g + 136 | 0;
   132675     $rn9 = $g + 272 | 0;
   132676     $x13 = $g + 104 | 0;
   132677     $c_013 = 1;
   132678     label = 4;
   132679     break;
   132680    case 4:
   132681     $1 = HEAP32[$clust >> 2] | 0;
   132682     $arrayidx = $1 + ($c_013 << 2) | 0;
   132683     $2 = HEAP32[$arrayidx >> 2] | 0;
   132684     _make_lrvn($2);
   132685     $3 = HEAP32[$ln >> 2] | 0;
   132686     $ln4 = $2 + 268 | 0;
   132687     $4 = HEAP32[$ln4 >> 2] | 0;
   132688     $5 = +HEAPF64[$x >> 3];
   132689     $add = $5 + 8.0;
   132690     $call = _make_aux_edge($3, $4, $add, 0) | 0;
   132691     $rn = $2 + 272 | 0;
   132692     $6 = HEAP32[$rn >> 2] | 0;
   132693     $7 = HEAP32[$rn9 >> 2] | 0;
   132694     $8 = +HEAPF64[$x13 >> 3];
   132695     $add14 = $8 + 8.0;
   132696     $call15 = _make_aux_edge($6, $7, $add14, 0) | 0;
   132697     _contain_subclust($2);
   132698     $inc = $c_013 + 1 | 0;
   132699     $9 = HEAP32[$n_cluster >> 2] | 0;
   132700     $cmp = ($inc | 0) > ($9 | 0);
   132701     if ($cmp) {
   132702       label = 5;
   132703       break;
   132704     } else {
   132705       $c_013 = $inc;
   132706       label = 4;
   132707       break;
   132708     }
   132709    case 5:
   132710     return;
   132711   }
   132712 }
   132713 function _separate_subclust($g) {
   132714   $g = $g | 0;
   132715   var $n_cluster = 0, $0 = 0, $cmp32 = 0, $clust = 0, $cmp530 = 0, $clust13 = 0, $i_033 = 0, $1 = 0, $arrayidx = 0, $2 = 0, $inc = 0, $3 = 0, $cmp = 0, $i_131 = 0, $add = 0, $4 = 0, $cmp1027 = 0, $5 = 0, $arrayidx1428 = 0, $6 = 0, $7 = 0, $8 = 0, $j_029 = 0, $arrayidx17 = 0, $9 = 0, $minrank = 0, $10 = 0, $minrank20 = 0, $11 = 0, $cmp22 = 0, $_ = 0, $_26 = 0, $maxrank = 0, $12 = 0, $minrank27 = 0, $13 = 0, $conv28 = 0, $cmp29 = 0, $rank = 0, $14 = 0, $v = 0, $15 = 0, $16 = 0, $order = 0, $17 = 0, $rank43 = 0, $18 = 0, $v45 = 0, $19 = 0, $20 = 0, $order48 = 0, $21 = 0, $cmp49 = 0, $___26 = 0, $_26__ = 0, $rn = 0, $22 = 0, $ln = 0, $23 = 0, $call = 0, $inc56 = 0, $24 = 0, $cmp10 = 0, $25 = 0, $arrayidx14 = 0, $26 = 0, $_lcssa = 0, $27 = 0, $cmp5 = 0, label = 0;
   132716   label = 2;
   132717   while (1) switch (label | 0) {
   132718    case 2:
   132719     $n_cluster = $g + 212 | 0;
   132720     $0 = HEAP32[$n_cluster >> 2] | 0;
   132721     $cmp32 = ($0 | 0) < 1;
   132722     if ($cmp32) {
   132723       label = 12;
   132724       break;
   132725     } else {
   132726       label = 3;
   132727       break;
   132728     }
   132729    case 3:
   132730     $clust = $g + 216 | 0;
   132731     $i_033 = 1;
   132732     label = 6;
   132733     break;
   132734    case 4:
   132735     $cmp530 = ($3 | 0) < 1;
   132736     if ($cmp530) {
   132737       label = 12;
   132738       break;
   132739     } else {
   132740       label = 5;
   132741       break;
   132742     }
   132743    case 5:
   132744     $clust13 = $g + 216 | 0;
   132745     $i_131 = 1;
   132746     label = 7;
   132747     break;
   132748    case 6:
   132749     $1 = HEAP32[$clust >> 2] | 0;
   132750     $arrayidx = $1 + ($i_033 << 2) | 0;
   132751     $2 = HEAP32[$arrayidx >> 2] | 0;
   132752     _make_lrvn($2);
   132753     $inc = $i_033 + 1 | 0;
   132754     $3 = HEAP32[$n_cluster >> 2] | 0;
   132755     $cmp = ($inc | 0) > ($3 | 0);
   132756     if ($cmp) {
   132757       label = 4;
   132758       break;
   132759     } else {
   132760       $i_033 = $inc;
   132761       label = 6;
   132762       break;
   132763     }
   132764    case 7:
   132765     $add = $i_131 + 1 | 0;
   132766     $4 = HEAP32[$n_cluster >> 2] | 0;
   132767     $cmp1027 = ($add | 0) > ($4 | 0);
   132768     $5 = HEAP32[$clust13 >> 2] | 0;
   132769     $arrayidx1428 = $5 + ($i_131 << 2) | 0;
   132770     $6 = HEAP32[$arrayidx1428 >> 2] | 0;
   132771     if ($cmp1027) {
   132772       $_lcssa = $6;
   132773       label = 11;
   132774       break;
   132775     } else {
   132776       $j_029 = $add;
   132777       $8 = $5;
   132778       $7 = $6;
   132779       label = 8;
   132780       break;
   132781     }
   132782    case 8:
   132783     $arrayidx17 = $8 + ($j_029 << 2) | 0;
   132784     $9 = HEAP32[$arrayidx17 >> 2] | 0;
   132785     $minrank = $7 + 248 | 0;
   132786     $10 = HEAP16[$minrank >> 1] | 0;
   132787     $minrank20 = $9 + 248 | 0;
   132788     $11 = HEAP16[$minrank20 >> 1] | 0;
   132789     $cmp22 = $10 << 16 >> 16 > $11 << 16 >> 16;
   132790     $_ = $cmp22 ? $9 : $7;
   132791     $_26 = $cmp22 ? $7 : $9;
   132792     $maxrank = $_ + 250 | 0;
   132793     $12 = HEAP16[$maxrank >> 1] | 0;
   132794     $minrank27 = $_26 + 248 | 0;
   132795     $13 = HEAP16[$minrank27 >> 1] | 0;
   132796     $conv28 = $13 << 16 >> 16;
   132797     $cmp29 = $12 << 16 >> 16 < $13 << 16 >> 16;
   132798     if ($cmp29) {
   132799       label = 10;
   132800       break;
   132801     } else {
   132802       label = 9;
   132803       break;
   132804     }
   132805    case 9:
   132806     $rank = $_ + 224 | 0;
   132807     $14 = HEAP32[$rank >> 2] | 0;
   132808     $v = $14 + ($conv28 * 44 & -1) + 4 | 0;
   132809     $15 = HEAP32[$v >> 2] | 0;
   132810     $16 = HEAP32[$15 >> 2] | 0;
   132811     $order = $16 + 240 | 0;
   132812     $17 = HEAP32[$order >> 2] | 0;
   132813     $rank43 = $_26 + 224 | 0;
   132814     $18 = HEAP32[$rank43 >> 2] | 0;
   132815     $v45 = $18 + ($conv28 * 44 & -1) + 4 | 0;
   132816     $19 = HEAP32[$v45 >> 2] | 0;
   132817     $20 = HEAP32[$19 >> 2] | 0;
   132818     $order48 = $20 + 240 | 0;
   132819     $21 = HEAP32[$order48 >> 2] | 0;
   132820     $cmp49 = ($17 | 0) < ($21 | 0);
   132821     $___26 = $cmp49 ? $_ : $_26;
   132822     $_26__ = $cmp49 ? $_26 : $_;
   132823     $rn = $___26 + 272 | 0;
   132824     $22 = HEAP32[$rn >> 2] | 0;
   132825     $ln = $_26__ + 268 | 0;
   132826     $23 = HEAP32[$ln >> 2] | 0;
   132827     $call = _make_aux_edge($22, $23, 8.0, 0) | 0;
   132828     label = 10;
   132829     break;
   132830    case 10:
   132831     $inc56 = $j_029 + 1 | 0;
   132832     $24 = HEAP32[$n_cluster >> 2] | 0;
   132833     $cmp10 = ($inc56 | 0) > ($24 | 0);
   132834     $25 = HEAP32[$clust13 >> 2] | 0;
   132835     $arrayidx14 = $25 + ($i_131 << 2) | 0;
   132836     $26 = HEAP32[$arrayidx14 >> 2] | 0;
   132837     if ($cmp10) {
   132838       $_lcssa = $26;
   132839       label = 11;
   132840       break;
   132841     } else {
   132842       $j_029 = $inc56;
   132843       $8 = $25;
   132844       $7 = $26;
   132845       label = 8;
   132846       break;
   132847     }
   132848    case 11:
   132849     _separate_subclust($_lcssa);
   132850     $27 = HEAP32[$n_cluster >> 2] | 0;
   132851     $cmp5 = ($add | 0) > ($27 | 0);
   132852     if ($cmp5) {
   132853       label = 12;
   132854       break;
   132855     } else {
   132856       $i_131 = $add;
   132857       label = 7;
   132858       break;
   132859     }
   132860    case 12:
   132861     return;
   132862   }
   132863 }
   132864 function _make_LR_constraints($g) {
   132865   $g = $g | 0;
   132866   var $sep = 0, $rank2 = 0, $0 = 0, $has_labels = 0, $1 = 0, $and = 0, $tobool = 0, $nodesep5 = 0, $2 = 0, $arrayidx = 0, $arrayidx6 = 0, $_ = 0, $minrank = 0, $3 = 0, $maxrank = 0, $4 = 0, $cmp106 = 0, $conv12 = 0, $i_0107 = 0, $v17 = 0, $5 = 0, $6 = 0, $rank20 = 0, $n = 0, $7 = 0, $cmp25102 = 0, $and21 = 0, $arrayidx22 = 0, $8 = 0, $conv63 = 0.0, $9 = 0, $cmp25 = 0, $last_0104 = 0.0, $j_0103 = 0, $10 = 0, $arrayidx30 = 0, $11 = 0, $rw = 0, $12 = 0.0, $conv32 = 0, $mval = 0, $size = 0, $13 = 0, $cmp35 = 0, $list = 0, $14 = 0, $15 = 0, $tobool4297 = 0, $16 = 0, $17 = 0, $k_099 = 0, $sw_098 = 0, $tail = 0, $18 = 0, $head = 0, $19 = 0, $cmp44 = 0, $call = 0, $add = 0, $sw_1 = 0, $inc = 0, $arrayidx41 = 0, $20 = 0, $tobool42 = 0, $sw_0_lcssa = 0, $conv48 = 0.0, $21 = 0.0, $add51 = 0.0, $add53 = 0, $22 = 0, $arrayidx56 = 0, $23 = 0, $tobool57 = 0, $24 = 0.0, $lw = 0, $25 = 0.0, $add62 = 0.0, $add64 = 0.0, $call65 = 0, $add66 = 0.0, $conv67 = 0, $rank69 = 0, $conv70 = 0.0, $last_1 = 0.0, $alg = 0, $26 = 0, $tobool73 = 0, $list76 = 0, $27 = 0, $28 = 0, $arrayidx81 = 0, $29 = 0, $head82 = 0, $30 = 0, $order = 0, $31 = 0, $head84 = 0, $32 = 0, $order86 = 0, $33 = 0, $cmp87 = 0, $_92 = 0, $_93 = 0, $minlen = 0, $34 = 0, $35 = 0, $conv92 = 0, $36 = 0, $mul = 0, $div = 0, $conv95 = 0.0, $head96 = 0, $37 = 0, $tail100 = 0, $38 = 0, $call107 = 0, $cmp108 = 0, $lw102 = 0, $rw98 = 0, $39 = 0.0, $40 = 0.0, $add99 = 0.0, $add103 = 0.0, $conv104 = 0, $conv113 = 0.0, $weight = 0, $41 = 0, $42 = 0.0, $conv115 = 0, $call116 = 0, $tail119 = 0, $43 = 0, $head123 = 0, $44 = 0, $call130 = 0, $cmp131 = 0, $lw125 = 0, $rw121 = 0, $45 = 0.0, $46 = 0.0, $add122 = 0.0, $add126 = 0.0, $conv127 = 0, $conv136 = 0.0, $weight138 = 0, $47 = 0, $48 = 0.0, $conv139 = 0, $call140 = 0, $size145 = 0, $49 = 0, $cmp146100 = 0, $list151 = 0, $k_1101 = 0, $50 = 0, $arrayidx152 = 0, $51 = 0, $tail153 = 0, $52 = 0, $order155 = 0, $53 = 0, $head156 = 0, $54 = 0, $order158 = 0, $55 = 0, $cmp159 = 0, $_94 = 0, $_95 = 0, $rw169 = 0, $56 = 0.0, $lw171 = 0, $57 = 0.0, $add172 = 0.0, $minlen174 = 0, $58 = 0, $conv175 = 0, $59 = 0, $mul178 = 0, $conv179 = 0.0, $add180 = 0.0, $conv181 = 0, $call182 = 0, $tobool183 = 0, $conv185 = 0.0, $conv188 = 0.0, $add189 = 0.0, $dist = 0, $60 = 0.0, $cmp191 = 0, $add195 = 0.0, $sub = 0.0, $add195_sink = 0.0, $conv196 = 0, $conv200 = 0.0, $add201 = 0.0, $cmp202 = 0, $61 = 0, $conv209 = 0.0, $add210 = 0.0, $62 = 0.0, $cmp213 = 0, $add218 = 0.0, $sub223 = 0.0, $add218_sink = 0.0, $conv219 = 0, $conv227 = 0.0, $add228 = 0.0, $cond230 = 0.0, $conv231 = 0, $cmp232 = 0, $conv235 = 0.0, $minlen238 = 0, $63 = 0, $conv239 = 0, $cmp240 = 0, $extract_t91 = 0, $cond248_off0 = 0, $label = 0, $64 = 0, $tobool254 = 0, $conv256 = 0.0, $weight258 = 0, $65 = 0.0, $conv259 = 0, $call260 = 0, $inc264 = 0, $66 = 0, $cmp146 = 0, $inc270 = 0, $67 = 0, $conv14 = 0, $cmp = 0, label = 0, __stackBase__ = 0;
   132867   __stackBase__ = STACKTOP;
   132868   STACKTOP = STACKTOP + 8 | 0;
   132869   label = 2;
   132870   while (1) switch (label | 0) {
   132871    case 2:
   132872     $sep = __stackBase__ | 0;
   132873     $rank2 = $g + 224 | 0;
   132874     $0 = HEAP32[$rank2 >> 2] | 0;
   132875     $has_labels = $g + 153 | 0;
   132876     $1 = HEAP8[$has_labels] | 0;
   132877     $and = $1 & 1;
   132878     $tobool = $and << 24 >> 24 == 0;
   132879     $nodesep5 = $g + 260 | 0;
   132880     $2 = HEAP32[$nodesep5 >> 2] | 0;
   132881     $arrayidx = $sep | 0;
   132882     HEAP32[$arrayidx >> 2] = $2;
   132883     $arrayidx6 = $sep + 4 | 0;
   132884     $_ = $tobool ? $2 : 5;
   132885     HEAP32[$arrayidx6 >> 2] = $_;
   132886     $minrank = $g + 248 | 0;
   132887     $3 = HEAP16[$minrank >> 1] | 0;
   132888     $maxrank = $g + 250 | 0;
   132889     $4 = HEAP16[$maxrank >> 1] | 0;
   132890     $cmp106 = $3 << 16 >> 16 > $4 << 16 >> 16;
   132891     if ($cmp106) {
   132892       label = 39;
   132893       break;
   132894     } else {
   132895       label = 3;
   132896       break;
   132897     }
   132898    case 3:
   132899     $conv12 = $3 << 16 >> 16;
   132900     $i_0107 = $conv12;
   132901     label = 4;
   132902     break;
   132903    case 4:
   132904     $v17 = $0 + ($i_0107 * 44 & -1) + 4 | 0;
   132905     $5 = HEAP32[$v17 >> 2] | 0;
   132906     $6 = HEAP32[$5 >> 2] | 0;
   132907     $rank20 = $6 + 236 | 0;
   132908     HEAP32[$rank20 >> 2] = 0;
   132909     $n = $0 + ($i_0107 * 44 & -1) | 0;
   132910     $7 = HEAP32[$n >> 2] | 0;
   132911     $cmp25102 = ($7 | 0) > 0;
   132912     if ($cmp25102) {
   132913       label = 5;
   132914       break;
   132915     } else {
   132916       label = 38;
   132917       break;
   132918     }
   132919    case 5:
   132920     $and21 = $i_0107 & 1;
   132921     $arrayidx22 = $sep + ($and21 << 2) | 0;
   132922     $8 = HEAP32[$arrayidx22 >> 2] | 0;
   132923     $conv63 = +($8 | 0);
   132924     $j_0103 = 0;
   132925     $last_0104 = 0.0;
   132926     label = 7;
   132927     break;
   132928    case 6:
   132929     $9 = HEAP32[$n >> 2] | 0;
   132930     $cmp25 = ($add53 | 0) < ($9 | 0);
   132931     if ($cmp25) {
   132932       $j_0103 = $add53;
   132933       $last_0104 = $last_1;
   132934       label = 7;
   132935       break;
   132936     } else {
   132937       label = 38;
   132938       break;
   132939     }
   132940    case 7:
   132941     $10 = HEAP32[$v17 >> 2] | 0;
   132942     $arrayidx30 = $10 + ($j_0103 << 2) | 0;
   132943     $11 = HEAP32[$arrayidx30 >> 2] | 0;
   132944     $rw = $11 + 112 | 0;
   132945     $12 = +HEAPF64[$rw >> 3];
   132946     $conv32 = ~~$12;
   132947     $mval = $11 + 244 | 0;
   132948     HEAP32[$mval >> 2] = $conv32;
   132949     $size = $11 + 212 | 0;
   132950     $13 = HEAP32[$size >> 2] | 0;
   132951     $cmp35 = ($13 | 0) > 0;
   132952     if ($cmp35) {
   132953       label = 8;
   132954       break;
   132955     } else {
   132956       label = 14;
   132957       break;
   132958     }
   132959    case 8:
   132960     $list = $11 + 208 | 0;
   132961     $14 = HEAP32[$list >> 2] | 0;
   132962     $15 = HEAP32[$14 >> 2] | 0;
   132963     $tobool4297 = ($15 | 0) == 0;
   132964     if ($tobool4297) {
   132965       $sw_0_lcssa = 0;
   132966       label = 13;
   132967       break;
   132968     } else {
   132969       label = 9;
   132970       break;
   132971     }
   132972    case 9:
   132973     $16 = HEAP32[$list >> 2] | 0;
   132974     $sw_098 = 0;
   132975     $k_099 = 0;
   132976     $17 = $15;
   132977     label = 10;
   132978     break;
   132979    case 10:
   132980     $tail = $17 + 16 | 0;
   132981     $18 = HEAP32[$tail >> 2] | 0;
   132982     $head = $17 + 12 | 0;
   132983     $19 = HEAP32[$head >> 2] | 0;
   132984     $cmp44 = ($18 | 0) == ($19 | 0);
   132985     if ($cmp44) {
   132986       label = 11;
   132987       break;
   132988     } else {
   132989       $sw_1 = $sw_098;
   132990       label = 12;
   132991       break;
   132992     }
   132993    case 11:
   132994     $call = _selfRightSpace($17) | 0;
   132995     $add = $call + $sw_098 | 0;
   132996     $sw_1 = $add;
   132997     label = 12;
   132998     break;
   132999    case 12:
   133000     $inc = $k_099 + 1 | 0;
   133001     $arrayidx41 = $16 + ($inc << 2) | 0;
   133002     $20 = HEAP32[$arrayidx41 >> 2] | 0;
   133003     $tobool42 = ($20 | 0) == 0;
   133004     if ($tobool42) {
   133005       $sw_0_lcssa = $sw_1;
   133006       label = 13;
   133007       break;
   133008     } else {
   133009       $sw_098 = $sw_1;
   133010       $k_099 = $inc;
   133011       $17 = $20;
   133012       label = 10;
   133013       break;
   133014     }
   133015    case 13:
   133016     $conv48 = +($sw_0_lcssa | 0);
   133017     $21 = +HEAPF64[$rw >> 3];
   133018     $add51 = $conv48 + $21;
   133019     HEAPF64[$rw >> 3] = $add51;
   133020     label = 14;
   133021     break;
   133022    case 14:
   133023     $add53 = $j_0103 + 1 | 0;
   133024     $22 = HEAP32[$v17 >> 2] | 0;
   133025     $arrayidx56 = $22 + ($add53 << 2) | 0;
   133026     $23 = HEAP32[$arrayidx56 >> 2] | 0;
   133027     $tobool57 = ($23 | 0) == 0;
   133028     if ($tobool57) {
   133029       $last_1 = $last_0104;
   133030       label = 16;
   133031       break;
   133032     } else {
   133033       label = 15;
   133034       break;
   133035     }
   133036    case 15:
   133037     $24 = +HEAPF64[$rw >> 3];
   133038     $lw = $23 + 104 | 0;
   133039     $25 = +HEAPF64[$lw >> 3];
   133040     $add62 = $24 + $25;
   133041     $add64 = $conv63 + $add62;
   133042     $call65 = _make_aux_edge($11, $23, $add64, 0) | 0;
   133043     $add66 = $last_0104 + $add64;
   133044     $conv67 = ~~$add66;
   133045     $rank69 = $23 + 236 | 0;
   133046     HEAP32[$rank69 >> 2] = $conv67;
   133047     $conv70 = +($conv67 | 0);
   133048     $last_1 = $conv70;
   133049     label = 16;
   133050     break;
   133051    case 16:
   133052     $alg = $11 + 128 | 0;
   133053     $26 = HEAP32[$alg >> 2] | 0;
   133054     $tobool73 = ($26 | 0) == 0;
   133055     if ($tobool73) {
   133056       label = 21;
   133057       break;
   133058     } else {
   133059       label = 17;
   133060       break;
   133061     }
   133062    case 17:
   133063     $list76 = $11 + 256 | 0;
   133064     $27 = HEAP32[$list76 >> 2] | 0;
   133065     $28 = HEAP32[$27 >> 2] | 0;
   133066     $arrayidx81 = $27 + 4 | 0;
   133067     $29 = HEAP32[$arrayidx81 >> 2] | 0;
   133068     $head82 = $28 + 12 | 0;
   133069     $30 = HEAP32[$head82 >> 2] | 0;
   133070     $order = $30 + 240 | 0;
   133071     $31 = HEAP32[$order >> 2] | 0;
   133072     $head84 = $29 + 12 | 0;
   133073     $32 = HEAP32[$head84 >> 2] | 0;
   133074     $order86 = $32 + 240 | 0;
   133075     $33 = HEAP32[$order86 >> 2] | 0;
   133076     $cmp87 = ($31 | 0) > ($33 | 0);
   133077     $_92 = $cmp87 ? $29 : $28;
   133078     $_93 = $cmp87 ? $28 : $29;
   133079     $minlen = $26 + 186 | 0;
   133080     $34 = $minlen;
   133081     $35 = HEAP16[$34 >> 1] | 0;
   133082     $conv92 = $35 & 65535;
   133083     $36 = HEAP32[$nodesep5 >> 2] | 0;
   133084     $mul = Math_imul($conv92, $36);
   133085     $div = ($mul | 0) / 2 & -1;
   133086     $conv95 = +($div | 0);
   133087     $head96 = $_92 + 12 | 0;
   133088     $37 = HEAP32[$head96 >> 2] | 0;
   133089     $tail100 = $_92 + 16 | 0;
   133090     $38 = HEAP32[$tail100 >> 2] | 0;
   133091     $call107 = _canreach($38, $37) | 0;
   133092     $cmp108 = ($call107 | 0) == 0;
   133093     if ($cmp108) {
   133094       label = 18;
   133095       break;
   133096     } else {
   133097       label = 19;
   133098       break;
   133099     }
   133100    case 18:
   133101     $lw102 = $38 + 104 | 0;
   133102     $rw98 = $37 + 112 | 0;
   133103     $39 = +HEAPF64[$lw102 >> 3];
   133104     $40 = +HEAPF64[$rw98 >> 3];
   133105     $add99 = $conv95 + $40;
   133106     $add103 = $39 + $add99;
   133107     $conv104 = ~~$add103;
   133108     $conv113 = +($conv104 | 0);
   133109     $weight = $26 + 172 | 0;
   133110     $41 = $weight;
   133111     $42 = +HEAPF32[$41 >> 2];
   133112     $conv115 = ~~$42;
   133113     $call116 = _make_aux_edge($37, $38, $conv113, $conv115) | 0;
   133114     label = 19;
   133115     break;
   133116    case 19:
   133117     $tail119 = $_93 + 16 | 0;
   133118     $43 = HEAP32[$tail119 >> 2] | 0;
   133119     $head123 = $_93 + 12 | 0;
   133120     $44 = HEAP32[$head123 >> 2] | 0;
   133121     $call130 = _canreach($44, $43) | 0;
   133122     $cmp131 = ($call130 | 0) == 0;
   133123     if ($cmp131) {
   133124       label = 20;
   133125       break;
   133126     } else {
   133127       label = 21;
   133128       break;
   133129     }
   133130    case 20:
   133131     $lw125 = $44 + 104 | 0;
   133132     $rw121 = $43 + 112 | 0;
   133133     $45 = +HEAPF64[$lw125 >> 3];
   133134     $46 = +HEAPF64[$rw121 >> 3];
   133135     $add122 = $conv95 + $46;
   133136     $add126 = $45 + $add122;
   133137     $conv127 = ~~$add126;
   133138     $conv136 = +($conv127 | 0);
   133139     $weight138 = $26 + 172 | 0;
   133140     $47 = $weight138;
   133141     $48 = +HEAPF32[$47 >> 2];
   133142     $conv139 = ~~$48;
   133143     $call140 = _make_aux_edge($43, $44, $conv136, $conv139) | 0;
   133144     label = 21;
   133145     break;
   133146    case 21:
   133147     $size145 = $11 + 196 | 0;
   133148     $49 = HEAP32[$size145 >> 2] | 0;
   133149     $cmp146100 = ($49 | 0) > 0;
   133150     if ($cmp146100) {
   133151       label = 22;
   133152       break;
   133153     } else {
   133154       label = 6;
   133155       break;
   133156     }
   133157    case 22:
   133158     $list151 = $11 + 192 | 0;
   133159     $k_1101 = 0;
   133160     label = 23;
   133161     break;
   133162    case 23:
   133163     $50 = HEAP32[$list151 >> 2] | 0;
   133164     $arrayidx152 = $50 + ($k_1101 << 2) | 0;
   133165     $51 = HEAP32[$arrayidx152 >> 2] | 0;
   133166     $tail153 = $51 + 16 | 0;
   133167     $52 = HEAP32[$tail153 >> 2] | 0;
   133168     $order155 = $52 + 240 | 0;
   133169     $53 = HEAP32[$order155 >> 2] | 0;
   133170     $head156 = $51 + 12 | 0;
   133171     $54 = HEAP32[$head156 >> 2] | 0;
   133172     $order158 = $54 + 240 | 0;
   133173     $55 = HEAP32[$order158 >> 2] | 0;
   133174     $cmp159 = ($53 | 0) < ($55 | 0);
   133175     $_94 = $cmp159 ? $52 : $54;
   133176     $_95 = $cmp159 ? $54 : $52;
   133177     $rw169 = $_94 + 112 | 0;
   133178     $56 = +HEAPF64[$rw169 >> 3];
   133179     $lw171 = $_95 + 104 | 0;
   133180     $57 = +HEAPF64[$lw171 >> 3];
   133181     $add172 = $56 + $57;
   133182     $minlen174 = $51 + 186 | 0;
   133183     $58 = HEAP16[$minlen174 >> 1] | 0;
   133184     $conv175 = $58 & 65535;
   133185     $59 = HEAP32[$nodesep5 >> 2] | 0;
   133186     $mul178 = Math_imul($conv175, $59);
   133187     $conv179 = +($mul178 | 0);
   133188     $add180 = $add172 + $conv179;
   133189     $conv181 = ~~$add180;
   133190     $call182 = _find_fast_edge($_94, $_95) | 0;
   133191     $tobool183 = ($call182 | 0) == 0;
   133192     if ($tobool183) {
   133193       label = 35;
   133194       break;
   133195     } else {
   133196       label = 24;
   133197       break;
   133198     }
   133199    case 24:
   133200     $conv185 = +($conv181 | 0);
   133201     $conv188 = +($59 | 0);
   133202     $add189 = $add172 + $conv188;
   133203     $dist = $51 + 152 | 0;
   133204     $60 = +HEAPF64[$dist >> 3];
   133205     $cmp191 = $60 < 0.0;
   133206     if ($cmp191) {
   133207       label = 26;
   133208       break;
   133209     } else {
   133210       label = 25;
   133211       break;
   133212     }
   133213    case 25:
   133214     $add195 = $60 + .5;
   133215     $add195_sink = $add195;
   133216     label = 27;
   133217     break;
   133218    case 26:
   133219     $sub = $60 + -.5;
   133220     $add195_sink = $sub;
   133221     label = 27;
   133222     break;
   133223    case 27:
   133224     $conv196 = ~~$add195_sink;
   133225     $conv200 = +($conv196 | 0);
   133226     $add201 = $add189 + $conv200;
   133227     $cmp202 = $conv185 > $add201;
   133228     if ($cmp202) {
   133229       $cond230 = $conv185;
   133230       label = 32;
   133231       break;
   133232     } else {
   133233       label = 28;
   133234       break;
   133235     }
   133236    case 28:
   133237     $61 = HEAP32[$nodesep5 >> 2] | 0;
   133238     $conv209 = +($61 | 0);
   133239     $add210 = $add172 + $conv209;
   133240     $62 = +HEAPF64[$dist >> 3];
   133241     $cmp213 = $62 < 0.0;
   133242     if ($cmp213) {
   133243       label = 30;
   133244       break;
   133245     } else {
   133246       label = 29;
   133247       break;
   133248     }
   133249    case 29:
   133250     $add218 = $62 + .5;
   133251     $add218_sink = $add218;
   133252     label = 31;
   133253     break;
   133254    case 30:
   133255     $sub223 = $62 + -.5;
   133256     $add218_sink = $sub223;
   133257     label = 31;
   133258     break;
   133259    case 31:
   133260     $conv219 = ~~$add218_sink;
   133261     $conv227 = +($conv219 | 0);
   133262     $add228 = $add210 + $conv227;
   133263     $cond230 = $add228;
   133264     label = 32;
   133265     break;
   133266    case 32:
   133267     $conv231 = ~~$cond230;
   133268     $cmp232 = ($conv231 | 0) > 65535;
   133269     if ($cmp232) {
   133270       label = 33;
   133271       break;
   133272     } else {
   133273       label = 34;
   133274       break;
   133275     }
   133276    case 33:
   133277     $conv235 = +($conv231 | 0);
   133278     _largeMinlen($conv235);
   133279    case 34:
   133280     $minlen238 = $call182 + 186 | 0;
   133281     $63 = HEAP16[$minlen238 >> 1] | 0;
   133282     $conv239 = $63 & 65535;
   133283     $cmp240 = ($conv239 | 0) > ($conv231 | 0);
   133284     $extract_t91 = $conv231 & 65535;
   133285     $cond248_off0 = $cmp240 ? $63 : $extract_t91;
   133286     HEAP16[$minlen238 >> 1] = $cond248_off0;
   133287     label = 37;
   133288     break;
   133289    case 35:
   133290     $label = $51 + 112 | 0;
   133291     $64 = HEAP32[$label >> 2] | 0;
   133292     $tobool254 = ($64 | 0) == 0;
   133293     if ($tobool254) {
   133294       label = 36;
   133295       break;
   133296     } else {
   133297       label = 37;
   133298       break;
   133299     }
   133300    case 36:
   133301     $conv256 = +($conv181 | 0);
   133302     $weight258 = $51 + 172 | 0;
   133303     $65 = +HEAPF32[$weight258 >> 2];
   133304     $conv259 = ~~$65;
   133305     $call260 = _make_aux_edge($_94, $_95, $conv256, $conv259) | 0;
   133306     label = 37;
   133307     break;
   133308    case 37:
   133309     $inc264 = $k_1101 + 1 | 0;
   133310     $66 = HEAP32[$size145 >> 2] | 0;
   133311     $cmp146 = ($inc264 | 0) < ($66 | 0);
   133312     if ($cmp146) {
   133313       $k_1101 = $inc264;
   133314       label = 23;
   133315       break;
   133316     } else {
   133317       label = 6;
   133318       break;
   133319     }
   133320    case 38:
   133321     $inc270 = $i_0107 + 1 | 0;
   133322     $67 = HEAP16[$maxrank >> 1] | 0;
   133323     $conv14 = $67 << 16 >> 16;
   133324     $cmp = ($inc270 | 0) > ($conv14 | 0);
   133325     if ($cmp) {
   133326       label = 39;
   133327       break;
   133328     } else {
   133329       $i_0107 = $inc270;
   133330       label = 4;
   133331       break;
   133332     }
   133333    case 39:
   133334     STACKTOP = __stackBase__;
   133335     return;
   133336   }
   133337 }
   133338 function _renewlist($L) {
   133339   $L = $L | 0;
   133340   var $size = 0, $0 = 0, $cmp5 = 0, $list = 0, $i_06 = 0, $1 = 0, $arrayidx = 0, $dec = 0, $cmp = 0, label = 0;
   133341   label = 2;
   133342   while (1) switch (label | 0) {
   133343    case 2:
   133344     $size = $L + 4 | 0;
   133345     $0 = HEAP32[$size >> 2] | 0;
   133346     $cmp5 = ($0 | 0) > -1;
   133347     if ($cmp5) {
   133348       label = 3;
   133349       break;
   133350     } else {
   133351       label = 5;
   133352       break;
   133353     }
   133354    case 3:
   133355     $list = $L | 0;
   133356     $i_06 = $0;
   133357     label = 4;
   133358     break;
   133359    case 4:
   133360     $1 = HEAP32[$list >> 2] | 0;
   133361     $arrayidx = $1 + ($i_06 << 2) | 0;
   133362     HEAP32[$arrayidx >> 2] = 0;
   133363     $dec = $i_06 - 1 | 0;
   133364     $cmp = ($i_06 | 0) > 0;
   133365     if ($cmp) {
   133366       $i_06 = $dec;
   133367       label = 4;
   133368       break;
   133369     } else {
   133370       label = 5;
   133371       break;
   133372     }
   133373    case 5:
   133374     HEAP32[$size >> 2] = 0;
   133375     return;
   133376   }
   133377 }
   133378 function _vnode_not_related_to($g, $v) {
   133379   $g = $g | 0;
   133380   $v = $v | 0;
   133381   var $node_type = 0, $0 = 0, $cmp = 0, $list = 0, $1 = 0, $2 = 0, $e_0 = 0, $to_orig = 0, $3 = 0, $tobool = 0, $tail = 0, $4 = 0, $5 = 0, $6 = 0, $call = 0, $tobool6 = 0, $head = 0, $7 = 0, $8 = 0, $call9 = 0, $tobool10 = 0, $_ = 0, $retval_0 = 0, label = 0;
   133382   label = 2;
   133383   while (1) switch (label | 0) {
   133384    case 2:
   133385     $node_type = $v + 162 | 0;
   133386     $0 = HEAP8[$node_type] | 0;
   133387     $cmp = $0 << 24 >> 24 == 1;
   133388     if ($cmp) {
   133389       label = 3;
   133390       break;
   133391     } else {
   133392       $retval_0 = 0;
   133393       label = 7;
   133394       break;
   133395     }
   133396    case 3:
   133397     $list = $v + 256 | 0;
   133398     $1 = HEAP32[$list >> 2] | 0;
   133399     $2 = HEAP32[$1 >> 2] | 0;
   133400     $e_0 = $2;
   133401     label = 4;
   133402     break;
   133403    case 4:
   133404     $to_orig = $e_0 + 132 | 0;
   133405     $3 = HEAP32[$to_orig >> 2] | 0;
   133406     $tobool = ($3 | 0) == 0;
   133407     if ($tobool) {
   133408       label = 5;
   133409       break;
   133410     } else {
   133411       $e_0 = $3;
   133412       label = 4;
   133413       break;
   133414     }
   133415    case 5:
   133416     $tail = $e_0 + 16 | 0;
   133417     $4 = HEAP32[$tail >> 2] | 0;
   133418     $5 = $4 | 0;
   133419     $6 = $g;
   133420     $call = _agcontains($6, $5) | 0;
   133421     $tobool6 = ($call | 0) == 0;
   133422     if ($tobool6) {
   133423       label = 6;
   133424       break;
   133425     } else {
   133426       $retval_0 = 0;
   133427       label = 7;
   133428       break;
   133429     }
   133430    case 6:
   133431     $head = $e_0 + 12 | 0;
   133432     $7 = HEAP32[$head >> 2] | 0;
   133433     $8 = $7 | 0;
   133434     $call9 = _agcontains($6, $8) | 0;
   133435     $tobool10 = ($call9 | 0) == 0;
   133436     $_ = $tobool10 & 1;
   133437     $retval_0 = $_;
   133438     label = 7;
   133439     break;
   133440    case 7:
   133441     return $retval_0 | 0;
   133442   }
   133443   return 0;
   133444 }
   133445 function _canreach($u, $v) {
   133446   $u = $u | 0;
   133447   $v = $v | 0;
   133448   return _go($u, $v) | 0;
   133449 }
   133450 function _go($u, $v) {
   133451   $u = $u | 0;
   133452   $v = $v | 0;
   133453   var $cmp = 0, $list = 0, $0 = 0, $i_0 = 0, $arrayidx = 0, $1 = 0, $tobool = 0, $head = 0, $2 = 0, $call = 0, $tobool2 = 0, $inc = 0, $retval_0 = 0, label = 0;
   133454   label = 2;
   133455   while (1) switch (label | 0) {
   133456    case 2:
   133457     $cmp = ($u | 0) == ($v | 0);
   133458     if ($cmp) {
   133459       $retval_0 = 1;
   133460       label = 6;
   133461       break;
   133462     } else {
   133463       label = 3;
   133464       break;
   133465     }
   133466    case 3:
   133467     $list = $u + 184 | 0;
   133468     $0 = HEAP32[$list >> 2] | 0;
   133469     $i_0 = 0;
   133470     label = 4;
   133471     break;
   133472    case 4:
   133473     $arrayidx = $0 + ($i_0 << 2) | 0;
   133474     $1 = HEAP32[$arrayidx >> 2] | 0;
   133475     $tobool = ($1 | 0) == 0;
   133476     if ($tobool) {
   133477       $retval_0 = 0;
   133478       label = 6;
   133479       break;
   133480     } else {
   133481       label = 5;
   133482       break;
   133483     }
   133484    case 5:
   133485     $head = $1 + 12 | 0;
   133486     $2 = HEAP32[$head >> 2] | 0;
   133487     $call = _go($2, $v) | 0;
   133488     $tobool2 = ($call | 0) == 0;
   133489     $inc = $i_0 + 1 | 0;
   133490     if ($tobool2) {
   133491       $i_0 = $inc;
   133492       label = 4;
   133493       break;
   133494     } else {
   133495       $retval_0 = 1;
   133496       label = 6;
   133497       break;
   133498     }
   133499    case 6:
   133500     return $retval_0 | 0;
   133501   }
   133502   return 0;
   133503 }
   133504 function _dot_scan_ranks($g) {
   133505   $g = $g | 0;
   133506   var $minrank = 0, $maxrank = 0, $0 = 0, $call = 0, $tobool19 = 0, $n_022_in = 0, $leader_021 = 0, $n_022 = 0, $1 = 0, $conv = 0, $rank = 0, $2 = 0, $3 = 0, $cmp = 0, $conv8 = 0, $4 = 0, $conv13 = 0, $5 = 0, $cmp16 = 0, $conv21 = 0, $cmp25 = 0, $6 = 0, $rank31 = 0, $7 = 0, $cmp32 = 0, $n_0_leader_0 = 0, $leader_1 = 0, $call37 = 0, $tobool = 0, $leader_0_lcssa = 0, $leader39 = 0, label = 0;
   133507   label = 2;
   133508   while (1) switch (label | 0) {
   133509    case 2:
   133510     $minrank = $g + 248 | 0;
   133511     HEAP16[$minrank >> 1] = 32767;
   133512     $maxrank = $g + 250 | 0;
   133513     HEAP16[$maxrank >> 1] = -1;
   133514     $0 = $g;
   133515     $call = _agfstnode($0) | 0;
   133516     $tobool19 = ($call | 0) == 0;
   133517     if ($tobool19) {
   133518       $leader_0_lcssa = 0;
   133519       label = 10;
   133520       break;
   133521     } else {
   133522       $leader_021 = 0;
   133523       $n_022_in = $call;
   133524       label = 3;
   133525       break;
   133526     }
   133527    case 3:
   133528     $n_022 = $n_022_in;
   133529     $1 = HEAP16[$maxrank >> 1] | 0;
   133530     $conv = $1 << 16 >> 16;
   133531     $rank = $n_022_in + 236 | 0;
   133532     $2 = $rank;
   133533     $3 = HEAP32[$2 >> 2] | 0;
   133534     $cmp = ($conv | 0) < ($3 | 0);
   133535     if ($cmp) {
   133536       label = 4;
   133537       break;
   133538     } else {
   133539       label = 5;
   133540       break;
   133541     }
   133542    case 4:
   133543     $conv8 = $3 & 65535;
   133544     HEAP16[$maxrank >> 1] = $conv8;
   133545     label = 5;
   133546     break;
   133547    case 5:
   133548     $4 = HEAP16[$minrank >> 1] | 0;
   133549     $conv13 = $4 << 16 >> 16;
   133550     $5 = HEAP32[$2 >> 2] | 0;
   133551     $cmp16 = ($conv13 | 0) > ($5 | 0);
   133552     if ($cmp16) {
   133553       label = 6;
   133554       break;
   133555     } else {
   133556       label = 7;
   133557       break;
   133558     }
   133559    case 6:
   133560     $conv21 = $5 & 65535;
   133561     HEAP16[$minrank >> 1] = $conv21;
   133562     label = 7;
   133563     break;
   133564    case 7:
   133565     $cmp25 = ($leader_021 | 0) == 0;
   133566     if ($cmp25) {
   133567       $leader_1 = $n_022;
   133568       label = 9;
   133569       break;
   133570     } else {
   133571       label = 8;
   133572       break;
   133573     }
   133574    case 8:
   133575     $6 = HEAP32[$2 >> 2] | 0;
   133576     $rank31 = $leader_021 + 236 | 0;
   133577     $7 = HEAP32[$rank31 >> 2] | 0;
   133578     $cmp32 = ($6 | 0) < ($7 | 0);
   133579     $n_0_leader_0 = $cmp32 ? $n_022 : $leader_021;
   133580     $leader_1 = $n_0_leader_0;
   133581     label = 9;
   133582     break;
   133583    case 9:
   133584     $call37 = _agnxtnode($0, $n_022_in) | 0;
   133585     $tobool = ($call37 | 0) == 0;
   133586     if ($tobool) {
   133587       $leader_0_lcssa = $leader_1;
   133588       label = 10;
   133589       break;
   133590     } else {
   133591       $leader_021 = $leader_1;
   133592       $n_022_in = $call37;
   133593       label = 3;
   133594       break;
   133595     }
   133596    case 10:
   133597     $leader39 = $g + 276 | 0;
   133598     HEAP32[$leader39 >> 2] = $leader_0_lcssa;
   133599     return;
   133600   }
   133601 }
   133602 function _rank1($g) {
   133603   $g = $g | 0;
   133604   var $0 = 0, $call = 0, $tobool = 0, $call1 = 0.0, $1 = 0, $call2 = 0, $conv = 0.0, $mul = 0.0, $conv3 = 0, $maxiter_0 = 0, $size = 0, $2 = 0, $cmp10 = 0, $list = 0, $nlist = 0, $n_cluster = 0, $3 = 0, $c_011 = 0, $4 = 0, $arrayidx = 0, $5 = 0, $6 = 0, $cmp9 = 0, $cond = 0, $call11 = 0, $inc = 0, $7 = 0, $cmp = 0, label = 0;
   133605   label = 2;
   133606   while (1) switch (label | 0) {
   133607    case 2:
   133608     $0 = $g | 0;
   133609     $call = _agget($0, 152368) | 0;
   133610     $tobool = ($call | 0) == 0;
   133611     if ($tobool) {
   133612       $maxiter_0 = 2147483647;
   133613       label = 4;
   133614       break;
   133615     } else {
   133616       label = 3;
   133617       break;
   133618     }
   133619    case 3:
   133620     $call1 = +_atof($call);
   133621     $1 = $g;
   133622     $call2 = _agnnodes($1) | 0;
   133623     $conv = +($call2 | 0);
   133624     $mul = $call1 * $conv;
   133625     $conv3 = ~~$mul;
   133626     $maxiter_0 = $conv3;
   133627     label = 4;
   133628     break;
   133629    case 4:
   133630     $size = $g + 232 | 0;
   133631     $2 = HEAP32[$size >> 2] | 0;
   133632     $cmp10 = ($2 | 0) > 0;
   133633     if ($cmp10) {
   133634       label = 5;
   133635       break;
   133636     } else {
   133637       label = 7;
   133638       break;
   133639     }
   133640    case 5:
   133641     $list = $g + 228 | 0;
   133642     $nlist = $g + 220 | 0;
   133643     $n_cluster = $g + 212 | 0;
   133644     $3 = $g;
   133645     $c_011 = 0;
   133646     label = 6;
   133647     break;
   133648    case 6:
   133649     $4 = HEAP32[$list >> 2] | 0;
   133650     $arrayidx = $4 + ($c_011 << 2) | 0;
   133651     $5 = HEAP32[$arrayidx >> 2] | 0;
   133652     HEAP32[$nlist >> 2] = $5;
   133653     $6 = HEAP32[$n_cluster >> 2] | 0;
   133654     $cmp9 = ($6 | 0) == 0;
   133655     $cond = $cmp9 & 1;
   133656     $call11 = _rank($3, $cond, $maxiter_0) | 0;
   133657     $inc = $c_011 + 1 | 0;
   133658     $7 = HEAP32[$size >> 2] | 0;
   133659     $cmp = ($inc | 0) < ($7 | 0);
   133660     if ($cmp) {
   133661       $c_011 = $inc;
   133662       label = 6;
   133663       break;
   133664     } else {
   133665       label = 7;
   133666       break;
   133667     }
   133668    case 7:
   133669     return;
   133670   }
   133671 }
   133672 function _dot_rank($g, $asp) {
   133673   $g = $g | 0;
   133674   $asp = $asp | 0;
   133675   var $p = 0, $tmpcast = 0, $tmp = 0, $tmpcast21 = 0, $tobool = 0, $0 = 0, $ld$0$0 = 0, $1$0 = 0, $ld$1$1 = 0, $1$1 = 0, $st$2$0 = 0, $st$3$1 = 0, $size = 0, $2 = 0, $cmp = 0, $n_cluster = 0, $3 = 0, $cmp3 = 0, $badGraph = 0, $4 = 0, $ld$4$0 = 0, $5$0 = 0, $ld$5$1 = 0, $5$1 = 0, $st$6$0 = 0, $st$7$1 = 0, $asp_addr_0 = 0, $6 = 0, $call = 0, $tobool6 = 0, $tobool9 = 0, label = 0, __stackBase__ = 0;
   133676   __stackBase__ = STACKTOP;
   133677   STACKTOP = STACKTOP + 8 | 0;
   133678   label = 2;
   133679   while (1) switch (label | 0) {
   133680    case 2:
   133681     $p = __stackBase__ | 0;
   133682     $tmpcast = $p;
   133683     $tmp = STACKTOP;
   133684     STACKTOP = STACKTOP + 8 | 0;
   133685     $tmpcast21 = $tmp;
   133686     _edgelabel_ranks($g);
   133687     $tobool = ($asp | 0) == 0;
   133688     if ($tobool) {
   133689       label = 6;
   133690       break;
   133691     } else {
   133692       label = 3;
   133693       break;
   133694     }
   133695    case 3:
   133696     $0 = $g;
   133697     _init_UF_size($0);
   133698     _initEdgeTypes($0);
   133699     _collapse_sets($g, $g);
   133700     _class1($0);
   133701     _minmax_edges($tmpcast21, $g);
   133702     $ld$0$0 = $tmp | 0;
   133703     $1$0 = HEAP32[$ld$0$0 >> 2] | 0;
   133704     $ld$1$1 = $tmp + 4 | 0;
   133705     $1$1 = HEAP32[$ld$1$1 >> 2] | 0;
   133706     $st$2$0 = $p | 0;
   133707     HEAP32[$st$2$0 >> 2] = $1$0;
   133708     $st$3$1 = $p + 4 | 0;
   133709     HEAP32[$st$3$1 >> 2] = $1$1;
   133710     _decompose($0, 0);
   133711     $size = $g + 232 | 0;
   133712     $2 = HEAP32[$size >> 2] | 0;
   133713     $cmp = ($2 | 0) > 1;
   133714     if ($cmp) {
   133715       label = 5;
   133716       break;
   133717     } else {
   133718       label = 4;
   133719       break;
   133720     }
   133721    case 4:
   133722     $n_cluster = $g + 212 | 0;
   133723     $3 = HEAP32[$n_cluster >> 2] | 0;
   133724     $cmp3 = ($3 | 0) > 0;
   133725     if ($cmp3) {
   133726       label = 5;
   133727       break;
   133728     } else {
   133729       $asp_addr_0 = $asp;
   133730       label = 7;
   133731       break;
   133732     }
   133733    case 5:
   133734     $badGraph = $asp + 32 | 0;
   133735     HEAP32[$badGraph >> 2] = 1;
   133736     $asp_addr_0 = 0;
   133737     label = 7;
   133738     break;
   133739    case 6:
   133740     _collapse_sets($g, $g);
   133741     $4 = $g;
   133742     _class1($4);
   133743     _minmax_edges($tmpcast21, $g);
   133744     $ld$4$0 = $tmp | 0;
   133745     $5$0 = HEAP32[$ld$4$0 >> 2] | 0;
   133746     $ld$5$1 = $tmp + 4 | 0;
   133747     $5$1 = HEAP32[$ld$5$1 >> 2] | 0;
   133748     $st$6$0 = $p | 0;
   133749     HEAP32[$st$6$0 >> 2] = $5$0;
   133750     $st$7$1 = $p + 4 | 0;
   133751     HEAP32[$st$7$1 >> 2] = $5$1;
   133752     _decompose($4, 0);
   133753     $asp_addr_0 = 0;
   133754     label = 7;
   133755     break;
   133756    case 7:
   133757     $6 = $g;
   133758     _acyclic($6);
   133759     $call = _minmax_edges2($g, $tmpcast) | 0;
   133760     $tobool6 = ($call | 0) == 0;
   133761     if ($tobool6) {
   133762       label = 9;
   133763       break;
   133764     } else {
   133765       label = 8;
   133766       break;
   133767     }
   133768    case 8:
   133769     _decompose($6, 0);
   133770     label = 9;
   133771     break;
   133772    case 9:
   133773     $tobool9 = ($asp_addr_0 | 0) == 0;
   133774     if ($tobool9) {
   133775       label = 11;
   133776       break;
   133777     } else {
   133778       label = 10;
   133779       break;
   133780     }
   133781    case 10:
   133782     _rank3($6, $asp_addr_0);
   133783     label = 12;
   133784     break;
   133785    case 11:
   133786     _rank1($g);
   133787     label = 12;
   133788     break;
   133789    case 12:
   133790     _expand_ranksets($g, $asp_addr_0);
   133791     _cleanup1($g);
   133792     STACKTOP = __stackBase__;
   133793     return;
   133794   }
   133795 }
   133796 function _edgelabel_ranks($g) {
   133797   $g = $g | 0;
   133798   var $has_labels = 0, $0 = 0, $and = 0, $tobool = 0, $1 = 0, $call = 0, $tobool112 = 0, $n_0_in13 = 0, $call2 = 0, $tobool410 = 0, $e_0_in11 = 0, $e_0 = 0, $minlen = 0, $2 = 0, $mul = 0, $call9 = 0, $tobool4 = 0, $call11 = 0, $tobool1 = 0, $ranksep = 0, $3 = 0, $add = 0, $div = 0, label = 0;
   133799   label = 2;
   133800   while (1) switch (label | 0) {
   133801    case 2:
   133802     $has_labels = $g + 153 | 0;
   133803     $0 = HEAP8[$has_labels] | 0;
   133804     $and = $0 & 1;
   133805     $tobool = $and << 24 >> 24 == 0;
   133806     if ($tobool) {
   133807       label = 8;
   133808       break;
   133809     } else {
   133810       label = 3;
   133811       break;
   133812     }
   133813    case 3:
   133814     $1 = $g;
   133815     $call = _agfstnode($1) | 0;
   133816     $tobool112 = ($call | 0) == 0;
   133817     if ($tobool112) {
   133818       label = 7;
   133819       break;
   133820     } else {
   133821       $n_0_in13 = $call;
   133822       label = 4;
   133823       break;
   133824     }
   133825    case 4:
   133826     $call2 = _agfstout($1, $n_0_in13) | 0;
   133827     $tobool410 = ($call2 | 0) == 0;
   133828     if ($tobool410) {
   133829       label = 6;
   133830       break;
   133831     } else {
   133832       $e_0_in11 = $call2;
   133833       label = 5;
   133834       break;
   133835     }
   133836    case 5:
   133837     $e_0 = $e_0_in11;
   133838     $minlen = $e_0 + 186 | 0;
   133839     $2 = HEAP16[$minlen >> 1] | 0;
   133840     $mul = $2 << 1;
   133841     HEAP16[$minlen >> 1] = $mul;
   133842     $call9 = _agnxtout($1, $e_0_in11) | 0;
   133843     $tobool4 = ($call9 | 0) == 0;
   133844     if ($tobool4) {
   133845       label = 6;
   133846       break;
   133847     } else {
   133848       $e_0_in11 = $call9;
   133849       label = 5;
   133850       break;
   133851     }
   133852    case 6:
   133853     $call11 = _agnxtnode($1, $n_0_in13) | 0;
   133854     $tobool1 = ($call11 | 0) == 0;
   133855     if ($tobool1) {
   133856       label = 7;
   133857       break;
   133858     } else {
   133859       $n_0_in13 = $call11;
   133860       label = 4;
   133861       break;
   133862     }
   133863    case 7:
   133864     $ranksep = $g + 264 | 0;
   133865     $3 = HEAP32[$ranksep >> 2] | 0;
   133866     $add = $3 + 1 | 0;
   133867     $div = ($add | 0) / 2 & -1;
   133868     HEAP32[$ranksep >> 2] = $div;
   133869     label = 8;
   133870     break;
   133871    case 8:
   133872     return;
   133873   }
   133874 }
   133875 function _collapse_sets($rg, $g) {
   133876   $rg = $rg | 0;
   133877   $g = $g | 0;
   133878   var $meta_node = 0, $0 = 0, $graph = 0, $1 = 0, $2 = 0, $3 = 0, $call = 0, $tobool10 = 0, $me_0_in11 = 0, $head = 0, $4 = 0, $5 = 0, $call2 = 0, $6 = 0, $call3 = 0, $tobool4 = 0, $cmp = 0, $7 = 0, $cmp5 = 0, $or_cond = 0, $call9 = 0, $tobool = 0, label = 0;
   133879   label = 2;
   133880   while (1) switch (label | 0) {
   133881    case 2:
   133882     $meta_node = $g + 36 | 0;
   133883     $0 = HEAP32[$meta_node >> 2] | 0;
   133884     $graph = $0 + 20 | 0;
   133885     $1 = HEAP32[$graph >> 2] | 0;
   133886     $2 = $1;
   133887     $3 = $0;
   133888     $call = _agfstout($2, $3) | 0;
   133889     $tobool10 = ($call | 0) == 0;
   133890     if ($tobool10) {
   133891       label = 9;
   133892       break;
   133893     } else {
   133894       $me_0_in11 = $call;
   133895       label = 3;
   133896       break;
   133897     }
   133898    case 3:
   133899     $head = $me_0_in11 + 12 | 0;
   133900     $4 = HEAP32[$head >> 2] | 0;
   133901     $5 = $4;
   133902     $call2 = _agusergraph($5) | 0;
   133903     $6 = $call2;
   133904     $call3 = _rank_set_class($6) | 0;
   133905     $tobool4 = ($call3 | 0) == 0;
   133906     if ($tobool4) {
   133907       label = 7;
   133908       break;
   133909     } else {
   133910       label = 4;
   133911       break;
   133912     }
   133913    case 4:
   133914     $cmp = ($call3 | 0) == 7;
   133915     $7 = HEAP32[42032] | 0;
   133916     $cmp5 = ($7 | 0) == 100;
   133917     $or_cond = $cmp & $cmp5;
   133918     if ($or_cond) {
   133919       label = 5;
   133920       break;
   133921     } else {
   133922       label = 6;
   133923       break;
   133924     }
   133925    case 5:
   133926     _collapse_cluster($rg, $6);
   133927     label = 8;
   133928     break;
   133929    case 6:
   133930     _collapse_rankset($rg, $6, $call3);
   133931     label = 8;
   133932     break;
   133933    case 7:
   133934     _collapse_sets($rg, $6);
   133935     label = 8;
   133936     break;
   133937    case 8:
   133938     $call9 = _agnxtout($2, $me_0_in11) | 0;
   133939     $tobool = ($call9 | 0) == 0;
   133940     if ($tobool) {
   133941       label = 9;
   133942       break;
   133943     } else {
   133944       $me_0_in11 = $call9;
   133945       label = 3;
   133946       break;
   133947     }
   133948    case 9:
   133949     return;
   133950   }
   133951 }
   133952 function _minmax_edges2($g, $slen) {
   133953   $g = $g | 0;
   133954   $slen = $slen | 0;
   133955   var $maxset = 0, $0 = 0, $tobool = 0, $minset = 0, $1 = 0, $tobool2 = 0, $2 = 0, $call = 0, $tobool323 = 0, $y = 0, $3 = 0, $conv = 0, $minset27 = 0, $x = 0, $4 = 0, $conv38 = 0, $n_026_in = 0, $e_025 = 0, $n_026 = 0, $5 = 0, $call4 = 0, $6 = 0, $cmp = 0, $size = 0, $7 = 0, $8 = 0, $cmp7 = 0, $9 = 0, $tobool10 = 0, $cmp14 = 0, $or_cond = 0, $10 = 0, $call18 = 0, $11 = 0, $12 = 0, $e_1 = 0, $size22 = 0, $13 = 0, $14 = 0, $cmp23 = 0, $15 = 0, $tobool28 = 0, $cmp32 = 0, $or_cond21 = 0, $16 = 0, $call37 = 0, $17 = 0, $18 = 0, $e_2 = 0, $call42 = 0, $tobool3 = 0, $e_3 = 0, $cmp44 = 0, $conv45 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   133956   __stackBase__ = STACKTOP;
   133957   tempParam = $slen;
   133958   $slen = STACKTOP;
   133959   STACKTOP = STACKTOP + 8 | 0;
   133960   HEAP32[$slen >> 2] = HEAP32[tempParam >> 2] | 0;
   133961   HEAP32[$slen + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   133962   label = 2;
   133963   while (1) switch (label | 0) {
   133964    case 2:
   133965     $maxset = $g + 240 | 0;
   133966     $0 = HEAP32[$maxset >> 2] | 0;
   133967     $tobool = ($0 | 0) == 0;
   133968     if ($tobool) {
   133969       label = 3;
   133970       break;
   133971     } else {
   133972       label = 4;
   133973       break;
   133974     }
   133975    case 3:
   133976     $minset = $g + 236 | 0;
   133977     $1 = HEAP32[$minset >> 2] | 0;
   133978     $tobool2 = ($1 | 0) == 0;
   133979     if ($tobool2) {
   133980       $e_3 = 0;
   133981       label = 14;
   133982       break;
   133983     } else {
   133984       label = 4;
   133985       break;
   133986     }
   133987    case 4:
   133988     $2 = $g;
   133989     $call = _agfstnode($2) | 0;
   133990     $tobool323 = ($call | 0) == 0;
   133991     if ($tobool323) {
   133992       $e_3 = 0;
   133993       label = 14;
   133994       break;
   133995     } else {
   133996       label = 5;
   133997       break;
   133998     }
   133999    case 5:
   134000     $y = $slen + 4 | 0;
   134001     $3 = HEAP32[$y >> 2] | 0;
   134002     $conv = $3 & 65535;
   134003     $minset27 = $g + 236 | 0;
   134004     $x = $slen | 0;
   134005     $4 = HEAP32[$x >> 2] | 0;
   134006     $conv38 = $4 & 65535;
   134007     $e_025 = 0;
   134008     $n_026_in = $call;
   134009     label = 6;
   134010     break;
   134011    case 6:
   134012     $n_026 = $n_026_in;
   134013     $5 = $n_026_in;
   134014     $call4 = _UF_find($5) | 0;
   134015     $6 = $call4;
   134016     $cmp = ($n_026_in | 0) == ($6 | 0);
   134017     if ($cmp) {
   134018       label = 7;
   134019       break;
   134020     } else {
   134021       $e_2 = $e_025;
   134022       label = 13;
   134023       break;
   134024     }
   134025    case 7:
   134026     $size = $n_026_in + 188 | 0;
   134027     $7 = $size;
   134028     $8 = HEAP32[$7 >> 2] | 0;
   134029     $cmp7 = ($8 | 0) == 0;
   134030     if ($cmp7) {
   134031       label = 8;
   134032       break;
   134033     } else {
   134034       $e_1 = $e_025;
   134035       label = 10;
   134036       break;
   134037     }
   134038    case 8:
   134039     $9 = HEAP32[$maxset >> 2] | 0;
   134040     $tobool10 = ($9 | 0) == 0;
   134041     $cmp14 = ($n_026 | 0) == ($9 | 0);
   134042     $or_cond = $tobool10 | $cmp14;
   134043     if ($or_cond) {
   134044       $e_1 = $e_025;
   134045       label = 10;
   134046       break;
   134047     } else {
   134048       label = 9;
   134049       break;
   134050     }
   134051    case 9:
   134052     $10 = $9;
   134053     $call18 = _virtual_edge($5, $10, 0) | 0;
   134054     $11 = $call18;
   134055     $12 = $call18 + 186 | 0;
   134056     HEAP16[$12 >> 1] = $conv;
   134057     $e_1 = $11;
   134058     label = 10;
   134059     break;
   134060    case 10:
   134061     $size22 = $n_026_in + 180 | 0;
   134062     $13 = $size22;
   134063     $14 = HEAP32[$13 >> 2] | 0;
   134064     $cmp23 = ($14 | 0) == 0;
   134065     if ($cmp23) {
   134066       label = 11;
   134067       break;
   134068     } else {
   134069       $e_2 = $e_1;
   134070       label = 13;
   134071       break;
   134072     }
   134073    case 11:
   134074     $15 = HEAP32[$minset27 >> 2] | 0;
   134075     $tobool28 = ($15 | 0) == 0;
   134076     $cmp32 = ($n_026 | 0) == ($15 | 0);
   134077     $or_cond21 = $tobool28 | $cmp32;
   134078     if ($or_cond21) {
   134079       $e_2 = $e_1;
   134080       label = 13;
   134081       break;
   134082     } else {
   134083       label = 12;
   134084       break;
   134085     }
   134086    case 12:
   134087     $16 = $15;
   134088     $call37 = _virtual_edge($16, $5, 0) | 0;
   134089     $17 = $call37;
   134090     $18 = $call37 + 186 | 0;
   134091     HEAP16[$18 >> 1] = $conv38;
   134092     $e_2 = $17;
   134093     label = 13;
   134094     break;
   134095    case 13:
   134096     $call42 = _agnxtnode($2, $n_026_in) | 0;
   134097     $tobool3 = ($call42 | 0) == 0;
   134098     if ($tobool3) {
   134099       $e_3 = $e_2;
   134100       label = 14;
   134101       break;
   134102     } else {
   134103       $e_025 = $e_2;
   134104       $n_026_in = $call42;
   134105       label = 6;
   134106       break;
   134107     }
   134108    case 14:
   134109     $cmp44 = ($e_3 | 0) != 0;
   134110     $conv45 = $cmp44 & 1;
   134111     STACKTOP = __stackBase__;
   134112     return $conv45 | 0;
   134113   }
   134114   return 0;
   134115 }
   134116 function _expand_ranksets($g, $asp) {
   134117   $g = $g | 0;
   134118   $asp = $asp | 0;
   134119   var $0 = 0, $call = 0, $tobool = 0, $minrank = 0, $maxrank = 0, $tobool4 = 0, $n_035_in = 0, $n_035 = 0, $1 = 0, $call3 = 0, $cmp = 0, $u5 = 0, $rank = 0, $2 = 0, $3 = 0, $cmp6 = 0, $4 = 0, $5 = 0, $u10 = 0, $rank11 = 0, $6 = 0, $7 = 0, $add = 0, $8 = 0, $conv = 0, $rank15 = 0, $9 = 0, $10 = 0, $cmp16 = 0, $conv21 = 0, $11 = 0, $conv27 = 0, $12 = 0, $cmp30 = 0, $conv35 = 0, $ranktype = 0, $13 = 0, $call50 = 0, $tobool2 = 0, $root = 0, $14 = 0, $cmp51 = 0, $15 = 0, $cmp54 = 0, $n_cluster = 0, $16 = 0, $cmp5829 = 0, $clust = 0, $c_030 = 0, $17 = 0, $arrayidx = 0, $18 = 0, $inc = 0, $19 = 0, $cmp58 = 0, $maxrank65 = 0, $minrank67 = 0, label = 0;
   134120   label = 2;
   134121   while (1) switch (label | 0) {
   134122    case 2:
   134123     $0 = $g;
   134124     $call = _agfstnode($0) | 0;
   134125     $tobool = ($call | 0) == 0;
   134126     if ($tobool) {
   134127       label = 21;
   134128       break;
   134129     } else {
   134130       label = 3;
   134131       break;
   134132     }
   134133    case 3:
   134134     $minrank = $g + 248 | 0;
   134135     HEAP16[$minrank >> 1] = 32767;
   134136     $maxrank = $g + 250 | 0;
   134137     HEAP16[$maxrank >> 1] = -1;
   134138     $tobool4 = ($asp | 0) == 0;
   134139     $n_035_in = $call;
   134140     label = 4;
   134141     break;
   134142    case 4:
   134143     $n_035 = $n_035_in;
   134144     $1 = $n_035_in;
   134145     $call3 = _UF_find($1) | 0;
   134146     $cmp = ($call3 | 0) == ($1 | 0);
   134147     if ($cmp) {
   134148       label = 8;
   134149       break;
   134150     } else {
   134151       label = 5;
   134152       break;
   134153     }
   134154    case 5:
   134155     if ($tobool4) {
   134156       label = 7;
   134157       break;
   134158     } else {
   134159       label = 6;
   134160       break;
   134161     }
   134162    case 6:
   134163     $u5 = $n_035_in + 24 | 0;
   134164     $rank = $u5 + 212 | 0;
   134165     $2 = $rank;
   134166     $3 = HEAP32[$2 >> 2] | 0;
   134167     $cmp6 = ($3 | 0) == 0;
   134168     if ($cmp6) {
   134169       label = 7;
   134170       break;
   134171     } else {
   134172       label = 8;
   134173       break;
   134174     }
   134175    case 7:
   134176     $4 = $call3 + 236 | 0;
   134177     $5 = HEAP32[$4 >> 2] | 0;
   134178     $u10 = $n_035_in + 24 | 0;
   134179     $rank11 = $u10 + 212 | 0;
   134180     $6 = $rank11;
   134181     $7 = HEAP32[$6 >> 2] | 0;
   134182     $add = $7 + $5 | 0;
   134183     HEAP32[$6 >> 2] = $add;
   134184     label = 8;
   134185     break;
   134186    case 8:
   134187     $8 = HEAP16[$maxrank >> 1] | 0;
   134188     $conv = $8 << 16 >> 16;
   134189     $rank15 = $n_035_in + 236 | 0;
   134190     $9 = $rank15;
   134191     $10 = HEAP32[$9 >> 2] | 0;
   134192     $cmp16 = ($conv | 0) < ($10 | 0);
   134193     if ($cmp16) {
   134194       label = 9;
   134195       break;
   134196     } else {
   134197       label = 10;
   134198       break;
   134199     }
   134200    case 9:
   134201     $conv21 = $10 & 65535;
   134202     HEAP16[$maxrank >> 1] = $conv21;
   134203     label = 10;
   134204     break;
   134205    case 10:
   134206     $11 = HEAP16[$minrank >> 1] | 0;
   134207     $conv27 = $11 << 16 >> 16;
   134208     $12 = HEAP32[$9 >> 2] | 0;
   134209     $cmp30 = ($conv27 | 0) > ($12 | 0);
   134210     if ($cmp30) {
   134211       label = 11;
   134212       break;
   134213     } else {
   134214       label = 12;
   134215       break;
   134216     }
   134217    case 11:
   134218     $conv35 = $12 & 65535;
   134219     HEAP16[$minrank >> 1] = $conv35;
   134220     label = 12;
   134221     break;
   134222    case 12:
   134223     $ranktype = $n_035 + 165 | 0;
   134224     $13 = HEAP8[$ranktype] | 0;
   134225     if (($13 << 24 >> 24 | 0) == 0 | ($13 << 24 >> 24 | 0) == 6) {
   134226       label = 14;
   134227       break;
   134228     } else {
   134229       label = 13;
   134230       break;
   134231     }
   134232    case 13:
   134233     _UF_singleton($1);
   134234     label = 14;
   134235     break;
   134236    case 14:
   134237     $call50 = _agnxtnode($0, $n_035_in) | 0;
   134238     $tobool2 = ($call50 | 0) == 0;
   134239     if ($tobool2) {
   134240       label = 15;
   134241       break;
   134242     } else {
   134243       $n_035_in = $call50;
   134244       label = 4;
   134245       break;
   134246     }
   134247    case 15:
   134248     $root = $g + 32 | 0;
   134249     $14 = HEAP32[$root >> 2] | 0;
   134250     $cmp51 = ($14 | 0) == ($g | 0);
   134251     if ($cmp51) {
   134252       label = 16;
   134253       break;
   134254     } else {
   134255       label = 22;
   134256       break;
   134257     }
   134258    case 16:
   134259     $15 = HEAP32[42032] | 0;
   134260     $cmp54 = ($15 | 0) == 100;
   134261     if ($cmp54) {
   134262       label = 17;
   134263       break;
   134264     } else {
   134265       label = 20;
   134266       break;
   134267     }
   134268    case 17:
   134269     $n_cluster = $g + 212 | 0;
   134270     $16 = HEAP32[$n_cluster >> 2] | 0;
   134271     $cmp5829 = ($16 | 0) < 1;
   134272     if ($cmp5829) {
   134273       label = 22;
   134274       break;
   134275     } else {
   134276       label = 18;
   134277       break;
   134278     }
   134279    case 18:
   134280     $clust = $g + 216 | 0;
   134281     $c_030 = 1;
   134282     label = 19;
   134283     break;
   134284    case 19:
   134285     $17 = HEAP32[$clust >> 2] | 0;
   134286     $arrayidx = $17 + ($c_030 << 2) | 0;
   134287     $18 = HEAP32[$arrayidx >> 2] | 0;
   134288     _set_minmax($18);
   134289     $inc = $c_030 + 1 | 0;
   134290     $19 = HEAP32[$n_cluster >> 2] | 0;
   134291     $cmp58 = ($inc | 0) > ($19 | 0);
   134292     if ($cmp58) {
   134293       label = 22;
   134294       break;
   134295     } else {
   134296       $c_030 = $inc;
   134297       label = 19;
   134298       break;
   134299     }
   134300    case 20:
   134301     _find_clusters($g);
   134302     label = 22;
   134303     break;
   134304    case 21:
   134305     $maxrank65 = $g + 250 | 0;
   134306     HEAP16[$maxrank65 >> 1] = 0;
   134307     $minrank67 = $g + 248 | 0;
   134308     HEAP16[$minrank67 >> 1] = 0;
   134309     label = 22;
   134310     break;
   134311    case 22:
   134312     return;
   134313   }
   134314 }
   134315 function _cleanup1($g) {
   134316   $g = $g | 0;
   134317   var $comp = 0, $size = 0, $0 = 0, $cmp48 = 0, $list = 0, $nlist = 0, $c_049 = 0, $1 = 0, $arrayidx = 0, $2 = 0, $tobool46 = 0, $n_047 = 0, $in = 0, $out = 0, $mark = 0, $next = 0, $3 = 0, $tobool = 0, $inc = 0, $4 = 0, $cmp = 0, $5 = 0, $call = 0, $tobool1543 = 0, $n_1_in44 = 0, $call17 = 0, $tobool1938 = 0, $e_042_in = 0, $to_virt = 0, $6 = 0, $7 = 0, $tobool22 = 0, $e_042 = 0, $to_orig = 0, $8 = 0, $cmp24 = 0, $call25 = 0, $tobool2735 = 0, $e1_0_in36 = 0, $cmp29 = 0, $u31 = 0, $to_virt32 = 0, $9 = 0, $10 = 0, $tobool33 = 0, $cmp35 = 0, $or_cond = 0, $call41 = 0, $tobool27 = 0, $11 = 0, $call47 = 0, $tobool19 = 0, $call50 = 0, $tobool15 = 0, $list54 = 0, $12 = 0, $13 = 0, label = 0;
   134318   label = 2;
   134319   while (1) switch (label | 0) {
   134320    case 2:
   134321     $comp = $g + 228 | 0;
   134322     $size = $g + 232 | 0;
   134323     $0 = HEAP32[$size >> 2] | 0;
   134324     $cmp48 = ($0 | 0) > 0;
   134325     if ($cmp48) {
   134326       label = 3;
   134327       break;
   134328     } else {
   134329       label = 7;
   134330       break;
   134331     }
   134332    case 3:
   134333     $list = $comp | 0;
   134334     $nlist = $g + 220 | 0;
   134335     $c_049 = 0;
   134336     label = 4;
   134337     break;
   134338    case 4:
   134339     $1 = HEAP32[$list >> 2] | 0;
   134340     $arrayidx = $1 + ($c_049 << 2) | 0;
   134341     $2 = HEAP32[$arrayidx >> 2] | 0;
   134342     HEAP32[$nlist >> 2] = $2;
   134343     $tobool46 = ($2 | 0) == 0;
   134344     if ($tobool46) {
   134345       label = 6;
   134346       break;
   134347     } else {
   134348       $n_047 = $2;
   134349       label = 5;
   134350       break;
   134351     }
   134352    case 5:
   134353     $in = $n_047 + 176 | 0;
   134354     _renewlist($in);
   134355     $out = $n_047 + 184 | 0;
   134356     _renewlist($out);
   134357     $mark = $n_047 + 163 | 0;
   134358     HEAP8[$mark] = 0;
   134359     $next = $n_047 + 168 | 0;
   134360     $3 = HEAP32[$next >> 2] | 0;
   134361     $tobool = ($3 | 0) == 0;
   134362     if ($tobool) {
   134363       label = 6;
   134364       break;
   134365     } else {
   134366       $n_047 = $3;
   134367       label = 5;
   134368       break;
   134369     }
   134370    case 6:
   134371     $inc = $c_049 + 1 | 0;
   134372     $4 = HEAP32[$size >> 2] | 0;
   134373     $cmp = ($inc | 0) < ($4 | 0);
   134374     if ($cmp) {
   134375       $c_049 = $inc;
   134376       label = 4;
   134377       break;
   134378     } else {
   134379       label = 7;
   134380       break;
   134381     }
   134382    case 7:
   134383     $5 = $g;
   134384     $call = _agfstnode($5) | 0;
   134385     $tobool1543 = ($call | 0) == 0;
   134386     if ($tobool1543) {
   134387       label = 19;
   134388       break;
   134389     } else {
   134390       $n_1_in44 = $call;
   134391       label = 8;
   134392       break;
   134393     }
   134394    case 8:
   134395     $call17 = _agfstout($5, $n_1_in44) | 0;
   134396     $tobool1938 = ($call17 | 0) == 0;
   134397     if ($tobool1938) {
   134398       label = 18;
   134399       break;
   134400     } else {
   134401       $e_042_in = $call17;
   134402       label = 9;
   134403       break;
   134404     }
   134405    case 9:
   134406     $to_virt = $e_042_in + 188 | 0;
   134407     $6 = $to_virt;
   134408     $7 = HEAP32[$6 >> 2] | 0;
   134409     $tobool22 = ($7 | 0) == 0;
   134410     if ($tobool22) {
   134411       label = 17;
   134412       break;
   134413     } else {
   134414       label = 10;
   134415       break;
   134416     }
   134417    case 10:
   134418     $e_042 = $e_042_in;
   134419     $to_orig = $7 + 132 | 0;
   134420     $8 = HEAP32[$to_orig >> 2] | 0;
   134421     $cmp24 = ($e_042 | 0) == ($8 | 0);
   134422     if ($cmp24) {
   134423       label = 11;
   134424       break;
   134425     } else {
   134426       label = 17;
   134427       break;
   134428     }
   134429    case 11:
   134430     $call25 = _agfstout($5, $n_1_in44) | 0;
   134431     $tobool2735 = ($call25 | 0) == 0;
   134432     if ($tobool2735) {
   134433       label = 16;
   134434       break;
   134435     } else {
   134436       $e1_0_in36 = $call25;
   134437       label = 12;
   134438       break;
   134439     }
   134440    case 12:
   134441     $cmp29 = ($e_042_in | 0) == ($e1_0_in36 | 0);
   134442     if ($cmp29) {
   134443       label = 15;
   134444       break;
   134445     } else {
   134446       label = 13;
   134447       break;
   134448     }
   134449    case 13:
   134450     $u31 = $e1_0_in36 + 24 | 0;
   134451     $to_virt32 = $u31 + 164 | 0;
   134452     $9 = $to_virt32;
   134453     $10 = HEAP32[$9 >> 2] | 0;
   134454     $tobool33 = ($10 | 0) != 0;
   134455     $cmp35 = ($7 | 0) == ($10 | 0);
   134456     $or_cond = $tobool33 & $cmp35;
   134457     if ($or_cond) {
   134458       label = 14;
   134459       break;
   134460     } else {
   134461       label = 15;
   134462       break;
   134463     }
   134464    case 14:
   134465     HEAP32[$9 >> 2] = 0;
   134466     label = 15;
   134467     break;
   134468    case 15:
   134469     $call41 = _agnxtout($5, $e1_0_in36) | 0;
   134470     $tobool27 = ($call41 | 0) == 0;
   134471     if ($tobool27) {
   134472       label = 16;
   134473       break;
   134474     } else {
   134475       $e1_0_in36 = $call41;
   134476       label = 12;
   134477       break;
   134478     }
   134479    case 16:
   134480     $11 = $7 | 0;
   134481     _free($11);
   134482     label = 17;
   134483     break;
   134484    case 17:
   134485     HEAP32[$to_virt >> 2] = 0;
   134486     $call47 = _agnxtout($5, $e_042_in) | 0;
   134487     $tobool19 = ($call47 | 0) == 0;
   134488     if ($tobool19) {
   134489       label = 18;
   134490       break;
   134491     } else {
   134492       $e_042_in = $call47;
   134493       label = 9;
   134494       break;
   134495     }
   134496    case 18:
   134497     $call50 = _agnxtnode($5, $n_1_in44) | 0;
   134498     $tobool15 = ($call50 | 0) == 0;
   134499     if ($tobool15) {
   134500       label = 19;
   134501       break;
   134502     } else {
   134503       $n_1_in44 = $call50;
   134504       label = 8;
   134505       break;
   134506     }
   134507    case 19:
   134508     $list54 = $comp | 0;
   134509     $12 = HEAP32[$list54 >> 2] | 0;
   134510     $13 = $12;
   134511     _free($13);
   134512     HEAP32[$list54 >> 2] = 0;
   134513     HEAP32[$size >> 2] = 0;
   134514     return;
   134515   }
   134516 }
   134517 function _is_cluster($g) {
   134518   $g = $g | 0;
   134519   return (_strncmp(HEAP32[$g + 12 >> 2] | 0, 158576, 7) | 0) == 0 & 1 | 0;
   134520 }
   134521 function _set_minmax($g) {
   134522   $g = $g | 0;
   134523   var $leader = 0, $0 = 0, $rank = 0, $1 = 0, $minrank = 0, $2 = 0, $conv8 = 0, $add = 0, $conv3 = 0, $3 = 0, $rank7 = 0, $4 = 0, $maxrank = 0, $5 = 0, $conv99 = 0, $add10 = 0, $conv11 = 0, $n_cluster = 0, $6 = 0, $cmp10 = 0, $clust = 0, $c_011 = 0, $7 = 0, $arrayidx = 0, $8 = 0, $inc = 0, $9 = 0, $cmp = 0, label = 0;
   134524   label = 2;
   134525   while (1) switch (label | 0) {
   134526    case 2:
   134527     $leader = $g + 276 | 0;
   134528     $0 = HEAP32[$leader >> 2] | 0;
   134529     $rank = $0 + 236 | 0;
   134530     $1 = HEAP32[$rank >> 2] | 0;
   134531     $minrank = $g + 248 | 0;
   134532     $2 = HEAP16[$minrank >> 1] | 0;
   134533     $conv8 = $2 & 65535;
   134534     $add = $conv8 + $1 | 0;
   134535     $conv3 = $add & 65535;
   134536     HEAP16[$minrank >> 1] = $conv3;
   134537     $3 = HEAP32[$leader >> 2] | 0;
   134538     $rank7 = $3 + 236 | 0;
   134539     $4 = HEAP32[$rank7 >> 2] | 0;
   134540     $maxrank = $g + 250 | 0;
   134541     $5 = HEAP16[$maxrank >> 1] | 0;
   134542     $conv99 = $5 & 65535;
   134543     $add10 = $conv99 + $4 | 0;
   134544     $conv11 = $add10 & 65535;
   134545     HEAP16[$maxrank >> 1] = $conv11;
   134546     $n_cluster = $g + 212 | 0;
   134547     $6 = HEAP32[$n_cluster >> 2] | 0;
   134548     $cmp10 = ($6 | 0) < 1;
   134549     if ($cmp10) {
   134550       label = 5;
   134551       break;
   134552     } else {
   134553       label = 3;
   134554       break;
   134555     }
   134556    case 3:
   134557     $clust = $g + 216 | 0;
   134558     $c_011 = 1;
   134559     label = 4;
   134560     break;
   134561    case 4:
   134562     $7 = HEAP32[$clust >> 2] | 0;
   134563     $arrayidx = $7 + ($c_011 << 2) | 0;
   134564     $8 = HEAP32[$arrayidx >> 2] | 0;
   134565     _set_minmax($8);
   134566     $inc = $c_011 + 1 | 0;
   134567     $9 = HEAP32[$n_cluster >> 2] | 0;
   134568     $cmp = ($inc | 0) > ($9 | 0);
   134569     if ($cmp) {
   134570       label = 5;
   134571       break;
   134572     } else {
   134573       $c_011 = $inc;
   134574       label = 4;
   134575       break;
   134576     }
   134577    case 5:
   134578     return;
   134579   }
   134580 }
   134581 function _find_clusters($g) {
   134582   $g = $g | 0;
   134583   var $meta_node = 0, $0 = 0, $graph = 0, $1 = 0, $2 = 0, $3 = 0, $call = 0, $tobool7 = 0, $me_0_in8 = 0, $head = 0, $4 = 0, $5 = 0, $call2 = 0, $u = 0, $6 = 0, $set_type = 0, $7 = 0, $cmp = 0, $8 = 0, $call4 = 0, $tobool = 0, label = 0;
   134584   label = 2;
   134585   while (1) switch (label | 0) {
   134586    case 2:
   134587     $meta_node = $g + 36 | 0;
   134588     $0 = HEAP32[$meta_node >> 2] | 0;
   134589     $graph = $0 + 20 | 0;
   134590     $1 = HEAP32[$graph >> 2] | 0;
   134591     $2 = $1;
   134592     $3 = $0;
   134593     $call = _agfstout($2, $3) | 0;
   134594     $tobool7 = ($call | 0) == 0;
   134595     if ($tobool7) {
   134596       label = 6;
   134597       break;
   134598     } else {
   134599       $me_0_in8 = $call;
   134600       label = 3;
   134601       break;
   134602     }
   134603    case 3:
   134604     $head = $me_0_in8 + 12 | 0;
   134605     $4 = HEAP32[$head >> 2] | 0;
   134606     $5 = $4;
   134607     $call2 = _agusergraph($5) | 0;
   134608     $u = $call2 + 48 | 0;
   134609     $6 = $u;
   134610     $set_type = $6 + 238 | 0;
   134611     $7 = HEAP8[$set_type] | 0;
   134612     $cmp = $7 << 24 >> 24 == 7;
   134613     if ($cmp) {
   134614       label = 4;
   134615       break;
   134616     } else {
   134617       label = 5;
   134618       break;
   134619     }
   134620    case 4:
   134621     $8 = $call2;
   134622     _collapse_cluster($g, $8);
   134623     label = 5;
   134624     break;
   134625    case 5:
   134626     $call4 = _agnxtout($2, $me_0_in8) | 0;
   134627     $tobool = ($call4 | 0) == 0;
   134628     if ($tobool) {
   134629       label = 6;
   134630       break;
   134631     } else {
   134632       $me_0_in8 = $call4;
   134633       label = 3;
   134634       break;
   134635     }
   134636    case 6:
   134637     return;
   134638   }
   134639 }
   134640 function _collapse_cluster($g, $subg) {
   134641   $g = $g | 0;
   134642   $subg = $subg | 0;
   134643   var $cluster_was_collapsed = 0, $0 = 0, $tobool = 0, $1 = 0, $call = 0, $cmp = 0, $2 = 0, $cmp6 = 0, label = 0;
   134644   label = 2;
   134645   while (1) switch (label | 0) {
   134646    case 2:
   134647     $cluster_was_collapsed = $subg + 254 | 0;
   134648     $0 = HEAP8[$cluster_was_collapsed] | 0;
   134649     $tobool = $0 << 24 >> 24 == 0;
   134650     if ($tobool) {
   134651       label = 3;
   134652       break;
   134653     } else {
   134654       label = 7;
   134655       break;
   134656     }
   134657    case 3:
   134658     HEAP8[$cluster_was_collapsed] = 1;
   134659     _node_induce($g, $subg);
   134660     $1 = $subg;
   134661     $call = _agfstnode($1) | 0;
   134662     $cmp = ($call | 0) == 0;
   134663     if ($cmp) {
   134664       label = 7;
   134665       break;
   134666     } else {
   134667       label = 4;
   134668       break;
   134669     }
   134670    case 4:
   134671     _make_new_cluster($g, $subg);
   134672     $2 = HEAP32[42032] | 0;
   134673     $cmp6 = ($2 | 0) == 100;
   134674     if ($cmp6) {
   134675       label = 5;
   134676       break;
   134677     } else {
   134678       label = 6;
   134679       break;
   134680     }
   134681    case 5:
   134682     _dot_rank($subg, 0);
   134683     _cluster_leader($subg);
   134684     label = 7;
   134685     break;
   134686    case 6:
   134687     _dot_scan_ranks($subg);
   134688     label = 7;
   134689     break;
   134690    case 7:
   134691     return;
   134692   }
   134693 }
   134694 function _minmax_edges($agg_result, $g) {
   134695   $agg_result = $agg_result | 0;
   134696   $g = $g | 0;
   134697   var $maxset = 0, $0 = 0, $cmp = 0, $minset = 0, $1 = 0, $cmp2 = 0, $slen_sroa_0_0__idx1 = 0, $slen_sroa_1_4__idx4 = 0, $minset4 = 0, $2 = 0, $cmp5 = 0, $3 = 0, $call = 0, $4 = 0, $5 = 0, $cmp14 = 0, $6 = 0, $call18 = 0, $7 = 0, $tobool = 0, $8 = 0, $9 = 0, $cmp28 = 0, $conv29 = 0, $list = 0, $10 = 0, $11 = 0, $12 = 0, $tobool3225 = 0, $13 = 0, $head = 0, $14 = 0, $15 = 0, $call34 = 0, $16 = 0, $cmp35 = 0, $17 = 0, $18 = 0, $19 = 0, $tobool32 = 0, $slen_sroa_1_0 = 0, $20 = 0, $tobool40 = 0, $ranktype45 = 0, $21 = 0, $cmp47 = 0, $conv48 = 0, $list52 = 0, $22 = 0, $23 = 0, $tobool5424 = 0, $24 = 0, $tail = 0, $25 = 0, $26 = 0, $call57 = 0, $27 = 0, $cmp58 = 0, $28 = 0, $29 = 0, $30 = 0, $tobool54 = 0, $slen_sroa_0_0 = 0, $slen_sroa_0_0__idx = 0, $slen_sroa_1_4__idx3 = 0, label = 0;
   134698   label = 2;
   134699   while (1) switch (label | 0) {
   134700    case 2:
   134701     $maxset = $g + 240 | 0;
   134702     $0 = HEAP32[$maxset >> 2] | 0;
   134703     $cmp = ($0 | 0) == 0;
   134704     if ($cmp) {
   134705       label = 3;
   134706       break;
   134707     } else {
   134708       label = 5;
   134709       break;
   134710     }
   134711    case 3:
   134712     $minset = $g + 236 | 0;
   134713     $1 = HEAP32[$minset >> 2] | 0;
   134714     $cmp2 = ($1 | 0) == 0;
   134715     if ($cmp2) {
   134716       label = 4;
   134717       break;
   134718     } else {
   134719       label = 5;
   134720       break;
   134721     }
   134722    case 4:
   134723     $slen_sroa_0_0__idx1 = $agg_result | 0;
   134724     HEAP32[$slen_sroa_0_0__idx1 >> 2] = 0;
   134725     $slen_sroa_1_4__idx4 = $agg_result + 4 | 0;
   134726     HEAP32[$slen_sroa_1_4__idx4 >> 2] = 0;
   134727     label = 19;
   134728     break;
   134729    case 5:
   134730     $minset4 = $g + 236 | 0;
   134731     $2 = HEAP32[$minset4 >> 2] | 0;
   134732     $cmp5 = ($2 | 0) == 0;
   134733     if ($cmp5) {
   134734       label = 7;
   134735       break;
   134736     } else {
   134737       label = 6;
   134738       break;
   134739     }
   134740    case 6:
   134741     $3 = $2;
   134742     $call = _UF_find($3) | 0;
   134743     $4 = $call;
   134744     HEAP32[$minset4 >> 2] = $4;
   134745     label = 7;
   134746     break;
   134747    case 7:
   134748     $5 = HEAP32[$maxset >> 2] | 0;
   134749     $cmp14 = ($5 | 0) == 0;
   134750     if ($cmp14) {
   134751       $slen_sroa_1_0 = 0;
   134752       label = 13;
   134753       break;
   134754     } else {
   134755       label = 8;
   134756       break;
   134757     }
   134758    case 8:
   134759     $6 = $5;
   134760     $call18 = _UF_find($6) | 0;
   134761     $7 = $call18;
   134762     HEAP32[$maxset >> 2] = $7;
   134763     $tobool = ($call18 | 0) == 0;
   134764     if ($tobool) {
   134765       $slen_sroa_1_0 = 0;
   134766       label = 13;
   134767       break;
   134768     } else {
   134769       label = 9;
   134770       break;
   134771     }
   134772    case 9:
   134773     $8 = $call18 + 165 | 0;
   134774     $9 = HEAP8[$8] | 0;
   134775     $cmp28 = $9 << 24 >> 24 == 5;
   134776     $conv29 = $cmp28 & 1;
   134777     $list = $call18 + 184 | 0;
   134778     $10 = $list;
   134779     $11 = HEAP32[$10 >> 2] | 0;
   134780     $12 = HEAP32[$11 >> 2] | 0;
   134781     $tobool3225 = ($12 | 0) == 0;
   134782     if ($tobool3225) {
   134783       $slen_sroa_1_0 = $conv29;
   134784       label = 13;
   134785       break;
   134786     } else {
   134787       $13 = $12;
   134788       label = 10;
   134789       break;
   134790     }
   134791    case 10:
   134792     $head = $13 + 12 | 0;
   134793     $14 = HEAP32[$head >> 2] | 0;
   134794     $15 = $14;
   134795     $call34 = _UF_find($15) | 0;
   134796     $16 = $call34;
   134797     $cmp35 = ($14 | 0) == ($16 | 0);
   134798     if ($cmp35) {
   134799       label = 12;
   134800       break;
   134801     } else {
   134802       label = 11;
   134803       break;
   134804     }
   134805    case 11:
   134806     ___assert_func(129880, 363, 163984, 108280);
   134807    case 12:
   134808     $17 = $13;
   134809     _reverse_edge($17);
   134810     $18 = HEAP32[$10 >> 2] | 0;
   134811     $19 = HEAP32[$18 >> 2] | 0;
   134812     $tobool32 = ($19 | 0) == 0;
   134813     if ($tobool32) {
   134814       $slen_sroa_1_0 = $conv29;
   134815       label = 13;
   134816       break;
   134817     } else {
   134818       $13 = $19;
   134819       label = 10;
   134820       break;
   134821     }
   134822    case 13:
   134823     $20 = HEAP32[$minset4 >> 2] | 0;
   134824     $tobool40 = ($20 | 0) == 0;
   134825     if ($tobool40) {
   134826       $slen_sroa_0_0 = 0;
   134827       label = 18;
   134828       break;
   134829     } else {
   134830       label = 14;
   134831       break;
   134832     }
   134833    case 14:
   134834     $ranktype45 = $20 + 165 | 0;
   134835     $21 = HEAP8[$ranktype45] | 0;
   134836     $cmp47 = $21 << 24 >> 24 == 3;
   134837     $conv48 = $cmp47 & 1;
   134838     $list52 = $20 + 176 | 0;
   134839     $22 = HEAP32[$list52 >> 2] | 0;
   134840     $23 = HEAP32[$22 >> 2] | 0;
   134841     $tobool5424 = ($23 | 0) == 0;
   134842     if ($tobool5424) {
   134843       $slen_sroa_0_0 = $conv48;
   134844       label = 18;
   134845       break;
   134846     } else {
   134847       $24 = $23;
   134848       label = 15;
   134849       break;
   134850     }
   134851    case 15:
   134852     $tail = $24 + 16 | 0;
   134853     $25 = HEAP32[$tail >> 2] | 0;
   134854     $26 = $25;
   134855     $call57 = _UF_find($26) | 0;
   134856     $27 = $call57;
   134857     $cmp58 = ($25 | 0) == ($27 | 0);
   134858     if ($cmp58) {
   134859       label = 17;
   134860       break;
   134861     } else {
   134862       label = 16;
   134863       break;
   134864     }
   134865    case 16:
   134866     ___assert_func(129880, 370, 163984, 104400);
   134867    case 17:
   134868     $28 = $24;
   134869     _reverse_edge($28);
   134870     $29 = HEAP32[$list52 >> 2] | 0;
   134871     $30 = HEAP32[$29 >> 2] | 0;
   134872     $tobool54 = ($30 | 0) == 0;
   134873     if ($tobool54) {
   134874       $slen_sroa_0_0 = $conv48;
   134875       label = 18;
   134876       break;
   134877     } else {
   134878       $24 = $30;
   134879       label = 15;
   134880       break;
   134881     }
   134882    case 18:
   134883     $slen_sroa_0_0__idx = $agg_result | 0;
   134884     HEAP32[$slen_sroa_0_0__idx >> 2] = $slen_sroa_0_0;
   134885     $slen_sroa_1_4__idx3 = $agg_result + 4 | 0;
   134886     HEAP32[$slen_sroa_1_4__idx3 >> 2] = $slen_sroa_1_0;
   134887     label = 19;
   134888     break;
   134889    case 19:
   134890     return;
   134891   }
   134892 }
   134893 function _node_induce($par, $g) {
   134894   $par = $par | 0;
   134895   $g = $g | 0;
   134896   var $0 = 0, $call = 0, $tobool30 = 0, $1 = 0, $clust = 0, $n_cluster = 0, $2 = 0, $n_0_in31 = 0, $call1 = 0, $u = 0, $3 = 0, $4 = 0, $tobool2 = 0, $5 = 0, $i_0 = 0, $6 = 0, $cmp = 0, $7 = 0, $arrayidx = 0, $8 = 0, $9 = 0, $call7 = 0, $tobool8 = 0, $inc = 0, $10 = 0, $cmp13 = 0, $11 = 0, $clust17 = 0, $12 = 0, $tobool = 0, $call20 = 0, $tobool2227 = 0, $root = 0, $13 = 0, $n_1_in28 = 0, $14 = 0, $15 = 0, $call24 = 0, $tobool2625 = 0, $e_0_in26 = 0, $head = 0, $16 = 0, $17 = 0, $call28 = 0, $tobool29 = 0, $18 = 0, $19 = 0, $20 = 0, $call34 = 0, $tobool26 = 0, $call37 = 0, $tobool22 = 0, label = 0;
   134897   label = 2;
   134898   while (1) switch (label | 0) {
   134899    case 2:
   134900     $0 = $g;
   134901     $call = _agfstnode($0) | 0;
   134902     $tobool30 = ($call | 0) == 0;
   134903     if ($tobool30) {
   134904       label = 12;
   134905       break;
   134906     } else {
   134907       label = 3;
   134908       break;
   134909     }
   134910    case 3:
   134911     $1 = $g;
   134912     $clust = $par + 216 | 0;
   134913     $n_cluster = $par + 212 | 0;
   134914     $2 = $g;
   134915     $n_0_in31 = $call;
   134916     label = 4;
   134917     break;
   134918    case 4:
   134919     $call1 = _agnxtnode($0, $n_0_in31) | 0;
   134920     $u = $n_0_in31 + 24 | 0;
   134921     $3 = $u + 141 | 0;
   134922     $4 = HEAP8[$3] | 0;
   134923     $tobool2 = $4 << 24 >> 24 == 0;
   134924     $5 = $n_0_in31 | 0;
   134925     if ($tobool2) {
   134926       $i_0 = 1;
   134927       label = 6;
   134928       break;
   134929     } else {
   134930       label = 5;
   134931       break;
   134932     }
   134933    case 5:
   134934     _agdelete($1, $5);
   134935     label = 11;
   134936     break;
   134937    case 6:
   134938     $6 = HEAP32[$n_cluster >> 2] | 0;
   134939     $cmp = ($i_0 | 0) < ($6 | 0);
   134940     if ($cmp) {
   134941       label = 7;
   134942       break;
   134943     } else {
   134944       label = 8;
   134945       break;
   134946     }
   134947    case 7:
   134948     $7 = HEAP32[$clust >> 2] | 0;
   134949     $arrayidx = $7 + ($i_0 << 2) | 0;
   134950     $8 = HEAP32[$arrayidx >> 2] | 0;
   134951     $9 = $8;
   134952     $call7 = _agcontains($9, $5) | 0;
   134953     $tobool8 = ($call7 | 0) == 0;
   134954     $inc = $i_0 + 1 | 0;
   134955     if ($tobool8) {
   134956       $i_0 = $inc;
   134957       label = 6;
   134958       break;
   134959     } else {
   134960       label = 8;
   134961       break;
   134962     }
   134963    case 8:
   134964     $10 = HEAP32[$n_cluster >> 2] | 0;
   134965     $cmp13 = ($i_0 | 0) < ($10 | 0);
   134966     if ($cmp13) {
   134967       label = 9;
   134968       break;
   134969     } else {
   134970       label = 10;
   134971       break;
   134972     }
   134973    case 9:
   134974     $11 = $n_0_in31 | 0;
   134975     _agdelete($2, $11);
   134976     label = 10;
   134977     break;
   134978    case 10:
   134979     $clust17 = $u + 192 | 0;
   134980     $12 = $clust17;
   134981     HEAP32[$12 >> 2] = 0;
   134982     label = 11;
   134983     break;
   134984    case 11:
   134985     $tobool = ($call1 | 0) == 0;
   134986     if ($tobool) {
   134987       label = 12;
   134988       break;
   134989     } else {
   134990       $n_0_in31 = $call1;
   134991       label = 4;
   134992       break;
   134993     }
   134994    case 12:
   134995     $call20 = _agfstnode($0) | 0;
   134996     $tobool2227 = ($call20 | 0) == 0;
   134997     if ($tobool2227) {
   134998       label = 19;
   134999       break;
   135000     } else {
   135001       label = 13;
   135002       break;
   135003     }
   135004    case 13:
   135005     $root = $g + 32 | 0;
   135006     $13 = $g;
   135007     $n_1_in28 = $call20;
   135008     label = 14;
   135009     break;
   135010    case 14:
   135011     $14 = HEAP32[$root >> 2] | 0;
   135012     $15 = $14;
   135013     $call24 = _agfstout($15, $n_1_in28) | 0;
   135014     $tobool2625 = ($call24 | 0) == 0;
   135015     if ($tobool2625) {
   135016       label = 18;
   135017       break;
   135018     } else {
   135019       $e_0_in26 = $call24;
   135020       label = 15;
   135021       break;
   135022     }
   135023    case 15:
   135024     $head = $e_0_in26 + 12 | 0;
   135025     $16 = HEAP32[$head >> 2] | 0;
   135026     $17 = $16 | 0;
   135027     $call28 = _agcontains($13, $17) | 0;
   135028     $tobool29 = ($call28 | 0) == 0;
   135029     if ($tobool29) {
   135030       label = 17;
   135031       break;
   135032     } else {
   135033       label = 16;
   135034       break;
   135035     }
   135036    case 16:
   135037     $18 = $e_0_in26 | 0;
   135038     _aginsert($13, $18);
   135039     label = 17;
   135040     break;
   135041    case 17:
   135042     $19 = HEAP32[$root >> 2] | 0;
   135043     $20 = $19;
   135044     $call34 = _agnxtout($20, $e_0_in26) | 0;
   135045     $tobool26 = ($call34 | 0) == 0;
   135046     if ($tobool26) {
   135047       label = 18;
   135048       break;
   135049     } else {
   135050       $e_0_in26 = $call34;
   135051       label = 15;
   135052       break;
   135053     }
   135054    case 18:
   135055     $call37 = _agnxtnode($0, $n_1_in28) | 0;
   135056     $tobool22 = ($call37 | 0) == 0;
   135057     if ($tobool22) {
   135058       label = 19;
   135059       break;
   135060     } else {
   135061       $n_1_in28 = $call37;
   135062       label = 14;
   135063       break;
   135064     }
   135065    case 19:
   135066     return;
   135067   }
   135068 }
   135069 function _make_new_cluster($g, $subg) {
   135070   $g = $g | 0;
   135071   $subg = $subg | 0;
   135072   var $n_cluster = 0, $0 = 0, $inc = 0, $clust = 0, $1 = 0, $tobool = 0, $2 = 0, $add = 0, $call = 0, $add6 = 0, $mul = 0, $call7 = 0, $call_sink = 0, $3 = 0, $arrayidx = 0, $4 = 0, label = 0;
   135073   label = 2;
   135074   while (1) switch (label | 0) {
   135075    case 2:
   135076     $n_cluster = $g + 212 | 0;
   135077     $0 = HEAP32[$n_cluster >> 2] | 0;
   135078     $inc = $0 + 1 | 0;
   135079     HEAP32[$n_cluster >> 2] = $inc;
   135080     $clust = $g + 216 | 0;
   135081     $1 = HEAP32[$clust >> 2] | 0;
   135082     $tobool = ($1 | 0) == 0;
   135083     if ($tobool) {
   135084       label = 4;
   135085       break;
   135086     } else {
   135087       label = 3;
   135088       break;
   135089     }
   135090    case 3:
   135091     $2 = $1;
   135092     $add = $0 + 2 | 0;
   135093     $call = _zrealloc($2, $add, 4, $inc) | 0;
   135094     $call_sink = $call;
   135095     label = 5;
   135096     break;
   135097    case 4:
   135098     $add6 = $0 << 2;
   135099     $mul = $add6 + 8 | 0;
   135100     $call7 = _zmalloc($mul) | 0;
   135101     $call_sink = $call7;
   135102     label = 5;
   135103     break;
   135104    case 5:
   135105     $3 = $call_sink;
   135106     HEAP32[$clust >> 2] = $3;
   135107     $arrayidx = $3 + ($inc << 2) | 0;
   135108     HEAP32[$arrayidx >> 2] = $subg;
   135109     $4 = $subg;
   135110     _do_graph_label($4);
   135111     return;
   135112   }
   135113 }
   135114 function _rank_set_class($g) {
   135115   $g = $g | 0;
   135116   var $call = 0, $tobool = 0, $0 = 0, $call1 = 0, $call2 = 0, $conv = 0, $set_type = 0, $retval_0 = 0, label = 0;
   135117   label = 2;
   135118   while (1) switch (label | 0) {
   135119    case 2:
   135120     $call = _is_cluster($g) | 0;
   135121     $tobool = ($call | 0) == 0;
   135122     if ($tobool) {
   135123       label = 3;
   135124       break;
   135125     } else {
   135126       $retval_0 = 7;
   135127       label = 4;
   135128       break;
   135129     }
   135130    case 3:
   135131     $0 = $g | 0;
   135132     $call1 = _agget($0, 155664) | 0;
   135133     $call2 = _maptoken($call1, 4672, 4696) | 0;
   135134     $conv = $call2 & 255;
   135135     $set_type = $g + 286 | 0;
   135136     HEAP8[$set_type] = $conv;
   135137     $retval_0 = $call2;
   135138     label = 4;
   135139     break;
   135140    case 4:
   135141     return $retval_0 | 0;
   135142   }
   135143   return 0;
   135144 }
   135145 function _collapse_rankset($g, $subg, $kind) {
   135146   $g = $g | 0;
   135147   $subg = $subg | 0;
   135148   $kind = $kind | 0;
   135149   var $0 = 0, $call = 0, $1 = 0, $tobool = 0, $conv = 0, $u1 = 0, $2 = 0, $call224 = 0, $tobool325 = 0, $3 = 0, $call226 = 0, $4 = 0, $call4 = 0, $5 = 0, $u7 = 0, $6 = 0, $call2 = 0, $tobool3 = 0, $minset = 0, $7 = 0, $cmp = 0, $8 = 0, $9 = 0, $call16 = 0, $10 = 0, $maxset = 0, $11 = 0, $cmp21 = 0, $12 = 0, $13 = 0, $call29 = 0, $14 = 0, $minset36 = 0, $15 = 0, $ranktype38 = 0, $maxset42 = 0, $16 = 0, $ranktype44 = 0, label = 0;
   135150   label = 2;
   135151   while (1) switch (label | 0) {
   135152    case 2:
   135153     $0 = $subg;
   135154     $call = _agfstnode($0) | 0;
   135155     $1 = $call;
   135156     $tobool = ($call | 0) == 0;
   135157     if ($tobool) {
   135158       label = 16;
   135159       break;
   135160     } else {
   135161       label = 3;
   135162       break;
   135163     }
   135164    case 3:
   135165     $conv = $kind & 255;
   135166     $u1 = $call + 24 | 0;
   135167     $2 = $u1 + 141 | 0;
   135168     HEAP8[$2] = $conv;
   135169     $call224 = _agnxtnode($0, $call) | 0;
   135170     $tobool325 = ($call224 | 0) == 0;
   135171     if ($tobool325) {
   135172       label = 6;
   135173       break;
   135174     } else {
   135175       label = 4;
   135176       break;
   135177     }
   135178    case 4:
   135179     $3 = $call;
   135180     $call226 = $call224;
   135181     label = 5;
   135182     break;
   135183    case 5:
   135184     $4 = $call226;
   135185     $call4 = _UF_union($3, $4) | 0;
   135186     $5 = HEAP8[$2] | 0;
   135187     $u7 = $call226 + 24 | 0;
   135188     $6 = $u7 + 141 | 0;
   135189     HEAP8[$6] = $5;
   135190     $call2 = _agnxtnode($0, $call226) | 0;
   135191     $tobool3 = ($call2 | 0) == 0;
   135192     if ($tobool3) {
   135193       label = 6;
   135194       break;
   135195     } else {
   135196       $call226 = $call2;
   135197       label = 5;
   135198       break;
   135199     }
   135200    case 6:
   135201     if (($kind | 0) == 2 | ($kind | 0) == 3) {
   135202       label = 7;
   135203       break;
   135204     } else if (($kind | 0) == 4 | ($kind | 0) == 5) {
   135205       label = 10;
   135206       break;
   135207     } else {
   135208       label = 16;
   135209       break;
   135210     }
   135211    case 7:
   135212     $minset = $g + 236 | 0;
   135213     $7 = HEAP32[$minset >> 2] | 0;
   135214     $cmp = ($7 | 0) == 0;
   135215     if ($cmp) {
   135216       label = 8;
   135217       break;
   135218     } else {
   135219       label = 9;
   135220       break;
   135221     }
   135222    case 8:
   135223     HEAP32[$minset >> 2] = $1;
   135224     label = 13;
   135225     break;
   135226    case 9:
   135227     $8 = $7;
   135228     $9 = $call;
   135229     $call16 = _UF_union($8, $9) | 0;
   135230     $10 = $call16;
   135231     HEAP32[$minset >> 2] = $10;
   135232     label = 13;
   135233     break;
   135234    case 10:
   135235     $maxset = $g + 240 | 0;
   135236     $11 = HEAP32[$maxset >> 2] | 0;
   135237     $cmp21 = ($11 | 0) == 0;
   135238     if ($cmp21) {
   135239       label = 11;
   135240       break;
   135241     } else {
   135242       label = 12;
   135243       break;
   135244     }
   135245    case 11:
   135246     HEAP32[$maxset >> 2] = $1;
   135247     label = 13;
   135248     break;
   135249    case 12:
   135250     $12 = $11;
   135251     $13 = $call;
   135252     $call29 = _UF_union($12, $13) | 0;
   135253     $14 = $call29;
   135254     HEAP32[$maxset >> 2] = $14;
   135255     label = 13;
   135256     break;
   135257    case 13:
   135258     if (($kind | 0) == 3) {
   135259       label = 14;
   135260       break;
   135261     } else if (($kind | 0) == 5) {
   135262       label = 15;
   135263       break;
   135264     } else {
   135265       label = 16;
   135266       break;
   135267     }
   135268    case 14:
   135269     $minset36 = $g + 236 | 0;
   135270     $15 = HEAP32[$minset36 >> 2] | 0;
   135271     $ranktype38 = $15 + 165 | 0;
   135272     HEAP8[$ranktype38] = $conv;
   135273     label = 16;
   135274     break;
   135275    case 15:
   135276     $maxset42 = $g + 240 | 0;
   135277     $16 = HEAP32[$maxset42 >> 2] | 0;
   135278     $ranktype44 = $16 + 165 | 0;
   135279     HEAP8[$ranktype44] = $conv;
   135280     label = 16;
   135281     break;
   135282    case 16:
   135283     return;
   135284   }
   135285 }
   135286 function _dot_sameports($g) {
   135287   $g = $g | 0;
   135288   var $same = 0, $proto = 0, $0 = 0, $e1 = 0, $1 = 0, $2 = 0, $call = 0, $3 = 0, $e3 = 0, $4 = 0, $5 = 0, $call4 = 0, $6 = 0, $tobool = 0, $tobool5 = 0, $or_cond = 0, $7 = 0, $call6 = 0, $tobool738 = 0, $arraydecay = 0, $arraydecay28 = 0, $n_041_in = 0, $n_041 = 0, $call8 = 0, $tobool1031 = 0, $e_034_in = 0, $e_034 = 0, $head = 0, $8 = 0, $cmp = 0, $9 = 0, $tobool12 = 0, $or_cond1 = 0, $10 = 0, $index = 0, $11 = 0, $call14 = 0, $12 = 0, $tobool15 = 0, $tail = 0, $13 = 0, $cmp17 = 0, $14 = 0, $tobool20 = 0, $or_cond2 = 0, $15 = 0, $index22 = 0, $16 = 0, $call23 = 0, $17 = 0, $tobool26 = 0, $call31 = 0, $tobool10 = 0, $18 = 0, $cmp3335 = 0, $i_036 = 0, $l = 0, $size = 0, $19 = 0, $cmp37 = 0, $list = 0, $20 = 0, $tobool46 = 0, $21 = 0, $inc = 0, $22 = 0, $cmp33 = 0, $call55 = 0, $tobool7 = 0, label = 0, __stackBase__ = 0;
   135289   __stackBase__ = STACKTOP;
   135290   STACKTOP = STACKTOP + 120 | 0;
   135291   label = 2;
   135292   while (1) switch (label | 0) {
   135293    case 2:
   135294     $same = __stackBase__ | 0;
   135295     $proto = $g + 40 | 0;
   135296     $0 = HEAP32[$proto >> 2] | 0;
   135297     $e1 = $0 + 4 | 0;
   135298     $1 = HEAP32[$e1 >> 2] | 0;
   135299     $2 = $1 | 0;
   135300     $call = _agfindattr($2, 145936) | 0;
   135301     HEAP32[41914] = $call;
   135302     $3 = HEAP32[$proto >> 2] | 0;
   135303     $e3 = $3 + 4 | 0;
   135304     $4 = HEAP32[$e3 >> 2] | 0;
   135305     $5 = $4 | 0;
   135306     $call4 = _agfindattr($5, 157696) | 0;
   135307     HEAP32[41912] = $call4;
   135308     $6 = HEAP32[41914] | 0;
   135309     $tobool = ($6 | 0) != 0;
   135310     $tobool5 = ($call4 | 0) != 0;
   135311     $or_cond = $tobool | $tobool5;
   135312     if ($or_cond) {
   135313       label = 3;
   135314       break;
   135315     } else {
   135316       label = 20;
   135317       break;
   135318     }
   135319    case 3:
   135320     $7 = $g;
   135321     $call6 = _agfstnode($7) | 0;
   135322     $tobool738 = ($call6 | 0) == 0;
   135323     if ($tobool738) {
   135324       label = 20;
   135325       break;
   135326     } else {
   135327       label = 4;
   135328       break;
   135329     }
   135330    case 4:
   135331     $arraydecay = $same | 0;
   135332     $arraydecay28 = $same | 0;
   135333     $n_041_in = $call6;
   135334     label = 5;
   135335     break;
   135336    case 5:
   135337     $n_041 = $n_041_in;
   135338     HEAP32[2770] = 0;
   135339     $call8 = _agfstedge($7, $n_041_in) | 0;
   135340     $tobool1031 = ($call8 | 0) == 0;
   135341     if ($tobool1031) {
   135342       label = 13;
   135343       break;
   135344     } else {
   135345       $e_034_in = $call8;
   135346       label = 6;
   135347       break;
   135348     }
   135349    case 6:
   135350     $e_034 = $e_034_in;
   135351     $head = $e_034_in + 12 | 0;
   135352     $8 = HEAP32[$head >> 2] | 0;
   135353     $cmp = ($8 | 0) == ($n_041_in | 0);
   135354     $9 = HEAP32[41914] | 0;
   135355     $tobool12 = ($9 | 0) != 0;
   135356     $or_cond1 = $cmp & $tobool12;
   135357     if ($or_cond1) {
   135358       label = 7;
   135359       break;
   135360     } else {
   135361       label = 9;
   135362       break;
   135363     }
   135364    case 7:
   135365     $10 = $e_034_in | 0;
   135366     $index = $9 + 8 | 0;
   135367     $11 = HEAP32[$index >> 2] | 0;
   135368     $call14 = _agxget($10, $11) | 0;
   135369     $12 = HEAP8[$call14] | 0;
   135370     $tobool15 = $12 << 24 >> 24 == 0;
   135371     if ($tobool15) {
   135372       label = 9;
   135373       break;
   135374     } else {
   135375       label = 8;
   135376       break;
   135377     }
   135378    case 8:
   135379     _sameedge($arraydecay, $n_041, $e_034, $call14);
   135380     label = 12;
   135381     break;
   135382    case 9:
   135383     $tail = $e_034_in + 16 | 0;
   135384     $13 = HEAP32[$tail >> 2] | 0;
   135385     $cmp17 = ($13 | 0) == ($n_041_in | 0);
   135386     $14 = HEAP32[41912] | 0;
   135387     $tobool20 = ($14 | 0) != 0;
   135388     $or_cond2 = $cmp17 & $tobool20;
   135389     if ($or_cond2) {
   135390       label = 10;
   135391       break;
   135392     } else {
   135393       label = 12;
   135394       break;
   135395     }
   135396    case 10:
   135397     $15 = $e_034_in | 0;
   135398     $index22 = $14 + 8 | 0;
   135399     $16 = HEAP32[$index22 >> 2] | 0;
   135400     $call23 = _agxget($15, $16) | 0;
   135401     $17 = HEAP8[$call23] | 0;
   135402     $tobool26 = $17 << 24 >> 24 == 0;
   135403     if ($tobool26) {
   135404       label = 12;
   135405       break;
   135406     } else {
   135407       label = 11;
   135408       break;
   135409     }
   135410    case 11:
   135411     _sameedge($arraydecay28, $n_041, $e_034, $call23);
   135412     label = 12;
   135413     break;
   135414    case 12:
   135415     $call31 = _agnxtedge($7, $e_034_in, $n_041_in) | 0;
   135416     $tobool10 = ($call31 | 0) == 0;
   135417     if ($tobool10) {
   135418       label = 13;
   135419       break;
   135420     } else {
   135421       $e_034_in = $call31;
   135422       label = 6;
   135423       break;
   135424     }
   135425    case 13:
   135426     $18 = HEAP32[2770] | 0;
   135427     $cmp3335 = ($18 | 0) > 0;
   135428     if ($cmp3335) {
   135429       $i_036 = 0;
   135430       label = 14;
   135431       break;
   135432     } else {
   135433       label = 19;
   135434       break;
   135435     }
   135436    case 14:
   135437     $l = $same + ($i_036 * 24 & -1) + 4 | 0;
   135438     $size = $same + ($i_036 * 24 & -1) + 8 | 0;
   135439     $19 = HEAP32[$size >> 2] | 0;
   135440     $cmp37 = ($19 | 0) > 1;
   135441     if ($cmp37) {
   135442       label = 15;
   135443       break;
   135444     } else {
   135445       label = 16;
   135446       break;
   135447     }
   135448    case 15:
   135449     _sameport($n_041, $l);
   135450     label = 16;
   135451     break;
   135452    case 16:
   135453     $list = $l | 0;
   135454     $20 = HEAP32[$list >> 2] | 0;
   135455     $tobool46 = ($20 | 0) == 0;
   135456     if ($tobool46) {
   135457       label = 18;
   135458       break;
   135459     } else {
   135460       label = 17;
   135461       break;
   135462     }
   135463    case 17:
   135464     $21 = $20;
   135465     _free($21);
   135466     label = 18;
   135467     break;
   135468    case 18:
   135469     $inc = $i_036 + 1 | 0;
   135470     $22 = HEAP32[2770] | 0;
   135471     $cmp33 = ($inc | 0) < ($22 | 0);
   135472     if ($cmp33) {
   135473       $i_036 = $inc;
   135474       label = 14;
   135475       break;
   135476     } else {
   135477       label = 19;
   135478       break;
   135479     }
   135480    case 19:
   135481     $call55 = _agnxtnode($7, $n_041_in) | 0;
   135482     $tobool7 = ($call55 | 0) == 0;
   135483     if ($tobool7) {
   135484       label = 20;
   135485       break;
   135486     } else {
   135487       $n_041_in = $call55;
   135488       label = 5;
   135489       break;
   135490     }
   135491    case 20:
   135492     STACKTOP = __stackBase__;
   135493     return;
   135494   }
   135495 }
   135496 function _sameedge($same, $n, $e, $id) {
   135497   $same = $same | 0;
   135498   $n = $n | 0;
   135499   $e = $e | 0;
   135500   $id = $id | 0;
   135501   var $sflag = 0, $eflag = 0, $0 = 0, $cmp53 = 0, $1 = 0, $2 = 0, $i_054 = 0, $id1 = 0, $3 = 0, $4 = 0, $cmp3 = 0, $call = 0, $tobool = 0, $list = 0, $5 = 0, $tobool8 = 0, $6 = 0, $size = 0, $7 = 0, $add = 0, $mul = 0, $call14 = 0, $size17 = 0, $8 = 0, $add18 = 0, $mul19 = 0, $call20 = 0, $call14_sink = 0, $9 = 0, $size26 = 0, $10 = 0, $inc = 0, $11 = 0, $arrayidx30 = 0, $12 = 0, $13 = 0, $arrayidx37 = 0, $inc38 = 0, $cmp = 0, $_lcssa = 0, $i_0_lcssa = 0, $inc39 = 0, $cmp40 = 0, $name = 0, $14 = 0, $call43 = 0, $size48 = 0, $call49 = 0, $15 = 0, $list52 = 0, $16 = 0, $inc58 = 0, $17 = 0, $arrayidx62 = 0, $18 = 0, $19 = 0, $arrayidx69 = 0, $id72 = 0, $n_arr = 0, $arr_len = 0, $i_051 = 0, $20 = 0, $head = 0, $21 = 0, $cmp75 = 0, $22 = 0, $23 = 0, $cond80 = 0, $tobool81 = 0, $n_arr84 = 0, $24 = 0, $inc85 = 0, $cmp86 = 0, $call89 = 0.0, $cond92 = 0.0, $arr_len94 = 0, label = 0, __stackBase__ = 0;
   135502   __stackBase__ = STACKTOP;
   135503   STACKTOP = STACKTOP + 16 | 0;
   135504   label = 2;
   135505   while (1) switch (label | 0) {
   135506    case 2:
   135507     $sflag = __stackBase__ | 0;
   135508     $eflag = __stackBase__ + 8 | 0;
   135509     $0 = HEAP32[2770] | 0;
   135510     $cmp53 = ($0 | 0) > 0;
   135511     if ($cmp53) {
   135512       label = 3;
   135513       break;
   135514     } else {
   135515       $i_0_lcssa = 0;
   135516       $_lcssa = $0;
   135517       label = 11;
   135518       break;
   135519     }
   135520    case 3:
   135521     $1 = HEAP8[$id] | 0;
   135522     $2 = HEAP32[2770] | 0;
   135523     $i_054 = 0;
   135524     label = 4;
   135525     break;
   135526    case 4:
   135527     $id1 = $same + ($i_054 * 24 & -1) | 0;
   135528     $3 = HEAP32[$id1 >> 2] | 0;
   135529     $4 = HEAP8[$3] | 0;
   135530     $cmp3 = $4 << 24 >> 24 == $1 << 24 >> 24;
   135531     if ($cmp3) {
   135532       label = 5;
   135533       break;
   135534     } else {
   135535       label = 10;
   135536       break;
   135537     }
   135538    case 5:
   135539     $call = _strcmp($3 | 0, $id | 0) | 0;
   135540     $tobool = ($call | 0) == 0;
   135541     if ($tobool) {
   135542       label = 6;
   135543       break;
   135544     } else {
   135545       label = 10;
   135546       break;
   135547     }
   135548    case 6:
   135549     $list = $same + ($i_054 * 24 & -1) + 4 | 0;
   135550     $5 = HEAP32[$list >> 2] | 0;
   135551     $tobool8 = ($5 | 0) == 0;
   135552     if ($tobool8) {
   135553       label = 8;
   135554       break;
   135555     } else {
   135556       label = 7;
   135557       break;
   135558     }
   135559    case 7:
   135560     $6 = $5;
   135561     $size = $same + ($i_054 * 24 & -1) + 8 | 0;
   135562     $7 = HEAP32[$size >> 2] | 0;
   135563     $add = $7 << 2;
   135564     $mul = $add + 8 | 0;
   135565     $call14 = _grealloc($6, $mul) | 0;
   135566     $call14_sink = $call14;
   135567     label = 9;
   135568     break;
   135569    case 8:
   135570     $size17 = $same + ($i_054 * 24 & -1) + 8 | 0;
   135571     $8 = HEAP32[$size17 >> 2] | 0;
   135572     $add18 = $8 << 2;
   135573     $mul19 = $add18 + 8 | 0;
   135574     $call20 = _gmalloc($mul19) | 0;
   135575     $call14_sink = $call20;
   135576     label = 9;
   135577     break;
   135578    case 9:
   135579     $9 = $call14_sink;
   135580     HEAP32[$list >> 2] = $9;
   135581     $size26 = $same + ($i_054 * 24 & -1) + 8 | 0;
   135582     $10 = HEAP32[$size26 >> 2] | 0;
   135583     $inc = $10 + 1 | 0;
   135584     HEAP32[$size26 >> 2] = $inc;
   135585     $11 = HEAP32[$list >> 2] | 0;
   135586     $arrayidx30 = $11 + ($10 << 2) | 0;
   135587     HEAP32[$arrayidx30 >> 2] = $e;
   135588     $12 = HEAP32[$size26 >> 2] | 0;
   135589     $13 = HEAP32[$list >> 2] | 0;
   135590     $arrayidx37 = $13 + ($12 << 2) | 0;
   135591     HEAP32[$arrayidx37 >> 2] = 0;
   135592     $i_051 = $i_054;
   135593     label = 14;
   135594     break;
   135595    case 10:
   135596     $inc38 = $i_054 + 1 | 0;
   135597     $cmp = ($inc38 | 0) < ($2 | 0);
   135598     if ($cmp) {
   135599       $i_054 = $inc38;
   135600       label = 4;
   135601       break;
   135602     } else {
   135603       $i_0_lcssa = $inc38;
   135604       $_lcssa = $2;
   135605       label = 11;
   135606       break;
   135607     }
   135608    case 11:
   135609     $inc39 = $_lcssa + 1 | 0;
   135610     HEAP32[2770] = $inc39;
   135611     $cmp40 = ($inc39 | 0) > 5;
   135612     if ($cmp40) {
   135613       label = 12;
   135614       break;
   135615     } else {
   135616       label = 13;
   135617       break;
   135618     }
   135619    case 12:
   135620     $name = $n + 12 | 0;
   135621     $14 = HEAP32[$name >> 2] | 0;
   135622     $call43 = _agerr(1, 129424, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $14, tempInt) | 0) | 0;
   135623     label = 18;
   135624     break;
   135625    case 13:
   135626     $size48 = $same + ($i_0_lcssa * 24 & -1) + 8 | 0;
   135627     HEAP32[$size48 >> 2] = 0;
   135628     $call49 = _zmalloc(8) | 0;
   135629     $15 = $call49;
   135630     $list52 = $same + ($i_0_lcssa * 24 & -1) + 4 | 0;
   135631     HEAP32[$list52 >> 2] = $15;
   135632     $16 = HEAP32[$size48 >> 2] | 0;
   135633     $inc58 = $16 + 1 | 0;
   135634     HEAP32[$size48 >> 2] = $inc58;
   135635     $17 = HEAP32[$list52 >> 2] | 0;
   135636     $arrayidx62 = $17 + ($16 << 2) | 0;
   135637     HEAP32[$arrayidx62 >> 2] = $e;
   135638     $18 = HEAP32[$size48 >> 2] | 0;
   135639     $19 = HEAP32[$list52 >> 2] | 0;
   135640     $arrayidx69 = $19 + ($18 << 2) | 0;
   135641     HEAP32[$arrayidx69 >> 2] = 0;
   135642     $id72 = $same + ($i_0_lcssa * 24 & -1) | 0;
   135643     HEAP32[$id72 >> 2] = $id;
   135644     $n_arr = $same + ($i_0_lcssa * 24 & -1) + 12 | 0;
   135645     HEAP32[$n_arr >> 2] = 0;
   135646     $arr_len = $same + ($i_0_lcssa * 24 & -1) + 16 | 0;
   135647     HEAPF64[$arr_len >> 3] = 0.0;
   135648     $i_051 = $i_0_lcssa;
   135649     label = 14;
   135650     break;
   135651    case 14:
   135652     $20 = $e;
   135653     _arrow_flags($20, $sflag, $eflag);
   135654     $head = $e + 12 | 0;
   135655     $21 = HEAP32[$head >> 2] | 0;
   135656     $cmp75 = ($21 | 0) == ($n | 0);
   135657     $22 = HEAP32[$eflag >> 2] | 0;
   135658     $23 = HEAP32[$sflag >> 2] | 0;
   135659     $cond80 = $cmp75 ? $22 : $23;
   135660     $tobool81 = ($cond80 | 0) == 0;
   135661     if ($tobool81) {
   135662       label = 18;
   135663       break;
   135664     } else {
   135665       label = 15;
   135666       break;
   135667     }
   135668    case 15:
   135669     $n_arr84 = $same + ($i_051 * 24 & -1) + 12 | 0;
   135670     $24 = HEAP32[$n_arr84 >> 2] | 0;
   135671     $inc85 = $24 + 1 | 0;
   135672     HEAP32[$n_arr84 >> 2] = $inc85;
   135673     $cmp86 = ($24 | 0) == 0;
   135674     if ($cmp86) {
   135675       label = 16;
   135676       break;
   135677     } else {
   135678       $cond92 = 0.0;
   135679       label = 17;
   135680       break;
   135681     }
   135682    case 16:
   135683     $call89 = +_arrow_length($20, $cond80);
   135684     $cond92 = $call89;
   135685     label = 17;
   135686     break;
   135687    case 17:
   135688     $arr_len94 = $same + ($i_051 * 24 & -1) + 16 | 0;
   135689     HEAPF64[$arr_len94 >> 3] = $cond92;
   135690     label = 18;
   135691     break;
   135692    case 18:
   135693     STACKTOP = __stackBase__;
   135694     return;
   135695   }
   135696 }
   135697 function _sameport($u, $l) {
   135698   $u = $u | 0;
   135699   $l = $l | 0;
   135700   var $sflag = 0, $eflag = 0, $curve = 0, $size = 0, $0 = 0, $cmp16 = 0, $list = 0, $x7 = 0, $y13 = 0, $i_019 = 0, $x_018 = 0.0, $y_017 = 0.0, $1 = 0, $arrayidx = 0, $2 = 0, $head = 0, $3 = 0, $cmp1 = 0, $tail = 0, $4 = 0, $v_0 = 0, $x4 = 0, $5 = 0.0, $6 = 0.0, $sub = 0.0, $y10 = 0, $7 = 0.0, $8 = 0.0, $sub14 = 0.0, $call = 0.0, $div = 0.0, $add = 0.0, $div15 = 0.0, $add16 = 0.0, $inc = 0, $9 = 0, $cmp = 0, $x_0_lcssa = 0.0, $y_0_lcssa = 0.0, $call17 = 0.0, $div18 = 0.0, $div19 = 0.0, $x22 = 0, $10 = 0.0, $y25 = 0, $11 = 0.0, $lw = 0, $12 = 0.0, $rw = 0, $13 = 0.0, $add28 = 0.0, $ht = 0, $14 = 0.0, $graph = 0, $15 = 0, $ranksep = 0, $16 = 0, $conv = 0.0, $add31 = 0.0, $cmp32 = 0, $add28_add31 = 0.0, $mul = 0.0, $add49 = 0.0, $mul50 = 0.0, $add54 = 0.0, $arrayidx55 = 0, $x56 = 0, $y58 = 0, $mul59 = 0.0, $add60 = 0.0, $div61 = 0.0, $x63 = 0, $mul64 = 0.0, $add65 = 0.0, $div66 = 0.0, $y68 = 0, $mul69 = 0.0, $add70 = 0.0, $div71 = 0.0, $x73 = 0, $mul74 = 0.0, $add75 = 0.0, $div76 = 0.0, $y78 = 0, $x80 = 0, $y82 = 0, $17 = 0, $18 = 0.0, $19 = 0.0, $sub88 = 0.0, $20 = 0.0, $21 = 0.0, $sub94 = 0.0, $cmp95 = 0, $add98 = 0.0, $sub101 = 0.0, $add98_sink = 0.0, $conv99 = 0, $conv105 = 0.0, $cmp107 = 0, $add110 = 0.0, $sub113 = 0.0, $add110_sink = 0.0, $conv111 = 0, $conv117 = 0.0, $22 = 0.0, $add124 = 0.0, $mul125 = 0.0, $23 = 0.0, $add130 = 0.0, $div131 = 0.0, $conv132 = 0, $24 = 0, $cmp13514 = 0, $list138 = 0, $i_115 = 0, $25 = 0, $arrayidx139 = 0, $26 = 0, $27 = 0, $tobool12 = 0, $e_013 = 0, $tobool1438 = 0, $f_09 = 0, $head145 = 0, $28 = 0, $cmp146 = 0, $prt_sroa_0_0__idx5 = 0, $prt_sroa_1_8__idx12 = 0, $prt_sroa_2_16__idx19 = 0, $prt_sroa_3_24__idx26 = 0, $prt_sroa_4_28__idx32 = 0, $prt_sroa_5_29__idx38 = 0, $prt_sroa_6_30__idx44 = 0, $prt_sroa_7_31__idx50 = 0, $prt_sroa_8_32__idx56 = 0, $prt_sroa_9_33__idx62 = 0, $prt_sroa_11_36__idx78 = 0, $tail151 = 0, $29 = 0, $cmp152 = 0, $prt_sroa_0_0__idx3 = 0, $prt_sroa_1_8__idx10 = 0, $prt_sroa_2_16__idx17 = 0, $prt_sroa_3_24__idx24 = 0, $prt_sroa_4_28__idx30 = 0, $prt_sroa_5_29__idx36 = 0, $prt_sroa_6_30__idx42 = 0, $prt_sroa_7_31__idx48 = 0, $prt_sroa_8_32__idx54 = 0, $prt_sroa_9_33__idx60 = 0, $prt_sroa_11_36__idx76 = 0, $edge_type = 0, $30 = 0, $cmp160 = 0, $list177 = 0, $31 = 0, $32 = 0, $tobool143 = 0, $33 = 0, $node_type = 0, $34 = 0, $cmp165 = 0, $size170 = 0, $35 = 0, $cmp171 = 0, $tobool18410 = 0, $f_111 = 0, $head186 = 0, $36 = 0, $cmp187 = 0, $prt_sroa_0_0__idx1 = 0, $prt_sroa_1_8__idx8 = 0, $prt_sroa_2_16__idx15 = 0, $prt_sroa_3_24__idx22 = 0, $prt_sroa_4_28__idx28 = 0, $prt_sroa_5_29__idx34 = 0, $prt_sroa_6_30__idx40 = 0, $prt_sroa_7_31__idx46 = 0, $prt_sroa_8_32__idx52 = 0, $prt_sroa_9_33__idx58 = 0, $prt_sroa_11_36__idx74 = 0, $tail193 = 0, $37 = 0, $cmp194 = 0, $prt_sroa_0_0__idx = 0, $prt_sroa_1_8__idx7 = 0, $prt_sroa_2_16__idx14 = 0, $prt_sroa_3_24__idx21 = 0, $prt_sroa_4_28__idx = 0, $prt_sroa_5_29__idx = 0, $prt_sroa_6_30__idx = 0, $prt_sroa_7_31__idx = 0, $prt_sroa_8_32__idx = 0, $prt_sroa_9_33__idx = 0, $prt_sroa_11_36__idx73 = 0, $edge_type202 = 0, $38 = 0, $cmp204 = 0, $list223 = 0, $39 = 0, $40 = 0, $tobool184 = 0, $41 = 0, $node_type209 = 0, $42 = 0, $cmp211 = 0, $size216 = 0, $43 = 0, $cmp217 = 0, $to_virt = 0, $44 = 0, $tobool = 0, $inc233 = 0, $45 = 0, $cmp135 = 0, $has_port = 0, label = 0, __stackBase__ = 0;
   135701   __stackBase__ = STACKTOP;
   135702   STACKTOP = STACKTOP + 80 | 0;
   135703   label = 2;
   135704   while (1) switch (label | 0) {
   135705    case 2:
   135706     $sflag = __stackBase__ | 0;
   135707     $eflag = __stackBase__ + 8 | 0;
   135708     $curve = __stackBase__ + 16 | 0;
   135709     $size = $l + 4 | 0;
   135710     $0 = HEAP32[$size >> 2] | 0;
   135711     $cmp16 = ($0 | 0) > 0;
   135712     if ($cmp16) {
   135713       label = 3;
   135714       break;
   135715     } else {
   135716       $y_0_lcssa = 0.0;
   135717       $x_0_lcssa = 0.0;
   135718       label = 7;
   135719       break;
   135720     }
   135721    case 3:
   135722     $list = $l | 0;
   135723     $x7 = $u + 32 | 0;
   135724     $y13 = $u + 40 | 0;
   135725     $y_017 = 0.0;
   135726     $x_018 = 0.0;
   135727     $i_019 = 0;
   135728     label = 4;
   135729     break;
   135730    case 4:
   135731     $1 = HEAP32[$list >> 2] | 0;
   135732     $arrayidx = $1 + ($i_019 << 2) | 0;
   135733     $2 = HEAP32[$arrayidx >> 2] | 0;
   135734     $head = $2 + 12 | 0;
   135735     $3 = HEAP32[$head >> 2] | 0;
   135736     $cmp1 = ($3 | 0) == ($u | 0);
   135737     if ($cmp1) {
   135738       label = 5;
   135739       break;
   135740     } else {
   135741       $v_0 = $3;
   135742       label = 6;
   135743       break;
   135744     }
   135745    case 5:
   135746     $tail = $2 + 16 | 0;
   135747     $4 = HEAP32[$tail >> 2] | 0;
   135748     $v_0 = $4;
   135749     label = 6;
   135750     break;
   135751    case 6:
   135752     $x4 = $v_0 + 32 | 0;
   135753     $5 = +HEAPF64[$x4 >> 3];
   135754     $6 = +HEAPF64[$x7 >> 3];
   135755     $sub = $5 - $6;
   135756     $y10 = $v_0 + 40 | 0;
   135757     $7 = +HEAPF64[$y10 >> 3];
   135758     $8 = +HEAPF64[$y13 >> 3];
   135759     $sub14 = $7 - $8;
   135760     $call = +_hypot(+$sub, +$sub14);
   135761     $div = $sub / $call;
   135762     $add = $x_018 + $div;
   135763     $div15 = $sub14 / $call;
   135764     $add16 = $y_017 + $div15;
   135765     $inc = $i_019 + 1 | 0;
   135766     $9 = HEAP32[$size >> 2] | 0;
   135767     $cmp = ($inc | 0) < ($9 | 0);
   135768     if ($cmp) {
   135769       $y_017 = $add16;
   135770       $x_018 = $add;
   135771       $i_019 = $inc;
   135772       label = 4;
   135773       break;
   135774     } else {
   135775       $y_0_lcssa = $add16;
   135776       $x_0_lcssa = $add;
   135777       label = 7;
   135778       break;
   135779     }
   135780    case 7:
   135781     $call17 = +_hypot(+$x_0_lcssa, +$y_0_lcssa);
   135782     $div18 = $x_0_lcssa / $call17;
   135783     $div19 = $y_0_lcssa / $call17;
   135784     $x22 = $u + 32 | 0;
   135785     $10 = +HEAPF64[$x22 >> 3];
   135786     $y25 = $u + 40 | 0;
   135787     $11 = +HEAPF64[$y25 >> 3];
   135788     $lw = $u + 104 | 0;
   135789     $12 = +HEAPF64[$lw >> 3];
   135790     $rw = $u + 112 | 0;
   135791     $13 = +HEAPF64[$rw >> 3];
   135792     $add28 = $12 + $13;
   135793     $ht = $u + 96 | 0;
   135794     $14 = +HEAPF64[$ht >> 3];
   135795     $graph = $u + 20 | 0;
   135796     $15 = HEAP32[$graph >> 2] | 0;
   135797     $ranksep = $15 + 264 | 0;
   135798     $16 = HEAP32[$ranksep >> 2] | 0;
   135799     $conv = +($16 | 0);
   135800     $add31 = $14 + $conv;
   135801     $cmp32 = $add28 > $add31;
   135802     $add28_add31 = $cmp32 ? $add28 : $add31;
   135803     $mul = $div18 * $add28_add31;
   135804     $add49 = $10 + $mul;
   135805     $mul50 = $div19 * $add28_add31;
   135806     $add54 = $11 + $mul50;
   135807     $arrayidx55 = $curve | 0;
   135808     $x56 = $curve | 0;
   135809     HEAPF64[$x56 >> 3] = $10;
   135810     $y58 = $curve + 8 | 0;
   135811     HEAPF64[$y58 >> 3] = $11;
   135812     $mul59 = $10 * 2.0;
   135813     $add60 = $mul59 + $add49;
   135814     $div61 = $add60 / 3.0;
   135815     $x63 = $curve + 16 | 0;
   135816     HEAPF64[$x63 >> 3] = $div61;
   135817     $mul64 = $11 * 2.0;
   135818     $add65 = $mul64 + $add54;
   135819     $div66 = $add65 / 3.0;
   135820     $y68 = $curve + 24 | 0;
   135821     HEAPF64[$y68 >> 3] = $div66;
   135822     $mul69 = $add49 * 2.0;
   135823     $add70 = $10 + $mul69;
   135824     $div71 = $add70 / 3.0;
   135825     $x73 = $curve + 32 | 0;
   135826     HEAPF64[$x73 >> 3] = $div71;
   135827     $mul74 = $add54 * 2.0;
   135828     $add75 = $11 + $mul74;
   135829     $div76 = $add75 / 3.0;
   135830     $y78 = $curve + 40 | 0;
   135831     HEAPF64[$y78 >> 3] = $div76;
   135832     $x80 = $curve + 48 | 0;
   135833     HEAPF64[$x80 >> 3] = $add49;
   135834     $y82 = $curve + 56 | 0;
   135835     HEAPF64[$y82 >> 3] = $add54;
   135836     $17 = $u;
   135837     _shape_clip($17, $arrayidx55);
   135838     $18 = +HEAPF64[$x56 >> 3];
   135839     $19 = +HEAPF64[$x22 >> 3];
   135840     $sub88 = $18 - $19;
   135841     $20 = +HEAPF64[$y58 >> 3];
   135842     $21 = +HEAPF64[$y25 >> 3];
   135843     $sub94 = $20 - $21;
   135844     $cmp95 = $sub88 < 0.0;
   135845     if ($cmp95) {
   135846       label = 9;
   135847       break;
   135848     } else {
   135849       label = 8;
   135850       break;
   135851     }
   135852    case 8:
   135853     $add98 = $sub88 + .5;
   135854     $add98_sink = $add98;
   135855     label = 10;
   135856     break;
   135857    case 9:
   135858     $sub101 = $sub88 + -.5;
   135859     $add98_sink = $sub101;
   135860     label = 10;
   135861     break;
   135862    case 10:
   135863     $conv99 = ~~$add98_sink;
   135864     $conv105 = +($conv99 | 0);
   135865     $cmp107 = $sub94 < 0.0;
   135866     if ($cmp107) {
   135867       label = 12;
   135868       break;
   135869     } else {
   135870       label = 11;
   135871       break;
   135872     }
   135873    case 11:
   135874     $add110 = $sub94 + .5;
   135875     $add110_sink = $add110;
   135876     label = 13;
   135877     break;
   135878    case 12:
   135879     $sub113 = $sub94 + -.5;
   135880     $add110_sink = $sub113;
   135881     label = 13;
   135882     break;
   135883    case 13:
   135884     $conv111 = ~~$add110_sink;
   135885     $conv117 = +($conv111 | 0);
   135886     $22 = +HEAPF64[$lw >> 3];
   135887     $add124 = $conv105 + $22;
   135888     $mul125 = $add124 * 256.0;
   135889     $23 = +HEAPF64[$rw >> 3];
   135890     $add130 = $22 + $23;
   135891     $div131 = $mul125 / $add130;
   135892     $conv132 = ~~$div131;
   135893     $24 = HEAP32[$size >> 2] | 0;
   135894     $cmp13514 = ($24 | 0) > 0;
   135895     if ($cmp13514) {
   135896       label = 14;
   135897       break;
   135898     } else {
   135899       label = 36;
   135900       break;
   135901     }
   135902    case 14:
   135903     $list138 = $l | 0;
   135904     $i_115 = 0;
   135905     label = 15;
   135906     break;
   135907    case 15:
   135908     $25 = HEAP32[$list138 >> 2] | 0;
   135909     $arrayidx139 = $25 + ($i_115 << 2) | 0;
   135910     $26 = HEAP32[$arrayidx139 >> 2] | 0;
   135911     $27 = $26;
   135912     _arrow_flags($27, $sflag, $eflag);
   135913     $tobool12 = ($26 | 0) == 0;
   135914     if ($tobool12) {
   135915       label = 35;
   135916       break;
   135917     } else {
   135918       $e_013 = $26;
   135919       label = 16;
   135920       break;
   135921     }
   135922    case 16:
   135923     $tobool1438 = ($e_013 | 0) == 0;
   135924     if ($tobool1438) {
   135925       label = 34;
   135926       break;
   135927     } else {
   135928       $f_09 = $e_013;
   135929       label = 17;
   135930       break;
   135931     }
   135932    case 17:
   135933     $head145 = $f_09 + 12 | 0;
   135934     $28 = HEAP32[$head145 >> 2] | 0;
   135935     $cmp146 = ($28 | 0) == ($u | 0);
   135936     if ($cmp146) {
   135937       label = 18;
   135938       break;
   135939     } else {
   135940       label = 19;
   135941       break;
   135942     }
   135943    case 18:
   135944     $prt_sroa_0_0__idx5 = $f_09 + 72 | 0;
   135945     HEAPF64[$prt_sroa_0_0__idx5 >> 3] = $conv105;
   135946     $prt_sroa_1_8__idx12 = $f_09 + 80 | 0;
   135947     HEAPF64[$prt_sroa_1_8__idx12 >> 3] = $conv117;
   135948     $prt_sroa_2_16__idx19 = $f_09 + 88 | 0;
   135949     HEAPF64[$prt_sroa_2_16__idx19 >> 3] = 0.0;
   135950     $prt_sroa_3_24__idx26 = $f_09 + 96 | 0;
   135951     HEAP32[$prt_sroa_3_24__idx26 >> 2] = 0;
   135952     $prt_sroa_4_28__idx32 = $f_09 + 100 | 0;
   135953     HEAP8[$prt_sroa_4_28__idx32] = 1;
   135954     $prt_sroa_5_29__idx38 = $f_09 + 101 | 0;
   135955     HEAP8[$prt_sroa_5_29__idx38] = 0;
   135956     $prt_sroa_6_30__idx44 = $f_09 + 102 | 0;
   135957     HEAP8[$prt_sroa_6_30__idx44] = 0;
   135958     $prt_sroa_7_31__idx50 = $f_09 + 103 | 0;
   135959     HEAP8[$prt_sroa_7_31__idx50] = 0;
   135960     $prt_sroa_8_32__idx56 = $f_09 + 104 | 0;
   135961     HEAP8[$prt_sroa_8_32__idx56] = $conv132;
   135962     $prt_sroa_9_33__idx62 = $f_09 + 105 | 0;
   135963     HEAP8[$prt_sroa_9_33__idx62] = 0;
   135964     $prt_sroa_11_36__idx78 = $f_09 + 108 | 0;
   135965     HEAP32[$prt_sroa_11_36__idx78 >> 2] = 0;
   135966     label = 19;
   135967     break;
   135968    case 19:
   135969     $tail151 = $f_09 + 16 | 0;
   135970     $29 = HEAP32[$tail151 >> 2] | 0;
   135971     $cmp152 = ($29 | 0) == ($u | 0);
   135972     if ($cmp152) {
   135973       label = 20;
   135974       break;
   135975     } else {
   135976       label = 21;
   135977       break;
   135978     }
   135979    case 20:
   135980     $prt_sroa_0_0__idx3 = $f_09 + 32 | 0;
   135981     HEAPF64[$prt_sroa_0_0__idx3 >> 3] = $conv105;
   135982     $prt_sroa_1_8__idx10 = $f_09 + 40 | 0;
   135983     HEAPF64[$prt_sroa_1_8__idx10 >> 3] = $conv117;
   135984     $prt_sroa_2_16__idx17 = $f_09 + 48 | 0;
   135985     HEAPF64[$prt_sroa_2_16__idx17 >> 3] = 0.0;
   135986     $prt_sroa_3_24__idx24 = $f_09 + 56 | 0;
   135987     HEAP32[$prt_sroa_3_24__idx24 >> 2] = 0;
   135988     $prt_sroa_4_28__idx30 = $f_09 + 60 | 0;
   135989     HEAP8[$prt_sroa_4_28__idx30] = 1;
   135990     $prt_sroa_5_29__idx36 = $f_09 + 61 | 0;
   135991     HEAP8[$prt_sroa_5_29__idx36] = 0;
   135992     $prt_sroa_6_30__idx42 = $f_09 + 62 | 0;
   135993     HEAP8[$prt_sroa_6_30__idx42] = 0;
   135994     $prt_sroa_7_31__idx48 = $f_09 + 63 | 0;
   135995     HEAP8[$prt_sroa_7_31__idx48] = 0;
   135996     $prt_sroa_8_32__idx54 = $f_09 + 64 | 0;
   135997     HEAP8[$prt_sroa_8_32__idx54] = $conv132;
   135998     $prt_sroa_9_33__idx60 = $f_09 + 65 | 0;
   135999     HEAP8[$prt_sroa_9_33__idx60] = 0;
   136000     $prt_sroa_11_36__idx76 = $f_09 + 68 | 0;
   136001     HEAP32[$prt_sroa_11_36__idx76 >> 2] = 0;
   136002     label = 21;
   136003     break;
   136004    case 21:
   136005     $edge_type = $f_09 + 128 | 0;
   136006     $30 = HEAP8[$edge_type] | 0;
   136007     $cmp160 = $30 << 24 >> 24 == 1;
   136008     if ($cmp160) {
   136009       label = 23;
   136010       break;
   136011     } else {
   136012       label = 25;
   136013       break;
   136014     }
   136015    case 22:
   136016     $list177 = $33 + 184 | 0;
   136017     $31 = HEAP32[$list177 >> 2] | 0;
   136018     $32 = HEAP32[$31 >> 2] | 0;
   136019     $tobool143 = ($32 | 0) == 0;
   136020     if ($tobool143) {
   136021       label = 25;
   136022       break;
   136023     } else {
   136024       $f_09 = $32;
   136025       label = 17;
   136026       break;
   136027     }
   136028    case 23:
   136029     $33 = HEAP32[$head145 >> 2] | 0;
   136030     $node_type = $33 + 162 | 0;
   136031     $34 = HEAP8[$node_type] | 0;
   136032     $cmp165 = $34 << 24 >> 24 == 1;
   136033     if ($cmp165) {
   136034       label = 24;
   136035       break;
   136036     } else {
   136037       label = 25;
   136038       break;
   136039     }
   136040    case 24:
   136041     $size170 = $33 + 188 | 0;
   136042     $35 = HEAP32[$size170 >> 2] | 0;
   136043     $cmp171 = ($35 | 0) == 1;
   136044     if ($cmp171) {
   136045       label = 22;
   136046       break;
   136047     } else {
   136048       label = 25;
   136049       break;
   136050     }
   136051    case 25:
   136052     $tobool18410 = ($e_013 | 0) == 0;
   136053     if ($tobool18410) {
   136054       label = 34;
   136055       break;
   136056     } else {
   136057       $f_111 = $e_013;
   136058       label = 26;
   136059       break;
   136060     }
   136061    case 26:
   136062     $head186 = $f_111 + 12 | 0;
   136063     $36 = HEAP32[$head186 >> 2] | 0;
   136064     $cmp187 = ($36 | 0) == ($u | 0);
   136065     if ($cmp187) {
   136066       label = 27;
   136067       break;
   136068     } else {
   136069       label = 28;
   136070       break;
   136071     }
   136072    case 27:
   136073     $prt_sroa_0_0__idx1 = $f_111 + 72 | 0;
   136074     HEAPF64[$prt_sroa_0_0__idx1 >> 3] = $conv105;
   136075     $prt_sroa_1_8__idx8 = $f_111 + 80 | 0;
   136076     HEAPF64[$prt_sroa_1_8__idx8 >> 3] = $conv117;
   136077     $prt_sroa_2_16__idx15 = $f_111 + 88 | 0;
   136078     HEAPF64[$prt_sroa_2_16__idx15 >> 3] = 0.0;
   136079     $prt_sroa_3_24__idx22 = $f_111 + 96 | 0;
   136080     HEAP32[$prt_sroa_3_24__idx22 >> 2] = 0;
   136081     $prt_sroa_4_28__idx28 = $f_111 + 100 | 0;
   136082     HEAP8[$prt_sroa_4_28__idx28] = 1;
   136083     $prt_sroa_5_29__idx34 = $f_111 + 101 | 0;
   136084     HEAP8[$prt_sroa_5_29__idx34] = 0;
   136085     $prt_sroa_6_30__idx40 = $f_111 + 102 | 0;
   136086     HEAP8[$prt_sroa_6_30__idx40] = 0;
   136087     $prt_sroa_7_31__idx46 = $f_111 + 103 | 0;
   136088     HEAP8[$prt_sroa_7_31__idx46] = 0;
   136089     $prt_sroa_8_32__idx52 = $f_111 + 104 | 0;
   136090     HEAP8[$prt_sroa_8_32__idx52] = $conv132;
   136091     $prt_sroa_9_33__idx58 = $f_111 + 105 | 0;
   136092     HEAP8[$prt_sroa_9_33__idx58] = 0;
   136093     $prt_sroa_11_36__idx74 = $f_111 + 108 | 0;
   136094     HEAP32[$prt_sroa_11_36__idx74 >> 2] = 0;
   136095     label = 28;
   136096     break;
   136097    case 28:
   136098     $tail193 = $f_111 + 16 | 0;
   136099     $37 = HEAP32[$tail193 >> 2] | 0;
   136100     $cmp194 = ($37 | 0) == ($u | 0);
   136101     if ($cmp194) {
   136102       label = 29;
   136103       break;
   136104     } else {
   136105       label = 30;
   136106       break;
   136107     }
   136108    case 29:
   136109     $prt_sroa_0_0__idx = $f_111 + 32 | 0;
   136110     HEAPF64[$prt_sroa_0_0__idx >> 3] = $conv105;
   136111     $prt_sroa_1_8__idx7 = $f_111 + 40 | 0;
   136112     HEAPF64[$prt_sroa_1_8__idx7 >> 3] = $conv117;
   136113     $prt_sroa_2_16__idx14 = $f_111 + 48 | 0;
   136114     HEAPF64[$prt_sroa_2_16__idx14 >> 3] = 0.0;
   136115     $prt_sroa_3_24__idx21 = $f_111 + 56 | 0;
   136116     HEAP32[$prt_sroa_3_24__idx21 >> 2] = 0;
   136117     $prt_sroa_4_28__idx = $f_111 + 60 | 0;
   136118     HEAP8[$prt_sroa_4_28__idx] = 1;
   136119     $prt_sroa_5_29__idx = $f_111 + 61 | 0;
   136120     HEAP8[$prt_sroa_5_29__idx] = 0;
   136121     $prt_sroa_6_30__idx = $f_111 + 62 | 0;
   136122     HEAP8[$prt_sroa_6_30__idx] = 0;
   136123     $prt_sroa_7_31__idx = $f_111 + 63 | 0;
   136124     HEAP8[$prt_sroa_7_31__idx] = 0;
   136125     $prt_sroa_8_32__idx = $f_111 + 64 | 0;
   136126     HEAP8[$prt_sroa_8_32__idx] = $conv132;
   136127     $prt_sroa_9_33__idx = $f_111 + 65 | 0;
   136128     HEAP8[$prt_sroa_9_33__idx] = 0;
   136129     $prt_sroa_11_36__idx73 = $f_111 + 68 | 0;
   136130     HEAP32[$prt_sroa_11_36__idx73 >> 2] = 0;
   136131     label = 30;
   136132     break;
   136133    case 30:
   136134     $edge_type202 = $f_111 + 128 | 0;
   136135     $38 = HEAP8[$edge_type202] | 0;
   136136     $cmp204 = $38 << 24 >> 24 == 1;
   136137     if ($cmp204) {
   136138       label = 32;
   136139       break;
   136140     } else {
   136141       label = 34;
   136142       break;
   136143     }
   136144    case 31:
   136145     $list223 = $41 + 176 | 0;
   136146     $39 = HEAP32[$list223 >> 2] | 0;
   136147     $40 = HEAP32[$39 >> 2] | 0;
   136148     $tobool184 = ($40 | 0) == 0;
   136149     if ($tobool184) {
   136150       label = 34;
   136151       break;
   136152     } else {
   136153       $f_111 = $40;
   136154       label = 26;
   136155       break;
   136156     }
   136157    case 32:
   136158     $41 = HEAP32[$tail193 >> 2] | 0;
   136159     $node_type209 = $41 + 162 | 0;
   136160     $42 = HEAP8[$node_type209] | 0;
   136161     $cmp211 = $42 << 24 >> 24 == 1;
   136162     if ($cmp211) {
   136163       label = 33;
   136164       break;
   136165     } else {
   136166       label = 34;
   136167       break;
   136168     }
   136169    case 33:
   136170     $size216 = $41 + 180 | 0;
   136171     $43 = HEAP32[$size216 >> 2] | 0;
   136172     $cmp217 = ($43 | 0) == 1;
   136173     if ($cmp217) {
   136174       label = 31;
   136175       break;
   136176     } else {
   136177       label = 34;
   136178       break;
   136179     }
   136180    case 34:
   136181     $to_virt = $e_013 + 188 | 0;
   136182     $44 = HEAP32[$to_virt >> 2] | 0;
   136183     $tobool = ($44 | 0) == 0;
   136184     if ($tobool) {
   136185       label = 35;
   136186       break;
   136187     } else {
   136188       $e_013 = $44;
   136189       label = 16;
   136190       break;
   136191     }
   136192    case 35:
   136193     $inc233 = $i_115 + 1 | 0;
   136194     $45 = HEAP32[$size >> 2] | 0;
   136195     $cmp135 = ($inc233 | 0) < ($45 | 0);
   136196     if ($cmp135) {
   136197       $i_115 = $inc233;
   136198       label = 15;
   136199       break;
   136200     } else {
   136201       label = 36;
   136202       break;
   136203     }
   136204    case 36:
   136205     $has_port = $u + 161 | 0;
   136206     HEAP8[$has_port] = 1;
   136207     STACKTOP = __stackBase__;
   136208     return;
   136209   }
   136210 }
   136211 function _cluster_leader($clust) {
   136212   $clust = $clust | 0;
   136213   var $nlist = 0, $n_023 = 0, $tobool24 = 0, $n_027 = 0, $leader_025 = 0, $rank = 0, $0 = 0, $cmp = 0, $node_type = 0, $1 = 0, $cmp3 = 0, $n_0_leader_0 = 0, $leader_1 = 0, $next = 0, $n_0 = 0, $tobool = 0, $cmp14 = 0, $leader17 = 0, $2 = 0, $call = 0, $tobool1920 = 0, $3 = 0, $n_122_in = 0, $n_122 = 0, $UF_size = 0, $4 = 0, $5 = 0, $cmp22 = 0, $cmp24 = 0, $or_cond = 0, $6 = 0, $call29 = 0, $ranktype = 0, $call32 = 0, $tobool19 = 0, label = 0;
   136214   label = 2;
   136215   while (1) switch (label | 0) {
   136216    case 2:
   136217     $nlist = $clust + 220 | 0;
   136218     $n_023 = HEAP32[$nlist >> 2] | 0;
   136219     $tobool24 = ($n_023 | 0) == 0;
   136220     if ($tobool24) {
   136221       label = 7;
   136222       break;
   136223     } else {
   136224       $leader_025 = 0;
   136225       $n_027 = $n_023;
   136226       label = 3;
   136227       break;
   136228     }
   136229    case 3:
   136230     $rank = $n_027 + 236 | 0;
   136231     $0 = HEAP32[$rank >> 2] | 0;
   136232     $cmp = ($0 | 0) == 0;
   136233     if ($cmp) {
   136234       label = 4;
   136235       break;
   136236     } else {
   136237       $leader_1 = $leader_025;
   136238       label = 5;
   136239       break;
   136240     }
   136241    case 4:
   136242     $node_type = $n_027 + 162 | 0;
   136243     $1 = HEAP8[$node_type] | 0;
   136244     $cmp3 = $1 << 24 >> 24 == 0;
   136245     $n_0_leader_0 = $cmp3 ? $n_027 : $leader_025;
   136246     $leader_1 = $n_0_leader_0;
   136247     label = 5;
   136248     break;
   136249    case 5:
   136250     $next = $n_027 + 168 | 0;
   136251     $n_0 = HEAP32[$next >> 2] | 0;
   136252     $tobool = ($n_0 | 0) == 0;
   136253     if ($tobool) {
   136254       label = 6;
   136255       break;
   136256     } else {
   136257       $leader_025 = $leader_1;
   136258       $n_027 = $n_0;
   136259       label = 3;
   136260       break;
   136261     }
   136262    case 6:
   136263     $cmp14 = ($leader_1 | 0) == 0;
   136264     if ($cmp14) {
   136265       label = 7;
   136266       break;
   136267     } else {
   136268       label = 8;
   136269       break;
   136270     }
   136271    case 7:
   136272     ___assert_func(129880, 235, 164576, 118104);
   136273    case 8:
   136274     $leader17 = $clust + 276 | 0;
   136275     HEAP32[$leader17 >> 2] = $leader_1;
   136276     $2 = $clust;
   136277     $call = _agfstnode($2) | 0;
   136278     $tobool1920 = ($call | 0) == 0;
   136279     if ($tobool1920) {
   136280       label = 13;
   136281       break;
   136282     } else {
   136283       label = 9;
   136284       break;
   136285     }
   136286    case 9:
   136287     $3 = $leader_1;
   136288     $n_122_in = $call;
   136289     label = 10;
   136290     break;
   136291    case 10:
   136292     $n_122 = $n_122_in;
   136293     $UF_size = $n_122_in + 220 | 0;
   136294     $4 = $UF_size;
   136295     $5 = HEAP32[$4 >> 2] | 0;
   136296     $cmp22 = ($5 | 0) < 2;
   136297     $cmp24 = ($n_122 | 0) == ($leader_1 | 0);
   136298     $or_cond = $cmp22 | $cmp24;
   136299     if ($or_cond) {
   136300       label = 12;
   136301       break;
   136302     } else {
   136303       label = 11;
   136304       break;
   136305     }
   136306    case 11:
   136307     ___assert_func(129880, 239, 164576, 112744);
   136308    case 12:
   136309     $6 = $n_122_in;
   136310     $call29 = _UF_union($6, $3) | 0;
   136311     $ranktype = $n_122 + 165 | 0;
   136312     HEAP8[$ranktype] = 7;
   136313     $call32 = _agnxtnode($2, $n_122_in) | 0;
   136314     $tobool19 = ($call32 | 0) == 0;
   136315     if ($tobool19) {
   136316       label = 13;
   136317       break;
   136318     } else {
   136319       $n_122_in = $call32;
   136320       label = 10;
   136321       break;
   136322     }
   136323    case 13:
   136324     return;
   136325   }
   136326 }
   136327 function _core_loadimage_xdot($job, $us, $b, $filled) {
   136328   $job = $job | 0;
   136329   $us = $us | 0;
   136330   $b = $b | 0;
   136331   $filled = $filled | 0;
   136332   var $buf = 0, $obj = 0, $0 = 0, $emit_state1 = 0, $1 = 0, $arrayidx = 0, $2 = 0, $call = 0, $3 = 0, $LL = 0, $arraydecay = 0, $x = 0, $4 = 0.0, $x4 = 0, $5 = 0.0, $sub = 0.0, $cmp = 0, $add = 0.0, $sub15 = 0.0, $add_sink = 0.0, $conv = 0, $y = 0, $6 = 0.0, $y19 = 0, $7 = 0.0, $sub20 = 0.0, $cmp21 = 0, $add29 = 0.0, $sub37 = 0.0, $add29_sink = 0.0, $conv30 = 0, $call41 = 0, $8 = 0, $call44 = 0, $name = 0, $9 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   136333   __stackBase__ = STACKTOP;
   136334   STACKTOP = STACKTOP + 1024 | 0;
   136335   tempParam = $b;
   136336   $b = STACKTOP;
   136337   STACKTOP = STACKTOP + 32 | 0;
   136338   _memcpy($b, tempParam, 32);
   136339   label = 2;
   136340   while (1) switch (label | 0) {
   136341    case 2:
   136342     $buf = __stackBase__ | 0;
   136343     $obj = $job + 16 | 0;
   136344     $0 = HEAP32[$obj >> 2] | 0;
   136345     $emit_state1 = $0 + 12 | 0;
   136346     $1 = HEAP32[$emit_state1 >> 2] | 0;
   136347     $arrayidx = 168 + ($1 << 2) | 0;
   136348     $2 = HEAP32[$arrayidx >> 2] | 0;
   136349     $call = _agxbput($2, 152808) | 0;
   136350     $3 = HEAP32[$arrayidx >> 2] | 0;
   136351     $LL = $b | 0;
   136352     _output_point($3, $LL);
   136353     $arraydecay = $buf | 0;
   136354     $x = $b + 16 | 0;
   136355     $4 = +HEAPF64[$x >> 3];
   136356     $x4 = $b | 0;
   136357     $5 = +HEAPF64[$x4 >> 3];
   136358     $sub = $4 - $5;
   136359     $cmp = $sub < 0.0;
   136360     if ($cmp) {
   136361       label = 4;
   136362       break;
   136363     } else {
   136364       label = 3;
   136365       break;
   136366     }
   136367    case 3:
   136368     $add = $sub + .5;
   136369     $add_sink = $add;
   136370     label = 5;
   136371     break;
   136372    case 4:
   136373     $sub15 = $sub + -.5;
   136374     $add_sink = $sub15;
   136375     label = 5;
   136376     break;
   136377    case 5:
   136378     $conv = ~~$add_sink;
   136379     $y = $b + 24 | 0;
   136380     $6 = +HEAPF64[$y >> 3];
   136381     $y19 = $b + 8 | 0;
   136382     $7 = +HEAPF64[$y19 >> 3];
   136383     $sub20 = $6 - $7;
   136384     $cmp21 = $sub20 < 0.0;
   136385     if ($cmp21) {
   136386       label = 7;
   136387       break;
   136388     } else {
   136389       label = 6;
   136390       break;
   136391     }
   136392    case 6:
   136393     $add29 = $sub20 + .5;
   136394     $add29_sink = $add29;
   136395     label = 8;
   136396     break;
   136397    case 7:
   136398     $sub37 = $sub20 + -.5;
   136399     $add29_sink = $sub37;
   136400     label = 8;
   136401     break;
   136402    case 8:
   136403     $conv30 = ~~$add29_sink;
   136404     $call41 = _sprintf($arraydecay | 0, 155152, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $conv, HEAP32[tempInt + 8 >> 2] = $conv30, tempInt) | 0) | 0;
   136405     $8 = HEAP32[$arrayidx >> 2] | 0;
   136406     $call44 = _agxbput($8, $arraydecay) | 0;
   136407     $name = $us + 8 | 0;
   136408     $9 = HEAP32[$name >> 2] | 0;
   136409     _xdot_str($job, 125984, $9);
   136410     STACKTOP = __stackBase__;
   136411     return;
   136412   }
   136413 }
   136414 function _xdot_str($job, $pfx, $s) {
   136415   $job = $job | 0;
   136416   $pfx = $pfx | 0;
   136417   $s = $s | 0;
   136418   var $buf = 0, $obj = 0, $0 = 0, $emit_state1 = 0, $1 = 0, $arraydecay = 0, $call = 0, $call2 = 0, $arrayidx = 0, $2 = 0, $call4 = 0, $3 = 0, $call6 = 0, $4 = 0, $ptr = 0, $5 = 0, $eptr = 0, $6 = 0, $cmp = 0, $call10 = 0, $7 = 0, $ptr12 = 0, $8 = 0, $incdec_ptr = 0, label = 0, __stackBase__ = 0;
   136419   __stackBase__ = STACKTOP;
   136420   STACKTOP = STACKTOP + 1024 | 0;
   136421   label = 2;
   136422   while (1) switch (label | 0) {
   136423    case 2:
   136424     $buf = __stackBase__ | 0;
   136425     $obj = $job + 16 | 0;
   136426     $0 = HEAP32[$obj >> 2] | 0;
   136427     $emit_state1 = $0 + 12 | 0;
   136428     $1 = HEAP32[$emit_state1 >> 2] | 0;
   136429     $arraydecay = $buf | 0;
   136430     $call = _strlen($s | 0) | 0;
   136431     $call2 = _sprintf($arraydecay | 0, 117608, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $pfx, HEAP32[tempInt + 8 >> 2] = $call, tempInt) | 0) | 0;
   136432     $arrayidx = 168 + ($1 << 2) | 0;
   136433     $2 = HEAP32[$arrayidx >> 2] | 0;
   136434     $call4 = _agxbput($2, $arraydecay) | 0;
   136435     $3 = HEAP32[$arrayidx >> 2] | 0;
   136436     $call6 = _agxbput($3, $s) | 0;
   136437     $4 = HEAP32[$arrayidx >> 2] | 0;
   136438     $ptr = $4 + 4 | 0;
   136439     $5 = HEAP32[$ptr >> 2] | 0;
   136440     $eptr = $4 + 8 | 0;
   136441     $6 = HEAP32[$eptr >> 2] | 0;
   136442     $cmp = $5 >>> 0 < $6 >>> 0;
   136443     if ($cmp) {
   136444       label = 4;
   136445       break;
   136446     } else {
   136447       label = 3;
   136448       break;
   136449     }
   136450    case 3:
   136451     $call10 = _agxbmore($4, 1) | 0;
   136452     label = 4;
   136453     break;
   136454    case 4:
   136455     $7 = HEAP32[$arrayidx >> 2] | 0;
   136456     $ptr12 = $7 + 4 | 0;
   136457     $8 = HEAP32[$ptr12 >> 2] | 0;
   136458     $incdec_ptr = $8 + 1 | 0;
   136459     HEAP32[$ptr12 >> 2] = $incdec_ptr;
   136460     HEAP8[$8] = 32;
   136461     STACKTOP = __stackBase__;
   136462     return;
   136463   }
   136464 }
   136465 function _dot_begin_graph($job) {
   136466   $job = $job | 0;
   136467   var $e_arrows = 0, $s_arrows = 0, $obj = 0, $0 = 0, $g1 = 0, $1 = 0, $id = 0, $2 = 0, $3 = 0, $flags = 0, $4 = 0, $and = 0, $tobool = 0, $5 = 0, $6 = 0, $7 = 0, $8 = 0, label = 0, __stackBase__ = 0;
   136468   __stackBase__ = STACKTOP;
   136469   STACKTOP = STACKTOP + 16 | 0;
   136470   label = 2;
   136471   while (1) switch (label | 0) {
   136472    case 2:
   136473     $e_arrows = __stackBase__ | 0;
   136474     $s_arrows = __stackBase__ + 8 | 0;
   136475     $obj = $job + 16 | 0;
   136476     $0 = HEAP32[$obj >> 2] | 0;
   136477     $g1 = $0 + 8 | 0;
   136478     $1 = HEAP32[$g1 >> 2] | 0;
   136479     $id = $job + 64 | 0;
   136480     $2 = HEAP32[$id >> 2] | 0;
   136481     if (($2 | 0) == 0) {
   136482       label = 3;
   136483       break;
   136484     } else if (($2 | 0) == 1) {
   136485       label = 4;
   136486       break;
   136487     } else if (($2 | 0) == 4) {
   136488       label = 6;
   136489       break;
   136490     } else {
   136491       label = 7;
   136492       break;
   136493     }
   136494    case 3:
   136495     $3 = $1;
   136496     _attach_attrs($3);
   136497     label = 7;
   136498     break;
   136499    case 4:
   136500     $flags = $1 + 168 | 0;
   136501     $4 = HEAP16[$flags >> 1] | 0;
   136502     $and = $4 & 1;
   136503     $tobool = $and << 16 >> 16 == 0;
   136504     if ($tobool) {
   136505       label = 7;
   136506       break;
   136507     } else {
   136508       label = 5;
   136509       break;
   136510     }
   136511    case 5:
   136512     $5 = $1;
   136513     _undoClusterEdges($5);
   136514     label = 7;
   136515     break;
   136516    case 6:
   136517     $6 = $1;
   136518     _attach_attrs_and_arrows($6, $s_arrows, $e_arrows);
   136519     $7 = HEAP32[$s_arrows >> 2] | 0;
   136520     $8 = HEAP32[$e_arrows >> 2] | 0;
   136521     _xdot_begin_graph($1, $7, $8);
   136522     label = 7;
   136523     break;
   136524    case 7:
   136525     STACKTOP = __stackBase__;
   136526     return;
   136527   }
   136528 }
   136529 function _dot_end_graph($job) {
   136530   $job = $job | 0;
   136531   var $obj = 0, $0 = 0, $g1 = 0, $1 = 0, $id = 0, $2 = 0, $3 = 0, $4 = 0, $5 = 0, $6 = 0, $7 = 0, $8 = 0, $flags = 0, $9 = 0, $and = 0, $tobool = 0, $10 = 0, $11 = 0, $call = 0, $flags5 = 0, $12 = 0, $and6 = 0, $tobool7 = 0, $13 = 0, $14 = 0, $call9 = 0, label = 0;
   136532   label = 2;
   136533   while (1) switch (label | 0) {
   136534    case 2:
   136535     $obj = $job + 16 | 0;
   136536     $0 = HEAP32[$obj >> 2] | 0;
   136537     $g1 = $0 + 8 | 0;
   136538     $1 = HEAP32[$g1 >> 2] | 0;
   136539     _agsetiodisc(0, 170, 288);
   136540     $id = $job + 64 | 0;
   136541     $2 = HEAP32[$id >> 2] | 0;
   136542     if (($2 | 0) == 2) {
   136543       label = 3;
   136544       break;
   136545     } else if (($2 | 0) == 3) {
   136546       label = 4;
   136547       break;
   136548     } else if (($2 | 0) == 0 | ($2 | 0) == 1) {
   136549       label = 5;
   136550       break;
   136551     } else if (($2 | 0) == 4) {
   136552       label = 7;
   136553       break;
   136554     } else {
   136555       label = 9;
   136556       break;
   136557     }
   136558    case 3:
   136559     $3 = $job;
   136560     $4 = $job;
   136561     $5 = $1;
   136562     _write_plain($4, $5, $3, 0);
   136563     label = 9;
   136564     break;
   136565    case 4:
   136566     $6 = $job;
   136567     $7 = $job;
   136568     $8 = $1;
   136569     _write_plain($7, $8, $6, 1);
   136570     label = 9;
   136571     break;
   136572    case 5:
   136573     $flags = $job + 152 | 0;
   136574     $9 = HEAP32[$flags >> 2] | 0;
   136575     $and = $9 & 134217728;
   136576     $tobool = ($and | 0) == 0;
   136577     if ($tobool) {
   136578       label = 6;
   136579       break;
   136580     } else {
   136581       label = 9;
   136582       break;
   136583     }
   136584    case 6:
   136585     $10 = $job;
   136586     $11 = $1;
   136587     $call = _agwrite($11, $10) | 0;
   136588     label = 9;
   136589     break;
   136590    case 7:
   136591     _xdot_end_graph($1);
   136592     $flags5 = $job + 152 | 0;
   136593     $12 = HEAP32[$flags5 >> 2] | 0;
   136594     $and6 = $12 & 134217728;
   136595     $tobool7 = ($and6 | 0) == 0;
   136596     if ($tobool7) {
   136597       label = 8;
   136598       break;
   136599     } else {
   136600       label = 9;
   136601       break;
   136602     }
   136603    case 8:
   136604     $13 = $job;
   136605     $14 = $1;
   136606     $call9 = _agwrite($14, $13) | 0;
   136607     label = 9;
   136608     break;
   136609    case 9:
   136610     _agsetiodisc(0, 0, 0);
   136611     return;
   136612   }
   136613 }
   136614 function _xdot_end_cluster($job) {
   136615   $job = $job | 0;
   136616   var $obj = 0, $0 = 0, $sg = 0, $1 = 0, $2 = 0, $3 = 0, $g_draw = 0, $4 = 0, $index = 0, $5 = 0, $6 = 0, $7 = 0, $cmp = 0, $call = 0, $8 = 0, $9 = 0, $call3 = 0, $label = 0, $10 = 0, $tobool = 0, $11 = 0, $g_l_draw = 0, $12 = 0, $index5 = 0, $13 = 0, $14 = 0, $15 = 0, $cmp8 = 0, $call10 = 0, $16 = 0, $17 = 0, $call18 = 0, label = 0;
   136617   label = 2;
   136618   while (1) switch (label | 0) {
   136619    case 2:
   136620     $obj = $job + 16 | 0;
   136621     $0 = HEAP32[$obj >> 2] | 0;
   136622     $sg = $0 + 8 | 0;
   136623     $1 = HEAP32[$sg >> 2] | 0;
   136624     $2 = $1 | 0;
   136625     $3 = HEAP32[40] | 0;
   136626     $g_draw = $3 | 0;
   136627     $4 = HEAP32[$g_draw >> 2] | 0;
   136628     $index = $4 + 8 | 0;
   136629     $5 = HEAP32[$index >> 2] | 0;
   136630     $6 = HEAP32[59] | 0;
   136631     $7 = HEAP32[60] | 0;
   136632     $cmp = $6 >>> 0 < $7 >>> 0;
   136633     if ($cmp) {
   136634       label = 4;
   136635       break;
   136636     } else {
   136637       label = 3;
   136638       break;
   136639     }
   136640    case 3:
   136641     $call = _agxbmore(232, 1) | 0;
   136642     label = 4;
   136643     break;
   136644    case 4:
   136645     $8 = HEAP32[59] | 0;
   136646     HEAP8[$8] = 0;
   136647     $9 = HEAP32[58] | 0;
   136648     HEAP32[59] = $9;
   136649     $call3 = _agxset($2, $5, $9) | 0;
   136650     $label = $1 + 52 | 0;
   136651     $10 = HEAP32[$label >> 2] | 0;
   136652     $tobool = ($10 | 0) == 0;
   136653     if ($tobool) {
   136654       label = 8;
   136655       break;
   136656     } else {
   136657       label = 5;
   136658       break;
   136659     }
   136660    case 5:
   136661     $11 = HEAP32[40] | 0;
   136662     $g_l_draw = $11 + 4 | 0;
   136663     $12 = HEAP32[$g_l_draw >> 2] | 0;
   136664     $index5 = $12 + 8 | 0;
   136665     $13 = HEAP32[$index5 >> 2] | 0;
   136666     $14 = HEAP32[75] | 0;
   136667     $15 = HEAP32[76] | 0;
   136668     $cmp8 = $14 >>> 0 < $15 >>> 0;
   136669     if ($cmp8) {
   136670       label = 7;
   136671       break;
   136672     } else {
   136673       label = 6;
   136674       break;
   136675     }
   136676    case 6:
   136677     $call10 = _agxbmore(296, 1) | 0;
   136678     label = 7;
   136679     break;
   136680    case 7:
   136681     $16 = HEAP32[75] | 0;
   136682     HEAP8[$16] = 0;
   136683     $17 = HEAP32[74] | 0;
   136684     HEAP32[75] = $17;
   136685     $call18 = _agxset($2, $13, $17) | 0;
   136686     label = 8;
   136687     break;
   136688    case 8:
   136689     HEAPF64[899] = 1.0;
   136690     HEAPF64[903] = 1.0;
   136691     return;
   136692   }
   136693 }
   136694 function _xdot_end_node($job) {
   136695   $job = $job | 0;
   136696   var $obj = 0, $0 = 0, $u = 0, $n1 = 0, $1 = 0, $2 = 0, $3 = 0, $tobool = 0, $4 = 0, $5 = 0, $n_draw = 0, $6 = 0, $index = 0, $7 = 0, $8 = 0, $cmp = 0, $call = 0, $9 = 0, $10 = 0, $call6 = 0, $11 = 0, $12 = 0, $tobool12 = 0, $13 = 0, $14 = 0, $n_l_draw = 0, $15 = 0, $index14 = 0, $16 = 0, $17 = 0, $cmp17 = 0, $call19 = 0, $18 = 0, $19 = 0, $call27 = 0, label = 0;
   136697   label = 2;
   136698   while (1) switch (label | 0) {
   136699    case 2:
   136700     $obj = $job + 16 | 0;
   136701     $0 = HEAP32[$obj >> 2] | 0;
   136702     $u = $0 + 8 | 0;
   136703     $n1 = $u;
   136704     $1 = HEAP32[$n1 >> 2] | 0;
   136705     $2 = HEAP32[59] | 0;
   136706     $3 = HEAP32[58] | 0;
   136707     $tobool = ($2 | 0) == ($3 | 0);
   136708     if ($tobool) {
   136709       label = 6;
   136710       break;
   136711     } else {
   136712       label = 3;
   136713       break;
   136714     }
   136715    case 3:
   136716     $4 = $1 | 0;
   136717     $5 = HEAP32[40] | 0;
   136718     $n_draw = $5 + 8 | 0;
   136719     $6 = HEAP32[$n_draw >> 2] | 0;
   136720     $index = $6 + 8 | 0;
   136721     $7 = HEAP32[$index >> 2] | 0;
   136722     $8 = HEAP32[60] | 0;
   136723     $cmp = $2 >>> 0 < $8 >>> 0;
   136724     if ($cmp) {
   136725       label = 5;
   136726       break;
   136727     } else {
   136728       label = 4;
   136729       break;
   136730     }
   136731    case 4:
   136732     $call = _agxbmore(232, 1) | 0;
   136733     label = 5;
   136734     break;
   136735    case 5:
   136736     $9 = HEAP32[59] | 0;
   136737     HEAP8[$9] = 0;
   136738     $10 = HEAP32[58] | 0;
   136739     HEAP32[59] = $10;
   136740     $call6 = _agxset($4, $7, $10) | 0;
   136741     label = 6;
   136742     break;
   136743    case 6:
   136744     $11 = HEAP32[75] | 0;
   136745     $12 = HEAP32[74] | 0;
   136746     $tobool12 = ($11 | 0) == ($12 | 0);
   136747     if ($tobool12) {
   136748       label = 10;
   136749       break;
   136750     } else {
   136751       label = 7;
   136752       break;
   136753     }
   136754    case 7:
   136755     $13 = $1 | 0;
   136756     $14 = HEAP32[40] | 0;
   136757     $n_l_draw = $14 + 12 | 0;
   136758     $15 = HEAP32[$n_l_draw >> 2] | 0;
   136759     $index14 = $15 + 8 | 0;
   136760     $16 = HEAP32[$index14 >> 2] | 0;
   136761     $17 = HEAP32[76] | 0;
   136762     $cmp17 = $11 >>> 0 < $17 >>> 0;
   136763     if ($cmp17) {
   136764       label = 9;
   136765       break;
   136766     } else {
   136767       label = 8;
   136768       break;
   136769     }
   136770    case 8:
   136771     $call19 = _agxbmore(296, 1) | 0;
   136772     label = 9;
   136773     break;
   136774    case 9:
   136775     $18 = HEAP32[75] | 0;
   136776     HEAP8[$18] = 0;
   136777     $19 = HEAP32[74] | 0;
   136778     HEAP32[75] = $19;
   136779     $call27 = _agxset($13, $16, $19) | 0;
   136780     label = 10;
   136781     break;
   136782    case 10:
   136783     HEAPF64[906] = 1.0;
   136784     HEAPF64[908] = 1.0;
   136785     return;
   136786   }
   136787 }
   136788 function _xdot_end_edge($job) {
   136789   $job = $job | 0;
   136790   var $obj = 0, $0 = 0, $u = 0, $e1 = 0, $1 = 0, $2 = 0, $3 = 0, $tobool = 0, $4 = 0, $5 = 0, $e_draw = 0, $6 = 0, $index = 0, $7 = 0, $8 = 0, $cmp = 0, $call = 0, $9 = 0, $10 = 0, $call6 = 0, $11 = 0, $12 = 0, $tobool12 = 0, $13 = 0, $14 = 0, $t_draw = 0, $15 = 0, $index14 = 0, $16 = 0, $17 = 0, $cmp17 = 0, $call19 = 0, $18 = 0, $19 = 0, $call27 = 0, $20 = 0, $21 = 0, $tobool34 = 0, $22 = 0, $23 = 0, $h_draw = 0, $24 = 0, $index36 = 0, $25 = 0, $26 = 0, $cmp39 = 0, $call41 = 0, $27 = 0, $28 = 0, $call49 = 0, $29 = 0, $30 = 0, $tobool56 = 0, $31 = 0, $32 = 0, $e_l_draw = 0, $33 = 0, $index58 = 0, $34 = 0, $35 = 0, $cmp61 = 0, $call63 = 0, $36 = 0, $37 = 0, $call71 = 0, $38 = 0, $39 = 0, $tobool78 = 0, $40 = 0, $41 = 0, $tl_draw = 0, $42 = 0, $index80 = 0, $43 = 0, $44 = 0, $cmp83 = 0, $call85 = 0, $45 = 0, $46 = 0, $call93 = 0, $47 = 0, $48 = 0, $tobool100 = 0, $49 = 0, $50 = 0, $hl_draw = 0, $51 = 0, $index102 = 0, $52 = 0, $53 = 0, $cmp105 = 0, $call107 = 0, $54 = 0, $55 = 0, $call115 = 0, label = 0;
   136791   label = 2;
   136792   while (1) switch (label | 0) {
   136793    case 2:
   136794     $obj = $job + 16 | 0;
   136795     $0 = HEAP32[$obj >> 2] | 0;
   136796     $u = $0 + 8 | 0;
   136797     $e1 = $u;
   136798     $1 = HEAP32[$e1 >> 2] | 0;
   136799     $2 = HEAP32[59] | 0;
   136800     $3 = HEAP32[58] | 0;
   136801     $tobool = ($2 | 0) == ($3 | 0);
   136802     if ($tobool) {
   136803       label = 6;
   136804       break;
   136805     } else {
   136806       label = 3;
   136807       break;
   136808     }
   136809    case 3:
   136810     $4 = $1 | 0;
   136811     $5 = HEAP32[40] | 0;
   136812     $e_draw = $5 + 16 | 0;
   136813     $6 = HEAP32[$e_draw >> 2] | 0;
   136814     $index = $6 + 8 | 0;
   136815     $7 = HEAP32[$index >> 2] | 0;
   136816     $8 = HEAP32[60] | 0;
   136817     $cmp = $2 >>> 0 < $8 >>> 0;
   136818     if ($cmp) {
   136819       label = 5;
   136820       break;
   136821     } else {
   136822       label = 4;
   136823       break;
   136824     }
   136825    case 4:
   136826     $call = _agxbmore(232, 1) | 0;
   136827     label = 5;
   136828     break;
   136829    case 5:
   136830     $9 = HEAP32[59] | 0;
   136831     HEAP8[$9] = 0;
   136832     $10 = HEAP32[58] | 0;
   136833     HEAP32[59] = $10;
   136834     $call6 = _agxset($4, $7, $10) | 0;
   136835     label = 6;
   136836     break;
   136837    case 6:
   136838     $11 = HEAP32[63] | 0;
   136839     $12 = HEAP32[62] | 0;
   136840     $tobool12 = ($11 | 0) == ($12 | 0);
   136841     if ($tobool12) {
   136842       label = 10;
   136843       break;
   136844     } else {
   136845       label = 7;
   136846       break;
   136847     }
   136848    case 7:
   136849     $13 = $1 | 0;
   136850     $14 = HEAP32[40] | 0;
   136851     $t_draw = $14 + 24 | 0;
   136852     $15 = HEAP32[$t_draw >> 2] | 0;
   136853     $index14 = $15 + 8 | 0;
   136854     $16 = HEAP32[$index14 >> 2] | 0;
   136855     $17 = HEAP32[64] | 0;
   136856     $cmp17 = $11 >>> 0 < $17 >>> 0;
   136857     if ($cmp17) {
   136858       label = 9;
   136859       break;
   136860     } else {
   136861       label = 8;
   136862       break;
   136863     }
   136864    case 8:
   136865     $call19 = _agxbmore(248, 1) | 0;
   136866     label = 9;
   136867     break;
   136868    case 9:
   136869     $18 = HEAP32[63] | 0;
   136870     HEAP8[$18] = 0;
   136871     $19 = HEAP32[62] | 0;
   136872     HEAP32[63] = $19;
   136873     $call27 = _agxset($13, $16, $19) | 0;
   136874     label = 10;
   136875     break;
   136876    case 10:
   136877     $20 = HEAP32[67] | 0;
   136878     $21 = HEAP32[66] | 0;
   136879     $tobool34 = ($20 | 0) == ($21 | 0);
   136880     if ($tobool34) {
   136881       label = 14;
   136882       break;
   136883     } else {
   136884       label = 11;
   136885       break;
   136886     }
   136887    case 11:
   136888     $22 = $1 | 0;
   136889     $23 = HEAP32[40] | 0;
   136890     $h_draw = $23 + 20 | 0;
   136891     $24 = HEAP32[$h_draw >> 2] | 0;
   136892     $index36 = $24 + 8 | 0;
   136893     $25 = HEAP32[$index36 >> 2] | 0;
   136894     $26 = HEAP32[68] | 0;
   136895     $cmp39 = $20 >>> 0 < $26 >>> 0;
   136896     if ($cmp39) {
   136897       label = 13;
   136898       break;
   136899     } else {
   136900       label = 12;
   136901       break;
   136902     }
   136903    case 12:
   136904     $call41 = _agxbmore(264, 1) | 0;
   136905     label = 13;
   136906     break;
   136907    case 13:
   136908     $27 = HEAP32[67] | 0;
   136909     HEAP8[$27] = 0;
   136910     $28 = HEAP32[66] | 0;
   136911     HEAP32[67] = $28;
   136912     $call49 = _agxset($22, $25, $28) | 0;
   136913     label = 14;
   136914     break;
   136915    case 14:
   136916     $29 = HEAP32[75] | 0;
   136917     $30 = HEAP32[74] | 0;
   136918     $tobool56 = ($29 | 0) == ($30 | 0);
   136919     if ($tobool56) {
   136920       label = 18;
   136921       break;
   136922     } else {
   136923       label = 15;
   136924       break;
   136925     }
   136926    case 15:
   136927     $31 = $1 | 0;
   136928     $32 = HEAP32[40] | 0;
   136929     $e_l_draw = $32 + 28 | 0;
   136930     $33 = HEAP32[$e_l_draw >> 2] | 0;
   136931     $index58 = $33 + 8 | 0;
   136932     $34 = HEAP32[$index58 >> 2] | 0;
   136933     $35 = HEAP32[76] | 0;
   136934     $cmp61 = $29 >>> 0 < $35 >>> 0;
   136935     if ($cmp61) {
   136936       label = 17;
   136937       break;
   136938     } else {
   136939       label = 16;
   136940       break;
   136941     }
   136942    case 16:
   136943     $call63 = _agxbmore(296, 1) | 0;
   136944     label = 17;
   136945     break;
   136946    case 17:
   136947     $36 = HEAP32[75] | 0;
   136948     HEAP8[$36] = 0;
   136949     $37 = HEAP32[74] | 0;
   136950     HEAP32[75] = $37;
   136951     $call71 = _agxset($31, $34, $37) | 0;
   136952     label = 18;
   136953     break;
   136954    case 18:
   136955     $38 = HEAP32[79] | 0;
   136956     $39 = HEAP32[78] | 0;
   136957     $tobool78 = ($38 | 0) == ($39 | 0);
   136958     if ($tobool78) {
   136959       label = 22;
   136960       break;
   136961     } else {
   136962       label = 19;
   136963       break;
   136964     }
   136965    case 19:
   136966     $40 = $1 | 0;
   136967     $41 = HEAP32[40] | 0;
   136968     $tl_draw = $41 + 36 | 0;
   136969     $42 = HEAP32[$tl_draw >> 2] | 0;
   136970     $index80 = $42 + 8 | 0;
   136971     $43 = HEAP32[$index80 >> 2] | 0;
   136972     $44 = HEAP32[80] | 0;
   136973     $cmp83 = $38 >>> 0 < $44 >>> 0;
   136974     if ($cmp83) {
   136975       label = 21;
   136976       break;
   136977     } else {
   136978       label = 20;
   136979       break;
   136980     }
   136981    case 20:
   136982     $call85 = _agxbmore(312, 1) | 0;
   136983     label = 21;
   136984     break;
   136985    case 21:
   136986     $45 = HEAP32[79] | 0;
   136987     HEAP8[$45] = 0;
   136988     $46 = HEAP32[78] | 0;
   136989     HEAP32[79] = $46;
   136990     $call93 = _agxset($40, $43, $46) | 0;
   136991     label = 22;
   136992     break;
   136993    case 22:
   136994     $47 = HEAP32[83] | 0;
   136995     $48 = HEAP32[82] | 0;
   136996     $tobool100 = ($47 | 0) == ($48 | 0);
   136997     if ($tobool100) {
   136998       label = 26;
   136999       break;
   137000     } else {
   137001       label = 23;
   137002       break;
   137003     }
   137004    case 23:
   137005     $49 = $1 | 0;
   137006     $50 = HEAP32[40] | 0;
   137007     $hl_draw = $50 + 32 | 0;
   137008     $51 = HEAP32[$hl_draw >> 2] | 0;
   137009     $index102 = $51 + 8 | 0;
   137010     $52 = HEAP32[$index102 >> 2] | 0;
   137011     $53 = HEAP32[84] | 0;
   137012     $cmp105 = $47 >>> 0 < $53 >>> 0;
   137013     if ($cmp105) {
   137014       label = 25;
   137015       break;
   137016     } else {
   137017       label = 24;
   137018       break;
   137019     }
   137020    case 24:
   137021     $call107 = _agxbmore(328, 1) | 0;
   137022     label = 25;
   137023     break;
   137024    case 25:
   137025     $54 = HEAP32[83] | 0;
   137026     HEAP8[$54] = 0;
   137027     $55 = HEAP32[82] | 0;
   137028     HEAP32[83] = $55;
   137029     $call115 = _agxset($49, $52, $55) | 0;
   137030     label = 26;
   137031     break;
   137032    case 26:
   137033     HEAPF64[907] = 1.0;
   137034     HEAPF64[909] = 1.0;
   137035     HEAPF64[900] = 1.0;
   137036     HEAPF64[901] = 1.0;
   137037     HEAPF64[904] = 1.0;
   137038     HEAPF64[905] = 1.0;
   137039     return;
   137040   }
   137041 }
   137042 function _xdot_textpara($job, $p, $para) {
   137043   $job = $job | 0;
   137044   $p = $p | 0;
   137045   $para = $para | 0;
   137046   var $buf = 0, $obj = 0, $0 = 0, $emit_state1 = 0, $1 = 0, $arraydecay = 0, $fontsize = 0, $2 = 0.0, $call = 0, $arrayidx = 0, $3 = 0, $call3 = 0, $fontname = 0, $4 = 0, $just = 0, $5 = 0, $conv = 0, $j_0 = 0, $6 = 0, $call7 = 0, $7 = 0, $width = 0, $8 = 0.0, $conv10 = 0, $call11 = 0, $9 = 0, $call14 = 0, $str = 0, $10 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   137047   __stackBase__ = STACKTOP;
   137048   STACKTOP = STACKTOP + 1024 | 0;
   137049   tempParam = $p;
   137050   $p = STACKTOP;
   137051   STACKTOP = STACKTOP + 16 | 0;
   137052   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   137053   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   137054   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   137055   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   137056   label = 2;
   137057   while (1) switch (label | 0) {
   137058    case 2:
   137059     $buf = __stackBase__ | 0;
   137060     $obj = $job + 16 | 0;
   137061     $0 = HEAP32[$obj >> 2] | 0;
   137062     $emit_state1 = $0 + 12 | 0;
   137063     $1 = HEAP32[$emit_state1 >> 2] | 0;
   137064     $arraydecay = $buf | 0;
   137065     $fontsize = $para + 24 | 0;
   137066     $2 = +HEAPF64[$fontsize >> 3];
   137067     $call = _sprintf($arraydecay | 0, 127824, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAPF64[tempInt >> 3] = $2, tempInt) | 0) | 0;
   137068     $arrayidx = 168 + ($1 << 2) | 0;
   137069     $3 = HEAP32[$arrayidx >> 2] | 0;
   137070     $call3 = _agxbput($3, $arraydecay) | 0;
   137071     $fontname = $para + 20 | 0;
   137072     $4 = HEAP32[$fontname >> 2] | 0;
   137073     _xdot_str($job, 125984, $4);
   137074     _xdot_pencolor($job);
   137075     $just = $para + 72 | 0;
   137076     $5 = HEAP8[$just] | 0;
   137077     $conv = $5 << 24 >> 24;
   137078     if (($conv | 0) == 114) {
   137079       label = 3;
   137080       break;
   137081     } else if (($conv | 0) == 108) {
   137082       $j_0 = -1;
   137083       label = 5;
   137084       break;
   137085     } else {
   137086       label = 4;
   137087       break;
   137088     }
   137089    case 3:
   137090     $j_0 = 1;
   137091     label = 5;
   137092     break;
   137093    case 4:
   137094     $j_0 = 0;
   137095     label = 5;
   137096     break;
   137097    case 5:
   137098     $6 = HEAP32[$arrayidx >> 2] | 0;
   137099     $call7 = _agxbput($6, 125184) | 0;
   137100     $7 = HEAP32[$arrayidx >> 2] | 0;
   137101     _output_point($7, $p);
   137102     $width = $para + 56 | 0;
   137103     $8 = +HEAPF64[$width >> 3];
   137104     $conv10 = ~~$8;
   137105     $call11 = _sprintf($arraydecay | 0, 155152, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $j_0, HEAP32[tempInt + 8 >> 2] = $conv10, tempInt) | 0) | 0;
   137106     $9 = HEAP32[$arrayidx >> 2] | 0;
   137107     $call14 = _agxbput($9, $arraydecay) | 0;
   137108     $str = $para | 0;
   137109     $10 = HEAP32[$str >> 2] | 0;
   137110     _xdot_str($job, 125984, $10);
   137111     STACKTOP = __stackBase__;
   137112     return;
   137113   }
   137114 }
   137115 function _xdot_ellipse($job, $A, $filled) {
   137116   $job = $job | 0;
   137117   $A = $A | 0;
   137118   $filled = $filled | 0;
   137119   var $buf = 0, $obj = 0, $0 = 0, $emit_state1 = 0, $1 = 0, $tobool = 0, $arrayidx = 0, $2 = 0, $call = 0, $arrayidx2 = 0, $3 = 0, $call3 = 0, $arrayidx4 = 0, $4 = 0, $arraydecay = 0, $x = 0, $5 = 0.0, $x8 = 0, $6 = 0.0, $sub = 0.0, $cmp = 0, $add = 0.0, $sub19 = 0.0, $add_sink = 0.0, $conv = 0, $y = 0, $7 = 0.0, $y23 = 0, $8 = 0.0, $sub24 = 0.0, $cmp25 = 0, $add33 = 0.0, $sub41 = 0.0, $add33_sink = 0.0, $conv34 = 0, $call45 = 0, $9 = 0, $call48 = 0, label = 0, __stackBase__ = 0;
   137120   __stackBase__ = STACKTOP;
   137121   STACKTOP = STACKTOP + 1024 | 0;
   137122   label = 2;
   137123   while (1) switch (label | 0) {
   137124    case 2:
   137125     $buf = __stackBase__ | 0;
   137126     $obj = $job + 16 | 0;
   137127     $0 = HEAP32[$obj >> 2] | 0;
   137128     $emit_state1 = $0 + 12 | 0;
   137129     $1 = HEAP32[$emit_state1 >> 2] | 0;
   137130     _xdot_style($job);
   137131     _xdot_pencolor($job);
   137132     $tobool = ($filled | 0) == 0;
   137133     if ($tobool) {
   137134       label = 4;
   137135       break;
   137136     } else {
   137137       label = 3;
   137138       break;
   137139     }
   137140    case 3:
   137141     _xdot_fillcolor($job);
   137142     $arrayidx = 168 + ($1 << 2) | 0;
   137143     $2 = HEAP32[$arrayidx >> 2] | 0;
   137144     $call = _agxbput($2, 131760) | 0;
   137145     label = 5;
   137146     break;
   137147    case 4:
   137148     $arrayidx2 = 168 + ($1 << 2) | 0;
   137149     $3 = HEAP32[$arrayidx2 >> 2] | 0;
   137150     $call3 = _agxbput($3, 129944) | 0;
   137151     label = 5;
   137152     break;
   137153    case 5:
   137154     $arrayidx4 = 168 + ($1 << 2) | 0;
   137155     $4 = HEAP32[$arrayidx4 >> 2] | 0;
   137156     _output_point($4, $A);
   137157     $arraydecay = $buf | 0;
   137158     $x = $A + 16 | 0;
   137159     $5 = +HEAPF64[$x >> 3];
   137160     $x8 = $A | 0;
   137161     $6 = +HEAPF64[$x8 >> 3];
   137162     $sub = $5 - $6;
   137163     $cmp = $sub < 0.0;
   137164     if ($cmp) {
   137165       label = 7;
   137166       break;
   137167     } else {
   137168       label = 6;
   137169       break;
   137170     }
   137171    case 6:
   137172     $add = $sub + .5;
   137173     $add_sink = $add;
   137174     label = 8;
   137175     break;
   137176    case 7:
   137177     $sub19 = $sub + -.5;
   137178     $add_sink = $sub19;
   137179     label = 8;
   137180     break;
   137181    case 8:
   137182     $conv = ~~$add_sink;
   137183     $y = $A + 24 | 0;
   137184     $7 = +HEAPF64[$y >> 3];
   137185     $y23 = $A + 8 | 0;
   137186     $8 = +HEAPF64[$y23 >> 3];
   137187     $sub24 = $7 - $8;
   137188     $cmp25 = $sub24 < 0.0;
   137189     if ($cmp25) {
   137190       label = 10;
   137191       break;
   137192     } else {
   137193       label = 9;
   137194       break;
   137195     }
   137196    case 9:
   137197     $add33 = $sub24 + .5;
   137198     $add33_sink = $add33;
   137199     label = 11;
   137200     break;
   137201    case 10:
   137202     $sub41 = $sub24 + -.5;
   137203     $add33_sink = $sub41;
   137204     label = 11;
   137205     break;
   137206    case 11:
   137207     $conv34 = ~~$add33_sink;
   137208     $call45 = _sprintf($arraydecay | 0, 155152, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $conv, HEAP32[tempInt + 8 >> 2] = $conv34, tempInt) | 0) | 0;
   137209     $9 = HEAP32[$arrayidx4 >> 2] | 0;
   137210     $call48 = _agxbput($9, $arraydecay) | 0;
   137211     STACKTOP = __stackBase__;
   137212     return;
   137213   }
   137214 }
   137215 function _xdot_polygon($job, $A, $n, $filled) {
   137216   $job = $job | 0;
   137217   $A = $A | 0;
   137218   $n = $n | 0;
   137219   $filled = $filled | 0;
   137220   var $tobool = 0, label = 0;
   137221   label = 2;
   137222   while (1) switch (label | 0) {
   137223    case 2:
   137224     _xdot_style($job);
   137225     _xdot_pencolor($job);
   137226     $tobool = ($filled | 0) == 0;
   137227     if ($tobool) {
   137228       label = 4;
   137229       break;
   137230     } else {
   137231       label = 3;
   137232       break;
   137233     }
   137234    case 3:
   137235     _xdot_fillcolor($job);
   137236     _xdot_points($job, 80, $A, $n);
   137237     label = 5;
   137238     break;
   137239    case 4:
   137240     _xdot_points($job, 112, $A, $n);
   137241     label = 5;
   137242     break;
   137243    case 5:
   137244     return;
   137245   }
   137246 }
   137247 function _xdot_bezier($job, $A, $n, $arrow_at_start, $arrow_at_end, $filled) {
   137248   $job = $job | 0;
   137249   $A = $A | 0;
   137250   $n = $n | 0;
   137251   $arrow_at_start = $arrow_at_start | 0;
   137252   $arrow_at_end = $arrow_at_end | 0;
   137253   $filled = $filled | 0;
   137254   var $tobool = 0, label = 0;
   137255   label = 2;
   137256   while (1) switch (label | 0) {
   137257    case 2:
   137258     _xdot_style($job);
   137259     _xdot_pencolor($job);
   137260     $tobool = ($filled | 0) == 0;
   137261     if ($tobool) {
   137262       label = 4;
   137263       break;
   137264     } else {
   137265       label = 3;
   137266       break;
   137267     }
   137268    case 3:
   137269     _xdot_fillcolor($job);
   137270     _xdot_points($job, 98, $A, $n);
   137271     label = 5;
   137272     break;
   137273    case 4:
   137274     _xdot_points($job, 66, $A, $n);
   137275     label = 5;
   137276     break;
   137277    case 5:
   137278     return;
   137279   }
   137280 }
   137281 function _xdot_polyline($job, $A, $n) {
   137282   $job = $job | 0;
   137283   $A = $A | 0;
   137284   $n = $n | 0;
   137285   _xdot_style($job);
   137286   _xdot_pencolor($job);
   137287   _xdot_points($job, 76, $A, $n);
   137288   return;
   137289 }
   137290 function _xdot_style($job) {
   137291   $job = $job | 0;
   137292   var $buf = 0, $xbuf = 0, $obj = 0, $0 = 0, $penwidth = 0, $1 = 0.0, $emit_state = 0, $2 = 0, $arrayidx = 0, $3 = 0.0, $cmp = 0, $arraydecay = 0, $4 = 0, $penwidth8 = 0, $5 = 0.0, $call = 0, $6 = 0, $rawstyle = 0, $7 = 0, $tobool = 0, $arraydecay13 = 0, $8 = 0, $tobool1427 = 0, $ptr87 = 0, $eptr88 = 0, $buf98 = 0, $ptr = 0, $eptr = 0, $9 = 0, $_pn = 0, $incdec_ptr28 = 0, $10 = 0, $cmp16 = 0, $call18 = 0, $tobool19 = 0, $_pr = 0, $11 = 0, $cmp22 = 0, $call25 = 0, $tobool26 = 0, $12 = 0, $cmp30 = 0, $call33 = 0, $tobool34 = 0, $call37 = 0, $p_0 = 0, $13 = 0, $tobool39 = 0, $incdec_ptr41 = 0, $14 = 0, $tobool43 = 0, $15 = 0, $16 = 0, $cmp45 = 0, $call47 = 0, $17 = 0, $incdec_ptr49 = 0, $18 = 0, $tobool5123 = 0, $more_025 = 0, $p_124 = 0, $tobool53 = 0, $19 = 0, $20 = 0, $cmp57 = 0, $call60 = 0, $21 = 0, $incdec_ptr65 = 0, $call67 = 0, $p_2 = 0, $22 = 0, $tobool69 = 0, $incdec_ptr71 = 0, $inc = 0, $23 = 0, $tobool51 = 0, $24 = 0, $25 = 0, $cmp77 = 0, $call80 = 0, $26 = 0, $incdec_ptr85 = 0, $27 = 0, $28 = 0, $cmp89 = 0, $call92 = 0, $29 = 0, $30 = 0, $31 = 0, $tobool14 = 0, label = 0, __stackBase__ = 0;
   137293   __stackBase__ = STACKTOP;
   137294   STACKTOP = STACKTOP + 1040 | 0;
   137295   label = 2;
   137296   while (1) switch (label | 0) {
   137297    case 2:
   137298     $buf = __stackBase__ | 0;
   137299     $xbuf = __stackBase__ + 1024 | 0;
   137300     $obj = $job + 16 | 0;
   137301     $0 = HEAP32[$obj >> 2] | 0;
   137302     $penwidth = $0 + 104 | 0;
   137303     $1 = +HEAPF64[$penwidth >> 3];
   137304     $emit_state = $0 + 12 | 0;
   137305     $2 = HEAP32[$emit_state >> 2] | 0;
   137306     $arrayidx = 7184 + ($2 << 3) | 0;
   137307     $3 = +HEAPF64[$arrayidx >> 3];
   137308     $cmp = $1 != $3;
   137309     if ($cmp) {
   137310       label = 3;
   137311       break;
   137312     } else {
   137313       label = 4;
   137314       break;
   137315     }
   137316    case 3:
   137317     HEAPF64[$arrayidx >> 3] = $1;
   137318     $arraydecay = $buf | 0;
   137319     $4 = HEAP32[$obj >> 2] | 0;
   137320     $penwidth8 = $4 + 104 | 0;
   137321     $5 = +HEAPF64[$penwidth8 >> 3];
   137322     $call = _sprintf($arraydecay | 0, 148408, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAPF64[tempInt >> 3] = $5, tempInt) | 0) | 0;
   137323     _xdot_str($job, 145648, $arraydecay);
   137324     label = 4;
   137325     break;
   137326    case 4:
   137327     $6 = HEAP32[$obj >> 2] | 0;
   137328     $rawstyle = $6 + 112 | 0;
   137329     $7 = HEAP32[$rawstyle >> 2] | 0;
   137330     $tobool = ($7 | 0) == 0;
   137331     if ($tobool) {
   137332       label = 35;
   137333       break;
   137334     } else {
   137335       label = 5;
   137336       break;
   137337     }
   137338    case 5:
   137339     $arraydecay13 = $buf | 0;
   137340     _agxbinit($xbuf, 1024, $arraydecay13);
   137341     $8 = HEAP32[$7 >> 2] | 0;
   137342     $tobool1427 = ($8 | 0) == 0;
   137343     if ($tobool1427) {
   137344       label = 34;
   137345       break;
   137346     } else {
   137347       label = 6;
   137348       break;
   137349     }
   137350    case 6:
   137351     $ptr87 = $xbuf + 4 | 0;
   137352     $eptr88 = $xbuf + 8 | 0;
   137353     $buf98 = $xbuf | 0;
   137354     $ptr = $xbuf + 4 | 0;
   137355     $eptr = $xbuf + 8 | 0;
   137356     $_pn = $7;
   137357     $9 = $8;
   137358     label = 7;
   137359     break;
   137360    case 7:
   137361     $incdec_ptr28 = $_pn + 4 | 0;
   137362     $10 = HEAP8[$9] | 0;
   137363     $cmp16 = $10 << 24 >> 24 == 102;
   137364     if ($cmp16) {
   137365       label = 8;
   137366       break;
   137367     } else {
   137368       $11 = $10;
   137369       label = 10;
   137370       break;
   137371     }
   137372    case 8:
   137373     $call18 = _strcmp($9 | 0, 142608) | 0;
   137374     $tobool19 = ($call18 | 0) == 0;
   137375     if ($tobool19) {
   137376       label = 33;
   137377       break;
   137378     } else {
   137379       label = 9;
   137380       break;
   137381     }
   137382    case 9:
   137383     $_pr = HEAP8[$9] | 0;
   137384     $11 = $_pr;
   137385     label = 10;
   137386     break;
   137387    case 10:
   137388     $cmp22 = $11 << 24 >> 24 == 98;
   137389     if ($cmp22) {
   137390       label = 11;
   137391       break;
   137392     } else {
   137393       label = 12;
   137394       break;
   137395     }
   137396    case 11:
   137397     $call25 = _strcmp($9 | 0, 139112) | 0;
   137398     $tobool26 = ($call25 | 0) == 0;
   137399     if ($tobool26) {
   137400       label = 33;
   137401       break;
   137402     } else {
   137403       label = 12;
   137404       break;
   137405     }
   137406    case 12:
   137407     $12 = HEAP8[$9] | 0;
   137408     $cmp30 = $12 << 24 >> 24 == 115;
   137409     if ($cmp30) {
   137410       label = 13;
   137411       break;
   137412     } else {
   137413       label = 14;
   137414       break;
   137415     }
   137416    case 13:
   137417     $call33 = _strcmp($9 | 0, 136344) | 0;
   137418     $tobool34 = ($call33 | 0) == 0;
   137419     if ($tobool34) {
   137420       label = 33;
   137421       break;
   137422     } else {
   137423       label = 14;
   137424       break;
   137425     }
   137426    case 14:
   137427     $call37 = _agxbput($xbuf, $9) | 0;
   137428     $p_0 = $9;
   137429     label = 15;
   137430     break;
   137431    case 15:
   137432     $13 = HEAP8[$p_0] | 0;
   137433     $tobool39 = $13 << 24 >> 24 == 0;
   137434     $incdec_ptr41 = $p_0 + 1 | 0;
   137435     if ($tobool39) {
   137436       label = 16;
   137437       break;
   137438     } else {
   137439       $p_0 = $incdec_ptr41;
   137440       label = 15;
   137441       break;
   137442     }
   137443    case 16:
   137444     $14 = HEAP8[$incdec_ptr41] | 0;
   137445     $tobool43 = $14 << 24 >> 24 == 0;
   137446     if ($tobool43) {
   137447       label = 30;
   137448       break;
   137449     } else {
   137450       label = 17;
   137451       break;
   137452     }
   137453    case 17:
   137454     $15 = HEAP32[$ptr >> 2] | 0;
   137455     $16 = HEAP32[$eptr >> 2] | 0;
   137456     $cmp45 = $15 >>> 0 < $16 >>> 0;
   137457     if ($cmp45) {
   137458       label = 19;
   137459       break;
   137460     } else {
   137461       label = 18;
   137462       break;
   137463     }
   137464    case 18:
   137465     $call47 = _agxbmore($xbuf, 1) | 0;
   137466     label = 19;
   137467     break;
   137468    case 19:
   137469     $17 = HEAP32[$ptr >> 2] | 0;
   137470     $incdec_ptr49 = $17 + 1 | 0;
   137471     HEAP32[$ptr >> 2] = $incdec_ptr49;
   137472     HEAP8[$17] = 40;
   137473     $18 = HEAP8[$incdec_ptr41] | 0;
   137474     $tobool5123 = $18 << 24 >> 24 == 0;
   137475     if ($tobool5123) {
   137476       label = 27;
   137477       break;
   137478     } else {
   137479       $p_124 = $incdec_ptr41;
   137480       $more_025 = 0;
   137481       label = 20;
   137482       break;
   137483     }
   137484    case 20:
   137485     $tobool53 = ($more_025 | 0) == 0;
   137486     if ($tobool53) {
   137487       label = 24;
   137488       break;
   137489     } else {
   137490       label = 21;
   137491       break;
   137492     }
   137493    case 21:
   137494     $19 = HEAP32[$ptr >> 2] | 0;
   137495     $20 = HEAP32[$eptr >> 2] | 0;
   137496     $cmp57 = $19 >>> 0 < $20 >>> 0;
   137497     if ($cmp57) {
   137498       label = 23;
   137499       break;
   137500     } else {
   137501       label = 22;
   137502       break;
   137503     }
   137504    case 22:
   137505     $call60 = _agxbmore($xbuf, 1) | 0;
   137506     label = 23;
   137507     break;
   137508    case 23:
   137509     $21 = HEAP32[$ptr >> 2] | 0;
   137510     $incdec_ptr65 = $21 + 1 | 0;
   137511     HEAP32[$ptr >> 2] = $incdec_ptr65;
   137512     HEAP8[$21] = 44;
   137513     label = 24;
   137514     break;
   137515    case 24:
   137516     $call67 = _agxbput($xbuf, $p_124) | 0;
   137517     $p_2 = $p_124;
   137518     label = 25;
   137519     break;
   137520    case 25:
   137521     $22 = HEAP8[$p_2] | 0;
   137522     $tobool69 = $22 << 24 >> 24 == 0;
   137523     $incdec_ptr71 = $p_2 + 1 | 0;
   137524     if ($tobool69) {
   137525       label = 26;
   137526       break;
   137527     } else {
   137528       $p_2 = $incdec_ptr71;
   137529       label = 25;
   137530       break;
   137531     }
   137532    case 26:
   137533     $inc = $more_025 + 1 | 0;
   137534     $23 = HEAP8[$incdec_ptr71] | 0;
   137535     $tobool51 = $23 << 24 >> 24 == 0;
   137536     if ($tobool51) {
   137537       label = 27;
   137538       break;
   137539     } else {
   137540       $p_124 = $incdec_ptr71;
   137541       $more_025 = $inc;
   137542       label = 20;
   137543       break;
   137544     }
   137545    case 27:
   137546     $24 = HEAP32[$ptr >> 2] | 0;
   137547     $25 = HEAP32[$eptr >> 2] | 0;
   137548     $cmp77 = $24 >>> 0 < $25 >>> 0;
   137549     if ($cmp77) {
   137550       label = 29;
   137551       break;
   137552     } else {
   137553       label = 28;
   137554       break;
   137555     }
   137556    case 28:
   137557     $call80 = _agxbmore($xbuf, 1) | 0;
   137558     label = 29;
   137559     break;
   137560    case 29:
   137561     $26 = HEAP32[$ptr >> 2] | 0;
   137562     $incdec_ptr85 = $26 + 1 | 0;
   137563     HEAP32[$ptr >> 2] = $incdec_ptr85;
   137564     HEAP8[$26] = 41;
   137565     label = 30;
   137566     break;
   137567    case 30:
   137568     $27 = HEAP32[$ptr87 >> 2] | 0;
   137569     $28 = HEAP32[$eptr88 >> 2] | 0;
   137570     $cmp89 = $27 >>> 0 < $28 >>> 0;
   137571     if ($cmp89) {
   137572       label = 32;
   137573       break;
   137574     } else {
   137575       label = 31;
   137576       break;
   137577     }
   137578    case 31:
   137579     $call92 = _agxbmore($xbuf, 1) | 0;
   137580     label = 32;
   137581     break;
   137582    case 32:
   137583     $29 = HEAP32[$ptr87 >> 2] | 0;
   137584     HEAP8[$29] = 0;
   137585     $30 = HEAP32[$buf98 >> 2] | 0;
   137586     HEAP32[$ptr87 >> 2] = $30;
   137587     _xdot_str($job, 145648, $30);
   137588     label = 33;
   137589     break;
   137590    case 33:
   137591     $31 = HEAP32[$incdec_ptr28 >> 2] | 0;
   137592     $tobool14 = ($31 | 0) == 0;
   137593     if ($tobool14) {
   137594       label = 34;
   137595       break;
   137596     } else {
   137597       $_pn = $incdec_ptr28;
   137598       $9 = $31;
   137599       label = 7;
   137600       break;
   137601     }
   137602    case 34:
   137603     _agxbfree($xbuf);
   137604     label = 35;
   137605     break;
   137606    case 35:
   137607     STACKTOP = __stackBase__;
   137608     return;
   137609   }
   137610 }
   137611 function _xdot_pencolor($job) {
   137612   $job = $job | 0;
   137613   _color2str((HEAP32[$job + 16 >> 2] | 0) + 16 | 0);
   137614   _xdot_str($job, 153792, 53192);
   137615   return;
   137616 }
   137617 function _xdot_points($job, $c, $A, $n) {
   137618   $job = $job | 0;
   137619   $c = $c | 0;
   137620   $A = $A | 0;
   137621   $n = $n | 0;
   137622   var $buf = 0, $obj = 0, $0 = 0, $emit_state1 = 0, $1 = 0, $arrayidx = 0, $2 = 0, $ptr = 0, $3 = 0, $eptr = 0, $4 = 0, $cmp = 0, $call = 0, $5 = 0, $ptr5 = 0, $6 = 0, $incdec_ptr = 0, $arraydecay = 0, $call6 = 0, $7 = 0, $call9 = 0, $cmp109 = 0, $8 = 0, $i_010 = 0, $arrayidx13 = 0, $inc = 0, $cmp10 = 0, label = 0, __stackBase__ = 0;
   137623   __stackBase__ = STACKTOP;
   137624   STACKTOP = STACKTOP + 1024 | 0;
   137625   label = 2;
   137626   while (1) switch (label | 0) {
   137627    case 2:
   137628     $buf = __stackBase__ | 0;
   137629     $obj = $job + 16 | 0;
   137630     $0 = HEAP32[$obj >> 2] | 0;
   137631     $emit_state1 = $0 + 12 | 0;
   137632     $1 = HEAP32[$emit_state1 >> 2] | 0;
   137633     $arrayidx = 168 + ($1 << 2) | 0;
   137634     $2 = HEAP32[$arrayidx >> 2] | 0;
   137635     $ptr = $2 + 4 | 0;
   137636     $3 = HEAP32[$ptr >> 2] | 0;
   137637     $eptr = $2 + 8 | 0;
   137638     $4 = HEAP32[$eptr >> 2] | 0;
   137639     $cmp = $3 >>> 0 < $4 >>> 0;
   137640     if ($cmp) {
   137641       label = 4;
   137642       break;
   137643     } else {
   137644       label = 3;
   137645       break;
   137646     }
   137647    case 3:
   137648     $call = _agxbmore($2, 1) | 0;
   137649     label = 4;
   137650     break;
   137651    case 4:
   137652     $5 = HEAP32[$arrayidx >> 2] | 0;
   137653     $ptr5 = $5 + 4 | 0;
   137654     $6 = HEAP32[$ptr5 >> 2] | 0;
   137655     $incdec_ptr = $6 + 1 | 0;
   137656     HEAP32[$ptr5 >> 2] = $incdec_ptr;
   137657     HEAP8[$6] = $c;
   137658     $arraydecay = $buf | 0;
   137659     $call6 = _sprintf($arraydecay | 0, 158640, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $n, tempInt) | 0) | 0;
   137660     $7 = HEAP32[$arrayidx >> 2] | 0;
   137661     $call9 = _agxbput($7, $arraydecay) | 0;
   137662     $cmp109 = ($n | 0) > 0;
   137663     if ($cmp109) {
   137664       label = 5;
   137665       break;
   137666     } else {
   137667       label = 7;
   137668       break;
   137669     }
   137670    case 5:
   137671     $8 = HEAP32[$arrayidx >> 2] | 0;
   137672     $i_010 = 0;
   137673     label = 6;
   137674     break;
   137675    case 6:
   137676     $arrayidx13 = $A + ($i_010 << 4) | 0;
   137677     _output_point($8, $arrayidx13);
   137678     $inc = $i_010 + 1 | 0;
   137679     $cmp10 = ($inc | 0) < ($n | 0);
   137680     if ($cmp10) {
   137681       $i_010 = $inc;
   137682       label = 6;
   137683       break;
   137684     } else {
   137685       label = 7;
   137686       break;
   137687     }
   137688    case 7:
   137689     STACKTOP = __stackBase__;
   137690     return;
   137691   }
   137692 }
   137693 function _color2str($rgba) {
   137694   $rgba = $rgba | 0;
   137695   var $conv2 = 0, $conv4 = 0, $conv6 = 0, __stackBase__ = 0;
   137696   __stackBase__ = STACKTOP;
   137697   $conv2 = HEAPU8[$rgba + 1 | 0] | 0;
   137698   $conv4 = HEAPU8[$rgba + 2 | 0] | 0;
   137699   $conv6 = HEAPU8[$rgba + 3 | 0] | 0;
   137700   _sprintf(53192, 151688, (tempInt = STACKTOP, STACKTOP = STACKTOP + 32 | 0, HEAP32[tempInt >> 2] = HEAPU8[$rgba] | 0, HEAP32[tempInt + 8 >> 2] = $conv2, HEAP32[tempInt + 16 >> 2] = $conv4, HEAP32[tempInt + 24 >> 2] = $conv6, tempInt) | 0);
   137701   STACKTOP = __stackBase__;
   137702   return;
   137703 }
   137704 function _xdot_fillcolor($job) {
   137705   $job = $job | 0;
   137706   _color2str((HEAP32[$job + 16 >> 2] | 0) + 56 | 0);
   137707   _xdot_str($job, 134e3, 53192);
   137708   return;
   137709 }
   137710 function _fig_begin_page($job) {
   137711   $job = $job | 0;
   137712   HEAP32[41980] = 2;
   137713   return;
   137714 }
   137715 function _fig_begin_node($job) {
   137716   $job = $job | 0;
   137717   HEAP32[41980] = 1;
   137718   return;
   137719 }
   137720 function _fig_end_node($job) {
   137721   $job = $job | 0;
   137722   HEAP32[41980] = 2;
   137723   return;
   137724 }
   137725 function _fig_begin_edge($job) {
   137726   $job = $job | 0;
   137727   HEAP32[41980] = 0;
   137728   return;
   137729 }
   137730 function _fig_end_edge($job) {
   137731   $job = $job | 0;
   137732   HEAP32[41980] = 2;
   137733   return;
   137734 }
   137735 function _fig_line_style($obj, $line_style, $style_val) {
   137736   $obj = $obj | 0;
   137737   $line_style = $line_style | 0;
   137738   $style_val = $style_val | 0;
   137739   var $pen = 0, $0 = 0, label = 0;
   137740   label = 2;
   137741   while (1) switch (label | 0) {
   137742    case 2:
   137743     $pen = $obj + 96 | 0;
   137744     $0 = HEAP32[$pen >> 2] | 0;
   137745     if (($0 | 0) == 1) {
   137746       label = 3;
   137747       break;
   137748     } else if (($0 | 0) == 2) {
   137749       label = 4;
   137750       break;
   137751     } else {
   137752       label = 5;
   137753       break;
   137754     }
   137755    case 3:
   137756     HEAP32[$line_style >> 2] = 1;
   137757     HEAPF64[$style_val >> 3] = 10.0;
   137758     label = 6;
   137759     break;
   137760    case 4:
   137761     HEAP32[$line_style >> 2] = 2;
   137762     HEAPF64[$style_val >> 3] = 10.0;
   137763     label = 6;
   137764     break;
   137765    case 5:
   137766     HEAP32[$line_style >> 2] = 0;
   137767     HEAPF64[$style_val >> 3] = 0.0;
   137768     label = 6;
   137769     break;
   137770    case 6:
   137771     return;
   137772   }
   137773 }
   137774 function _xdot_end_graph($g) {
   137775   $g = $g | 0;
   137776   var $0 = 0, $1 = 0, $tobool = 0, $2 = 0, $g_draw = 0, $3 = 0, $tobool1 = 0, $4 = 0, $5 = 0, $call = 0, $6 = 0, $g_draw3 = 0, $7 = 0, $8 = 0, $g_draw4 = 0, $9 = 0, $index = 0, $10 = 0, $11 = 0, $12 = 0, $cmp = 0, $call6 = 0, $13 = 0, $14 = 0, $call10 = 0, $label = 0, $15 = 0, $tobool12 = 0, $16 = 0, $17 = 0, $g_l_draw = 0, $18 = 0, $index14 = 0, $19 = 0, $20 = 0, $21 = 0, $cmp17 = 0, $call19 = 0, $22 = 0, $23 = 0, $call27 = 0, $24 = 0, $call29 = 0, $25 = 0, $26 = 0, label = 0;
   137777   label = 2;
   137778   while (1) switch (label | 0) {
   137779    case 2:
   137780     $0 = HEAP32[55] | 0;
   137781     $1 = HEAP32[54] | 0;
   137782     $tobool = ($0 | 0) == ($1 | 0);
   137783     if ($tobool) {
   137784       label = 8;
   137785       break;
   137786     } else {
   137787       label = 3;
   137788       break;
   137789     }
   137790    case 3:
   137791     $2 = HEAP32[40] | 0;
   137792     $g_draw = $2 | 0;
   137793     $3 = HEAP32[$g_draw >> 2] | 0;
   137794     $tobool1 = ($3 | 0) == 0;
   137795     if ($tobool1) {
   137796       label = 4;
   137797       break;
   137798     } else {
   137799       label = 5;
   137800       break;
   137801     }
   137802    case 4:
   137803     $4 = $g | 0;
   137804     $5 = $g;
   137805     $call = _safe_dcl($5, $4, 123216, 125984, 360) | 0;
   137806     $6 = HEAP32[40] | 0;
   137807     $g_draw3 = $6 | 0;
   137808     HEAP32[$g_draw3 >> 2] = $call;
   137809     label = 5;
   137810     break;
   137811    case 5:
   137812     $7 = $g | 0;
   137813     $8 = HEAP32[40] | 0;
   137814     $g_draw4 = $8 | 0;
   137815     $9 = HEAP32[$g_draw4 >> 2] | 0;
   137816     $index = $9 + 8 | 0;
   137817     $10 = HEAP32[$index >> 2] | 0;
   137818     $11 = HEAP32[55] | 0;
   137819     $12 = HEAP32[56] | 0;
   137820     $cmp = $11 >>> 0 < $12 >>> 0;
   137821     if ($cmp) {
   137822       label = 7;
   137823       break;
   137824     } else {
   137825       label = 6;
   137826       break;
   137827     }
   137828    case 6:
   137829     $call6 = _agxbmore(216, 1) | 0;
   137830     label = 7;
   137831     break;
   137832    case 7:
   137833     $13 = HEAP32[55] | 0;
   137834     HEAP8[$13] = 0;
   137835     $14 = HEAP32[54] | 0;
   137836     HEAP32[55] = $14;
   137837     $call10 = _agxset($7, $10, $14) | 0;
   137838     label = 8;
   137839     break;
   137840    case 8:
   137841     $label = $g + 52 | 0;
   137842     $15 = HEAP32[$label >> 2] | 0;
   137843     $tobool12 = ($15 | 0) == 0;
   137844     if ($tobool12) {
   137845       label = 12;
   137846       break;
   137847     } else {
   137848       label = 9;
   137849       break;
   137850     }
   137851    case 9:
   137852     $16 = $g | 0;
   137853     $17 = HEAP32[40] | 0;
   137854     $g_l_draw = $17 + 4 | 0;
   137855     $18 = HEAP32[$g_l_draw >> 2] | 0;
   137856     $index14 = $18 + 8 | 0;
   137857     $19 = HEAP32[$index14 >> 2] | 0;
   137858     $20 = HEAP32[71] | 0;
   137859     $21 = HEAP32[72] | 0;
   137860     $cmp17 = $20 >>> 0 < $21 >>> 0;
   137861     if ($cmp17) {
   137862       label = 11;
   137863       break;
   137864     } else {
   137865       label = 10;
   137866       break;
   137867     }
   137868    case 10:
   137869     $call19 = _agxbmore(280, 1) | 0;
   137870     label = 11;
   137871     break;
   137872    case 11:
   137873     $22 = HEAP32[71] | 0;
   137874     HEAP8[$22] = 0;
   137875     $23 = HEAP32[70] | 0;
   137876     HEAP32[71] = $23;
   137877     $call27 = _agxset($16, $19, $23) | 0;
   137878     label = 12;
   137879     break;
   137880    case 12:
   137881     $24 = $g | 0;
   137882     $call29 = _agsafeset($24, 121776, 121168, 125984) | 0;
   137883     _agxbfree(216);
   137884     _agxbfree(232);
   137885     _agxbfree(248);
   137886     _agxbfree(264);
   137887     _agxbfree(280);
   137888     _agxbfree(296);
   137889     _agxbfree(312);
   137890     _agxbfree(328);
   137891     $25 = HEAP32[40] | 0;
   137892     $26 = $25;
   137893     _free($26);
   137894     HEAPF64[898] = 1.0;
   137895     HEAPF64[902] = 1.0;
   137896     return;
   137897   }
   137898 }
   137899 function _xdot_begin_graph($g, $s_arrows, $e_arrows) {
   137900   $g = $g | 0;
   137901   $s_arrows = $s_arrows | 0;
   137902   $e_arrows = $e_arrows | 0;
   137903   var $call = 0, $0 = 0, $n_cluster = 0, $1 = 0, $tobool = 0, $2 = 0, $3 = 0, $call1 = 0, $4 = 0, $g_draw = 0, $g_draw2 = 0, $has_labels = 0, $5 = 0, $and = 0, $tobool4 = 0, $6 = 0, $7 = 0, $call6 = 0, $8 = 0, $g_l_draw = 0, $9 = 0, $g_l_draw8 = 0, $proto = 0, $10 = 0, $n = 0, $11 = 0, $12 = 0, $13 = 0, $call10 = 0, $14 = 0, $n_draw = 0, $15 = 0, $n12 = 0, $16 = 0, $17 = 0, $call13 = 0, $18 = 0, $n_l_draw = 0, $19 = 0, $e = 0, $20 = 0, $21 = 0, $call15 = 0, $22 = 0, $e_draw = 0, $tobool16 = 0, $23 = 0, $e19 = 0, $24 = 0, $25 = 0, $call20 = 0, $26 = 0, $h_draw = 0, $27 = 0, $h_draw22 = 0, $tobool24 = 0, $28 = 0, $e27 = 0, $29 = 0, $30 = 0, $call28 = 0, $31 = 0, $t_draw = 0, $32 = 0, $t_draw30 = 0, $33 = 0, $and35 = 0, $tobool36 = 0, $34 = 0, $e39 = 0, $35 = 0, $36 = 0, $call40 = 0, $37 = 0, $e_l_draw = 0, $38 = 0, $e_l_draw42 = 0, $39 = 0, $and47 = 0, $tobool48 = 0, $40 = 0, $e51 = 0, $41 = 0, $42 = 0, $call52 = 0, $43 = 0, $hl_draw = 0, $44 = 0, $hl_draw54 = 0, $45 = 0, $and59 = 0, $tobool60 = 0, $46 = 0, $e63 = 0, $47 = 0, $48 = 0, $call64 = 0, $49 = 0, $tl_draw = 0, $50 = 0, $tl_draw66 = 0, $51 = 0, $arraydecay = 0, $52 = 0, $arraydecay_1 = 0, $53 = 0, $arraydecay_2 = 0, $54 = 0, $arraydecay_3 = 0, $55 = 0, $arraydecay_4 = 0, $56 = 0, $arraydecay_5 = 0, $57 = 0, $arraydecay_6 = 0, $58 = 0, $arraydecay_7 = 0, label = 0;
   137904   label = 2;
   137905   while (1) switch (label | 0) {
   137906    case 2:
   137907     $call = _malloc(8232) | 0;
   137908     $0 = $call;
   137909     HEAP32[40] = $0;
   137910     $n_cluster = $g + 212 | 0;
   137911     $1 = HEAP32[$n_cluster >> 2] | 0;
   137912     $tobool = ($1 | 0) == 0;
   137913     if ($tobool) {
   137914       label = 4;
   137915       break;
   137916     } else {
   137917       label = 3;
   137918       break;
   137919     }
   137920    case 3:
   137921     $2 = $g | 0;
   137922     $3 = $g;
   137923     $call1 = _safe_dcl($3, $2, 123216, 125984, 360) | 0;
   137924     $4 = HEAP32[40] | 0;
   137925     $g_draw = $4 | 0;
   137926     HEAP32[$g_draw >> 2] = $call1;
   137927     label = 5;
   137928     break;
   137929    case 4:
   137930     $g_draw2 = $call;
   137931     HEAP32[$g_draw2 >> 2] = 0;
   137932     label = 5;
   137933     break;
   137934    case 5:
   137935     $has_labels = $g + 153 | 0;
   137936     $5 = HEAP8[$has_labels] | 0;
   137937     $and = $5 & 8;
   137938     $tobool4 = $and << 24 >> 24 == 0;
   137939     if ($tobool4) {
   137940       label = 7;
   137941       break;
   137942     } else {
   137943       label = 6;
   137944       break;
   137945     }
   137946    case 6:
   137947     $6 = $g | 0;
   137948     $7 = $g;
   137949     $call6 = _safe_dcl($7, $6, 120480, 125984, 360) | 0;
   137950     $8 = HEAP32[40] | 0;
   137951     $g_l_draw = $8 + 4 | 0;
   137952     HEAP32[$g_l_draw >> 2] = $call6;
   137953     label = 8;
   137954     break;
   137955    case 7:
   137956     $9 = HEAP32[40] | 0;
   137957     $g_l_draw8 = $9 + 4 | 0;
   137958     HEAP32[$g_l_draw8 >> 2] = 0;
   137959     label = 8;
   137960     break;
   137961    case 8:
   137962     $proto = $g + 40 | 0;
   137963     $10 = HEAP32[$proto >> 2] | 0;
   137964     $n = $10 | 0;
   137965     $11 = HEAP32[$n >> 2] | 0;
   137966     $12 = $11 | 0;
   137967     $13 = $g;
   137968     $call10 = _safe_dcl($13, $12, 123216, 125984, 380) | 0;
   137969     $14 = HEAP32[40] | 0;
   137970     $n_draw = $14 + 8 | 0;
   137971     HEAP32[$n_draw >> 2] = $call10;
   137972     $15 = HEAP32[$proto >> 2] | 0;
   137973     $n12 = $15 | 0;
   137974     $16 = HEAP32[$n12 >> 2] | 0;
   137975     $17 = $16 | 0;
   137976     $call13 = _safe_dcl($13, $17, 120480, 125984, 380) | 0;
   137977     $18 = HEAP32[40] | 0;
   137978     $n_l_draw = $18 + 12 | 0;
   137979     HEAP32[$n_l_draw >> 2] = $call13;
   137980     $19 = HEAP32[$proto >> 2] | 0;
   137981     $e = $19 + 4 | 0;
   137982     $20 = HEAP32[$e >> 2] | 0;
   137983     $21 = $20 | 0;
   137984     $call15 = _safe_dcl($13, $21, 123216, 125984, 654) | 0;
   137985     $22 = HEAP32[40] | 0;
   137986     $e_draw = $22 + 16 | 0;
   137987     HEAP32[$e_draw >> 2] = $call15;
   137988     $tobool16 = ($e_arrows | 0) == 0;
   137989     if ($tobool16) {
   137990       label = 10;
   137991       break;
   137992     } else {
   137993       label = 9;
   137994       break;
   137995     }
   137996    case 9:
   137997     $23 = HEAP32[$proto >> 2] | 0;
   137998     $e19 = $23 + 4 | 0;
   137999     $24 = HEAP32[$e19 >> 2] | 0;
   138000     $25 = $24 | 0;
   138001     $call20 = _safe_dcl($13, $25, 119816, 125984, 654) | 0;
   138002     $26 = HEAP32[40] | 0;
   138003     $h_draw = $26 + 20 | 0;
   138004     HEAP32[$h_draw >> 2] = $call20;
   138005     label = 11;
   138006     break;
   138007    case 10:
   138008     $27 = HEAP32[40] | 0;
   138009     $h_draw22 = $27 + 20 | 0;
   138010     HEAP32[$h_draw22 >> 2] = 0;
   138011     label = 11;
   138012     break;
   138013    case 11:
   138014     $tobool24 = ($s_arrows | 0) == 0;
   138015     if ($tobool24) {
   138016       label = 13;
   138017       break;
   138018     } else {
   138019       label = 12;
   138020       break;
   138021     }
   138022    case 12:
   138023     $28 = HEAP32[$proto >> 2] | 0;
   138024     $e27 = $28 + 4 | 0;
   138025     $29 = HEAP32[$e27 >> 2] | 0;
   138026     $30 = $29 | 0;
   138027     $call28 = _safe_dcl($13, $30, 119280, 125984, 654) | 0;
   138028     $31 = HEAP32[40] | 0;
   138029     $t_draw = $31 + 24 | 0;
   138030     HEAP32[$t_draw >> 2] = $call28;
   138031     label = 14;
   138032     break;
   138033    case 13:
   138034     $32 = HEAP32[40] | 0;
   138035     $t_draw30 = $32 + 24 | 0;
   138036     HEAP32[$t_draw30 >> 2] = 0;
   138037     label = 14;
   138038     break;
   138039    case 14:
   138040     $33 = HEAP8[$has_labels] | 0;
   138041     $and35 = $33 & 1;
   138042     $tobool36 = $and35 << 24 >> 24 == 0;
   138043     if ($tobool36) {
   138044       label = 16;
   138045       break;
   138046     } else {
   138047       label = 15;
   138048       break;
   138049     }
   138050    case 15:
   138051     $34 = HEAP32[$proto >> 2] | 0;
   138052     $e39 = $34 + 4 | 0;
   138053     $35 = HEAP32[$e39 >> 2] | 0;
   138054     $36 = $35 | 0;
   138055     $call40 = _safe_dcl($13, $36, 120480, 125984, 654) | 0;
   138056     $37 = HEAP32[40] | 0;
   138057     $e_l_draw = $37 + 28 | 0;
   138058     HEAP32[$e_l_draw >> 2] = $call40;
   138059     label = 17;
   138060     break;
   138061    case 16:
   138062     $38 = HEAP32[40] | 0;
   138063     $e_l_draw42 = $38 + 28 | 0;
   138064     HEAP32[$e_l_draw42 >> 2] = 0;
   138065     label = 17;
   138066     break;
   138067    case 17:
   138068     $39 = HEAP8[$has_labels] | 0;
   138069     $and47 = $39 & 2;
   138070     $tobool48 = $and47 << 24 >> 24 == 0;
   138071     if ($tobool48) {
   138072       label = 19;
   138073       break;
   138074     } else {
   138075       label = 18;
   138076       break;
   138077     }
   138078    case 18:
   138079     $40 = HEAP32[$proto >> 2] | 0;
   138080     $e51 = $40 + 4 | 0;
   138081     $41 = HEAP32[$e51 >> 2] | 0;
   138082     $42 = $41 | 0;
   138083     $call52 = _safe_dcl($13, $42, 118736, 125984, 654) | 0;
   138084     $43 = HEAP32[40] | 0;
   138085     $hl_draw = $43 + 32 | 0;
   138086     HEAP32[$hl_draw >> 2] = $call52;
   138087     label = 20;
   138088     break;
   138089    case 19:
   138090     $44 = HEAP32[40] | 0;
   138091     $hl_draw54 = $44 + 32 | 0;
   138092     HEAP32[$hl_draw54 >> 2] = 0;
   138093     label = 20;
   138094     break;
   138095    case 20:
   138096     $45 = HEAP8[$has_labels] | 0;
   138097     $and59 = $45 & 4;
   138098     $tobool60 = $and59 << 24 >> 24 == 0;
   138099     if ($tobool60) {
   138100       label = 22;
   138101       break;
   138102     } else {
   138103       label = 21;
   138104       break;
   138105     }
   138106    case 21:
   138107     $46 = HEAP32[$proto >> 2] | 0;
   138108     $e63 = $46 + 4 | 0;
   138109     $47 = HEAP32[$e63 >> 2] | 0;
   138110     $48 = $47 | 0;
   138111     $call64 = _safe_dcl($13, $48, 118152, 125984, 654) | 0;
   138112     $49 = HEAP32[40] | 0;
   138113     $tl_draw = $49 + 36 | 0;
   138114     HEAP32[$tl_draw >> 2] = $call64;
   138115     label = 23;
   138116     break;
   138117    case 22:
   138118     $50 = HEAP32[40] | 0;
   138119     $tl_draw66 = $50 + 36 | 0;
   138120     HEAP32[$tl_draw66 >> 2] = 0;
   138121     label = 23;
   138122     break;
   138123    case 23:
   138124     $51 = HEAP32[40] | 0;
   138125     $arraydecay = $51 + 40 | 0;
   138126     _agxbinit(216, 1024, $arraydecay);
   138127     $52 = HEAP32[40] | 0;
   138128     $arraydecay_1 = $52 + 1064 | 0;
   138129     _agxbinit(232, 1024, $arraydecay_1);
   138130     $53 = HEAP32[40] | 0;
   138131     $arraydecay_2 = $53 + 2088 | 0;
   138132     _agxbinit(248, 1024, $arraydecay_2);
   138133     $54 = HEAP32[40] | 0;
   138134     $arraydecay_3 = $54 + 3112 | 0;
   138135     _agxbinit(264, 1024, $arraydecay_3);
   138136     $55 = HEAP32[40] | 0;
   138137     $arraydecay_4 = $55 + 4136 | 0;
   138138     _agxbinit(280, 1024, $arraydecay_4);
   138139     $56 = HEAP32[40] | 0;
   138140     $arraydecay_5 = $56 + 5160 | 0;
   138141     _agxbinit(296, 1024, $arraydecay_5);
   138142     $57 = HEAP32[40] | 0;
   138143     $arraydecay_6 = $57 + 6184 | 0;
   138144     _agxbinit(312, 1024, $arraydecay_6);
   138145     $58 = HEAP32[40] | 0;
   138146     $arraydecay_7 = $58 + 7208 | 0;
   138147     _agxbinit(328, 1024, $arraydecay_7);
   138148     return;
   138149   }
   138150 }
   138151 function _fig_begin_graph($job) {
   138152   $job = $job | 0;
   138153   var $0 = 0, $1 = 0, $3 = 0, $5 = 0, $6 = 0, $mul = 0, __stackBase__ = 0;
   138154   __stackBase__ = STACKTOP;
   138155   $0 = HEAP32[$job + 16 >> 2] | 0;
   138156   $1 = $job;
   138157   _gvputs($1, 121576);
   138158   $3 = HEAP32[HEAP32[$job + 12 >> 2] >> 2] | 0;
   138159   $5 = HEAP32[$3 + 4 >> 2] | 0;
   138160   $6 = HEAP32[$3 + 8 >> 2] | 0;
   138161   _gvprintf($1, 120936, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = HEAP32[$3 >> 2] | 0, HEAP32[tempInt + 8 >> 2] = $5, HEAP32[tempInt + 16 >> 2] = $6, tempInt) | 0);
   138162   _gvprintf($1, 120208, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = HEAP32[(HEAP32[$0 + 8 >> 2] | 0) + 12 >> 2] | 0, tempInt) | 0);
   138163   $mul = Math_imul(HEAP32[$job + 168 >> 2] | 0, HEAP32[$job + 164 >> 2] | 0);
   138164   _gvprintf($1, 119592, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $mul, tempInt) | 0);
   138165   _gvputs($1, 119032);
   138166   _gvputs($1, 118568);
   138167   _gvputs($1, 117936);
   138168   _gvputs($1, 117352);
   138169   _gvputs($1, 116720);
   138170   _gvputs($1, 116416);
   138171   _gvputs($1, 115904);
   138172   _gvputs($1, 115472);
   138173   _gvputs($1, 114920);
   138174   STACKTOP = __stackBase__;
   138175   return;
   138176 }
   138177 function _fig_end_graph($job) {
   138178   $job = $job | 0;
   138179   _gvputs($job, 122592);
   138180   return;
   138181 }
   138182 function _fig_textpara($job, $p, $para) {
   138183   $job = $job | 0;
   138184   $p = $p | 0;
   138185   $para = $para | 0;
   138186   var $obj1 = 0, $0 = 0, $u = 0, $index = 0, $1 = 0, $2 = 0, $fontsize = 0, $3 = 0.0, $zoom = 0, $4 = 0.0, $mul = 0.0, $rotation = 0, $5 = 0, $tobool = 0, $cond = 0.0, $postscript_alias = 0, $6 = 0, $tobool2 = 0, $xfig_code = 0, $7 = 0, $font_0 = 0, $just = 0, $8 = 0, $conv = 0, $sub_type_0 = 0, $x = 0, $9 = 0.0, $cmp = 0, $add = 0.0, $sub = 0.0, $add_sink = 0.0, $conv8 = 0, $y = 0, $10 = 0.0, $cmp12 = 0, $add16 = 0.0, $sub20 = 0.0, $add16_sink = 0.0, $conv17 = 0, $str = 0, $11 = 0, $call = 0, $12 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   138187   __stackBase__ = STACKTOP;
   138188   tempParam = $p;
   138189   $p = STACKTOP;
   138190   STACKTOP = STACKTOP + 16 | 0;
   138191   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   138192   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   138193   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   138194   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   138195   label = 2;
   138196   while (1) switch (label | 0) {
   138197    case 2:
   138198     $obj1 = $job + 16 | 0;
   138199     $0 = HEAP32[$obj1 >> 2] | 0;
   138200     $u = $0 + 16 | 0;
   138201     $index = $u;
   138202     $1 = HEAP32[$index >> 2] | 0;
   138203     $2 = HEAP32[41980] | 0;
   138204     $fontsize = $para + 24 | 0;
   138205     $3 = +HEAPF64[$fontsize >> 3];
   138206     $zoom = $job + 352 | 0;
   138207     $4 = +HEAPF64[$zoom >> 3];
   138208     $mul = $3 * $4;
   138209     $rotation = $job + 360 | 0;
   138210     $5 = HEAP32[$rotation >> 2] | 0;
   138211     $tobool = ($5 | 0) != 0;
   138212     $cond = $tobool ? 1.5707963267948966 : 0.0;
   138213     $postscript_alias = $para + 4 | 0;
   138214     $6 = HEAP32[$postscript_alias >> 2] | 0;
   138215     $tobool2 = ($6 | 0) == 0;
   138216     if ($tobool2) {
   138217       $font_0 = -1;
   138218       label = 4;
   138219       break;
   138220     } else {
   138221       label = 3;
   138222       break;
   138223     }
   138224    case 3:
   138225     $xfig_code = $6 + 20 | 0;
   138226     $7 = HEAP32[$xfig_code >> 2] | 0;
   138227     $font_0 = $7;
   138228     label = 4;
   138229     break;
   138230    case 4:
   138231     $just = $para + 72 | 0;
   138232     $8 = HEAP8[$just] | 0;
   138233     $conv = $8 << 24 >> 24;
   138234     if (($conv | 0) == 114) {
   138235       label = 5;
   138236       break;
   138237     } else if (($conv | 0) == 108) {
   138238       $sub_type_0 = 0;
   138239       label = 7;
   138240       break;
   138241     } else {
   138242       label = 6;
   138243       break;
   138244     }
   138245    case 5:
   138246     $sub_type_0 = 2;
   138247     label = 7;
   138248     break;
   138249    case 6:
   138250     $sub_type_0 = 1;
   138251     label = 7;
   138252     break;
   138253    case 7:
   138254     $x = $p | 0;
   138255     $9 = +HEAPF64[$x >> 3];
   138256     $cmp = $9 < 0.0;
   138257     if ($cmp) {
   138258       label = 9;
   138259       break;
   138260     } else {
   138261       label = 8;
   138262       break;
   138263     }
   138264    case 8:
   138265     $add = $9 + .5;
   138266     $add_sink = $add;
   138267     label = 10;
   138268     break;
   138269    case 9:
   138270     $sub = $9 + -.5;
   138271     $add_sink = $sub;
   138272     label = 10;
   138273     break;
   138274    case 10:
   138275     $conv8 = ~~$add_sink;
   138276     $y = $p + 8 | 0;
   138277     $10 = +HEAPF64[$y >> 3];
   138278     $cmp12 = $10 < 0.0;
   138279     if ($cmp12) {
   138280       label = 12;
   138281       break;
   138282     } else {
   138283       label = 11;
   138284       break;
   138285     }
   138286    case 11:
   138287     $add16 = $10 + .5;
   138288     $add16_sink = $add16;
   138289     label = 13;
   138290     break;
   138291    case 12:
   138292     $sub20 = $10 + -.5;
   138293     $add16_sink = $sub20;
   138294     label = 13;
   138295     break;
   138296    case 13:
   138297     $conv17 = ~~$add16_sink;
   138298     $str = $para | 0;
   138299     $11 = HEAP32[$str >> 2] | 0;
   138300     $call = _fig_string($11) | 0;
   138301     $12 = $job;
   138302     _gvprintf($12, 126944, (tempInt = STACKTOP, STACKTOP = STACKTOP + 112 | 0, HEAP32[tempInt >> 2] = 4, HEAP32[tempInt + 8 >> 2] = $sub_type_0, HEAP32[tempInt + 16 >> 2] = $1, HEAP32[tempInt + 24 >> 2] = $2, HEAP32[tempInt + 32 >> 2] = 0, HEAP32[tempInt + 40 >> 2] = $font_0, HEAPF64[tempInt + 48 >> 3] = $mul, HEAPF64[tempInt + 56 >> 3] = $cond, HEAP32[tempInt + 64 >> 2] = 6, HEAPF64[tempInt + 72 >> 3] = 0.0, HEAPF64[tempInt + 80 >> 3] = 0.0, HEAP32[tempInt + 88 >> 2] = $conv8, HEAP32[tempInt + 96 >> 2] = $conv17, HEAP32[tempInt + 104 >> 2] = $call, tempInt) | 0);
   138303     STACKTOP = __stackBase__;
   138304     return;
   138305   }
   138306 }
   138307 function _fig_ellipse($job, $A, $filled) {
   138308   $job = $job | 0;
   138309   $A = $A | 0;
   138310   $filled = $filled | 0;
   138311   var $line_style = 0, $style_val = 0, $obj1 = 0, $0 = 0, $penwidth = 0, $1 = 0.0, $conv = 0, $u = 0, $index = 0, $2 = 0, $u2 = 0, $index3 = 0, $3 = 0, $4 = 0, $tobool = 0, $cond = 0, $x = 0, $5 = 0.0, $cmp = 0, $add = 0.0, $sub = 0.0, $add_sink = 0.0, $conv7 = 0, $y = 0, $6 = 0.0, $cmp13 = 0, $add18 = 0.0, $sub23 = 0.0, $add18_sink = 0.0, $conv19 = 0, $x28 = 0, $7 = 0.0, $8 = 0.0, $sub31 = 0.0, $cmp32 = 0, $add40 = 0.0, $sub48 = 0.0, $add40_sink = 0.0, $conv41 = 0, $y53 = 0, $9 = 0.0, $10 = 0.0, $sub56 = 0.0, $cmp57 = 0, $add65 = 0.0, $sub73 = 0.0, $add65_sink = 0.0, $conv66 = 0, $11 = 0.0, $cmp79 = 0, $add84 = 0.0, $sub89 = 0.0, $add84_sink = 0.0, $conv85 = 0, $12 = 0.0, $cmp95 = 0, $add100 = 0.0, $sub105 = 0.0, $add100_sink = 0.0, $conv101 = 0, $13 = 0, $14 = 0.0, $15 = 0, label = 0, __stackBase__ = 0;
   138312   __stackBase__ = STACKTOP;
   138313   STACKTOP = STACKTOP + 16 | 0;
   138314   label = 2;
   138315   while (1) switch (label | 0) {
   138316    case 2:
   138317     $line_style = __stackBase__ | 0;
   138318     $style_val = __stackBase__ + 8 | 0;
   138319     $obj1 = $job + 16 | 0;
   138320     $0 = HEAP32[$obj1 >> 2] | 0;
   138321     $penwidth = $0 + 104 | 0;
   138322     $1 = +HEAPF64[$penwidth >> 3];
   138323     $conv = ~~$1;
   138324     $u = $0 + 16 | 0;
   138325     $index = $u;
   138326     $2 = HEAP32[$index >> 2] | 0;
   138327     $u2 = $0 + 56 | 0;
   138328     $index3 = $u2;
   138329     $3 = HEAP32[$index3 >> 2] | 0;
   138330     $4 = HEAP32[41980] | 0;
   138331     $tobool = ($filled | 0) != 0;
   138332     $cond = $tobool ? 20 : -1;
   138333     _fig_line_style($0, $line_style, $style_val);
   138334     $x = $A | 0;
   138335     $5 = +HEAPF64[$x >> 3];
   138336     $cmp = $5 < 0.0;
   138337     if ($cmp) {
   138338       label = 4;
   138339       break;
   138340     } else {
   138341       label = 3;
   138342       break;
   138343     }
   138344    case 3:
   138345     $add = $5 + .5;
   138346     $add_sink = $add;
   138347     label = 5;
   138348     break;
   138349    case 4:
   138350     $sub = $5 + -.5;
   138351     $add_sink = $sub;
   138352     label = 5;
   138353     break;
   138354    case 5:
   138355     $conv7 = ~~$add_sink;
   138356     $y = $A + 8 | 0;
   138357     $6 = +HEAPF64[$y >> 3];
   138358     $cmp13 = $6 < 0.0;
   138359     if ($cmp13) {
   138360       label = 7;
   138361       break;
   138362     } else {
   138363       label = 6;
   138364       break;
   138365     }
   138366    case 6:
   138367     $add18 = $6 + .5;
   138368     $add18_sink = $add18;
   138369     label = 8;
   138370     break;
   138371    case 7:
   138372     $sub23 = $6 + -.5;
   138373     $add18_sink = $sub23;
   138374     label = 8;
   138375     break;
   138376    case 8:
   138377     $conv19 = ~~$add18_sink;
   138378     $x28 = $A + 16 | 0;
   138379     $7 = +HEAPF64[$x28 >> 3];
   138380     $8 = +HEAPF64[$x >> 3];
   138381     $sub31 = $7 - $8;
   138382     $cmp32 = $sub31 < 0.0;
   138383     if ($cmp32) {
   138384       label = 10;
   138385       break;
   138386     } else {
   138387       label = 9;
   138388       break;
   138389     }
   138390    case 9:
   138391     $add40 = $sub31 + .5;
   138392     $add40_sink = $add40;
   138393     label = 11;
   138394     break;
   138395    case 10:
   138396     $sub48 = $sub31 + -.5;
   138397     $add40_sink = $sub48;
   138398     label = 11;
   138399     break;
   138400    case 11:
   138401     $conv41 = ~~$add40_sink;
   138402     $y53 = $A + 24 | 0;
   138403     $9 = +HEAPF64[$y53 >> 3];
   138404     $10 = +HEAPF64[$y >> 3];
   138405     $sub56 = $9 - $10;
   138406     $cmp57 = $sub56 < 0.0;
   138407     if ($cmp57) {
   138408       label = 13;
   138409       break;
   138410     } else {
   138411       label = 12;
   138412       break;
   138413     }
   138414    case 12:
   138415     $add65 = $sub56 + .5;
   138416     $add65_sink = $add65;
   138417     label = 14;
   138418     break;
   138419    case 13:
   138420     $sub73 = $sub56 + -.5;
   138421     $add65_sink = $sub73;
   138422     label = 14;
   138423     break;
   138424    case 14:
   138425     $conv66 = ~~$add65_sink;
   138426     $11 = +HEAPF64[$x28 >> 3];
   138427     $cmp79 = $11 < 0.0;
   138428     if ($cmp79) {
   138429       label = 16;
   138430       break;
   138431     } else {
   138432       label = 15;
   138433       break;
   138434     }
   138435    case 15:
   138436     $add84 = $11 + .5;
   138437     $add84_sink = $add84;
   138438     label = 17;
   138439     break;
   138440    case 16:
   138441     $sub89 = $11 + -.5;
   138442     $add84_sink = $sub89;
   138443     label = 17;
   138444     break;
   138445    case 17:
   138446     $conv85 = ~~$add84_sink;
   138447     $12 = +HEAPF64[$y53 >> 3];
   138448     $cmp95 = $12 < 0.0;
   138449     if ($cmp95) {
   138450       label = 19;
   138451       break;
   138452     } else {
   138453       label = 18;
   138454       break;
   138455     }
   138456    case 18:
   138457     $add100 = $12 + .5;
   138458     $add100_sink = $add100;
   138459     label = 20;
   138460     break;
   138461    case 19:
   138462     $sub105 = $12 + -.5;
   138463     $add100_sink = $sub105;
   138464     label = 20;
   138465     break;
   138466    case 20:
   138467     $conv101 = ~~$add100_sink;
   138468     $13 = HEAP32[$line_style >> 2] | 0;
   138469     $14 = +HEAPF64[$style_val >> 3];
   138470     $15 = $job;
   138471     _gvprintf($15, 133128, (tempInt = STACKTOP, STACKTOP = STACKTOP + 160 | 0, HEAP32[tempInt >> 2] = 1, HEAP32[tempInt + 8 >> 2] = 1, HEAP32[tempInt + 16 >> 2] = $13, HEAP32[tempInt + 24 >> 2] = $conv, HEAP32[tempInt + 32 >> 2] = $2, HEAP32[tempInt + 40 >> 2] = $3, HEAP32[tempInt + 48 >> 2] = $4, HEAP32[tempInt + 56 >> 2] = 0, HEAP32[tempInt + 64 >> 2] = $cond, HEAPF64[tempInt + 72 >> 3] = $14, HEAP32[tempInt + 80 >> 2] = 0, HEAPF64[tempInt + 88 >> 3] = 0.0, HEAP32[tempInt + 96 >> 2] = $conv7, HEAP32[tempInt + 104 >> 2] = $conv19, HEAP32[tempInt + 112 >> 2] = $conv41, HEAP32[tempInt + 120 >> 2] = $conv66, HEAP32[tempInt + 128 >> 2] = $conv7, HEAP32[tempInt + 136 >> 2] = $conv19, HEAP32[tempInt + 144 >> 2] = $conv85, HEAP32[tempInt + 152 >> 2] = $conv101, tempInt) | 0);
   138472     STACKTOP = __stackBase__;
   138473     return;
   138474   }
   138475 }
   138476 function _fig_polygon($job, $A, $n, $filled) {
   138477   $job = $job | 0;
   138478   $A = $A | 0;
   138479   $n = $n | 0;
   138480   $filled = $filled | 0;
   138481   var $line_style = 0, $style_val = 0, $0 = 0, $conv = 0, $2 = 0, $3 = 0, $4 = 0, $5 = 0, $6 = 0.0, __stackBase__ = 0;
   138482   __stackBase__ = STACKTOP;
   138483   STACKTOP = STACKTOP + 16 | 0;
   138484   $line_style = __stackBase__ | 0;
   138485   $style_val = __stackBase__ + 8 | 0;
   138486   $0 = HEAP32[$job + 16 >> 2] | 0;
   138487   $conv = ~~+HEAPF64[$0 + 104 >> 3];
   138488   $2 = HEAP32[$0 + 16 >> 2] | 0;
   138489   $3 = HEAP32[$0 + 56 >> 2] | 0;
   138490   $4 = HEAP32[41980] | 0;
   138491   _fig_line_style($0, $line_style, $style_val);
   138492   $5 = HEAP32[$line_style >> 2] | 0;
   138493   $6 = +HEAPF64[$style_val >> 3];
   138494   _gvprintf($job, 157904, (tempInt = STACKTOP, STACKTOP = STACKTOP + 128 | 0, HEAP32[tempInt >> 2] = 2, HEAP32[tempInt + 8 >> 2] = 3, HEAP32[tempInt + 16 >> 2] = $5, HEAP32[tempInt + 24 >> 2] = $conv, HEAP32[tempInt + 32 >> 2] = $2, HEAP32[tempInt + 40 >> 2] = $3, HEAP32[tempInt + 48 >> 2] = $4, HEAP32[tempInt + 56 >> 2] = 0, HEAP32[tempInt + 64 >> 2] = ($filled | 0) != 0 ? 20 : -1, HEAPF64[tempInt + 72 >> 3] = $6, HEAP32[tempInt + 80 >> 2] = 0, HEAP32[tempInt + 88 >> 2] = 0, HEAP32[tempInt + 96 >> 2] = 0, HEAP32[tempInt + 104 >> 2] = 0, HEAP32[tempInt + 112 >> 2] = 0, HEAP32[tempInt + 120 >> 2] = $n + 1 | 0, tempInt) | 0);
   138495   _figptarray($job, $A, $n, 1);
   138496   STACKTOP = __stackBase__;
   138497   return;
   138498 }
   138499 function _fig_polyline($job, $A, $n) {
   138500   $job = $job | 0;
   138501   $A = $A | 0;
   138502   $n = $n | 0;
   138503   var $line_style = 0, $style_val = 0, $0 = 0, $conv = 0, $2 = 0, $3 = 0, $4 = 0, $5 = 0.0, __stackBase__ = 0;
   138504   __stackBase__ = STACKTOP;
   138505   STACKTOP = STACKTOP + 16 | 0;
   138506   $line_style = __stackBase__ | 0;
   138507   $style_val = __stackBase__ + 8 | 0;
   138508   $0 = HEAP32[$job + 16 >> 2] | 0;
   138509   $conv = ~~+HEAPF64[$0 + 104 >> 3];
   138510   $2 = HEAP32[$0 + 16 >> 2] | 0;
   138511   $3 = HEAP32[41980] | 0;
   138512   _fig_line_style($0, $line_style, $style_val);
   138513   $4 = HEAP32[$line_style >> 2] | 0;
   138514   $5 = +HEAPF64[$style_val >> 3];
   138515   _gvprintf($job, 157904, (tempInt = STACKTOP, STACKTOP = STACKTOP + 128 | 0, HEAP32[tempInt >> 2] = 2, HEAP32[tempInt + 8 >> 2] = 1, HEAP32[tempInt + 16 >> 2] = $4, HEAP32[tempInt + 24 >> 2] = $conv, HEAP32[tempInt + 32 >> 2] = $2, HEAP32[tempInt + 40 >> 2] = 0, HEAP32[tempInt + 48 >> 2] = $3, HEAP32[tempInt + 56 >> 2] = 0, HEAP32[tempInt + 64 >> 2] = 0, HEAPF64[tempInt + 72 >> 3] = $5, HEAP32[tempInt + 80 >> 2] = 0, HEAP32[tempInt + 88 >> 2] = 0, HEAP32[tempInt + 96 >> 2] = 0, HEAP32[tempInt + 104 >> 2] = 0, HEAP32[tempInt + 112 >> 2] = 0, HEAP32[tempInt + 120 >> 2] = $n, tempInt) | 0);
   138516   _figptarray($job, $A, $n, 0);
   138517   STACKTOP = __stackBase__;
   138518   return;
   138519 }
   138520 function _fig_comment($job, $str) {
   138521   $job = $job | 0;
   138522   $str = $str | 0;
   138523   var __stackBase__ = 0;
   138524   __stackBase__ = STACKTOP;
   138525   _gvprintf($job, 161568, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $str, tempInt) | 0);
   138526   STACKTOP = __stackBase__;
   138527   return;
   138528 }
   138529 function _fig_resolve_color($job, $color) {
   138530   $job = $job | 0;
   138531   $color = $color | 0;
   138532   var $new = 0, $type = 0, $0 = 0, $string = 0, $1 = 0, $2 = 0, $call = 0, $tobool7 = 0, $i_019_lcssa = 0, $index = 0, $rgba = 0, $arrayidx11 = 0, $3 = 0, $conv12 = 0, $arrayidx15 = 0, $4 = 0, $conv16 = 0, $arrayidx19 = 0, $5 = 0, $conv20 = 0, $call21 = 0, $add = 0, $6 = 0, $tobool22 = 0, $7 = 0, $conv27 = 0, $8 = 0, $conv31 = 0, $9 = 0, $conv35 = 0, $10 = 0, $index38 = 0, $call_1 = 0, $tobool7_1 = 0, $call_2 = 0, $tobool7_2 = 0, $call_3 = 0, $tobool7_3 = 0, $call_4 = 0, $tobool7_4 = 0, $call_5 = 0, $tobool7_5 = 0, $call_6 = 0, $tobool7_6 = 0, $call_7 = 0, $tobool7_7 = 0, label = 0, __stackBase__ = 0;
   138533   __stackBase__ = STACKTOP;
   138534   STACKTOP = STACKTOP + 8 | 0;
   138535   label = 2;
   138536   while (1) switch (label | 0) {
   138537    case 2:
   138538     $new = __stackBase__ | 0;
   138539     $type = $color + 32 | 0;
   138540     $0 = HEAP32[$type >> 2] | 0;
   138541     if (($0 | 0) == 5) {
   138542       label = 3;
   138543       break;
   138544     } else if (($0 | 0) == 1) {
   138545       label = 6;
   138546       break;
   138547     } else {
   138548       label = 9;
   138549       break;
   138550     }
   138551    case 3:
   138552     $string = $color;
   138553     $1 = HEAP32[$string >> 2] | 0;
   138554     $2 = HEAP8[$1] | 0;
   138555     if (($2 << 24 >> 24 | 0) == 98) {
   138556       label = 4;
   138557       break;
   138558     } else if (($2 << 24 >> 24 | 0) == 103) {
   138559       label = 12;
   138560       break;
   138561     } else if (($2 << 24 >> 24 | 0) == 99) {
   138562       label = 13;
   138563       break;
   138564     } else if (($2 << 24 >> 24 | 0) == 114) {
   138565       label = 14;
   138566       break;
   138567     } else if (($2 << 24 >> 24 | 0) == 109) {
   138568       label = 15;
   138569       break;
   138570     } else if (($2 << 24 >> 24 | 0) == 121) {
   138571       label = 16;
   138572       break;
   138573     } else if (($2 << 24 >> 24 | 0) == 119) {
   138574       label = 17;
   138575       break;
   138576     } else {
   138577       label = 10;
   138578       break;
   138579     }
   138580    case 4:
   138581     $call = _strcmp(124032, $1 | 0) | 0;
   138582     $tobool7 = ($call | 0) == 0;
   138583     if ($tobool7) {
   138584       $i_019_lcssa = 0;
   138585       label = 5;
   138586       break;
   138587     } else {
   138588       label = 11;
   138589       break;
   138590     }
   138591    case 5:
   138592     $index = $color;
   138593     HEAP32[$index >> 2] = $i_019_lcssa;
   138594     label = 10;
   138595     break;
   138596    case 6:
   138597     $rgba = $color;
   138598     $arrayidx11 = $color;
   138599     $3 = HEAP8[$arrayidx11] | 0;
   138600     $conv12 = $3 & 255;
   138601     $arrayidx15 = $rgba + 1 | 0;
   138602     $4 = HEAP8[$arrayidx15] | 0;
   138603     $conv16 = $4 & 255;
   138604     $arrayidx19 = $rgba + 2 | 0;
   138605     $5 = HEAP8[$arrayidx19] | 0;
   138606     $conv20 = $5 & 255;
   138607     $call21 = _figColorResolve($new, $conv12, $conv16, $conv20) | 0;
   138608     $add = $call21 + 32 | 0;
   138609     $6 = HEAP32[$new >> 2] | 0;
   138610     $tobool22 = ($6 | 0) == 0;
   138611     if ($tobool22) {
   138612       label = 8;
   138613       break;
   138614     } else {
   138615       label = 7;
   138616       break;
   138617     }
   138618    case 7:
   138619     $7 = HEAP8[$arrayidx11] | 0;
   138620     $conv27 = $7 & 255;
   138621     $8 = HEAP8[$arrayidx15] | 0;
   138622     $conv31 = $8 & 255;
   138623     $9 = HEAP8[$arrayidx19] | 0;
   138624     $conv35 = $9 & 255;
   138625     $10 = $job;
   138626     _gvprintf($10, 131208, (tempInt = STACKTOP, STACKTOP = STACKTOP + 40 | 0, HEAP32[tempInt >> 2] = 0, HEAP32[tempInt + 8 >> 2] = $add, HEAP32[tempInt + 16 >> 2] = $conv27, HEAP32[tempInt + 24 >> 2] = $conv31, HEAP32[tempInt + 32 >> 2] = $conv35, tempInt) | 0);
   138627     label = 8;
   138628     break;
   138629    case 8:
   138630     $index38 = $color;
   138631     HEAP32[$index38 >> 2] = $add;
   138632     label = 10;
   138633     break;
   138634    case 9:
   138635     ___assert_func(147824, 165, 164256, 129376);
   138636    case 10:
   138637     HEAP32[$type >> 2] = 6;
   138638     STACKTOP = __stackBase__;
   138639     return;
   138640    case 11:
   138641     $call_1 = _strcmp(116592, $1 | 0) | 0;
   138642     $tobool7_1 = ($call_1 | 0) == 0;
   138643     if ($tobool7_1) {
   138644       $i_019_lcssa = 1;
   138645       label = 5;
   138646       break;
   138647     } else {
   138648       label = 10;
   138649       break;
   138650     }
   138651    case 12:
   138652     $call_2 = _strcmp(107144, $1 | 0) | 0;
   138653     $tobool7_2 = ($call_2 | 0) == 0;
   138654     if ($tobool7_2) {
   138655       $i_019_lcssa = 2;
   138656       label = 5;
   138657       break;
   138658     } else {
   138659       label = 10;
   138660       break;
   138661     }
   138662    case 13:
   138663     $call_3 = _strcmp(111440, $1 | 0) | 0;
   138664     $tobool7_3 = ($call_3 | 0) == 0;
   138665     if ($tobool7_3) {
   138666       $i_019_lcssa = 3;
   138667       label = 5;
   138668       break;
   138669     } else {
   138670       label = 10;
   138671       break;
   138672     }
   138673    case 14:
   138674     $call_4 = _strcmp(98984, $1 | 0) | 0;
   138675     $tobool7_4 = ($call_4 | 0) == 0;
   138676     if ($tobool7_4) {
   138677       $i_019_lcssa = 4;
   138678       label = 5;
   138679       break;
   138680     } else {
   138681       label = 10;
   138682       break;
   138683     }
   138684    case 15:
   138685     $call_5 = _strcmp(103136, $1 | 0) | 0;
   138686     $tobool7_5 = ($call_5 | 0) == 0;
   138687     if ($tobool7_5) {
   138688       $i_019_lcssa = 5;
   138689       label = 5;
   138690       break;
   138691     } else {
   138692       label = 10;
   138693       break;
   138694     }
   138695    case 16:
   138696     $call_6 = _strcmp(91416, $1 | 0) | 0;
   138697     $tobool7_6 = ($call_6 | 0) == 0;
   138698     if ($tobool7_6) {
   138699       $i_019_lcssa = 6;
   138700       label = 5;
   138701       break;
   138702     } else {
   138703       label = 10;
   138704       break;
   138705     }
   138706    case 17:
   138707     $call_7 = _strcmp(94944, $1 | 0) | 0;
   138708     $tobool7_7 = ($call_7 | 0) == 0;
   138709     if ($tobool7_7) {
   138710       $i_019_lcssa = 7;
   138711       label = 5;
   138712       break;
   138713     } else {
   138714       label = 10;
   138715       break;
   138716     }
   138717   }
   138718 }
   138719 function _fig_bezier($job, $A, $n, $arrow_at_start, $arrow_at_end, $filled) {
   138720   $job = $job | 0;
   138721   $A = $A | 0;
   138722   $n = $n | 0;
   138723   $arrow_at_start = $arrow_at_start | 0;
   138724   $arrow_at_end = $arrow_at_end | 0;
   138725   $filled = $filled | 0;
   138726   var $line_style = 0, $style_val = 0, $V = 0, $tmp = 0, $obj1 = 0, $0 = 0, $penwidth = 0, $1 = 0.0, $conv = 0, $u = 0, $index = 0, $2 = 0, $3 = 0, $cmp = 0, $4 = 0, $mul5 = 0, $call = 0, $tobool = 0, $5 = 0, $u9 = 0, $index10 = 0, $6 = 0, $area_fill_0 = 0, $fill_color_0 = 0, $sub_type_0 = 0, $x = 0, $7 = 0.0, $arrayidx11 = 0, $x12 = 0, $y = 0, $8 = 0.0, $y15 = 0, $9 = 0.0, $cmp18 = 0, $add23 = 0.0, $sub = 0.0, $add23_sink = 0.0, $conv24 = 0, $10 = 0.0, $cmp33 = 0, $add38 = 0.0, $sub43 = 0.0, $add38_sink = 0.0, $conv39 = 0, $call50 = 0, $cmp5251 = 0, $add_ptr = 0, $arrayidx54 = 0, $11 = 0, $12 = 0, $pf_sroa_0_0__idx = 0, $pf_sroa_1_8__idx22 = 0, $13 = 0, $add51 = 0, $cmp52 = 0, $add5155 = 0, $buf_054 = 0, $count_053 = 0, $i_052 = 0, $add60 = 0, $x62 = 0, $14 = 0.0, $x64 = 0, $y67 = 0, $15 = 0.0, $y69 = 0, $add60_1 = 0, $x62_1 = 0, $16 = 0.0, $x64_1 = 0, $y67_1 = 0, $17 = 0.0, $y69_1 = 0, $add60_2 = 0, $x62_2 = 0, $18 = 0.0, $x64_2 = 0, $y67_2 = 0, $19 = 0.0, $y69_2 = 0, $buf_150 = 0, $step_048 = 0, $conv76 = 0.0, $div = 0.0, $pf_sroa_0_0_copyload = 0.0, $pf_sroa_1_8_copyload = 0.0, $cmp78 = 0, $add82 = 0.0, $sub86 = 0.0, $add82_sink = 0.0, $conv83 = 0, $cmp92 = 0, $add96 = 0.0, $sub100 = 0.0, $add96_sink = 0.0, $conv97 = 0, $call107 = 0, $add_ptr108 = 0, $inc110 = 0, $cmp72 = 0, $count_0_lcssa = 0, $20 = 0, $21 = 0.0, $22 = 0, $cmp11645 = 0, $sub119 = 0, $i_146 = 0, $rem = 0, $tobool120 = 0, $cond121 = 0, $inc123 = 0, $cmp116 = 0, $call125 = 0, label = 0, __stackBase__ = 0;
   138727   __stackBase__ = STACKTOP;
   138728   STACKTOP = STACKTOP + 96 | 0;
   138729   label = 2;
   138730   while (1) switch (label | 0) {
   138731    case 2:
   138732     $line_style = __stackBase__ | 0;
   138733     $style_val = __stackBase__ + 8 | 0;
   138734     $V = __stackBase__ + 16 | 0;
   138735     $tmp = __stackBase__ + 80 | 0;
   138736     $obj1 = $job + 16 | 0;
   138737     $0 = HEAP32[$obj1 >> 2] | 0;
   138738     $penwidth = $0 + 104 | 0;
   138739     $1 = +HEAPF64[$penwidth >> 3];
   138740     $conv = ~~$1;
   138741     $u = $0 + 16 | 0;
   138742     $index = $u;
   138743     $2 = HEAP32[$index >> 2] | 0;
   138744     $3 = HEAP32[41980] | 0;
   138745     $cmp = ($n | 0) > 3;
   138746     if ($cmp) {
   138747       label = 4;
   138748       break;
   138749     } else {
   138750       label = 3;
   138751       break;
   138752     }
   138753    case 3:
   138754     ___assert_func(147824, 354, 164280, 145040);
   138755    case 4:
   138756     $4 = $n * 140 & -1;
   138757     $mul5 = $4 + 140 | 0;
   138758     $call = _malloc($mul5) | 0;
   138759     _fig_line_style($0, $line_style, $style_val);
   138760     $tobool = ($filled | 0) == 0;
   138761     if ($tobool) {
   138762       $sub_type_0 = 4;
   138763       $fill_color_0 = 0;
   138764       $area_fill_0 = -1;
   138765       label = 6;
   138766       break;
   138767     } else {
   138768       label = 5;
   138769       break;
   138770     }
   138771    case 5:
   138772     $5 = HEAP32[$obj1 >> 2] | 0;
   138773     $u9 = $5 + 56 | 0;
   138774     $index10 = $u9;
   138775     $6 = HEAP32[$index10 >> 2] | 0;
   138776     $sub_type_0 = 5;
   138777     $fill_color_0 = $6;
   138778     $area_fill_0 = 20;
   138779     label = 6;
   138780     break;
   138781    case 6:
   138782     $x = $A | 0;
   138783     $7 = +HEAPF64[$x >> 3];
   138784     $arrayidx11 = $V + 48 | 0;
   138785     $x12 = $arrayidx11 | 0;
   138786     HEAPF64[$x12 >> 3] = $7;
   138787     $y = $A + 8 | 0;
   138788     $8 = +HEAPF64[$y >> 3];
   138789     $y15 = $V + 56 | 0;
   138790     HEAPF64[$y15 >> 3] = $8;
   138791     $9 = +HEAPF64[$x >> 3];
   138792     $cmp18 = $9 < 0.0;
   138793     if ($cmp18) {
   138794       label = 8;
   138795       break;
   138796     } else {
   138797       label = 7;
   138798       break;
   138799     }
   138800    case 7:
   138801     $add23 = $9 + .5;
   138802     $add23_sink = $add23;
   138803     label = 9;
   138804     break;
   138805    case 8:
   138806     $sub = $9 + -.5;
   138807     $add23_sink = $sub;
   138808     label = 9;
   138809     break;
   138810    case 9:
   138811     $conv24 = ~~$add23_sink;
   138812     $10 = +HEAPF64[$y >> 3];
   138813     $cmp33 = $10 < 0.0;
   138814     if ($cmp33) {
   138815       label = 11;
   138816       break;
   138817     } else {
   138818       label = 10;
   138819       break;
   138820     }
   138821    case 10:
   138822     $add38 = $10 + .5;
   138823     $add38_sink = $add38;
   138824     label = 12;
   138825     break;
   138826    case 11:
   138827     $sub43 = $10 + -.5;
   138828     $add38_sink = $sub43;
   138829     label = 12;
   138830     break;
   138831    case 12:
   138832     $conv39 = ~~$add38_sink;
   138833     $call50 = _sprintf($call | 0, 154544, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $conv24, HEAP32[tempInt + 8 >> 2] = $conv39, tempInt) | 0) | 0;
   138834     $cmp5251 = ($n | 0) > 3;
   138835     if ($cmp5251) {
   138836       label = 13;
   138837       break;
   138838     } else {
   138839       $count_0_lcssa = 1;
   138840       label = 23;
   138841       break;
   138842     }
   138843    case 13:
   138844     $add_ptr = $call + $call50 | 0;
   138845     $arrayidx54 = $V | 0;
   138846     $11 = $V;
   138847     $12 = $arrayidx11;
   138848     $pf_sroa_0_0__idx = $tmp | 0;
   138849     $pf_sroa_1_8__idx22 = $tmp + 8 | 0;
   138850     $i_052 = 0;
   138851     $count_053 = 1;
   138852     $buf_054 = $add_ptr;
   138853     $add5155 = 3;
   138854     label = 15;
   138855     break;
   138856    case 14:
   138857     $13 = $count_053 + 6 | 0;
   138858     $add51 = $add5155 + 3 | 0;
   138859     $cmp52 = ($add51 | 0) < ($n | 0);
   138860     if ($cmp52) {
   138861       $i_052 = $add5155;
   138862       $count_053 = $13;
   138863       $buf_054 = $add_ptr108;
   138864       $add5155 = $add51;
   138865       label = 15;
   138866       break;
   138867     } else {
   138868       $count_0_lcssa = $13;
   138869       label = 23;
   138870       break;
   138871     }
   138872    case 15:
   138873     HEAP32[$11 >> 2] = HEAP32[$12 >> 2] | 0;
   138874     HEAP32[$11 + 4 >> 2] = HEAP32[$12 + 4 >> 2] | 0;
   138875     HEAP32[$11 + 8 >> 2] = HEAP32[$12 + 8 >> 2] | 0;
   138876     HEAP32[$11 + 12 >> 2] = HEAP32[$12 + 12 >> 2] | 0;
   138877     $add60 = $i_052 + 1 | 0;
   138878     $x62 = $A + ($add60 << 4) | 0;
   138879     $14 = +HEAPF64[$x62 >> 3];
   138880     $x64 = $V + 16 | 0;
   138881     HEAPF64[$x64 >> 3] = $14;
   138882     $y67 = $A + ($add60 << 4) + 8 | 0;
   138883     $15 = +HEAPF64[$y67 >> 3];
   138884     $y69 = $V + 24 | 0;
   138885     HEAPF64[$y69 >> 3] = $15;
   138886     $add60_1 = $i_052 + 2 | 0;
   138887     $x62_1 = $A + ($add60_1 << 4) | 0;
   138888     $16 = +HEAPF64[$x62_1 >> 3];
   138889     $x64_1 = $V + 32 | 0;
   138890     HEAPF64[$x64_1 >> 3] = $16;
   138891     $y67_1 = $A + ($add60_1 << 4) + 8 | 0;
   138892     $17 = +HEAPF64[$y67_1 >> 3];
   138893     $y69_1 = $V + 40 | 0;
   138894     HEAPF64[$y69_1 >> 3] = $17;
   138895     $add60_2 = $i_052 + 3 | 0;
   138896     $x62_2 = $A + ($add60_2 << 4) | 0;
   138897     $18 = +HEAPF64[$x62_2 >> 3];
   138898     $x64_2 = $V + 48 | 0;
   138899     HEAPF64[$x64_2 >> 3] = $18;
   138900     $y67_2 = $A + ($add60_2 << 4) + 8 | 0;
   138901     $19 = +HEAPF64[$y67_2 >> 3];
   138902     $y69_2 = $V + 56 | 0;
   138903     HEAPF64[$y69_2 >> 3] = $19;
   138904     $step_048 = 1;
   138905     $buf_150 = $buf_054;
   138906     label = 16;
   138907     break;
   138908    case 16:
   138909     $conv76 = +($step_048 | 0);
   138910     $div = $conv76 / 6.0;
   138911     _Bezier($tmp, $arrayidx54, 3, $div, 0, 0);
   138912     $pf_sroa_0_0_copyload = +HEAPF64[$pf_sroa_0_0__idx >> 3];
   138913     $pf_sroa_1_8_copyload = +HEAPF64[$pf_sroa_1_8__idx22 >> 3];
   138914     $cmp78 = $pf_sroa_0_0_copyload < 0.0;
   138915     if ($cmp78) {
   138916       label = 18;
   138917       break;
   138918     } else {
   138919       label = 17;
   138920       break;
   138921     }
   138922    case 17:
   138923     $add82 = $pf_sroa_0_0_copyload + .5;
   138924     $add82_sink = $add82;
   138925     label = 19;
   138926     break;
   138927    case 18:
   138928     $sub86 = $pf_sroa_0_0_copyload + -.5;
   138929     $add82_sink = $sub86;
   138930     label = 19;
   138931     break;
   138932    case 19:
   138933     $conv83 = ~~$add82_sink;
   138934     $cmp92 = $pf_sroa_1_8_copyload < 0.0;
   138935     if ($cmp92) {
   138936       label = 21;
   138937       break;
   138938     } else {
   138939       label = 20;
   138940       break;
   138941     }
   138942    case 20:
   138943     $add96 = $pf_sroa_1_8_copyload + .5;
   138944     $add96_sink = $add96;
   138945     label = 22;
   138946     break;
   138947    case 21:
   138948     $sub100 = $pf_sroa_1_8_copyload + -.5;
   138949     $add96_sink = $sub100;
   138950     label = 22;
   138951     break;
   138952    case 22:
   138953     $conv97 = ~~$add96_sink;
   138954     $call107 = _sprintf($buf_150 | 0, 154544, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $conv83, HEAP32[tempInt + 8 >> 2] = $conv97, tempInt) | 0) | 0;
   138955     $add_ptr108 = $buf_150 + $call107 | 0;
   138956     $inc110 = $step_048 + 1 | 0;
   138957     $cmp72 = ($inc110 | 0) < 7;
   138958     if ($cmp72) {
   138959       $step_048 = $inc110;
   138960       $buf_150 = $add_ptr108;
   138961       label = 16;
   138962       break;
   138963     } else {
   138964       label = 14;
   138965       break;
   138966     }
   138967    case 23:
   138968     $20 = HEAP32[$line_style >> 2] | 0;
   138969     $21 = +HEAPF64[$style_val >> 3];
   138970     $22 = $job;
   138971     _gvprintf($22, 141968, (tempInt = STACKTOP, STACKTOP = STACKTOP + 112 | 0, HEAP32[tempInt >> 2] = 3, HEAP32[tempInt + 8 >> 2] = $sub_type_0, HEAP32[tempInt + 16 >> 2] = $20, HEAP32[tempInt + 24 >> 2] = $conv, HEAP32[tempInt + 32 >> 2] = $2, HEAP32[tempInt + 40 >> 2] = $fill_color_0, HEAP32[tempInt + 48 >> 2] = $3, HEAP32[tempInt + 56 >> 2] = 0, HEAP32[tempInt + 64 >> 2] = $area_fill_0, HEAPF64[tempInt + 72 >> 3] = $21, HEAP32[tempInt + 80 >> 2] = 0, HEAP32[tempInt + 88 >> 2] = 0, HEAP32[tempInt + 96 >> 2] = 0, HEAP32[tempInt + 104 >> 2] = $count_0_lcssa, tempInt) | 0);
   138972     _gvprintf($22, 138432, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $call, tempInt) | 0);
   138973     _free($call);
   138974     $cmp11645 = ($count_0_lcssa | 0) > 0;
   138975     if ($cmp11645) {
   138976       label = 24;
   138977       break;
   138978     } else {
   138979       label = 26;
   138980       break;
   138981     }
   138982    case 24:
   138983     $sub119 = $count_0_lcssa - 1 | 0;
   138984     $i_146 = 0;
   138985     label = 25;
   138986     break;
   138987    case 25:
   138988     $rem = ($i_146 | 0) % ($sub119 | 0);
   138989     $tobool120 = ($rem | 0) != 0;
   138990     $cond121 = $tobool120 & 1;
   138991     _gvprintf($22, 135680, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $cond121, tempInt) | 0);
   138992     $inc123 = $i_146 + 1 | 0;
   138993     $cmp116 = ($inc123 | 0) < ($count_0_lcssa | 0);
   138994     if ($cmp116) {
   138995       $i_146 = $inc123;
   138996       label = 25;
   138997       break;
   138998     } else {
   138999       label = 26;
   139000       break;
   139001     }
   139002    case 26:
   139003     $call125 = _gvputs($22, 150856) | 0;
   139004     STACKTOP = __stackBase__;
   139005     return;
   139006   }
   139007 }
   139008 function _figColorResolve($new, $r, $g, $b) {
   139009   $new = $new | 0;
   139010   $r = $r | 0;
   139011   $g = $g | 0;
   139012   $b = $b | 0;
   139013   var $0 = 0, $cmp23 = 0, $inc1630 = 0, $1 = 0, $mindist_026 = 0, $c_025 = 0, $ct_024 = 0, $arrayidx = 0, $2 = 0, $conv = 0, $sub = 0, $arrayidx1 = 0, $3 = 0, $conv2 = 0, $sub3 = 0, $arrayidx4 = 0, $4 = 0, $conv5 = 0, $sub6 = 0, $mul = 0, $mul7 = 0, $add = 0, $mul8 = 0, $add9 = 0, $cmp10 = 0, $cmp12 = 0, $ct_1 = 0, $mindist_1 = 0, $inc = 0, $cmp = 0, $inc16 = 0, $cmp17 = 0, $c_0_lcssa32 = 0, $conv21 = 0, $arrayidx22 = 0, $conv23 = 0, $arrayidx24 = 0, $conv25 = 0, $arrayidx26 = 0, $retval_0 = 0, label = 0;
   139014   label = 2;
   139015   while (1) switch (label | 0) {
   139016    case 2:
   139017     HEAP32[$new >> 2] = 0;
   139018     $0 = HEAP32[4154] | 0;
   139019     $cmp23 = ($0 | 0) > 0;
   139020     if ($cmp23) {
   139021       label = 4;
   139022       break;
   139023     } else {
   139024       label = 3;
   139025       break;
   139026     }
   139027    case 3:
   139028     $inc1630 = $0 + 1 | 0;
   139029     HEAP32[4154] = $inc1630;
   139030     $c_0_lcssa32 = 0;
   139031     label = 9;
   139032     break;
   139033    case 4:
   139034     $1 = HEAP32[4154] | 0;
   139035     $ct_024 = -1;
   139036     $c_025 = 0;
   139037     $mindist_026 = 195075;
   139038     label = 5;
   139039     break;
   139040    case 5:
   139041     $arrayidx = 16624 + ($c_025 << 1) | 0;
   139042     $2 = HEAP16[$arrayidx >> 1] | 0;
   139043     $conv = $2 << 16 >> 16;
   139044     $sub = $conv - $r | 0;
   139045     $arrayidx1 = 17136 + ($c_025 << 1) | 0;
   139046     $3 = HEAP16[$arrayidx1 >> 1] | 0;
   139047     $conv2 = $3 << 16 >> 16;
   139048     $sub3 = $conv2 - $g | 0;
   139049     $arrayidx4 = 17648 + ($c_025 << 1) | 0;
   139050     $4 = HEAP16[$arrayidx4 >> 1] | 0;
   139051     $conv5 = $4 << 16 >> 16;
   139052     $sub6 = $conv5 - $b | 0;
   139053     $mul = Math_imul($sub, $sub);
   139054     $mul7 = Math_imul($sub3, $sub3);
   139055     $add = $mul7 + $mul | 0;
   139056     $mul8 = Math_imul($sub6, $sub6);
   139057     $add9 = $add + $mul8 | 0;
   139058     $cmp10 = ($add9 | 0) < ($mindist_026 | 0);
   139059     if ($cmp10) {
   139060       label = 6;
   139061       break;
   139062     } else {
   139063       $mindist_1 = $mindist_026;
   139064       $ct_1 = $ct_024;
   139065       label = 7;
   139066       break;
   139067     }
   139068    case 6:
   139069     $cmp12 = ($add9 | 0) == 0;
   139070     if ($cmp12) {
   139071       $retval_0 = $c_025;
   139072       label = 10;
   139073       break;
   139074     } else {
   139075       $mindist_1 = $add9;
   139076       $ct_1 = $c_025;
   139077       label = 7;
   139078       break;
   139079     }
   139080    case 7:
   139081     $inc = $c_025 + 1 | 0;
   139082     $cmp = ($inc | 0) < ($1 | 0);
   139083     if ($cmp) {
   139084       $ct_024 = $ct_1;
   139085       $c_025 = $inc;
   139086       $mindist_026 = $mindist_1;
   139087       label = 5;
   139088       break;
   139089     } else {
   139090       label = 8;
   139091       break;
   139092     }
   139093    case 8:
   139094     $inc16 = $1 + 1 | 0;
   139095     HEAP32[4154] = $inc16;
   139096     $cmp17 = ($1 | 0) == 256;
   139097     if ($cmp17) {
   139098       $retval_0 = $ct_1;
   139099       label = 10;
   139100       break;
   139101     } else {
   139102       $c_0_lcssa32 = $inc;
   139103       label = 9;
   139104       break;
   139105     }
   139106    case 9:
   139107     $conv21 = $r & 65535;
   139108     $arrayidx22 = 16624 + ($c_0_lcssa32 << 1) | 0;
   139109     HEAP16[$arrayidx22 >> 1] = $conv21;
   139110     $conv23 = $g & 65535;
   139111     $arrayidx24 = 17136 + ($c_0_lcssa32 << 1) | 0;
   139112     HEAP16[$arrayidx24 >> 1] = $conv23;
   139113     $conv25 = $b & 65535;
   139114     $arrayidx26 = 17648 + ($c_0_lcssa32 << 1) | 0;
   139115     HEAP16[$arrayidx26 >> 1] = $conv25;
   139116     HEAP32[$new >> 2] = 1;
   139117     $retval_0 = $c_0_lcssa32;
   139118     label = 10;
   139119     break;
   139120    case 10:
   139121     return $retval_0 | 0;
   139122   }
   139123   return 0;
   139124 }
   139125 function _figptarray($job, $A, $n, $close) {
   139126   $job = $job | 0;
   139127   $A = $A | 0;
   139128   $n = $n | 0;
   139129   $close = $close | 0;
   139130   var $cmp17 = 0, $0 = 0, $i_018 = 0, $x = 0, $1 = 0.0, $cmp1 = 0, $add = 0.0, $sub = 0.0, $add_sink = 0.0, $conv = 0, $y = 0, $2 = 0.0, $cmp9 = 0, $add14 = 0.0, $sub19 = 0.0, $add14_sink = 0.0, $conv15 = 0, $inc = 0, $cmp = 0, $tobool = 0, $x27 = 0, $3 = 0.0, $cmp28 = 0, $add33 = 0.0, $sub38 = 0.0, $add33_sink = 0.0, $conv34 = 0, $y44 = 0, $4 = 0.0, $cmp45 = 0, $add50 = 0.0, $sub55 = 0.0, $add50_sink = 0.0, $conv51 = 0, $5 = 0, $6 = 0, $call = 0, label = 0, __stackBase__ = 0;
   139131   __stackBase__ = STACKTOP;
   139132   label = 2;
   139133   while (1) switch (label | 0) {
   139134    case 2:
   139135     $cmp17 = ($n | 0) > 0;
   139136     if ($cmp17) {
   139137       label = 3;
   139138       break;
   139139     } else {
   139140       label = 11;
   139141       break;
   139142     }
   139143    case 3:
   139144     $0 = $job;
   139145     $i_018 = 0;
   139146     label = 4;
   139147     break;
   139148    case 4:
   139149     $x = $A + ($i_018 << 4) | 0;
   139150     $1 = +HEAPF64[$x >> 3];
   139151     $cmp1 = $1 < 0.0;
   139152     if ($cmp1) {
   139153       label = 6;
   139154       break;
   139155     } else {
   139156       label = 5;
   139157       break;
   139158     }
   139159    case 5:
   139160     $add = $1 + .5;
   139161     $add_sink = $add;
   139162     label = 7;
   139163     break;
   139164    case 6:
   139165     $sub = $1 + -.5;
   139166     $add_sink = $sub;
   139167     label = 7;
   139168     break;
   139169    case 7:
   139170     $conv = ~~$add_sink;
   139171     $y = $A + ($i_018 << 4) + 8 | 0;
   139172     $2 = +HEAPF64[$y >> 3];
   139173     $cmp9 = $2 < 0.0;
   139174     if ($cmp9) {
   139175       label = 9;
   139176       break;
   139177     } else {
   139178       label = 8;
   139179       break;
   139180     }
   139181    case 8:
   139182     $add14 = $2 + .5;
   139183     $add14_sink = $add14;
   139184     label = 10;
   139185     break;
   139186    case 9:
   139187     $sub19 = $2 + -.5;
   139188     $add14_sink = $sub19;
   139189     label = 10;
   139190     break;
   139191    case 10:
   139192     $conv15 = ~~$add14_sink;
   139193     _gvprintf($0, 154544, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $conv, HEAP32[tempInt + 8 >> 2] = $conv15, tempInt) | 0);
   139194     $inc = $i_018 + 1 | 0;
   139195     $cmp = ($inc | 0) < ($n | 0);
   139196     if ($cmp) {
   139197       $i_018 = $inc;
   139198       label = 4;
   139199       break;
   139200     } else {
   139201       label = 11;
   139202       break;
   139203     }
   139204    case 11:
   139205     $tobool = ($close | 0) == 0;
   139206     if ($tobool) {
   139207       label = 19;
   139208       break;
   139209     } else {
   139210       label = 12;
   139211       break;
   139212     }
   139213    case 12:
   139214     $x27 = $A | 0;
   139215     $3 = +HEAPF64[$x27 >> 3];
   139216     $cmp28 = $3 < 0.0;
   139217     if ($cmp28) {
   139218       label = 14;
   139219       break;
   139220     } else {
   139221       label = 13;
   139222       break;
   139223     }
   139224    case 13:
   139225     $add33 = $3 + .5;
   139226     $add33_sink = $add33;
   139227     label = 15;
   139228     break;
   139229    case 14:
   139230     $sub38 = $3 + -.5;
   139231     $add33_sink = $sub38;
   139232     label = 15;
   139233     break;
   139234    case 15:
   139235     $conv34 = ~~$add33_sink;
   139236     $y44 = $A + 8 | 0;
   139237     $4 = +HEAPF64[$y44 >> 3];
   139238     $cmp45 = $4 < 0.0;
   139239     if ($cmp45) {
   139240       label = 17;
   139241       break;
   139242     } else {
   139243       label = 16;
   139244       break;
   139245     }
   139246    case 16:
   139247     $add50 = $4 + .5;
   139248     $add50_sink = $add50;
   139249     label = 18;
   139250     break;
   139251    case 17:
   139252     $sub55 = $4 + -.5;
   139253     $add50_sink = $sub55;
   139254     label = 18;
   139255     break;
   139256    case 18:
   139257     $conv51 = ~~$add50_sink;
   139258     $5 = $job;
   139259     _gvprintf($5, 154544, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $conv34, HEAP32[tempInt + 8 >> 2] = $conv51, tempInt) | 0);
   139260     label = 19;
   139261     break;
   139262    case 19:
   139263     $6 = $job;
   139264     $call = _gvputs($6, 150856) | 0;
   139265     STACKTOP = __stackBase__;
   139266     return;
   139267   }
   139268 }
   139269 function _fig_string($s) {
   139270   $s = $s | 0;
   139271   var $0 = 0, $tobool = 0, $call = 0, $1 = 0, $2 = 0, $tobool114 = 0, $3 = 0, $s_pn = 0, $p_016 = 0, $pos_015 = 0, $incdec_ptr17 = 0, $4 = 0, $sub = 0, $cmp = 0, $mul3 = 0, $5 = 0, $call5 = 0, $add_ptr = 0, $p_1 = 0, $cmp7 = 0, $cmp11 = 0, $incdec_ptr14 = 0, $inc = 0, $pos_1 = 0, $p_2 = 0, $incdec_ptr16 = 0, $inc17 = 0, $conv = 0, $incdec_ptr18 = 0, $call20 = 0, $add_ptr21 = 0, $add = 0, $pos_0_be = 0, $p_0_be = 0, $6 = 0, $tobool1 = 0, $p_0_lcssa = 0, $7 = 0, label = 0, __stackBase__ = 0;
   139272   __stackBase__ = STACKTOP;
   139273   label = 2;
   139274   while (1) switch (label | 0) {
   139275    case 2:
   139276     $0 = HEAP32[4114] | 0;
   139277     $tobool = ($0 | 0) == 0;
   139278     if ($tobool) {
   139279       label = 3;
   139280       break;
   139281     } else {
   139282       label = 4;
   139283       break;
   139284     }
   139285    case 3:
   139286     HEAP32[4112] = 64;
   139287     $call = _malloc(64) | 0;
   139288     HEAP32[4114] = $call;
   139289     label = 4;
   139290     break;
   139291    case 4:
   139292     $1 = HEAP32[4114] | 0;
   139293     $2 = HEAP8[$s] | 0;
   139294     $tobool114 = $2 << 24 >> 24 == 0;
   139295     if ($tobool114) {
   139296       $p_0_lcssa = $1;
   139297       label = 13;
   139298       break;
   139299     } else {
   139300       $pos_015 = 0;
   139301       $p_016 = $1;
   139302       $s_pn = $s;
   139303       $3 = $2;
   139304       label = 5;
   139305       break;
   139306     }
   139307    case 5:
   139308     $incdec_ptr17 = $s_pn + 1 | 0;
   139309     $4 = HEAP32[4112] | 0;
   139310     $sub = $4 - 8 | 0;
   139311     $cmp = ($pos_015 | 0) > ($sub | 0);
   139312     if ($cmp) {
   139313       label = 6;
   139314       break;
   139315     } else {
   139316       $p_1 = $p_016;
   139317       label = 7;
   139318       break;
   139319     }
   139320    case 6:
   139321     $mul3 = $4 << 1;
   139322     HEAP32[4112] = $mul3;
   139323     $5 = HEAP32[4114] | 0;
   139324     $call5 = _realloc($5, $mul3) | 0;
   139325     HEAP32[4114] = $call5;
   139326     $add_ptr = $call5 + $pos_015 | 0;
   139327     $p_1 = $add_ptr;
   139328     label = 7;
   139329     break;
   139330    case 7:
   139331     $cmp7 = $3 << 24 >> 24 > -1;
   139332     if ($cmp7) {
   139333       label = 8;
   139334       break;
   139335     } else {
   139336       label = 11;
   139337       break;
   139338     }
   139339    case 8:
   139340     $cmp11 = $3 << 24 >> 24 == 92;
   139341     if ($cmp11) {
   139342       label = 9;
   139343       break;
   139344     } else {
   139345       $p_2 = $p_1;
   139346       $pos_1 = $pos_015;
   139347       label = 10;
   139348       break;
   139349     }
   139350    case 9:
   139351     $incdec_ptr14 = $p_1 + 1 | 0;
   139352     HEAP8[$p_1] = 92;
   139353     $inc = $pos_015 + 1 | 0;
   139354     $p_2 = $incdec_ptr14;
   139355     $pos_1 = $inc;
   139356     label = 10;
   139357     break;
   139358    case 10:
   139359     $incdec_ptr16 = $p_2 + 1 | 0;
   139360     HEAP8[$p_2] = $3;
   139361     $inc17 = $pos_1 + 1 | 0;
   139362     $p_0_be = $incdec_ptr16;
   139363     $pos_0_be = $inc17;
   139364     label = 12;
   139365     break;
   139366    case 11:
   139367     $conv = $3 & 255;
   139368     $incdec_ptr18 = $p_1 + 1 | 0;
   139369     HEAP8[$p_1] = 92;
   139370     $call20 = _sprintf($incdec_ptr18 | 0, 124536, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $conv, tempInt) | 0) | 0;
   139371     $add_ptr21 = $p_1 + 4 | 0;
   139372     $add = $pos_015 + 4 | 0;
   139373     $p_0_be = $add_ptr21;
   139374     $pos_0_be = $add;
   139375     label = 12;
   139376     break;
   139377    case 12:
   139378     $6 = HEAP8[$incdec_ptr17] | 0;
   139379     $tobool1 = $6 << 24 >> 24 == 0;
   139380     if ($tobool1) {
   139381       $p_0_lcssa = $p_0_be;
   139382       label = 13;
   139383       break;
   139384     } else {
   139385       $pos_015 = $pos_0_be;
   139386       $p_016 = $p_0_be;
   139387       $s_pn = $incdec_ptr17;
   139388       $3 = $6;
   139389       label = 5;
   139390       break;
   139391     }
   139392    case 13:
   139393     HEAP8[$p_0_lcssa] = 0;
   139394     $7 = HEAP32[4114] | 0;
   139395     STACKTOP = __stackBase__;
   139396     return $7 | 0;
   139397   }
   139398   return 0;
   139399 }
   139400 function _map_begin_page($job) {
   139401   $job = $job | 0;
   139402   var $obj1 = 0, $0 = 0, $id = 0, $1 = 0, $2 = 0, $call = 0, $url = 0, $3 = 0, $tobool = 0, $4 = 0, $tobool3 = 0, $call4 = 0, $5 = 0, $call6 = 0, $call7 = 0, $call8 = 0, $url10 = 0, $6 = 0, $tobool11 = 0, $7 = 0, $tobool16 = 0, $8 = 0, $call18 = 0, $9 = 0, $call20 = 0, $call21 = 0, $call22 = 0, $g = 0, $10 = 0, $name = 0, $11 = 0, $call23 = 0, $call24 = 0, $call25 = 0, $g29 = 0, $12 = 0, $name30 = 0, $13 = 0, $call31 = 0, $14 = 0, $call32 = 0, $call33 = 0, $call34 = 0, $call35 = 0, $call36 = 0, label = 0;
   139403   label = 2;
   139404   while (1) switch (label | 0) {
   139405    case 2:
   139406     $obj1 = $job + 16 | 0;
   139407     $0 = HEAP32[$obj1 >> 2] | 0;
   139408     $id = $job + 64 | 0;
   139409     $1 = HEAP32[$id >> 2] | 0;
   139410     if (($1 | 0) == 0) {
   139411       label = 3;
   139412       break;
   139413     } else if (($1 | 0) == 1) {
   139414       label = 6;
   139415       break;
   139416     } else if (($1 | 0) == 3) {
   139417       label = 9;
   139418       break;
   139419     } else {
   139420       label = 10;
   139421       break;
   139422     }
   139423    case 3:
   139424     $2 = $job;
   139425     $call = _gvputs($2, 117808) | 0;
   139426     $url = $0 + 160 | 0;
   139427     $3 = HEAP32[$url >> 2] | 0;
   139428     $tobool = ($3 | 0) == 0;
   139429     if ($tobool) {
   139430       label = 10;
   139431       break;
   139432     } else {
   139433       label = 4;
   139434       break;
   139435     }
   139436    case 4:
   139437     $4 = HEAP8[$3] | 0;
   139438     $tobool3 = $4 << 24 >> 24 == 0;
   139439     if ($tobool3) {
   139440       label = 10;
   139441       break;
   139442     } else {
   139443       label = 5;
   139444       break;
   139445     }
   139446    case 5:
   139447     $call4 = _gvputs($2, 117128) | 0;
   139448     $5 = HEAP32[$url >> 2] | 0;
   139449     $call6 = _xml_string($5) | 0;
   139450     $call7 = _gvputs($2, $call6) | 0;
   139451     $call8 = _gvputs($2, 156648) | 0;
   139452     label = 10;
   139453     break;
   139454    case 6:
   139455     $url10 = $0 + 160 | 0;
   139456     $6 = HEAP32[$url10 >> 2] | 0;
   139457     $tobool11 = ($6 | 0) == 0;
   139458     if ($tobool11) {
   139459       label = 10;
   139460       break;
   139461     } else {
   139462       label = 7;
   139463       break;
   139464     }
   139465    case 7:
   139466     $7 = HEAP8[$6] | 0;
   139467     $tobool16 = $7 << 24 >> 24 == 0;
   139468     if ($tobool16) {
   139469       label = 10;
   139470       break;
   139471     } else {
   139472       label = 8;
   139473       break;
   139474     }
   139475    case 8:
   139476     $8 = $job;
   139477     $call18 = _gvputs($8, 117128) | 0;
   139478     $9 = HEAP32[$url10 >> 2] | 0;
   139479     $call20 = _xml_string($9) | 0;
   139480     $call21 = _gvputs($8, $call20) | 0;
   139481     $call22 = _gvputs($8, 116568) | 0;
   139482     $g = $0 + 8 | 0;
   139483     $10 = HEAP32[$g >> 2] | 0;
   139484     $name = $10 + 12 | 0;
   139485     $11 = HEAP32[$name >> 2] | 0;
   139486     $call23 = _xml_string($11) | 0;
   139487     $call24 = _gvputs($8, $call23) | 0;
   139488     $call25 = _gvputs($8, 156648) | 0;
   139489     label = 10;
   139490     break;
   139491    case 9:
   139492     $g29 = $0 + 8 | 0;
   139493     $12 = HEAP32[$g29 >> 2] | 0;
   139494     $name30 = $12 + 12 | 0;
   139495     $13 = HEAP32[$name30 >> 2] | 0;
   139496     $call31 = _xml_string($13) | 0;
   139497     $14 = $job;
   139498     $call32 = _gvputs($14, 116088) | 0;
   139499     $call33 = _gvputs($14, $call31) | 0;
   139500     $call34 = _gvputs($14, 115600) | 0;
   139501     $call35 = _gvputs($14, $call31) | 0;
   139502     $call36 = _gvputs($14, 118912) | 0;
   139503     label = 10;
   139504     break;
   139505    case 10:
   139506     return;
   139507   }
   139508 }
   139509 function _map_end_page($job) {
   139510   $job = $job | 0;
   139511   var $obj1 = 0, $0 = 0, $id = 0, $1 = 0, $url_map_shape = 0, $2 = 0, $url_map_p = 0, $3 = 0, $url_map_n = 0, $4 = 0, $url = 0, $5 = 0, $tooltip = 0, $6 = 0, $target = 0, $7 = 0, $id2 = 0, $8 = 0, $url_map_shape4 = 0, $9 = 0, $url_map_p5 = 0, $10 = 0, $url_map_n6 = 0, $11 = 0, $url7 = 0, $12 = 0, $tooltip8 = 0, $13 = 0, $target9 = 0, $14 = 0, $id10 = 0, $15 = 0, $16 = 0, $call = 0, label = 0;
   139512   label = 2;
   139513   while (1) switch (label | 0) {
   139514    case 2:
   139515     $obj1 = $job + 16 | 0;
   139516     $0 = HEAP32[$obj1 >> 2] | 0;
   139517     $id = $job + 64 | 0;
   139518     $1 = HEAP32[$id >> 2] | 0;
   139519     if (($1 | 0) == 2) {
   139520       label = 3;
   139521       break;
   139522     } else if (($1 | 0) == 3) {
   139523       label = 4;
   139524       break;
   139525     } else {
   139526       label = 5;
   139527       break;
   139528     }
   139529    case 3:
   139530     $url_map_shape = $0 + 216 | 0;
   139531     $2 = HEAP32[$url_map_shape >> 2] | 0;
   139532     $url_map_p = $0 + 224 | 0;
   139533     $3 = HEAP32[$url_map_p >> 2] | 0;
   139534     $url_map_n = $0 + 220 | 0;
   139535     $4 = HEAP32[$url_map_n >> 2] | 0;
   139536     $url = $0 + 160 | 0;
   139537     $5 = HEAP32[$url >> 2] | 0;
   139538     $tooltip = $0 + 180 | 0;
   139539     $6 = HEAP32[$tooltip >> 2] | 0;
   139540     $target = $0 + 196 | 0;
   139541     $7 = HEAP32[$target >> 2] | 0;
   139542     $id2 = $0 + 164 | 0;
   139543     $8 = HEAP32[$id2 >> 2] | 0;
   139544     _map_output_shape($job, $2, $3, $4, $5, $6, $7, $8);
   139545     label = 5;
   139546     break;
   139547    case 4:
   139548     $url_map_shape4 = $0 + 216 | 0;
   139549     $9 = HEAP32[$url_map_shape4 >> 2] | 0;
   139550     $url_map_p5 = $0 + 224 | 0;
   139551     $10 = HEAP32[$url_map_p5 >> 2] | 0;
   139552     $url_map_n6 = $0 + 220 | 0;
   139553     $11 = HEAP32[$url_map_n6 >> 2] | 0;
   139554     $url7 = $0 + 160 | 0;
   139555     $12 = HEAP32[$url7 >> 2] | 0;
   139556     $tooltip8 = $0 + 180 | 0;
   139557     $13 = HEAP32[$tooltip8 >> 2] | 0;
   139558     $target9 = $0 + 196 | 0;
   139559     $14 = HEAP32[$target9 >> 2] | 0;
   139560     $id10 = $0 + 164 | 0;
   139561     $15 = HEAP32[$id10 >> 2] | 0;
   139562     _map_output_shape($job, $9, $10, $11, $12, $13, $14, $15);
   139563     $16 = $job;
   139564     $call = _gvputs($16, 118440) | 0;
   139565     label = 5;
   139566     break;
   139567    case 5:
   139568     return;
   139569   }
   139570 }
   139571 function _map_begin_anchor($job, $url, $tooltip, $target, $id) {
   139572   $job = $job | 0;
   139573   $url = $url | 0;
   139574   $tooltip = $tooltip | 0;
   139575   $target = $target | 0;
   139576   $id = $id | 0;
   139577   var $0 = 0;
   139578   $0 = HEAP32[$job + 16 >> 2] | 0;
   139579   _map_output_shape($job, HEAP32[$0 + 216 >> 2] | 0, HEAP32[$0 + 224 >> 2] | 0, HEAP32[$0 + 220 >> 2] | 0, $url, $tooltip, $target, $id);
   139580   return;
   139581 }
   139582 function _psgen_begin_job($job) {
   139583   $job = $job | 0;
   139584   var $0 = 0, $call = 0, $id = 0, $1 = 0, $cmp = 0, $call1 = 0, $call2 = 0, $common = 0, $2 = 0, $info = 0, $3 = 0, $4 = 0, $arrayidx5 = 0, $5 = 0, $arrayidx8 = 0, $6 = 0, label = 0, __stackBase__ = 0;
   139585   __stackBase__ = STACKTOP;
   139586   label = 2;
   139587   while (1) switch (label | 0) {
   139588    case 2:
   139589     $0 = $job;
   139590     $call = _gvputs($0, 130808) | 0;
   139591     $id = $job + 64 | 0;
   139592     $1 = HEAP32[$id >> 2] | 0;
   139593     $cmp = ($1 | 0) == 2;
   139594     if ($cmp) {
   139595       label = 3;
   139596       break;
   139597     } else {
   139598       label = 4;
   139599       break;
   139600     }
   139601    case 3:
   139602     $call1 = _gvputs($0, 130624) | 0;
   139603     label = 5;
   139604     break;
   139605    case 4:
   139606     $call2 = _gvputs($0, 143192) | 0;
   139607     label = 5;
   139608     break;
   139609    case 5:
   139610     $common = $job + 12 | 0;
   139611     $2 = HEAP32[$common >> 2] | 0;
   139612     $info = $2 | 0;
   139613     $3 = HEAP32[$info >> 2] | 0;
   139614     $4 = HEAP32[$3 >> 2] | 0;
   139615     $arrayidx5 = $3 + 4 | 0;
   139616     $5 = HEAP32[$arrayidx5 >> 2] | 0;
   139617     $arrayidx8 = $3 + 8 | 0;
   139618     $6 = HEAP32[$arrayidx8 >> 2] | 0;
   139619     _gvprintf($0, 130432, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = $4, HEAP32[tempInt + 8 >> 2] = $5, HEAP32[tempInt + 16 >> 2] = $6, tempInt) | 0);
   139620     STACKTOP = __stackBase__;
   139621     return;
   139622   }
   139623 }
   139624 function _psgen_end_job($job) {
   139625   $job = $job | 0;
   139626   var $0 = 0, $call = 0, $id = 0, $1 = 0, $cmp = 0, $common = 0, $2 = 0, $viewNum = 0, $3 = 0, $common1 = 0, $4 = 0, $show_boxes = 0, $5 = 0, $cmp2 = 0, $6 = 0, $cmp6 = 0, $x = 0, $7 = 0, $y = 0, $8 = 0, $x11 = 0, $9 = 0, $y14 = 0, $10 = 0, $call17 = 0, $call18 = 0, label = 0, __stackBase__ = 0;
   139627   __stackBase__ = STACKTOP;
   139628   label = 2;
   139629   while (1) switch (label | 0) {
   139630    case 2:
   139631     $0 = $job;
   139632     $call = _gvputs($0, 131448) | 0;
   139633     $id = $job + 64 | 0;
   139634     $1 = HEAP32[$id >> 2] | 0;
   139635     $cmp = ($1 | 0) == 2;
   139636     if ($cmp) {
   139637       label = 4;
   139638       break;
   139639     } else {
   139640       label = 3;
   139641       break;
   139642     }
   139643    case 3:
   139644     $common = $job + 12 | 0;
   139645     $2 = HEAP32[$common >> 2] | 0;
   139646     $viewNum = $2 + 28 | 0;
   139647     $3 = HEAP32[$viewNum >> 2] | 0;
   139648     _gvprintf($0, 131288, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $3, tempInt) | 0);
   139649     label = 4;
   139650     break;
   139651    case 4:
   139652     $common1 = $job + 12 | 0;
   139653     $4 = HEAP32[$common1 >> 2] | 0;
   139654     $show_boxes = $4 + 20 | 0;
   139655     $5 = HEAP32[$show_boxes >> 2] | 0;
   139656     $cmp2 = ($5 | 0) == 0;
   139657     if ($cmp2) {
   139658       label = 5;
   139659       break;
   139660     } else {
   139661       label = 7;
   139662       break;
   139663     }
   139664    case 5:
   139665     $6 = HEAP32[$id >> 2] | 0;
   139666     $cmp6 = ($6 | 0) == 2;
   139667     if ($cmp6) {
   139668       label = 7;
   139669       break;
   139670     } else {
   139671       label = 6;
   139672       break;
   139673     }
   139674    case 6:
   139675     $x = $job + 472 | 0;
   139676     $7 = HEAP32[$x >> 2] | 0;
   139677     $y = $job + 476 | 0;
   139678     $8 = HEAP32[$y >> 2] | 0;
   139679     $x11 = $job + 480 | 0;
   139680     $9 = HEAP32[$x11 >> 2] | 0;
   139681     $y14 = $job + 484 | 0;
   139682     $10 = HEAP32[$y14 >> 2] | 0;
   139683     _gvprintf($0, 132240, (tempInt = STACKTOP, STACKTOP = STACKTOP + 32 | 0, HEAP32[tempInt >> 2] = $7, HEAP32[tempInt + 8 >> 2] = $8, HEAP32[tempInt + 16 >> 2] = $9, HEAP32[tempInt + 24 >> 2] = $10, tempInt) | 0);
   139684     label = 7;
   139685     break;
   139686    case 7:
   139687     $call17 = _gvputs($0, 131120) | 0;
   139688     $call18 = _gvputs($0, 130960) | 0;
   139689     STACKTOP = __stackBase__;
   139690     return;
   139691   }
   139692 }
   139693 function _psgen_begin_graph($job) {
   139694   $job = $job | 0;
   139695   var $args = 0, $obj1 = 0, $0 = 0, $common = 0, $1 = 0, $viewNum = 0, $2 = 0, $cmp = 0, $g = 0, $3 = 0, $name = 0, $4 = 0, $5 = 0, $id = 0, $6 = 0, $cmp2 = 0, $call = 0, $call4 = 0, $7 = 0, $show_boxes = 0, $8 = 0, $cmp6 = 0, $9 = 0, $cmp10 = 0, $call12 = 0, $x = 0, $10 = 0, $y = 0, $11 = 0, $x17 = 0, $12 = 0, $y20 = 0, $13 = 0, $call23 = 0, $14 = 0, $lib = 0, $15 = 0, $16 = 0, $17 = 0, $show_boxes26 = 0, $18 = 0, $tobool = 0, $19 = 0, $arrayidx30 = 0, $arrayidx31 = 0, $g35 = 0, $20 = 0, $charset = 0, $21 = 0, $cmp37 = 0, $conv38 = 0, $_b = 0, $22 = 0, $call41 = 0, $url = 0, $23 = 0, $tobool43 = 0, $24 = 0, label = 0, __stackBase__ = 0;
   139696   __stackBase__ = STACKTOP;
   139697   STACKTOP = STACKTOP + 8 | 0;
   139698   label = 2;
   139699   while (1) switch (label | 0) {
   139700    case 2:
   139701     $args = __stackBase__ | 0;
   139702     $obj1 = $job + 16 | 0;
   139703     $0 = HEAP32[$obj1 >> 2] | 0;
   139704     HEAP8[4232] = 0;
   139705     $common = $job + 12 | 0;
   139706     $1 = HEAP32[$common >> 2] | 0;
   139707     $viewNum = $1 + 28 | 0;
   139708     $2 = HEAP32[$viewNum >> 2] | 0;
   139709     $cmp = ($2 | 0) == 0;
   139710     if ($cmp) {
   139711       label = 3;
   139712       break;
   139713     } else {
   139714       label = 12;
   139715       break;
   139716     }
   139717    case 3:
   139718     $g = $0 + 8 | 0;
   139719     $3 = HEAP32[$g >> 2] | 0;
   139720     $name = $3 + 12 | 0;
   139721     $4 = HEAP32[$name >> 2] | 0;
   139722     $5 = $job;
   139723     _gvprintf($5, 132992, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $4, tempInt) | 0);
   139724     $id = $job + 64 | 0;
   139725     $6 = HEAP32[$id >> 2] | 0;
   139726     $cmp2 = ($6 | 0) == 2;
   139727     if ($cmp2) {
   139728       label = 5;
   139729       break;
   139730     } else {
   139731       label = 4;
   139732       break;
   139733     }
   139734    case 4:
   139735     $call = _gvputs($5, 132736) | 0;
   139736     label = 6;
   139737     break;
   139738    case 5:
   139739     $call4 = _gvputs($5, 132544) | 0;
   139740     label = 6;
   139741     break;
   139742    case 6:
   139743     $7 = HEAP32[$common >> 2] | 0;
   139744     $show_boxes = $7 + 20 | 0;
   139745     $8 = HEAP32[$show_boxes >> 2] | 0;
   139746     $cmp6 = ($8 | 0) == 0;
   139747     if ($cmp6) {
   139748       label = 7;
   139749       break;
   139750     } else {
   139751       label = 10;
   139752       break;
   139753     }
   139754    case 7:
   139755     $9 = HEAP32[$id >> 2] | 0;
   139756     $cmp10 = ($9 | 0) == 2;
   139757     if ($cmp10) {
   139758       label = 9;
   139759       break;
   139760     } else {
   139761       label = 8;
   139762       break;
   139763     }
   139764    case 8:
   139765     $call12 = _gvputs($5, 132408) | 0;
   139766     label = 10;
   139767     break;
   139768    case 9:
   139769     $x = $job + 456 | 0;
   139770     $10 = HEAP32[$x >> 2] | 0;
   139771     $y = $job + 460 | 0;
   139772     $11 = HEAP32[$y >> 2] | 0;
   139773     $x17 = $job + 464 | 0;
   139774     $12 = HEAP32[$x17 >> 2] | 0;
   139775     $y20 = $job + 468 | 0;
   139776     $13 = HEAP32[$y20 >> 2] | 0;
   139777     _gvprintf($5, 132240, (tempInt = STACKTOP, STACKTOP = STACKTOP + 32 | 0, HEAP32[tempInt >> 2] = $10, HEAP32[tempInt + 8 >> 2] = $11, HEAP32[tempInt + 16 >> 2] = $12, HEAP32[tempInt + 24 >> 2] = $13, tempInt) | 0);
   139778     label = 10;
   139779     break;
   139780    case 10:
   139781     $call23 = _gvputs($5, 132072) | 0;
   139782     $14 = HEAP32[$common >> 2] | 0;
   139783     $lib = $14 + 24 | 0;
   139784     $15 = HEAP32[$lib >> 2] | 0;
   139785     $16 = $job;
   139786     _cat_libfile($16, $15, 4856);
   139787     _epsf_define($16);
   139788     $17 = HEAP32[$common >> 2] | 0;
   139789     $show_boxes26 = $17 + 20 | 0;
   139790     $18 = HEAP32[$show_boxes26 >> 2] | 0;
   139791     $tobool = ($18 | 0) == 0;
   139792     if ($tobool) {
   139793       label = 12;
   139794       break;
   139795     } else {
   139796       label = 11;
   139797       break;
   139798     }
   139799    case 11:
   139800     $19 = HEAP32[$18 >> 2] | 0;
   139801     $arrayidx30 = $args | 0;
   139802     HEAP32[$arrayidx30 >> 2] = $19;
   139803     $arrayidx31 = $args + 4 | 0;
   139804     HEAP32[$arrayidx31 >> 2] = 0;
   139805     _cat_libfile($16, 0, $arrayidx30);
   139806     label = 12;
   139807     break;
   139808    case 12:
   139809     $g35 = $0 + 8 | 0;
   139810     $20 = HEAP32[$g35 >> 2] | 0;
   139811     $charset = $20 + 155 | 0;
   139812     $21 = HEAP8[$charset] | 0;
   139813     $cmp37 = $21 << 24 >> 24 == 1;
   139814     $conv38 = $cmp37 & 1;
   139815     HEAP32[3088] = $conv38;
   139816     $_b = HEAP8[4232] | 0;
   139817     if ($_b) {
   139818       label = 14;
   139819       break;
   139820     } else {
   139821       label = 13;
   139822       break;
   139823     }
   139824    case 13:
   139825     $22 = $job;
   139826     $call41 = _gvputs($22, 131912) | 0;
   139827     HEAP8[4232] = 1;
   139828     label = 14;
   139829     break;
   139830    case 14:
   139831     $url = $0 + 160 | 0;
   139832     $23 = HEAP32[$url >> 2] | 0;
   139833     $tobool43 = ($23 | 0) == 0;
   139834     if ($tobool43) {
   139835       label = 16;
   139836       break;
   139837     } else {
   139838       label = 15;
   139839       break;
   139840     }
   139841    case 15:
   139842     $24 = $job;
   139843     _gvprintf($24, 131656, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $23, tempInt) | 0);
   139844     label = 16;
   139845     break;
   139846    case 16:
   139847     STACKTOP = __stackBase__;
   139848     return;
   139849   }
   139850 }
   139851 function _psgen_begin_layer($job, $layername, $layerNum, $numLayers) {
   139852   $job = $job | 0;
   139853   $layername = $layername | 0;
   139854   $layerNum = $layerNum | 0;
   139855   $numLayers = $numLayers | 0;
   139856   var __stackBase__ = 0;
   139857   __stackBase__ = STACKTOP;
   139858   _gvprintf($job, 133304, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $layerNum, HEAP32[tempInt + 8 >> 2] = $numLayers, tempInt) | 0);
   139859   STACKTOP = __stackBase__;
   139860   return;
   139861 }
   139862 function _map_output_shape($job, $map_shape, $AF, $nump, $url, $tooltip, $target, $id) {
   139863   $job = $job | 0;
   139864   $map_shape = $map_shape | 0;
   139865   $AF = $AF | 0;
   139866   $nump = $nump | 0;
   139867   $url = $url | 0;
   139868   $tooltip = $tooltip | 0;
   139869   $target = $target | 0;
   139870   $id = $id | 0;
   139871   var $tobool = 0, $tobool1 = 0, $or_cond = 0, $0 = 0, $cmp = 0, $add = 0, $1 = 0, $2 = 0, $mul = 0, $call = 0, $3 = 0, $cmp483 = 0, $i_084 = 0, $x = 0, $4 = 0.0, $cmp5 = 0, $add8 = 0.0, $sub = 0.0, $add8_sink = 0.0, $conv = 0, $5 = 0, $x13 = 0, $y = 0, $6 = 0.0, $cmp15 = 0, $add20 = 0.0, $sub25 = 0.0, $add20_sink = 0.0, $conv21 = 0, $7 = 0, $y30 = 0, $inc = 0, $cmp4 = 0, $id31 = 0, $8 = 0, $cmp32 = 0, $tobool34 = 0, $or_cond77 = 0, $9 = 0, $tobool38 = 0, $10 = 0, $x41 = 0, $11 = 0, $y43 = 0, $12 = 0, $x45 = 0, $13 = 0, $y47 = 0, $14 = 0, $15 = 0, $16 = 0, $x50 = 0, $17 = 0, $y52 = 0, $18 = 0, $x54 = 0, $19 = 0, $sub57 = 0, $20 = 0, $21 = 0, $cmp6081 = 0, $i_182 = 0, $22 = 0, $x64 = 0, $23 = 0, $y66 = 0, $24 = 0, $inc68 = 0, $cmp60 = 0, $call70 = 0, $25 = 0, $cmp73 = 0, $or_cond78 = 0, $26 = 0, $tobool80 = 0, $cond1 = 0, $27 = 0, $x84 = 0, $28 = 0, $y86 = 0, $29 = 0, $x88 = 0, $30 = 0, $y90 = 0, $31 = 0, $32 = 0, $33 = 0, $_off = 0, $switch = 0, $34 = 0, $call105 = 0, $35 = 0, $call107 = 0, $36 = 0, $call109 = 0, $tobool112 = 0, $37 = 0, $tobool116 = 0, $38 = 0, $call118 = 0, $call119 = 0, $call120 = 0, $call121 = 0, $39 = 0, $tobool127 = 0, $40 = 0, $call129 = 0, $call130 = 0, $call131 = 0, $call132 = 0, $tobool134 = 0, $41 = 0, $tobool138 = 0, $42 = 0, $call140 = 0, $call141 = 0, $call142 = 0, $call143 = 0, $tobool145 = 0, $43 = 0, $tobool149 = 0, $44 = 0, $call151 = 0, $call152 = 0, $call153 = 0, $call154 = 0, $45 = 0, $call156 = 0, $call157 = 0, $46 = 0, $x160 = 0, $47 = 0, $y162 = 0, $48 = 0, $x164 = 0, $49 = 0, $sub167 = 0, $50 = 0, $x170 = 0, $51 = 0, $y172 = 0, $52 = 0, $x174 = 0, $53 = 0, $y176 = 0, $54 = 0, $55 = 0, $x179 = 0, $56 = 0, $y181 = 0, $57 = 0, $cmp18379 = 0, $i_280 = 0, $58 = 0, $x187 = 0, $59 = 0, $y189 = 0, $60 = 0, $inc191 = 0, $cmp183 = 0, $61 = 0, $cmp197 = 0, $call200 = 0, $call202 = 0, label = 0, __stackBase__ = 0;
   139872   __stackBase__ = STACKTOP;
   139873   label = 2;
   139874   while (1) switch (label | 0) {
   139875    case 2:
   139876     $tobool = ($AF | 0) == 0;
   139877     $tobool1 = ($nump | 0) == 0;
   139878     $or_cond = $tobool | $tobool1;
   139879     if ($or_cond) {
   139880       label = 53;
   139881       break;
   139882     } else {
   139883       label = 3;
   139884       break;
   139885     }
   139886    case 3:
   139887     $0 = HEAP32[2818] | 0;
   139888     $cmp = ($0 | 0) < ($nump | 0);
   139889     if ($cmp) {
   139890       label = 4;
   139891       break;
   139892     } else {
   139893       label = 5;
   139894       break;
   139895     }
   139896    case 4:
   139897     $add = $nump + 10 | 0;
   139898     HEAP32[2818] = $add;
   139899     $1 = HEAP32[2820] | 0;
   139900     $2 = $1;
   139901     $mul = $add << 3;
   139902     $call = _realloc($2, $mul) | 0;
   139903     $3 = $call;
   139904     HEAP32[2820] = $3;
   139905     label = 5;
   139906     break;
   139907    case 5:
   139908     $cmp483 = ($nump | 0) > 0;
   139909     if ($cmp483) {
   139910       $i_084 = 0;
   139911       label = 6;
   139912       break;
   139913     } else {
   139914       label = 13;
   139915       break;
   139916     }
   139917    case 6:
   139918     $x = $AF + ($i_084 << 4) | 0;
   139919     $4 = +HEAPF64[$x >> 3];
   139920     $cmp5 = $4 < 0.0;
   139921     if ($cmp5) {
   139922       label = 8;
   139923       break;
   139924     } else {
   139925       label = 7;
   139926       break;
   139927     }
   139928    case 7:
   139929     $add8 = $4 + .5;
   139930     $add8_sink = $add8;
   139931     label = 9;
   139932     break;
   139933    case 8:
   139934     $sub = $4 + -.5;
   139935     $add8_sink = $sub;
   139936     label = 9;
   139937     break;
   139938    case 9:
   139939     $conv = ~~$add8_sink;
   139940     $5 = HEAP32[2820] | 0;
   139941     $x13 = $5 + ($i_084 << 3) | 0;
   139942     HEAP32[$x13 >> 2] = $conv;
   139943     $y = $AF + ($i_084 << 4) + 8 | 0;
   139944     $6 = +HEAPF64[$y >> 3];
   139945     $cmp15 = $6 < 0.0;
   139946     if ($cmp15) {
   139947       label = 11;
   139948       break;
   139949     } else {
   139950       label = 10;
   139951       break;
   139952     }
   139953    case 10:
   139954     $add20 = $6 + .5;
   139955     $add20_sink = $add20;
   139956     label = 12;
   139957     break;
   139958    case 11:
   139959     $sub25 = $6 + -.5;
   139960     $add20_sink = $sub25;
   139961     label = 12;
   139962     break;
   139963    case 12:
   139964     $conv21 = ~~$add20_sink;
   139965     $7 = HEAP32[2820] | 0;
   139966     $y30 = $7 + ($i_084 << 3) + 4 | 0;
   139967     HEAP32[$y30 >> 2] = $conv21;
   139968     $inc = $i_084 + 1 | 0;
   139969     $cmp4 = ($inc | 0) < ($nump | 0);
   139970     if ($cmp4) {
   139971       $i_084 = $inc;
   139972       label = 6;
   139973       break;
   139974     } else {
   139975       label = 13;
   139976       break;
   139977     }
   139978    case 13:
   139979     $id31 = $job + 64 | 0;
   139980     $8 = HEAP32[$id31 >> 2] | 0;
   139981     $cmp32 = ($8 | 0) != 0;
   139982     $tobool34 = ($url | 0) == 0;
   139983     $or_cond77 = $cmp32 | $tobool34;
   139984     if ($or_cond77) {
   139985       label = 22;
   139986       break;
   139987     } else {
   139988       label = 14;
   139989       break;
   139990     }
   139991    case 14:
   139992     $9 = HEAP8[$url] | 0;
   139993     $tobool38 = $9 << 24 >> 24 == 0;
   139994     if ($tobool38) {
   139995       label = 22;
   139996       break;
   139997     } else {
   139998       label = 15;
   139999       break;
   140000     }
   140001    case 15:
   140002     if (($map_shape | 0) == 0) {
   140003       label = 16;
   140004       break;
   140005     } else if (($map_shape | 0) == 1) {
   140006       label = 17;
   140007       break;
   140008     } else if (($map_shape | 0) == 2) {
   140009       label = 18;
   140010       break;
   140011     } else {
   140012       label = 21;
   140013       break;
   140014     }
   140015    case 16:
   140016     $10 = HEAP32[2820] | 0;
   140017     $x41 = $10 | 0;
   140018     $11 = HEAP32[$x41 >> 2] | 0;
   140019     $y43 = $10 + 12 | 0;
   140020     $12 = HEAP32[$y43 >> 2] | 0;
   140021     $x45 = $10 + 8 | 0;
   140022     $13 = HEAP32[$x45 >> 2] | 0;
   140023     $y47 = $10 + 4 | 0;
   140024     $14 = HEAP32[$y47 >> 2] | 0;
   140025     $15 = $job;
   140026     _gvprintf($15, 97352, (tempInt = STACKTOP, STACKTOP = STACKTOP + 40 | 0, HEAP32[tempInt >> 2] = $url, HEAP32[tempInt + 8 >> 2] = $11, HEAP32[tempInt + 16 >> 2] = $12, HEAP32[tempInt + 24 >> 2] = $13, HEAP32[tempInt + 32 >> 2] = $14, tempInt) | 0);
   140027     label = 53;
   140028     break;
   140029    case 17:
   140030     $16 = HEAP32[2820] | 0;
   140031     $x50 = $16 | 0;
   140032     $17 = HEAP32[$x50 >> 2] | 0;
   140033     $y52 = $16 + 4 | 0;
   140034     $18 = HEAP32[$y52 >> 2] | 0;
   140035     $x54 = $16 + 8 | 0;
   140036     $19 = HEAP32[$x54 >> 2] | 0;
   140037     $sub57 = $19 - $17 | 0;
   140038     $20 = $job;
   140039     _gvprintf($20, 93696, (tempInt = STACKTOP, STACKTOP = STACKTOP + 32 | 0, HEAP32[tempInt >> 2] = $url, HEAP32[tempInt + 8 >> 2] = $17, HEAP32[tempInt + 16 >> 2] = $18, HEAP32[tempInt + 24 >> 2] = $sub57, tempInt) | 0);
   140040     label = 53;
   140041     break;
   140042    case 18:
   140043     $21 = $job;
   140044     _gvprintf($21, 90216, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $url, tempInt) | 0);
   140045     $cmp6081 = ($nump | 0) > 0;
   140046     if ($cmp6081) {
   140047       $i_182 = 0;
   140048       label = 19;
   140049       break;
   140050     } else {
   140051       label = 20;
   140052       break;
   140053     }
   140054    case 19:
   140055     $22 = HEAP32[2820] | 0;
   140056     $x64 = $22 + ($i_182 << 3) | 0;
   140057     $23 = HEAP32[$x64 >> 2] | 0;
   140058     $y66 = $22 + ($i_182 << 3) + 4 | 0;
   140059     $24 = HEAP32[$y66 >> 2] | 0;
   140060     _gvprintf($21, 160392, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $23, HEAP32[tempInt + 8 >> 2] = $24, tempInt) | 0);
   140061     $inc68 = $i_182 + 1 | 0;
   140062     $cmp60 = ($inc68 | 0) < ($nump | 0);
   140063     if ($cmp60) {
   140064       $i_182 = $inc68;
   140065       label = 19;
   140066       break;
   140067     } else {
   140068       label = 20;
   140069       break;
   140070     }
   140071    case 20:
   140072     $call70 = _gvputs($21, 156648) | 0;
   140073     label = 53;
   140074     break;
   140075    case 21:
   140076     ___assert_func(153248, 65, 164064, 149664);
   140077    case 22:
   140078     $25 = HEAP32[$id31 >> 2] | 0;
   140079     $cmp73 = ($25 | 0) != 1;
   140080     $or_cond78 = $cmp73 | $tobool34;
   140081     if ($or_cond78) {
   140082       label = 27;
   140083       break;
   140084     } else {
   140085       label = 23;
   140086       break;
   140087     }
   140088    case 23:
   140089     $26 = HEAP8[$url] | 0;
   140090     $tobool80 = $26 << 24 >> 24 == 0;
   140091     if ($tobool80) {
   140092       label = 27;
   140093       break;
   140094     } else {
   140095       label = 24;
   140096       break;
   140097     }
   140098    case 24:
   140099     $cond1 = ($map_shape | 0) == 0;
   140100     if ($cond1) {
   140101       label = 25;
   140102       break;
   140103     } else {
   140104       label = 26;
   140105       break;
   140106     }
   140107    case 25:
   140108     $27 = HEAP32[2820] | 0;
   140109     $x84 = $27 | 0;
   140110     $28 = HEAP32[$x84 >> 2] | 0;
   140111     $y86 = $27 + 12 | 0;
   140112     $29 = HEAP32[$y86 >> 2] | 0;
   140113     $x88 = $27 + 8 | 0;
   140114     $30 = HEAP32[$x88 >> 2] | 0;
   140115     $y90 = $27 + 4 | 0;
   140116     $31 = HEAP32[$y90 >> 2] | 0;
   140117     $32 = $job;
   140118     _gvprintf($32, 146792, (tempInt = STACKTOP, STACKTOP = STACKTOP + 48 | 0, HEAP32[tempInt >> 2] = $28, HEAP32[tempInt + 8 >> 2] = $29, HEAP32[tempInt + 16 >> 2] = $30, HEAP32[tempInt + 24 >> 2] = $31, HEAP32[tempInt + 32 >> 2] = $url, HEAP32[tempInt + 40 >> 2] = $tooltip, tempInt) | 0);
   140119     label = 53;
   140120     break;
   140121    case 26:
   140122     ___assert_func(153248, 77, 164064, 149664);
   140123    case 27:
   140124     $33 = HEAP32[$id31 >> 2] | 0;
   140125     $_off = $33 - 2 | 0;
   140126     $switch = $_off >>> 0 < 2;
   140127     if ($switch) {
   140128       label = 28;
   140129       break;
   140130     } else {
   140131       label = 53;
   140132       break;
   140133     }
   140134    case 28:
   140135     if (($map_shape | 0) == 1) {
   140136       label = 29;
   140137       break;
   140138     } else if (($map_shape | 0) == 0) {
   140139       label = 30;
   140140       break;
   140141     } else if (($map_shape | 0) == 2) {
   140142       label = 31;
   140143       break;
   140144     } else {
   140145       label = 32;
   140146       break;
   140147     }
   140148    case 29:
   140149     $34 = $job;
   140150     $call105 = _gvputs($34, 143984) | 0;
   140151     label = 33;
   140152     break;
   140153    case 30:
   140154     $35 = $job;
   140155     $call107 = _gvputs($35, 140176) | 0;
   140156     label = 33;
   140157     break;
   140158    case 31:
   140159     $36 = $job;
   140160     $call109 = _gvputs($36, 137448) | 0;
   140161     label = 33;
   140162     break;
   140163    case 32:
   140164     ___assert_func(153248, 93, 164064, 149664);
   140165    case 33:
   140166     $tobool112 = ($id | 0) == 0;
   140167     if ($tobool112) {
   140168       label = 36;
   140169       break;
   140170     } else {
   140171       label = 34;
   140172       break;
   140173     }
   140174    case 34:
   140175     $37 = HEAP8[$id] | 0;
   140176     $tobool116 = $37 << 24 >> 24 == 0;
   140177     if ($tobool116) {
   140178       label = 36;
   140179       break;
   140180     } else {
   140181       label = 35;
   140182       break;
   140183     }
   140184    case 35:
   140185     $38 = $job;
   140186     $call118 = _gvputs($38, 134880) | 0;
   140187     $call119 = _xml_url_string($id) | 0;
   140188     $call120 = _gvputs($38, $call119) | 0;
   140189     $call121 = _gvputs($38, 132384) | 0;
   140190     label = 36;
   140191     break;
   140192    case 36:
   140193     if ($tobool34) {
   140194       label = 39;
   140195       break;
   140196     } else {
   140197       label = 37;
   140198       break;
   140199     }
   140200    case 37:
   140201     $39 = HEAP8[$url] | 0;
   140202     $tobool127 = $39 << 24 >> 24 == 0;
   140203     if ($tobool127) {
   140204       label = 39;
   140205       break;
   140206     } else {
   140207       label = 38;
   140208       break;
   140209     }
   140210    case 38:
   140211     $40 = $job;
   140212     $call129 = _gvputs($40, 130576) | 0;
   140213     $call130 = _xml_url_string($url) | 0;
   140214     $call131 = _gvputs($40, $call130) | 0;
   140215     $call132 = _gvputs($40, 132384) | 0;
   140216     label = 39;
   140217     break;
   140218    case 39:
   140219     $tobool134 = ($target | 0) == 0;
   140220     if ($tobool134) {
   140221       label = 42;
   140222       break;
   140223     } else {
   140224       label = 40;
   140225       break;
   140226     }
   140227    case 40:
   140228     $41 = HEAP8[$target] | 0;
   140229     $tobool138 = $41 << 24 >> 24 == 0;
   140230     if ($tobool138) {
   140231       label = 42;
   140232       break;
   140233     } else {
   140234       label = 41;
   140235       break;
   140236     }
   140237    case 41:
   140238     $42 = $job;
   140239     $call140 = _gvputs($42, 128704) | 0;
   140240     $call141 = _xml_string($target) | 0;
   140241     $call142 = _gvputs($42, $call141) | 0;
   140242     $call143 = _gvputs($42, 132384) | 0;
   140243     label = 42;
   140244     break;
   140245    case 42:
   140246     $tobool145 = ($tooltip | 0) == 0;
   140247     if ($tobool145) {
   140248       label = 45;
   140249       break;
   140250     } else {
   140251       label = 43;
   140252       break;
   140253     }
   140254    case 43:
   140255     $43 = HEAP8[$tooltip] | 0;
   140256     $tobool149 = $43 << 24 >> 24 == 0;
   140257     if ($tobool149) {
   140258       label = 45;
   140259       break;
   140260     } else {
   140261       label = 44;
   140262       break;
   140263     }
   140264    case 44:
   140265     $44 = $job;
   140266     $call151 = _gvputs($44, 126120) | 0;
   140267     $call152 = _xml_string($tooltip) | 0;
   140268     $call153 = _gvputs($44, $call152) | 0;
   140269     $call154 = _gvputs($44, 132384) | 0;
   140270     label = 45;
   140271     break;
   140272    case 45:
   140273     $45 = $job;
   140274     $call156 = _gvputs($45, 123944) | 0;
   140275     $call157 = _gvputs($45, 121992) | 0;
   140276     if (($map_shape | 0) == 1) {
   140277       label = 46;
   140278       break;
   140279     } else if (($map_shape | 0) == 0) {
   140280       label = 47;
   140281       break;
   140282     } else if (($map_shape | 0) == 2) {
   140283       label = 48;
   140284       break;
   140285     } else {
   140286       label = 50;
   140287       break;
   140288     }
   140289    case 46:
   140290     $46 = HEAP32[2820] | 0;
   140291     $x160 = $46 | 0;
   140292     $47 = HEAP32[$x160 >> 2] | 0;
   140293     $y162 = $46 + 4 | 0;
   140294     $48 = HEAP32[$y162 >> 2] | 0;
   140295     $x164 = $46 + 8 | 0;
   140296     $49 = HEAP32[$x164 >> 2] | 0;
   140297     $sub167 = $49 - $47 | 0;
   140298     _gvprintf($45, 121936, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = $47, HEAP32[tempInt + 8 >> 2] = $48, HEAP32[tempInt + 16 >> 2] = $sub167, tempInt) | 0);
   140299     label = 50;
   140300     break;
   140301    case 47:
   140302     $50 = HEAP32[2820] | 0;
   140303     $x170 = $50 | 0;
   140304     $51 = HEAP32[$x170 >> 2] | 0;
   140305     $y172 = $50 + 12 | 0;
   140306     $52 = HEAP32[$y172 >> 2] | 0;
   140307     $x174 = $50 + 8 | 0;
   140308     $53 = HEAP32[$x174 >> 2] | 0;
   140309     $y176 = $50 + 4 | 0;
   140310     $54 = HEAP32[$y176 >> 2] | 0;
   140311     _gvprintf($45, 121408, (tempInt = STACKTOP, STACKTOP = STACKTOP + 32 | 0, HEAP32[tempInt >> 2] = $51, HEAP32[tempInt + 8 >> 2] = $52, HEAP32[tempInt + 16 >> 2] = $53, HEAP32[tempInt + 24 >> 2] = $54, tempInt) | 0);
   140312     label = 50;
   140313     break;
   140314    case 48:
   140315     $55 = HEAP32[2820] | 0;
   140316     $x179 = $55 | 0;
   140317     $56 = HEAP32[$x179 >> 2] | 0;
   140318     $y181 = $55 + 4 | 0;
   140319     $57 = HEAP32[$y181 >> 2] | 0;
   140320     _gvprintf($45, 120688, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $56, HEAP32[tempInt + 8 >> 2] = $57, tempInt) | 0);
   140321     $cmp18379 = ($nump | 0) > 1;
   140322     if ($cmp18379) {
   140323       $i_280 = 1;
   140324       label = 49;
   140325       break;
   140326     } else {
   140327       label = 50;
   140328       break;
   140329     }
   140330    case 49:
   140331     $58 = HEAP32[2820] | 0;
   140332     $x187 = $58 + ($i_280 << 3) | 0;
   140333     $59 = HEAP32[$x187 >> 2] | 0;
   140334     $y189 = $58 + ($i_280 << 3) + 4 | 0;
   140335     $60 = HEAP32[$y189 >> 2] | 0;
   140336     _gvprintf($45, 119976, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $59, HEAP32[tempInt + 8 >> 2] = $60, tempInt) | 0);
   140337     $inc191 = $i_280 + 1 | 0;
   140338     $cmp183 = ($inc191 | 0) < ($nump | 0);
   140339     if ($cmp183) {
   140340       $i_280 = $inc191;
   140341       label = 49;
   140342       break;
   140343     } else {
   140344       label = 50;
   140345       break;
   140346     }
   140347    case 50:
   140348     $61 = HEAP32[$id31 >> 2] | 0;
   140349     $cmp197 = ($61 | 0) == 3;
   140350     if ($cmp197) {
   140351       label = 51;
   140352       break;
   140353     } else {
   140354       label = 52;
   140355       break;
   140356     }
   140357    case 51:
   140358     $call200 = _gvputs($45, 119448) | 0;
   140359     label = 53;
   140360     break;
   140361    case 52:
   140362     $call202 = _gvputs($45, 118912) | 0;
   140363     label = 53;
   140364     break;
   140365    case 53:
   140366     STACKTOP = __stackBase__;
   140367     return;
   140368   }
   140369 }
   140370 function _psgen_begin_page($job) {
   140371   $job = $job | 0;
   140372   var $pbr_sroa_0_0__idx = 0, $pbr_sroa_0_0_copyload = 0, $pbr_sroa_1_4__idx4 = 0, $pbr_sroa_1_4_copyload = 0, $pbr_sroa_2_8__idx8 = 0, $pbr_sroa_2_8_copyload = 0, $pbr_sroa_3_12__idx14 = 0, $pbr_sroa_3_12_copyload = 0, $common = 0, $0 = 0, $viewNum = 0, $1 = 0, $add = 0, $2 = 0, $3 = 0, $show_boxes = 0, $4 = 0, $cmp = 0, $rotation = 0, $5 = 0, $tobool = 0, $cond = 0, $id = 0, $6 = 0, $cmp9 = 0, $x16 = 0, $7 = 0, $y18 = 0, $8 = 0, $numPages = 0, $9 = 0, $10 = 0, $show_boxes20 = 0, $11 = 0, $cmp21 = 0, $sub = 0, $sub35 = 0, $x37 = 0, $12 = 0.0, $y39 = 0, $13 = 0.0, $14 = 0, $x41 = 0, $15 = 0.0, $y43 = 0, $16 = 0.0, $17 = 0, $cmp46 = 0, $cmp50 = 0, $cmp53 = 0, $or_cond = 0, $18 = 0, $errorfn = 0, $19 = 0, label = 0, __stackBase__ = 0;
   140373   __stackBase__ = STACKTOP;
   140374   label = 2;
   140375   while (1) switch (label | 0) {
   140376    case 2:
   140377     $pbr_sroa_0_0__idx = $job + 456 | 0;
   140378     $pbr_sroa_0_0_copyload = HEAP32[$pbr_sroa_0_0__idx >> 2] | 0;
   140379     $pbr_sroa_1_4__idx4 = $job + 460 | 0;
   140380     $pbr_sroa_1_4_copyload = HEAP32[$pbr_sroa_1_4__idx4 >> 2] | 0;
   140381     $pbr_sroa_2_8__idx8 = $job + 464 | 0;
   140382     $pbr_sroa_2_8_copyload = HEAP32[$pbr_sroa_2_8__idx8 >> 2] | 0;
   140383     $pbr_sroa_3_12__idx14 = $job + 468 | 0;
   140384     $pbr_sroa_3_12_copyload = HEAP32[$pbr_sroa_3_12__idx14 >> 2] | 0;
   140385     $common = $job + 12 | 0;
   140386     $0 = HEAP32[$common >> 2] | 0;
   140387     $viewNum = $0 + 28 | 0;
   140388     $1 = HEAP32[$viewNum >> 2] | 0;
   140389     $add = $1 + 1 | 0;
   140390     $2 = $job;
   140391     _gvprintf($2, 135968, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $add, HEAP32[tempInt + 8 >> 2] = $add, tempInt) | 0);
   140392     $3 = HEAP32[$common >> 2] | 0;
   140393     $show_boxes = $3 + 20 | 0;
   140394     $4 = HEAP32[$show_boxes >> 2] | 0;
   140395     $cmp = ($4 | 0) == 0;
   140396     if ($cmp) {
   140397       label = 3;
   140398       break;
   140399     } else {
   140400       label = 4;
   140401       break;
   140402     }
   140403    case 3:
   140404     _gvprintf($2, 135736, (tempInt = STACKTOP, STACKTOP = STACKTOP + 32 | 0, HEAP32[tempInt >> 2] = $pbr_sroa_0_0_copyload, HEAP32[tempInt + 8 >> 2] = $pbr_sroa_1_4_copyload, HEAP32[tempInt + 16 >> 2] = $pbr_sroa_2_8_copyload, HEAP32[tempInt + 24 >> 2] = $pbr_sroa_3_12_copyload, tempInt) | 0);
   140405     label = 4;
   140406     break;
   140407    case 4:
   140408     $rotation = $job + 360 | 0;
   140409     $5 = HEAP32[$rotation >> 2] | 0;
   140410     $tobool = ($5 | 0) != 0;
   140411     $cond = $tobool ? 135368 : 135128;
   140412     _gvprintf($2, 135552, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $cond, tempInt) | 0);
   140413     $id = $job + 64 | 0;
   140414     $6 = HEAP32[$id >> 2] | 0;
   140415     $cmp9 = ($6 | 0) == 1;
   140416     if ($cmp9) {
   140417       label = 5;
   140418       break;
   140419     } else {
   140420       label = 6;
   140421       break;
   140422     }
   140423    case 5:
   140424     _gvprintf($2, 134888, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $pbr_sroa_2_8_copyload, HEAP32[tempInt + 8 >> 2] = $pbr_sroa_3_12_copyload, tempInt) | 0);
   140425     label = 6;
   140426     break;
   140427    case 6:
   140428     $x16 = $job + 196 | 0;
   140429     $7 = HEAP32[$x16 >> 2] | 0;
   140430     $y18 = $job + 200 | 0;
   140431     $8 = HEAP32[$y18 >> 2] | 0;
   140432     $numPages = $job + 204 | 0;
   140433     $9 = HEAP32[$numPages >> 2] | 0;
   140434     _gvprintf($2, 134680, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = $7, HEAP32[tempInt + 8 >> 2] = $8, HEAP32[tempInt + 16 >> 2] = $9, tempInt) | 0);
   140435     $10 = HEAP32[$common >> 2] | 0;
   140436     $show_boxes20 = $10 + 20 | 0;
   140437     $11 = HEAP32[$show_boxes20 >> 2] | 0;
   140438     $cmp21 = ($11 | 0) == 0;
   140439     if ($cmp21) {
   140440       label = 7;
   140441       break;
   140442     } else {
   140443       label = 8;
   140444       break;
   140445     }
   140446    case 7:
   140447     $sub = $pbr_sroa_2_8_copyload - $pbr_sroa_0_0_copyload | 0;
   140448     $sub35 = $pbr_sroa_3_12_copyload - $pbr_sroa_1_4_copyload | 0;
   140449     _gvprintf($2, 134448, (tempInt = STACKTOP, STACKTOP = STACKTOP + 32 | 0, HEAP32[tempInt >> 2] = $pbr_sroa_0_0_copyload, HEAP32[tempInt + 8 >> 2] = $pbr_sroa_1_4_copyload, HEAP32[tempInt + 16 >> 2] = $sub, HEAP32[tempInt + 24 >> 2] = $sub35, tempInt) | 0);
   140450     label = 8;
   140451     break;
   140452    case 8:
   140453     $x37 = $job + 488 | 0;
   140454     $12 = +HEAPF64[$x37 >> 3];
   140455     $y39 = $job + 496 | 0;
   140456     $13 = +HEAPF64[$y39 >> 3];
   140457     $14 = HEAP32[$rotation >> 2] | 0;
   140458     $x41 = $job + 504 | 0;
   140459     $15 = +HEAPF64[$x41 >> 3];
   140460     $y43 = $job + 512 | 0;
   140461     $16 = +HEAPF64[$y43 >> 3];
   140462     _gvprintf($2, 134200, (tempInt = STACKTOP, STACKTOP = STACKTOP + 40 | 0, HEAPF64[tempInt >> 3] = $12, HEAPF64[tempInt + 8 >> 3] = $13, HEAP32[tempInt + 16 >> 2] = $14, HEAPF64[tempInt + 24 >> 3] = $15, HEAPF64[tempInt + 32 >> 3] = $16, tempInt) | 0);
   140463     $17 = HEAP32[$id >> 2] | 0;
   140464     $cmp46 = ($17 | 0) == 1;
   140465     if ($cmp46) {
   140466       label = 9;
   140467       break;
   140468     } else {
   140469       label = 12;
   140470       break;
   140471     }
   140472    case 9:
   140473     $cmp50 = ($pbr_sroa_2_8_copyload | 0) > 14399;
   140474     $cmp53 = ($pbr_sroa_3_12_copyload | 0) > 14399;
   140475     $or_cond = $cmp50 | $cmp53;
   140476     if ($or_cond) {
   140477       label = 10;
   140478       break;
   140479     } else {
   140480       label = 11;
   140481       break;
   140482     }
   140483    case 10:
   140484     $18 = HEAP32[$common >> 2] | 0;
   140485     $errorfn = $18 + 16 | 0;
   140486     $19 = HEAP32[$errorfn >> 2] | 0;
   140487     FUNCTION_TABLE_vii[$19 & 1023](133848, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = $pbr_sroa_2_8_copyload, HEAP32[tempInt + 8 >> 2] = $pbr_sroa_3_12_copyload, HEAP32[tempInt + 16 >> 2] = 14400, tempInt) | 0);
   140488     label = 11;
   140489     break;
   140490    case 11:
   140491     _gvprintf($2, 133536, (tempInt = STACKTOP, STACKTOP = STACKTOP + 32 | 0, HEAP32[tempInt >> 2] = $pbr_sroa_0_0_copyload, HEAP32[tempInt + 8 >> 2] = $pbr_sroa_1_4_copyload, HEAP32[tempInt + 16 >> 2] = $pbr_sroa_2_8_copyload, HEAP32[tempInt + 24 >> 2] = $pbr_sroa_3_12_copyload, tempInt) | 0);
   140492     label = 12;
   140493     break;
   140494    case 12:
   140495     STACKTOP = __stackBase__;
   140496     return;
   140497   }
   140498 }
   140499 function _psgen_end_page($job) {
   140500   $job = $job | 0;
   140501   var $common = 0, $0 = 0, $show_boxes = 0, $1 = 0, $tobool = 0, $2 = 0, $call = 0, $3 = 0, $show_boxes2 = 0, $4 = 0, $add_ptr = 0, $5 = 0, $6 = 0, $call3 = 0, $call4 = 0, $7 = 0, $viewNum = 0, $8 = 0, label = 0, __stackBase__ = 0;
   140502   __stackBase__ = STACKTOP;
   140503   label = 2;
   140504   while (1) switch (label | 0) {
   140505    case 2:
   140506     $common = $job + 12 | 0;
   140507     $0 = HEAP32[$common >> 2] | 0;
   140508     $show_boxes = $0 + 20 | 0;
   140509     $1 = HEAP32[$show_boxes >> 2] | 0;
   140510     $tobool = ($1 | 0) == 0;
   140511     if ($tobool) {
   140512       label = 4;
   140513       break;
   140514     } else {
   140515       label = 3;
   140516       break;
   140517     }
   140518    case 3:
   140519     $2 = $job;
   140520     $call = _gvputs($2, 137152) | 0;
   140521     $3 = HEAP32[$common >> 2] | 0;
   140522     $show_boxes2 = $3 + 20 | 0;
   140523     $4 = HEAP32[$show_boxes2 >> 2] | 0;
   140524     $add_ptr = $4 + 4 | 0;
   140525     $5 = $job;
   140526     _cat_libfile($5, 0, $add_ptr);
   140527     label = 4;
   140528     break;
   140529    case 4:
   140530     $6 = $job;
   140531     $call3 = _gvputs($6, 136864) | 0;
   140532     $call4 = _gvputs($6, 136592) | 0;
   140533     $7 = HEAP32[$common >> 2] | 0;
   140534     $viewNum = $7 + 28 | 0;
   140535     $8 = HEAP32[$viewNum >> 2] | 0;
   140536     _gvprintf($6, 136264, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $8, tempInt) | 0);
   140537     STACKTOP = __stackBase__;
   140538     return;
   140539   }
   140540 }
   140541 function _psgen_begin_cluster($job) {
   140542   $job = $job | 0;
   140543   var $3 = 0, __stackBase__ = 0;
   140544   __stackBase__ = STACKTOP;
   140545   $3 = $job;
   140546   _gvprintf($3, 137400, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = HEAP32[(HEAP32[(HEAP32[$job + 16 >> 2] | 0) + 8 >> 2] | 0) + 12 >> 2] | 0, tempInt) | 0);
   140547   _gvputs($3, 137704);
   140548   STACKTOP = __stackBase__;
   140549   return;
   140550 }
   140551 function _psgen_end_cluster($job) {
   140552   $job = $job | 0;
   140553   _gvputs($job, 137920);
   140554   return;
   140555 }
   140556 function _psgen_begin_node($job) {
   140557   $job = $job | 0;
   140558   _gvputs($job, 137704);
   140559   return;
   140560 }
   140561 function _psgen_end_node($job) {
   140562   $job = $job | 0;
   140563   _gvputs($job, 137920);
   140564   return;
   140565 }
   140566 function _psgen_begin_edge($job) {
   140567   $job = $job | 0;
   140568   _gvputs($job, 137704);
   140569   return;
   140570 }
   140571 function _psgen_end_edge($job) {
   140572   $job = $job | 0;
   140573   _gvputs($job, 137920);
   140574   return;
   140575 }
   140576 function _psgen_begin_anchor($job, $url, $tooltip, $target, $id) {
   140577   $job = $job | 0;
   140578   $url = $url | 0;
   140579   $tooltip = $tooltip | 0;
   140580   $target = $target | 0;
   140581   $id = $id | 0;
   140582   var $tobool = 0, $obj1 = 0, $0 = 0, $url_map_p = 0, $1 = 0, $tobool2 = 0, $2 = 0, $call = 0, $3 = 0, $call4 = 0, $4 = 0, $call5 = 0, label = 0, __stackBase__ = 0;
   140583   __stackBase__ = STACKTOP;
   140584   label = 2;
   140585   while (1) switch (label | 0) {
   140586    case 2:
   140587     $tobool = ($url | 0) == 0;
   140588     if ($tobool) {
   140589       label = 5;
   140590       break;
   140591     } else {
   140592       label = 3;
   140593       break;
   140594     }
   140595    case 3:
   140596     $obj1 = $job + 16 | 0;
   140597     $0 = HEAP32[$obj1 >> 2] | 0;
   140598     $url_map_p = $0 + 224 | 0;
   140599     $1 = HEAP32[$url_map_p >> 2] | 0;
   140600     $tobool2 = ($1 | 0) == 0;
   140601     if ($tobool2) {
   140602       label = 5;
   140603       break;
   140604     } else {
   140605       label = 4;
   140606       break;
   140607     }
   140608    case 4:
   140609     $2 = $job;
   140610     $call = _gvputs($2, 138784) | 0;
   140611     $3 = HEAP32[$url_map_p >> 2] | 0;
   140612     _gvprintpointflist($2, $3, 2);
   140613     $call4 = _gvputs($2, 138488) | 0;
   140614     $4 = HEAP32[3088] | 0;
   140615     $call5 = _ps_string($url, $4) | 0;
   140616     _gvprintf($2, 138160, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $call5, tempInt) | 0);
   140617     label = 5;
   140618     break;
   140619    case 5:
   140620     STACKTOP = __stackBase__;
   140621     return;
   140622   }
   140623 }
   140624 function _psgen_textpara($job, $p, $para) {
   140625   $job = $job | 0;
   140626   $p = $p | 0;
   140627   $para = $para | 0;
   140628   var $obj = 0, $0 = 0, $arrayidx = 0, $1 = 0.0, $cmp = 0, $pencolor = 0, $fontsize = 0, $2 = 0.0, $3 = 0, $fontname = 0, $4 = 0, $str3 = 0, $5 = 0, $6 = 0, $call = 0, $just = 0, $7 = 0, $conv = 0, $width = 0, $8 = 0.0, $x = 0, $9 = 0.0, $sub = 0.0, $width8 = 0, $10 = 0.0, $div = 0.0, $x9 = 0, $11 = 0.0, $sub10 = 0.0, $yoffset_centerline = 0, $12 = 0.0, $y = 0, $13 = 0.0, $add = 0.0, $call11 = 0, $width12 = 0, $14 = 0.0, label = 0, tempParam = 0, __stackBase__ = 0;
   140629   __stackBase__ = STACKTOP;
   140630   tempParam = $p;
   140631   $p = STACKTOP;
   140632   STACKTOP = STACKTOP + 16 | 0;
   140633   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   140634   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   140635   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   140636   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   140637   label = 2;
   140638   while (1) switch (label | 0) {
   140639    case 2:
   140640     $obj = $job + 16 | 0;
   140641     $0 = HEAP32[$obj >> 2] | 0;
   140642     $arrayidx = $0 + 40 | 0;
   140643     $1 = +HEAPF64[$arrayidx >> 3];
   140644     $cmp = $1 < .5;
   140645     if ($cmp) {
   140646       label = 7;
   140647       break;
   140648     } else {
   140649       label = 3;
   140650       break;
   140651     }
   140652    case 3:
   140653     $pencolor = $0 + 16 | 0;
   140654     _ps_set_color($job, $pencolor);
   140655     $fontsize = $para + 24 | 0;
   140656     $2 = +HEAPF64[$fontsize >> 3];
   140657     $3 = $job;
   140658     _gvprintdouble($3, $2);
   140659     $fontname = $para + 20 | 0;
   140660     $4 = HEAP32[$fontname >> 2] | 0;
   140661     _gvprintf($3, 139576, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $4, tempInt) | 0);
   140662     $str3 = $para | 0;
   140663     $5 = HEAP32[$str3 >> 2] | 0;
   140664     $6 = HEAP32[3088] | 0;
   140665     $call = _ps_string($5, $6) | 0;
   140666     $just = $para + 72 | 0;
   140667     $7 = HEAP8[$just] | 0;
   140668     $conv = $7 << 24 >> 24;
   140669     if (($conv | 0) == 114) {
   140670       label = 4;
   140671       break;
   140672     } else if (($conv | 0) == 108) {
   140673       label = 6;
   140674       break;
   140675     } else {
   140676       label = 5;
   140677       break;
   140678     }
   140679    case 4:
   140680     $width = $para + 56 | 0;
   140681     $8 = +HEAPF64[$width >> 3];
   140682     $x = $p | 0;
   140683     $9 = +HEAPF64[$x >> 3];
   140684     $sub = $9 - $8;
   140685     HEAPF64[$x >> 3] = $sub;
   140686     label = 6;
   140687     break;
   140688    case 5:
   140689     $width8 = $para + 56 | 0;
   140690     $10 = +HEAPF64[$width8 >> 3];
   140691     $div = $10 * .5;
   140692     $x9 = $p | 0;
   140693     $11 = +HEAPF64[$x9 >> 3];
   140694     $sub10 = $11 - $div;
   140695     HEAPF64[$x9 >> 3] = $sub10;
   140696     label = 6;
   140697     break;
   140698    case 6:
   140699     $yoffset_centerline = $para + 48 | 0;
   140700     $12 = +HEAPF64[$yoffset_centerline >> 3];
   140701     $y = $p + 8 | 0;
   140702     $13 = +HEAPF64[$y >> 3];
   140703     $add = $12 + $13;
   140704     HEAPF64[$y >> 3] = $add;
   140705     _gvprintpointf($3, $p);
   140706     $call11 = _gvputs($3, 139312) | 0;
   140707     $width12 = $para + 56 | 0;
   140708     $14 = +HEAPF64[$width12 >> 3];
   140709     _gvprintdouble($3, $14);
   140710     _gvprintf($3, 139032, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $call, tempInt) | 0);
   140711     label = 7;
   140712     break;
   140713    case 7:
   140714     STACKTOP = __stackBase__;
   140715     return;
   140716   }
   140717 }
   140718 function _psgen_ellipse($job, $A, $filled) {
   140719   $job = $job | 0;
   140720   $A = $A | 0;
   140721   $filled = $filled | 0;
   140722   var $AA = 0, $arrayidx = 0, $0 = 0, $1 = 0, $x = 0, $2 = 0.0, $x4 = 0, $3 = 0.0, $sub = 0.0, $x6 = 0, $y = 0, $4 = 0.0, $y9 = 0, $5 = 0.0, $sub10 = 0.0, $y12 = 0, $tobool = 0, $obj = 0, $6 = 0, $arrayidx13 = 0, $7 = 0.0, $cmp = 0, $fillcolor = 0, $8 = 0, $call = 0, $obj16 = 0, $9 = 0, $arrayidx19 = 0, $10 = 0.0, $cmp20 = 0, $11 = 0, $pencolor23 = 0, $12 = 0, $call25 = 0, label = 0, __stackBase__ = 0;
   140723   __stackBase__ = STACKTOP;
   140724   STACKTOP = STACKTOP + 32 | 0;
   140725   label = 2;
   140726   while (1) switch (label | 0) {
   140727    case 2:
   140728     $AA = __stackBase__ | 0;
   140729     $arrayidx = $AA | 0;
   140730     $0 = $AA;
   140731     $1 = $A;
   140732     HEAP32[$0 >> 2] = HEAP32[$1 >> 2] | 0;
   140733     HEAP32[$0 + 4 >> 2] = HEAP32[$1 + 4 >> 2] | 0;
   140734     HEAP32[$0 + 8 >> 2] = HEAP32[$1 + 8 >> 2] | 0;
   140735     HEAP32[$0 + 12 >> 2] = HEAP32[$1 + 12 >> 2] | 0;
   140736     $x = $A + 16 | 0;
   140737     $2 = +HEAPF64[$x >> 3];
   140738     $x4 = $A | 0;
   140739     $3 = +HEAPF64[$x4 >> 3];
   140740     $sub = $2 - $3;
   140741     $x6 = $AA + 16 | 0;
   140742     HEAPF64[$x6 >> 3] = $sub;
   140743     $y = $A + 24 | 0;
   140744     $4 = +HEAPF64[$y >> 3];
   140745     $y9 = $A + 8 | 0;
   140746     $5 = +HEAPF64[$y9 >> 3];
   140747     $sub10 = $4 - $5;
   140748     $y12 = $AA + 24 | 0;
   140749     HEAPF64[$y12 >> 3] = $sub10;
   140750     $tobool = ($filled | 0) == 0;
   140751     if ($tobool) {
   140752       label = 5;
   140753       break;
   140754     } else {
   140755       label = 3;
   140756       break;
   140757     }
   140758    case 3:
   140759     $obj = $job + 16 | 0;
   140760     $6 = HEAP32[$obj >> 2] | 0;
   140761     $arrayidx13 = $6 + 80 | 0;
   140762     $7 = +HEAPF64[$arrayidx13 >> 3];
   140763     $cmp = $7 > .5;
   140764     if ($cmp) {
   140765       label = 4;
   140766       break;
   140767     } else {
   140768       label = 5;
   140769       break;
   140770     }
   140771    case 4:
   140772     $fillcolor = $6 + 56 | 0;
   140773     _ps_set_color($job, $fillcolor);
   140774     $8 = $job;
   140775     _gvprintpointflist($8, $arrayidx, 2);
   140776     $call = _gvputs($8, 140120) | 0;
   140777     label = 5;
   140778     break;
   140779    case 5:
   140780     $obj16 = $job + 16 | 0;
   140781     $9 = HEAP32[$obj16 >> 2] | 0;
   140782     $arrayidx19 = $9 + 40 | 0;
   140783     $10 = +HEAPF64[$arrayidx19 >> 3];
   140784     $cmp20 = $10 > .5;
   140785     if ($cmp20) {
   140786       label = 6;
   140787       break;
   140788     } else {
   140789       label = 7;
   140790       break;
   140791     }
   140792    case 6:
   140793     _ps_set_pen_style($job);
   140794     $11 = HEAP32[$obj16 >> 2] | 0;
   140795     $pencolor23 = $11 + 16 | 0;
   140796     _ps_set_color($job, $pencolor23);
   140797     $12 = $job;
   140798     _gvprintpointflist($12, $arrayidx, 2);
   140799     $call25 = _gvputs($12, 139848) | 0;
   140800     label = 7;
   140801     break;
   140802    case 7:
   140803     STACKTOP = __stackBase__;
   140804     return;
   140805   }
   140806 }
   140807 function _psgen_polygon($job, $A, $n, $filled) {
   140808   $job = $job | 0;
   140809   $A = $A | 0;
   140810   $n = $n | 0;
   140811   $filled = $filled | 0;
   140812   var $tobool = 0, $obj = 0, $0 = 0, $arrayidx = 0, $1 = 0.0, $cmp = 0, $fillcolor = 0, $2 = 0, $call = 0, $call4 = 0, $cmp528 = 0, $j_029 = 0, $arrayidx6 = 0, $call7 = 0, $inc = 0, $cmp5 = 0, $call8 = 0, $obj9 = 0, $3 = 0, $arrayidx12 = 0, $4 = 0.0, $cmp13 = 0, $5 = 0, $pencolor16 = 0, $6 = 0, $call17 = 0, $call19 = 0, $cmp2126 = 0, $j_127 = 0, $arrayidx23 = 0, $call24 = 0, $inc26 = 0, $cmp21 = 0, $call28 = 0, label = 0;
   140813   label = 2;
   140814   while (1) switch (label | 0) {
   140815    case 2:
   140816     $tobool = ($filled | 0) == 0;
   140817     if ($tobool) {
   140818       label = 7;
   140819       break;
   140820     } else {
   140821       label = 3;
   140822       break;
   140823     }
   140824    case 3:
   140825     $obj = $job + 16 | 0;
   140826     $0 = HEAP32[$obj >> 2] | 0;
   140827     $arrayidx = $0 + 80 | 0;
   140828     $1 = +HEAPF64[$arrayidx >> 3];
   140829     $cmp = $1 > .5;
   140830     if ($cmp) {
   140831       label = 4;
   140832       break;
   140833     } else {
   140834       label = 7;
   140835       break;
   140836     }
   140837    case 4:
   140838     $fillcolor = $0 + 56 | 0;
   140839     _ps_set_color($job, $fillcolor);
   140840     $2 = $job;
   140841     $call = _gvputs($2, 142864) | 0;
   140842     _gvprintpointf($2, $A);
   140843     $call4 = _gvputs($2, 142520) | 0;
   140844     $cmp528 = ($n | 0) > 1;
   140845     if ($cmp528) {
   140846       $j_029 = 1;
   140847       label = 5;
   140848       break;
   140849     } else {
   140850       label = 6;
   140851       break;
   140852     }
   140853    case 5:
   140854     $arrayidx6 = $A + ($j_029 << 4) | 0;
   140855     _gvprintpointf($2, $arrayidx6);
   140856     $call7 = _gvputs($2, 142256) | 0;
   140857     $inc = $j_029 + 1 | 0;
   140858     $cmp5 = ($inc | 0) < ($n | 0);
   140859     if ($cmp5) {
   140860       $j_029 = $inc;
   140861       label = 5;
   140862       break;
   140863     } else {
   140864       label = 6;
   140865       break;
   140866     }
   140867    case 6:
   140868     $call8 = _gvputs($2, 141408) | 0;
   140869     label = 7;
   140870     break;
   140871    case 7:
   140872     $obj9 = $job + 16 | 0;
   140873     $3 = HEAP32[$obj9 >> 2] | 0;
   140874     $arrayidx12 = $3 + 40 | 0;
   140875     $4 = +HEAPF64[$arrayidx12 >> 3];
   140876     $cmp13 = $4 > .5;
   140877     if ($cmp13) {
   140878       label = 8;
   140879       break;
   140880     } else {
   140881       label = 11;
   140882       break;
   140883     }
   140884    case 8:
   140885     _ps_set_pen_style($job);
   140886     $5 = HEAP32[$obj9 >> 2] | 0;
   140887     $pencolor16 = $5 + 16 | 0;
   140888     _ps_set_color($job, $pencolor16);
   140889     $6 = $job;
   140890     $call17 = _gvputs($6, 142864) | 0;
   140891     _gvprintpointf($6, $A);
   140892     $call19 = _gvputs($6, 142520) | 0;
   140893     $cmp2126 = ($n | 0) > 1;
   140894     if ($cmp2126) {
   140895       $j_127 = 1;
   140896       label = 9;
   140897       break;
   140898     } else {
   140899       label = 10;
   140900       break;
   140901     }
   140902    case 9:
   140903     $arrayidx23 = $A + ($j_127 << 4) | 0;
   140904     _gvprintpointf($6, $arrayidx23);
   140905     $call24 = _gvputs($6, 142256) | 0;
   140906     $inc26 = $j_127 + 1 | 0;
   140907     $cmp21 = ($inc26 | 0) < ($n | 0);
   140908     if ($cmp21) {
   140909       $j_127 = $inc26;
   140910       label = 9;
   140911       break;
   140912     } else {
   140913       label = 10;
   140914       break;
   140915     }
   140916    case 10:
   140917     $call28 = _gvputs($6, 141176) | 0;
   140918     label = 11;
   140919     break;
   140920    case 11:
   140921     return;
   140922   }
   140923 }
   140924 function _psgen_bezier($job, $A, $n, $arrow_at_start, $arrow_at_end, $filled) {
   140925   $job = $job | 0;
   140926   $A = $A | 0;
   140927   $n = $n | 0;
   140928   $arrow_at_start = $arrow_at_start | 0;
   140929   $arrow_at_end = $arrow_at_end | 0;
   140930   $filled = $filled | 0;
   140931   var $tobool = 0, $obj = 0, $0 = 0, $arrayidx = 0, $1 = 0.0, $cmp = 0, $fillcolor = 0, $2 = 0, $call = 0, $call4 = 0, $cmp528 = 0, $j_029 = 0, $arrayidx6 = 0, $call7 = 0, $add = 0, $cmp5 = 0, $call8 = 0, $obj9 = 0, $3 = 0, $arrayidx12 = 0, $4 = 0.0, $cmp13 = 0, $5 = 0, $pencolor16 = 0, $6 = 0, $call17 = 0, $call19 = 0, $cmp2126 = 0, $j_127 = 0, $arrayidx23 = 0, $call24 = 0, $add26 = 0, $cmp21 = 0, $call28 = 0, label = 0;
   140932   label = 2;
   140933   while (1) switch (label | 0) {
   140934    case 2:
   140935     $tobool = ($filled | 0) == 0;
   140936     if ($tobool) {
   140937       label = 7;
   140938       break;
   140939     } else {
   140940       label = 3;
   140941       break;
   140942     }
   140943    case 3:
   140944     $obj = $job + 16 | 0;
   140945     $0 = HEAP32[$obj >> 2] | 0;
   140946     $arrayidx = $0 + 80 | 0;
   140947     $1 = +HEAPF64[$arrayidx >> 3];
   140948     $cmp = $1 > .5;
   140949     if ($cmp) {
   140950       label = 4;
   140951       break;
   140952     } else {
   140953       label = 7;
   140954       break;
   140955     }
   140956    case 4:
   140957     $fillcolor = $0 + 56 | 0;
   140958     _ps_set_color($job, $fillcolor);
   140959     $2 = $job;
   140960     $call = _gvputs($2, 142864) | 0;
   140961     _gvprintpointf($2, $A);
   140962     $call4 = _gvputs($2, 142520) | 0;
   140963     $cmp528 = ($n | 0) > 1;
   140964     if ($cmp528) {
   140965       $j_029 = 1;
   140966       label = 5;
   140967       break;
   140968     } else {
   140969       label = 6;
   140970       break;
   140971     }
   140972    case 5:
   140973     $arrayidx6 = $A + ($j_029 << 4) | 0;
   140974     _gvprintpointflist($2, $arrayidx6, 3);
   140975     $call7 = _gvputs($2, 141720) | 0;
   140976     $add = $j_029 + 3 | 0;
   140977     $cmp5 = ($add | 0) < ($n | 0);
   140978     if ($cmp5) {
   140979       $j_029 = $add;
   140980       label = 5;
   140981       break;
   140982     } else {
   140983       label = 6;
   140984       break;
   140985     }
   140986    case 6:
   140987     $call8 = _gvputs($2, 141408) | 0;
   140988     label = 7;
   140989     break;
   140990    case 7:
   140991     $obj9 = $job + 16 | 0;
   140992     $3 = HEAP32[$obj9 >> 2] | 0;
   140993     $arrayidx12 = $3 + 40 | 0;
   140994     $4 = +HEAPF64[$arrayidx12 >> 3];
   140995     $cmp13 = $4 > .5;
   140996     if ($cmp13) {
   140997       label = 8;
   140998       break;
   140999     } else {
   141000       label = 11;
   141001       break;
   141002     }
   141003    case 8:
   141004     _ps_set_pen_style($job);
   141005     $5 = HEAP32[$obj9 >> 2] | 0;
   141006     $pencolor16 = $5 + 16 | 0;
   141007     _ps_set_color($job, $pencolor16);
   141008     $6 = $job;
   141009     $call17 = _gvputs($6, 142864) | 0;
   141010     _gvprintpointf($6, $A);
   141011     $call19 = _gvputs($6, 142520) | 0;
   141012     $cmp2126 = ($n | 0) > 1;
   141013     if ($cmp2126) {
   141014       $j_127 = 1;
   141015       label = 9;
   141016       break;
   141017     } else {
   141018       label = 10;
   141019       break;
   141020     }
   141021    case 9:
   141022     $arrayidx23 = $A + ($j_127 << 4) | 0;
   141023     _gvprintpointflist($6, $arrayidx23, 3);
   141024     $call24 = _gvputs($6, 141720) | 0;
   141025     $add26 = $j_127 + 3 | 0;
   141026     $cmp21 = ($add26 | 0) < ($n | 0);
   141027     if ($cmp21) {
   141028       $j_127 = $add26;
   141029       label = 9;
   141030       break;
   141031     } else {
   141032       label = 10;
   141033       break;
   141034     }
   141035    case 10:
   141036     $call28 = _gvputs($6, 142016) | 0;
   141037     label = 11;
   141038     break;
   141039    case 11:
   141040     return;
   141041   }
   141042 }
   141043 function _psgen_polyline($job, $A, $n) {
   141044   $job = $job | 0;
   141045   $A = $A | 0;
   141046   $n = $n | 0;
   141047   var $obj = 0, $0 = 0, $arrayidx = 0, $1 = 0.0, $cmp = 0, $2 = 0, $pencolor2 = 0, $3 = 0, $call = 0, $call4 = 0, $cmp512 = 0, $j_013 = 0, $arrayidx6 = 0, $call7 = 0, $inc = 0, $cmp5 = 0, $call8 = 0, label = 0;
   141048   label = 2;
   141049   while (1) switch (label | 0) {
   141050    case 2:
   141051     $obj = $job + 16 | 0;
   141052     $0 = HEAP32[$obj >> 2] | 0;
   141053     $arrayidx = $0 + 40 | 0;
   141054     $1 = +HEAPF64[$arrayidx >> 3];
   141055     $cmp = $1 > .5;
   141056     if ($cmp) {
   141057       label = 3;
   141058       break;
   141059     } else {
   141060       label = 6;
   141061       break;
   141062     }
   141063    case 3:
   141064     _ps_set_pen_style($job);
   141065     $2 = HEAP32[$obj >> 2] | 0;
   141066     $pencolor2 = $2 + 16 | 0;
   141067     _ps_set_color($job, $pencolor2);
   141068     $3 = $job;
   141069     $call = _gvputs($3, 142864) | 0;
   141070     _gvprintpointf($3, $A);
   141071     $call4 = _gvputs($3, 142520) | 0;
   141072     $cmp512 = ($n | 0) > 1;
   141073     if ($cmp512) {
   141074       $j_013 = 1;
   141075       label = 4;
   141076       break;
   141077     } else {
   141078       label = 5;
   141079       break;
   141080     }
   141081    case 4:
   141082     $arrayidx6 = $A + ($j_013 << 4) | 0;
   141083     _gvprintpointf($3, $arrayidx6);
   141084     $call7 = _gvputs($3, 142256) | 0;
   141085     $inc = $j_013 + 1 | 0;
   141086     $cmp5 = ($inc | 0) < ($n | 0);
   141087     if ($cmp5) {
   141088       $j_013 = $inc;
   141089       label = 4;
   141090       break;
   141091     } else {
   141092       label = 5;
   141093       break;
   141094     }
   141095    case 5:
   141096     $call8 = _gvputs($3, 142016) | 0;
   141097     label = 6;
   141098     break;
   141099    case 6:
   141100     return;
   141101   }
   141102 }
   141103 function _psgen_comment($job, $str) {
   141104   $job = $job | 0;
   141105   $str = $str | 0;
   141106   var $0 = 0;
   141107   $0 = $job;
   141108   _gvputs($0, 143584);
   141109   _gvputs($0, $str);
   141110   _gvputs($0, 143192);
   141111   return;
   141112 }
   141113 function _psgen_library_shape($job, $name, $A, $n, $filled) {
   141114   $job = $job | 0;
   141115   $name = $name | 0;
   141116   $A = $A | 0;
   141117   $n = $n | 0;
   141118   $filled = $filled | 0;
   141119   var $tobool = 0, $obj = 0, $0 = 0, $arrayidx = 0, $1 = 0.0, $cmp = 0, $fillcolor = 0, $2 = 0, $call = 0, $call3 = 0, $obj5 = 0, $3 = 0, $arrayidx8 = 0, $4 = 0.0, $cmp9 = 0, $5 = 0, $pencolor12 = 0, $6 = 0, $call13 = 0, $call14 = 0, label = 0, __stackBase__ = 0;
   141120   __stackBase__ = STACKTOP;
   141121   label = 2;
   141122   while (1) switch (label | 0) {
   141123    case 2:
   141124     $tobool = ($filled | 0) == 0;
   141125     if ($tobool) {
   141126       label = 5;
   141127       break;
   141128     } else {
   141129       label = 3;
   141130       break;
   141131     }
   141132    case 3:
   141133     $obj = $job + 16 | 0;
   141134     $0 = HEAP32[$obj >> 2] | 0;
   141135     $arrayidx = $0 + 80 | 0;
   141136     $1 = +HEAPF64[$arrayidx >> 3];
   141137     $cmp = $1 > .5;
   141138     if ($cmp) {
   141139       label = 4;
   141140       break;
   141141     } else {
   141142       label = 5;
   141143       break;
   141144     }
   141145    case 4:
   141146     $fillcolor = $0 + 56 | 0;
   141147     _ps_set_color($job, $fillcolor);
   141148     $2 = $job;
   141149     $call = _gvputs($2, 147560) | 0;
   141150     _gvprintpointflist($2, $A, $n);
   141151     $call3 = _gvputs($2, 147256) | 0;
   141152     _gvprintpointf($2, $A);
   141153     _gvprintf($2, 147008, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $n, HEAP32[tempInt + 8 >> 2] = $name, tempInt) | 0);
   141154     label = 5;
   141155     break;
   141156    case 5:
   141157     $obj5 = $job + 16 | 0;
   141158     $3 = HEAP32[$obj5 >> 2] | 0;
   141159     $arrayidx8 = $3 + 40 | 0;
   141160     $4 = +HEAPF64[$arrayidx8 >> 3];
   141161     $cmp9 = $4 > .5;
   141162     if ($cmp9) {
   141163       label = 6;
   141164       break;
   141165     } else {
   141166       label = 7;
   141167       break;
   141168     }
   141169    case 6:
   141170     _ps_set_pen_style($job);
   141171     $5 = HEAP32[$obj5 >> 2] | 0;
   141172     $pencolor12 = $5 + 16 | 0;
   141173     _ps_set_color($job, $pencolor12);
   141174     $6 = $job;
   141175     $call13 = _gvputs($6, 147560) | 0;
   141176     _gvprintpointflist($6, $A, $n);
   141177     $call14 = _gvputs($6, 147256) | 0;
   141178     _gvprintpointf($6, $A);
   141179     _gvprintf($6, 146680, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $n, HEAP32[tempInt + 8 >> 2] = $name, tempInt) | 0);
   141180     label = 7;
   141181     break;
   141182    case 7:
   141183     STACKTOP = __stackBase__;
   141184     return;
   141185   }
   141186 }
   141187 function _ps_set_color($job, $color) {
   141188   $job = $job | 0;
   141189   $color = $color | 0;
   141190   var $tobool = 0, $obj = 0, $0 = 0, $type = 0, $1 = 0, $objtype_0 = 0, $arrayidx = 0, $2 = 0.0, $arrayidx5 = 0, $3 = 0.0, $arrayidx8 = 0, $4 = 0.0, $5 = 0, label = 0, __stackBase__ = 0;
   141191   __stackBase__ = STACKTOP;
   141192   label = 2;
   141193   while (1) switch (label | 0) {
   141194    case 2:
   141195     $tobool = ($color | 0) == 0;
   141196     if ($tobool) {
   141197       label = 8;
   141198       break;
   141199     } else {
   141200       label = 3;
   141201       break;
   141202     }
   141203    case 3:
   141204     $obj = $job + 16 | 0;
   141205     $0 = HEAP32[$obj >> 2] | 0;
   141206     $type = $0 + 4 | 0;
   141207     $1 = HEAP32[$type >> 2] | 0;
   141208     if (($1 | 0) == 2) {
   141209       label = 4;
   141210       break;
   141211     } else if (($1 | 0) == 3) {
   141212       label = 5;
   141213       break;
   141214     } else if (($1 | 0) == 0 | ($1 | 0) == 1) {
   141215       $objtype_0 = 145016;
   141216       label = 7;
   141217       break;
   141218     } else {
   141219       label = 6;
   141220       break;
   141221     }
   141222    case 4:
   141223     $objtype_0 = 144760;
   141224     label = 7;
   141225     break;
   141226    case 5:
   141227     $objtype_0 = 144496;
   141228     label = 7;
   141229     break;
   141230    case 6:
   141231     $objtype_0 = 144272;
   141232     label = 7;
   141233     break;
   141234    case 7:
   141235     $arrayidx = $color | 0;
   141236     $2 = +HEAPF64[$arrayidx >> 3];
   141237     $arrayidx5 = $color + 8 | 0;
   141238     $3 = +HEAPF64[$arrayidx5 >> 3];
   141239     $arrayidx8 = $color + 16 | 0;
   141240     $4 = +HEAPF64[$arrayidx8 >> 3];
   141241     $5 = $job;
   141242     _gvprintf($5, 143896, (tempInt = STACKTOP, STACKTOP = STACKTOP + 32 | 0, HEAPF64[tempInt >> 3] = $2, HEAPF64[tempInt + 8 >> 3] = $3, HEAPF64[tempInt + 16 >> 3] = $4, HEAP32[tempInt + 24 >> 2] = $objtype_0, tempInt) | 0);
   141243     label = 8;
   141244     break;
   141245    case 8:
   141246     STACKTOP = __stackBase__;
   141247     return;
   141248   }
   141249 }
   141250 function _ps_set_pen_style($job) {
   141251   $job = $job | 0;
   141252   var $obj = 0, $0 = 0, $penwidth1 = 0, $1 = 0.0, $rawstyle = 0, $2 = 0, $3 = 0, $call = 0, $tobool18 = 0, $s_019 = 0, $incdec_ptr = 0, $4 = 0, $tobool3 = 0, $call4 = 0, $cmp = 0, $p_0 = 0, $5 = 0, $tobool6 = 0, $incdec_ptr8 = 0, $6 = 0, $tobool1116 = 0, $7 = 0, $tobool11 = 0, $p_117 = 0, $p_2 = 0, $8 = 0, $tobool14 = 0, $incdec_ptr16 = 0, $call20 = 0, $cmp21 = 0, $9 = 0, $penwidth24 = 0, $tobool = 0, label = 0, __stackBase__ = 0;
   141253   __stackBase__ = STACKTOP;
   141254   label = 2;
   141255   while (1) switch (label | 0) {
   141256    case 2:
   141257     $obj = $job + 16 | 0;
   141258     $0 = HEAP32[$obj >> 2] | 0;
   141259     $penwidth1 = $0 + 104 | 0;
   141260     $1 = +HEAPF64[$penwidth1 >> 3];
   141261     $rawstyle = $0 + 112 | 0;
   141262     $2 = HEAP32[$rawstyle >> 2] | 0;
   141263     $3 = $job;
   141264     _gvprintdouble($3, $1);
   141265     $call = _gvputs($3, 146448) | 0;
   141266     $tobool18 = ($2 | 0) == 0;
   141267     if ($tobool18) {
   141268       label = 14;
   141269       break;
   141270     } else {
   141271       $s_019 = $2;
   141272       label = 3;
   141273       break;
   141274     }
   141275    case 3:
   141276     $incdec_ptr = $s_019 + 4 | 0;
   141277     $4 = HEAP32[$s_019 >> 2] | 0;
   141278     $tobool3 = ($4 | 0) == 0;
   141279     if ($tobool3) {
   141280       label = 14;
   141281       break;
   141282     } else {
   141283       label = 4;
   141284       break;
   141285     }
   141286    case 4:
   141287     $call4 = _strcmp($4 | 0, 146176) | 0;
   141288     $cmp = ($call4 | 0) == 0;
   141289     if ($cmp) {
   141290       label = 13;
   141291       break;
   141292     } else {
   141293       $p_0 = $4;
   141294       label = 5;
   141295       break;
   141296     }
   141297    case 5:
   141298     $5 = HEAP8[$p_0] | 0;
   141299     $tobool6 = $5 << 24 >> 24 == 0;
   141300     $incdec_ptr8 = $p_0 + 1 | 0;
   141301     if ($tobool6) {
   141302       label = 6;
   141303       break;
   141304     } else {
   141305       $p_0 = $incdec_ptr8;
   141306       label = 5;
   141307       break;
   141308     }
   141309    case 6:
   141310     $6 = HEAP8[$incdec_ptr8] | 0;
   141311     $tobool1116 = $6 << 24 >> 24 == 0;
   141312     if ($tobool1116) {
   141313       label = 10;
   141314       break;
   141315     } else {
   141316       $p_117 = $incdec_ptr8;
   141317       label = 8;
   141318       break;
   141319     }
   141320    case 7:
   141321     $7 = HEAP8[$incdec_ptr16] | 0;
   141322     $tobool11 = $7 << 24 >> 24 == 0;
   141323     if ($tobool11) {
   141324       label = 10;
   141325       break;
   141326     } else {
   141327       $p_117 = $incdec_ptr16;
   141328       label = 8;
   141329       break;
   141330     }
   141331    case 8:
   141332     _gvprintf($3, 145928, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $p_117, tempInt) | 0);
   141333     $p_2 = $p_117;
   141334     label = 9;
   141335     break;
   141336    case 9:
   141337     $8 = HEAP8[$p_2] | 0;
   141338     $tobool14 = $8 << 24 >> 24 == 0;
   141339     $incdec_ptr16 = $p_2 + 1 | 0;
   141340     if ($tobool14) {
   141341       label = 7;
   141342       break;
   141343     } else {
   141344       $p_2 = $incdec_ptr16;
   141345       label = 9;
   141346       break;
   141347     }
   141348    case 10:
   141349     $call20 = _strcmp($4 | 0, 145576) | 0;
   141350     $cmp21 = ($call20 | 0) == 0;
   141351     if ($cmp21) {
   141352       label = 11;
   141353       break;
   141354     } else {
   141355       label = 12;
   141356       break;
   141357     }
   141358    case 11:
   141359     $9 = HEAP32[$obj >> 2] | 0;
   141360     $penwidth24 = $9 + 104 | 0;
   141361     HEAPF64[$penwidth24 >> 3] = 0.0;
   141362     label = 12;
   141363     break;
   141364    case 12:
   141365     _gvprintf($3, 145304, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $4, tempInt) | 0);
   141366     label = 13;
   141367     break;
   141368    case 13:
   141369     $tobool = ($incdec_ptr | 0) == 0;
   141370     if ($tobool) {
   141371       label = 14;
   141372       break;
   141373     } else {
   141374       $s_019 = $incdec_ptr;
   141375       label = 3;
   141376       break;
   141377     }
   141378    case 14:
   141379     STACKTOP = __stackBase__;
   141380     return;
   141381   }
   141382 }
   141383 function _svg_begin_job($job) {
   141384   $job = $job | 0;
   141385   var $0 = 0, $call = 0, $gvc = 0, $1 = 0, $g = 0, $2 = 0, $3 = 0, $call1 = 0, $tobool = 0, $4 = 0, $tobool2 = 0, $call3 = 0, $call4 = 0, $call5 = 0, $call6 = 0, $call7 = 0, $call8 = 0, $common = 0, $5 = 0, $info = 0, $6 = 0, $7 = 0, $call10 = 0, $call11 = 0, $call12 = 0, $8 = 0, $info14 = 0, $9 = 0, $arrayidx15 = 0, $10 = 0, $call16 = 0, $call17 = 0, $call18 = 0, $11 = 0, $info20 = 0, $12 = 0, $arrayidx21 = 0, $13 = 0, $call22 = 0, $call23 = 0, $call24 = 0, $call25 = 0, label = 0;
   141386   label = 2;
   141387   while (1) switch (label | 0) {
   141388    case 2:
   141389     $0 = $job;
   141390     $call = _gvputs($0, 128896) | 0;
   141391     $gvc = $job | 0;
   141392     $1 = HEAP32[$gvc >> 2] | 0;
   141393     $g = $1 + 128 | 0;
   141394     $2 = HEAP32[$g >> 2] | 0;
   141395     $3 = $2 | 0;
   141396     $call1 = _agget($3, 128768) | 0;
   141397     $tobool = ($call1 | 0) == 0;
   141398     if ($tobool) {
   141399       label = 5;
   141400       break;
   141401     } else {
   141402       label = 3;
   141403       break;
   141404     }
   141405    case 3:
   141406     $4 = HEAP8[$call1] | 0;
   141407     $tobool2 = $4 << 24 >> 24 == 0;
   141408     if ($tobool2) {
   141409       label = 5;
   141410       break;
   141411     } else {
   141412       label = 4;
   141413       break;
   141414     }
   141415    case 4:
   141416     $call3 = _gvputs($0, 128544) | 0;
   141417     $call4 = _gvputs($0, $call1) | 0;
   141418     $call5 = _gvputs($0, 128360) | 0;
   141419     label = 5;
   141420     break;
   141421    case 5:
   141422     $call6 = _gvputs($0, 127984) | 0;
   141423     $call7 = _gvputs($0, 127704) | 0;
   141424     $call8 = _gvputs($0, 127424) | 0;
   141425     $common = $job + 12 | 0;
   141426     $5 = HEAP32[$common >> 2] | 0;
   141427     $info = $5 | 0;
   141428     $6 = HEAP32[$info >> 2] | 0;
   141429     $7 = HEAP32[$6 >> 2] | 0;
   141430     $call10 = _xml_string($7) | 0;
   141431     $call11 = _gvputs($0, $call10) | 0;
   141432     $call12 = _gvputs($0, 127104) | 0;
   141433     $8 = HEAP32[$common >> 2] | 0;
   141434     $info14 = $8 | 0;
   141435     $9 = HEAP32[$info14 >> 2] | 0;
   141436     $arrayidx15 = $9 + 4 | 0;
   141437     $10 = HEAP32[$arrayidx15 >> 2] | 0;
   141438     $call16 = _xml_string($10) | 0;
   141439     $call17 = _gvputs($0, $call16) | 0;
   141440     $call18 = _gvputs($0, 126848) | 0;
   141441     $11 = HEAP32[$common >> 2] | 0;
   141442     $info20 = $11 | 0;
   141443     $12 = HEAP32[$info20 >> 2] | 0;
   141444     $arrayidx21 = $12 + 8 | 0;
   141445     $13 = HEAP32[$arrayidx21 >> 2] | 0;
   141446     $call22 = _xml_string($13) | 0;
   141447     $call23 = _gvputs($0, $call22) | 0;
   141448     $call24 = _gvputs($0, 126584) | 0;
   141449     $call25 = _gvputs($0, 146440) | 0;
   141450     return;
   141451   }
   141452 }
   141453 function _svg_begin_graph($job) {
   141454   $job = $job | 0;
   141455   var $obj1 = 0, $0 = 0, $1 = 0, $call = 0, $g = 0, $2 = 0, $name = 0, $3 = 0, $4 = 0, $tobool = 0, $call2 = 0, $5 = 0, $name5 = 0, $6 = 0, $call6 = 0, $call7 = 0, $x = 0, $7 = 0, $y = 0, $8 = 0, $mul = 0, $width = 0, $9 = 0, $height = 0, $10 = 0, $x9 = 0, $11 = 0.0, $y12 = 0, $12 = 0.0, $x14 = 0, $13 = 0.0, $y17 = 0, $14 = 0.0, $call18 = 0, $call19 = 0, $call20 = 0, label = 0, __stackBase__ = 0;
   141456   __stackBase__ = STACKTOP;
   141457   label = 2;
   141458   while (1) switch (label | 0) {
   141459    case 2:
   141460     $obj1 = $job + 16 | 0;
   141461     $0 = HEAP32[$obj1 >> 2] | 0;
   141462     $1 = $job;
   141463     $call = _gvputs($1, 130232) | 0;
   141464     $g = $0 + 8 | 0;
   141465     $2 = HEAP32[$g >> 2] | 0;
   141466     $name = $2 + 12 | 0;
   141467     $3 = HEAP32[$name >> 2] | 0;
   141468     $4 = HEAP8[$3] | 0;
   141469     $tobool = $4 << 24 >> 24 == 0;
   141470     if ($tobool) {
   141471       label = 4;
   141472       break;
   141473     } else {
   141474       label = 3;
   141475       break;
   141476     }
   141477    case 3:
   141478     $call2 = _gvputs($1, 130040) | 0;
   141479     $5 = HEAP32[$g >> 2] | 0;
   141480     $name5 = $5 + 12 | 0;
   141481     $6 = HEAP32[$name5 >> 2] | 0;
   141482     $call6 = _xml_string($6) | 0;
   141483     $call7 = _gvputs($1, $call6) | 0;
   141484     label = 4;
   141485     break;
   141486    case 4:
   141487     $x = $job + 164 | 0;
   141488     $7 = HEAP32[$x >> 2] | 0;
   141489     $y = $job + 168 | 0;
   141490     $8 = HEAP32[$y >> 2] | 0;
   141491     $mul = Math_imul($8, $7);
   141492     _gvprintf($1, 129888, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $mul, tempInt) | 0);
   141493     $width = $job + 448 | 0;
   141494     $9 = HEAP32[$width >> 2] | 0;
   141495     $height = $job + 452 | 0;
   141496     $10 = HEAP32[$height >> 2] | 0;
   141497     _gvprintf($1, 129704, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $9, HEAP32[tempInt + 8 >> 2] = $10, tempInt) | 0);
   141498     $x9 = $job + 384 | 0;
   141499     $11 = +HEAPF64[$x9 >> 3];
   141500     $y12 = $job + 392 | 0;
   141501     $12 = +HEAPF64[$y12 >> 3];
   141502     $x14 = $job + 400 | 0;
   141503     $13 = +HEAPF64[$x14 >> 3];
   141504     $y17 = $job + 408 | 0;
   141505     $14 = +HEAPF64[$y17 >> 3];
   141506     _gvprintf($1, 129480, (tempInt = STACKTOP, STACKTOP = STACKTOP + 32 | 0, HEAPF64[tempInt >> 3] = $11, HEAPF64[tempInt + 8 >> 3] = $12, HEAPF64[tempInt + 16 >> 3] = $13, HEAPF64[tempInt + 24 >> 3] = $14, tempInt) | 0);
   141507     $call18 = _gvputs($1, 129256) | 0;
   141508     $call19 = _gvputs($1, 129072) | 0;
   141509     $call20 = _gvputs($1, 132528) | 0;
   141510     STACKTOP = __stackBase__;
   141511     return;
   141512   }
   141513 }
   141514 function _svg_end_graph($job) {
   141515   $job = $job | 0;
   141516   _gvputs($job, 130416);
   141517   return;
   141518 }
   141519 function _svg_begin_layer($job, $layername, $layerNum, $numLayers) {
   141520   $job = $job | 0;
   141521   $layername = $layername | 0;
   141522   $layerNum = $layerNum | 0;
   141523   $numLayers = $numLayers | 0;
   141524   var $0 = 0;
   141525   $0 = $job;
   141526   _gvputs($0, 132224);
   141527   _gvputs($0, _xml_string($layername) | 0);
   141528   _gvputs($0, 130592);
   141529   return;
   141530 }
   141531 function _svg_end_layer($job) {
   141532   $job = $job | 0;
   141533   _gvputs($job, 132392);
   141534   return;
   141535 }
   141536 function _svg_begin_page($job) {
   141537   $job = $job | 0;
   141538   var $obj1 = 0, $0 = 0, $1 = 0, $call = 0, $id = 0, $2 = 0, $call2 = 0, $call3 = 0, $call4 = 0, $x = 0, $3 = 0.0, $y = 0, $4 = 0.0, $rotation = 0, $5 = 0, $sub = 0, $x6 = 0, $6 = 0.0, $y8 = 0, $7 = 0.0, $sub9 = 0.0, $g = 0, $8 = 0, $name = 0, $9 = 0, $10 = 0, $tobool = 0, $call10 = 0, $11 = 0, $name13 = 0, $12 = 0, $call14 = 0, $call15 = 0, $call16 = 0, label = 0, __stackBase__ = 0;
   141539   __stackBase__ = STACKTOP;
   141540   label = 2;
   141541   while (1) switch (label | 0) {
   141542    case 2:
   141543     $obj1 = $job + 16 | 0;
   141544     $0 = HEAP32[$obj1 >> 2] | 0;
   141545     $1 = $job;
   141546     $call = _gvputs($1, 132224) | 0;
   141547     $id = $0 + 164 | 0;
   141548     $2 = HEAP32[$id >> 2] | 0;
   141549     $call2 = _xml_string($2) | 0;
   141550     $call3 = _gvputs($1, $call2) | 0;
   141551     $call4 = _gvputs($1, 130936) | 0;
   141552     $x = $job + 488 | 0;
   141553     $3 = +HEAPF64[$x >> 3];
   141554     $y = $job + 496 | 0;
   141555     $4 = +HEAPF64[$y >> 3];
   141556     $rotation = $job + 360 | 0;
   141557     $5 = HEAP32[$rotation >> 2] | 0;
   141558     $sub = -$5 | 0;
   141559     $x6 = $job + 504 | 0;
   141560     $6 = +HEAPF64[$x6 >> 3];
   141561     $y8 = $job + 512 | 0;
   141562     $7 = +HEAPF64[$y8 >> 3];
   141563     $sub9 = -0.0 - $7;
   141564     _gvprintf($1, 130744, (tempInt = STACKTOP, STACKTOP = STACKTOP + 40 | 0, HEAPF64[tempInt >> 3] = $3, HEAPF64[tempInt + 8 >> 3] = $4, HEAP32[tempInt + 16 >> 2] = $sub, HEAPF64[tempInt + 24 >> 3] = $6, HEAPF64[tempInt + 32 >> 3] = $sub9, tempInt) | 0);
   141565     $g = $0 + 8 | 0;
   141566     $8 = HEAP32[$g >> 2] | 0;
   141567     $name = $8 + 12 | 0;
   141568     $9 = HEAP32[$name >> 2] | 0;
   141569     $10 = HEAP8[$9] | 0;
   141570     $tobool = $10 << 24 >> 24 == 0;
   141571     if ($tobool) {
   141572       label = 4;
   141573       break;
   141574     } else {
   141575       label = 3;
   141576       break;
   141577     }
   141578    case 3:
   141579     $call10 = _gvputs($1, 131880) | 0;
   141580     $11 = HEAP32[$g >> 2] | 0;
   141581     $name13 = $11 + 12 | 0;
   141582     $12 = HEAP32[$name13 >> 2] | 0;
   141583     $call14 = _xml_string($12) | 0;
   141584     $call15 = _gvputs($1, $call14) | 0;
   141585     $call16 = _gvputs($1, 131424) | 0;
   141586     label = 4;
   141587     break;
   141588    case 4:
   141589     STACKTOP = __stackBase__;
   141590     return;
   141591   }
   141592 }
   141593 function _svg_end_page($job) {
   141594   $job = $job | 0;
   141595   _gvputs($job, 132392);
   141596   return;
   141597 }
   141598 function _svg_begin_cluster($job) {
   141599   $job = $job | 0;
   141600   var $0 = 0, $1 = 0;
   141601   $0 = HEAP32[$job + 16 >> 2] | 0;
   141602   $1 = $job;
   141603   _gvputs($1, 132224);
   141604   _gvputs($1, _xml_string(HEAP32[$0 + 164 >> 2] | 0) | 0);
   141605   _gvputs($1, 131072);
   141606   _gvputs($1, 131880);
   141607   _gvputs($1, _xml_string(HEAP32[(HEAP32[$0 + 8 >> 2] | 0) + 12 >> 2] | 0) | 0);
   141608   _gvputs($1, 131424);
   141609   return;
   141610 }
   141611 function _svg_end_cluster($job) {
   141612   $job = $job | 0;
   141613   _gvputs($job, 132392);
   141614   return;
   141615 }
   141616 function _svg_begin_node($job) {
   141617   $job = $job | 0;
   141618   var $0 = 0, $1 = 0;
   141619   $0 = HEAP32[$job + 16 >> 2] | 0;
   141620   $1 = $job;
   141621   _gvputs($1, 132224);
   141622   _gvputs($1, _xml_string(HEAP32[$0 + 164 >> 2] | 0) | 0);
   141623   _gvputs($1, 131264);
   141624   _gvputs($1, 131880);
   141625   _gvputs($1, _xml_string(HEAP32[(HEAP32[$0 + 8 >> 2] | 0) + 12 >> 2] | 0) | 0);
   141626   _gvputs($1, 131424);
   141627   return;
   141628 }
   141629 function _svg_end_node($job) {
   141630   $job = $job | 0;
   141631   _gvputs($job, 132392);
   141632   return;
   141633 }
   141634 function _svg_begin_edge($job) {
   141635   $job = $job | 0;
   141636   var $0 = 0, $1 = 0, $call6 = 0;
   141637   $0 = HEAP32[$job + 16 >> 2] | 0;
   141638   $1 = $job;
   141639   _gvputs($1, 132224);
   141640   _gvputs($1, _xml_string(HEAP32[$0 + 164 >> 2] | 0) | 0);
   141641   _gvputs($1, 132024);
   141642   _gvputs($1, 131880);
   141643   $call6 = _strdup_and_subst_obj(131584, HEAP32[$0 + 8 >> 2] | 0) | 0;
   141644   _gvputs($1, _xml_string($call6) | 0);
   141645   _free($call6);
   141646   _gvputs($1, 131424);
   141647   return;
   141648 }
   141649 function _svg_end_edge($job) {
   141650   $job = $job | 0;
   141651   _gvputs($job, 132392);
   141652   return;
   141653 }
   141654 function _svg_begin_anchor($job, $href, $tooltip, $target, $id) {
   141655   $job = $job | 0;
   141656   $href = $href | 0;
   141657   $tooltip = $tooltip | 0;
   141658   $target = $target | 0;
   141659   $id = $id | 0;
   141660   var $0 = 0, $call = 0, $tobool = 0, $1 = 0, $tobool1 = 0, $call2 = 0, $call3 = 0, $call4 = 0, $call5 = 0, $tobool6 = 0, $2 = 0, $tobool10 = 0, $call12 = 0, $call13 = 0, $call14 = 0, $call15 = 0, $tobool17 = 0, $3 = 0, $tobool21 = 0, $call23 = 0, $call24 = 0, $call25 = 0, $call26 = 0, $call28 = 0, label = 0;
   141661   label = 2;
   141662   while (1) switch (label | 0) {
   141663    case 2:
   141664     $0 = $job;
   141665     $call = _gvputs($0, 133512) | 0;
   141666     $tobool = ($href | 0) == 0;
   141667     if ($tobool) {
   141668       label = 5;
   141669       break;
   141670     } else {
   141671       label = 3;
   141672       break;
   141673     }
   141674    case 3:
   141675     $1 = HEAP8[$href] | 0;
   141676     $tobool1 = $1 << 24 >> 24 == 0;
   141677     if ($tobool1) {
   141678       label = 5;
   141679       break;
   141680     } else {
   141681       label = 4;
   141682       break;
   141683     }
   141684    case 4:
   141685     $call2 = _gvputs($0, 133272) | 0;
   141686     $call3 = _xml_url_string($href) | 0;
   141687     $call4 = _gvputs($0, $call3) | 0;
   141688     $call5 = _gvputs($0, 142856) | 0;
   141689     label = 5;
   141690     break;
   141691    case 5:
   141692     $tobool6 = ($tooltip | 0) == 0;
   141693     if ($tobool6) {
   141694       label = 8;
   141695       break;
   141696     } else {
   141697       label = 6;
   141698       break;
   141699     }
   141700    case 6:
   141701     $2 = HEAP8[$tooltip] | 0;
   141702     $tobool10 = $2 << 24 >> 24 == 0;
   141703     if ($tobool10) {
   141704       label = 8;
   141705       break;
   141706     } else {
   141707       label = 7;
   141708       break;
   141709     }
   141710    case 7:
   141711     $call12 = _gvputs($0, 132936) | 0;
   141712     $call13 = _xml_string($tooltip) | 0;
   141713     $call14 = _gvputs($0, $call13) | 0;
   141714     $call15 = _gvputs($0, 142856) | 0;
   141715     label = 8;
   141716     break;
   141717    case 8:
   141718     $tobool17 = ($target | 0) == 0;
   141719     if ($tobool17) {
   141720       label = 11;
   141721       break;
   141722     } else {
   141723       label = 9;
   141724       break;
   141725     }
   141726    case 9:
   141727     $3 = HEAP8[$target] | 0;
   141728     $tobool21 = $3 << 24 >> 24 == 0;
   141729     if ($tobool21) {
   141730       label = 11;
   141731       break;
   141732     } else {
   141733       label = 10;
   141734       break;
   141735     }
   141736    case 10:
   141737     $call23 = _gvputs($0, 132712) | 0;
   141738     $call24 = _xml_string($target) | 0;
   141739     $call25 = _gvputs($0, $call24) | 0;
   141740     $call26 = _gvputs($0, 142856) | 0;
   141741     label = 11;
   141742     break;
   141743    case 11:
   141744     $call28 = _gvputs($0, 132528) | 0;
   141745     return;
   141746   }
   141747 }
   141748 function _tkgen_begin_node($job) {
   141749   $job = $job | 0;
   141750   HEAP32[4110] = 1;
   141751   return;
   141752 }
   141753 function _tkgen_begin_edge($job) {
   141754   $job = $job | 0;
   141755   HEAP32[4110] = -1;
   141756   return;
   141757 }
   141758 function _tkgen_string($s) {
   141759   $s = $s | 0;
   141760   return $s | 0;
   141761 }
   141762 function _svg_end_anchor($job) {
   141763   $job = $job | 0;
   141764   _gvputs($job, 133800);
   141765   return;
   141766 }
   141767 function _svg_ellipse($job, $A, $filled) {
   141768   $job = $job | 0;
   141769   $A = $A | 0;
   141770   $filled = $filled | 0;
   141771   var $0 = 0, $x = 0, $y = 0, $sub = 0.0, $sub11 = 0.0, __stackBase__ = 0;
   141772   __stackBase__ = STACKTOP;
   141773   $0 = $job;
   141774   _gvputs($0, 138968);
   141775   _svg_grstyle($job, $filled);
   141776   $x = $A | 0;
   141777   $y = $A + 8 | 0;
   141778   $sub = -0.0 - +HEAPF64[$y >> 3];
   141779   _gvprintf($0, 138712, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = +HEAPF64[$x >> 3], HEAPF64[tempInt + 8 >> 3] = $sub, tempInt) | 0);
   141780   $sub11 = +HEAPF64[$A + 24 >> 3] - +HEAPF64[$y >> 3];
   141781   _gvprintf($0, 138464, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = +HEAPF64[$A + 16 >> 3] - +HEAPF64[$x >> 3], HEAPF64[tempInt + 8 >> 3] = $sub11, tempInt) | 0);
   141782   _gvputs($0, 138136);
   141783   STACKTOP = __stackBase__;
   141784   return;
   141785 }
   141786 function _svg_polygon($job, $A, $n, $filled) {
   141787   $job = $job | 0;
   141788   $A = $A | 0;
   141789   $n = $n | 0;
   141790   $filled = $filled | 0;
   141791   var $0 = 0, $call = 0, $call1 = 0, $cmp11 = 0, $i_012 = 0, $x = 0, $1 = 0.0, $y = 0, $2 = 0.0, $sub = 0.0, $inc = 0, $cmp = 0, $x4 = 0, $3 = 0.0, $y6 = 0, $4 = 0.0, $sub7 = 0.0, $call8 = 0, label = 0, __stackBase__ = 0;
   141792   __stackBase__ = STACKTOP;
   141793   label = 2;
   141794   while (1) switch (label | 0) {
   141795    case 2:
   141796     $0 = $job;
   141797     $call = _gvputs($0, 139528) | 0;
   141798     _svg_grstyle($job, $filled);
   141799     $call1 = _gvputs($0, 145912) | 0;
   141800     $cmp11 = ($n | 0) > 0;
   141801     if ($cmp11) {
   141802       $i_012 = 0;
   141803       label = 3;
   141804       break;
   141805     } else {
   141806       label = 4;
   141807       break;
   141808     }
   141809    case 3:
   141810     $x = $A + ($i_012 << 4) | 0;
   141811     $1 = +HEAPF64[$x >> 3];
   141812     $y = $A + ($i_012 << 4) + 8 | 0;
   141813     $2 = +HEAPF64[$y >> 3];
   141814     $sub = -0.0 - $2;
   141815     _gvprintf($0, 145528, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = $1, HEAPF64[tempInt + 8 >> 3] = $sub, tempInt) | 0);
   141816     $inc = $i_012 + 1 | 0;
   141817     $cmp = ($inc | 0) < ($n | 0);
   141818     if ($cmp) {
   141819       $i_012 = $inc;
   141820       label = 3;
   141821       break;
   141822     } else {
   141823       label = 4;
   141824       break;
   141825     }
   141826    case 4:
   141827     $x4 = $A | 0;
   141828     $3 = +HEAPF64[$x4 >> 3];
   141829     $y6 = $A + 8 | 0;
   141830     $4 = +HEAPF64[$y6 >> 3];
   141831     $sub7 = -0.0 - $4;
   141832     _gvprintf($0, 139304, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = $3, HEAPF64[tempInt + 8 >> 3] = $sub7, tempInt) | 0);
   141833     $call8 = _gvputs($0, 145296) | 0;
   141834     STACKTOP = __stackBase__;
   141835     return;
   141836   }
   141837 }
   141838 function _svg_bezier($job, $A, $n, $arrow_at_start, $arrow_at_end, $filled) {
   141839   $job = $job | 0;
   141840   $A = $A | 0;
   141841   $n = $n | 0;
   141842   $arrow_at_start = $arrow_at_start | 0;
   141843   $arrow_at_end = $arrow_at_end | 0;
   141844   $filled = $filled | 0;
   141845   var $0 = 0;
   141846   $0 = $job;
   141847   _gvputs($0, 141168);
   141848   _svg_grstyle($job, $filled);
   141849   _gvputs($0, 140112);
   141850   _svg_bzptarray($job, $A, $n);
   141851   _gvputs($0, 145296);
   141852   return;
   141853 }
   141854 function _svg_polyline($job, $A, $n) {
   141855   $job = $job | 0;
   141856   $A = $A | 0;
   141857   $n = $n | 0;
   141858   var $0 = 0, $call = 0, $call1 = 0, $cmp8 = 0, $i_09 = 0, $x = 0, $1 = 0.0, $y = 0, $2 = 0.0, $sub = 0.0, $inc = 0, $cmp = 0, $call3 = 0, label = 0, __stackBase__ = 0;
   141859   __stackBase__ = STACKTOP;
   141860   label = 2;
   141861   while (1) switch (label | 0) {
   141862    case 2:
   141863     $0 = $job;
   141864     $call = _gvputs($0, 146152) | 0;
   141865     _svg_grstyle($job, 0);
   141866     $call1 = _gvputs($0, 145912) | 0;
   141867     $cmp8 = ($n | 0) > 0;
   141868     if ($cmp8) {
   141869       $i_09 = 0;
   141870       label = 3;
   141871       break;
   141872     } else {
   141873       label = 4;
   141874       break;
   141875     }
   141876    case 3:
   141877     $x = $A + ($i_09 << 4) | 0;
   141878     $1 = +HEAPF64[$x >> 3];
   141879     $y = $A + ($i_09 << 4) + 8 | 0;
   141880     $2 = +HEAPF64[$y >> 3];
   141881     $sub = -0.0 - $2;
   141882     _gvprintf($0, 145528, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = $1, HEAPF64[tempInt + 8 >> 3] = $sub, tempInt) | 0);
   141883     $inc = $i_09 + 1 | 0;
   141884     $cmp = ($inc | 0) < ($n | 0);
   141885     if ($cmp) {
   141886       $i_09 = $inc;
   141887       label = 3;
   141888       break;
   141889     } else {
   141890       label = 4;
   141891       break;
   141892     }
   141893    case 4:
   141894     $call3 = _gvputs($0, 145296) | 0;
   141895     STACKTOP = __stackBase__;
   141896     return;
   141897   }
   141898 }
   141899 function _svg_comment($job, $str) {
   141900   $job = $job | 0;
   141901   $str = $str | 0;
   141902   var $0 = 0;
   141903   $0 = $job;
   141904   _gvputs($0, 146672);
   141905   _gvputs($0, _xml_string($str) | 0);
   141906   _gvputs($0, 146440);
   141907   return;
   141908 }
   141909 function _svg_grstyle($job, $filled) {
   141910   $job = $job | 0;
   141911   $filled = $filled | 0;
   141912   var $obj1 = 0, $0 = 0, $1 = 0, $call = 0, $tobool = 0, $fillcolor = 0, $type = 0, $2 = 0, $cmp = 0, $rgba = 0, $arrayidx = 0, $3 = 0, $conv19 = 0.0, $conv20 = 0.0, $div = 0.0, $call21 = 0, $call23 = 0, $pencolor = 0, $penwidth = 0, $4 = 0.0, $cmp24 = 0, $pen = 0, $5 = 0, $type40 = 0, $6 = 0, $cmp41 = 0, $rgba46 = 0, $arrayidx47 = 0, $7 = 0, $conv64 = 0.0, $conv65 = 0.0, $div66 = 0.0, $call68 = 0, label = 0, __stackBase__ = 0;
   141913   __stackBase__ = STACKTOP;
   141914   label = 2;
   141915   while (1) switch (label | 0) {
   141916    case 2:
   141917     $obj1 = $job + 16 | 0;
   141918     $0 = HEAP32[$obj1 >> 2] | 0;
   141919     $1 = $job;
   141920     $call = _gvputs($1, 145008) | 0;
   141921     $tobool = ($filled | 0) == 0;
   141922     if ($tobool) {
   141923       label = 6;
   141924       break;
   141925     } else {
   141926       label = 3;
   141927       break;
   141928     }
   141929    case 3:
   141930     $fillcolor = $0 + 56 | 0;
   141931     _svg_print_color($job, $fillcolor);
   141932     $type = $0 + 88 | 0;
   141933     $2 = HEAP32[$type >> 2] | 0;
   141934     $cmp = ($2 | 0) == 1;
   141935     if ($cmp) {
   141936       label = 4;
   141937       break;
   141938     } else {
   141939       label = 7;
   141940       break;
   141941     }
   141942    case 4:
   141943     $rgba = $fillcolor;
   141944     $arrayidx = $rgba + 3 | 0;
   141945     $3 = HEAP8[$arrayidx] | 0;
   141946     if (($3 << 24 >> 24 | 0) == 0 | ($3 << 24 >> 24 | 0) == (-1 | 0)) {
   141947       label = 7;
   141948       break;
   141949     } else {
   141950       label = 5;
   141951       break;
   141952     }
   141953    case 5:
   141954     $conv19 = +(($3 & 255) >>> 0);
   141955     $conv20 = $conv19;
   141956     $div = $conv20 / 255.0;
   141957     _gvprintf($1, 144720, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAPF64[tempInt >> 3] = $div, tempInt) | 0);
   141958     label = 7;
   141959     break;
   141960    case 6:
   141961     $call21 = _gvputs($1, 144488) | 0;
   141962     label = 7;
   141963     break;
   141964    case 7:
   141965     $call23 = _gvputs($1, 144256) | 0;
   141966     $pencolor = $0 + 16 | 0;
   141967     _svg_print_color($job, $pencolor);
   141968     $penwidth = $0 + 104 | 0;
   141969     $4 = +HEAPF64[$penwidth >> 3];
   141970     $cmp24 = $4 != 1.0;
   141971     if ($cmp24) {
   141972       label = 8;
   141973       break;
   141974     } else {
   141975       label = 9;
   141976       break;
   141977     }
   141978    case 8:
   141979     _gvprintf($1, 143872, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAPF64[tempInt >> 3] = $4, tempInt) | 0);
   141980     label = 9;
   141981     break;
   141982    case 9:
   141983     $pen = $0 + 96 | 0;
   141984     $5 = HEAP32[$pen >> 2] | 0;
   141985     if (($5 | 0) == 1) {
   141986       label = 10;
   141987       break;
   141988     } else if (($5 | 0) == 2) {
   141989       label = 11;
   141990       break;
   141991     } else {
   141992       label = 12;
   141993       break;
   141994     }
   141995    case 10:
   141996     _gvprintf($1, 143560, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = 142248, tempInt) | 0);
   141997     label = 12;
   141998     break;
   141999    case 11:
   142000     _gvprintf($1, 143560, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = 142480, tempInt) | 0);
   142001     label = 12;
   142002     break;
   142003    case 12:
   142004     $type40 = $0 + 48 | 0;
   142005     $6 = HEAP32[$type40 >> 2] | 0;
   142006     $cmp41 = ($6 | 0) == 1;
   142007     if ($cmp41) {
   142008       label = 13;
   142009       break;
   142010     } else {
   142011       label = 15;
   142012       break;
   142013     }
   142014    case 13:
   142015     $rgba46 = $pencolor;
   142016     $arrayidx47 = $rgba46 + 3 | 0;
   142017     $7 = HEAP8[$arrayidx47] | 0;
   142018     if (($7 << 24 >> 24 | 0) == 0 | ($7 << 24 >> 24 | 0) == (-1 | 0)) {
   142019       label = 15;
   142020       break;
   142021     } else {
   142022       label = 14;
   142023       break;
   142024     }
   142025    case 14:
   142026     $conv64 = +(($7 & 255) >>> 0);
   142027     $conv65 = $conv64;
   142028     $div66 = $conv65 / 255.0;
   142029     _gvprintf($1, 143152, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAPF64[tempInt >> 3] = $div66, tempInt) | 0);
   142030     label = 15;
   142031     break;
   142032    case 15:
   142033     $call68 = _gvputs($1, 142856) | 0;
   142034     STACKTOP = __stackBase__;
   142035     return;
   142036   }
   142037 }
   142038 function _svg_bzptarray($job, $A, $n) {
   142039   $job = $job | 0;
   142040   $A = $A | 0;
   142041   $n = $n | 0;
   142042   var $cmp7 = 0, $0 = 0, $c_09 = 0, $i_08 = 0, $conv6 = 0, $x = 0, $1 = 0.0, $y = 0, $2 = 0.0, $sub = 0.0, $cmp2 = 0, $_ = 0, $inc = 0, $cmp = 0, label = 0, __stackBase__ = 0;
   142043   __stackBase__ = STACKTOP;
   142044   label = 2;
   142045   while (1) switch (label | 0) {
   142046    case 2:
   142047     $cmp7 = ($n | 0) > 0;
   142048     if ($cmp7) {
   142049       label = 3;
   142050       break;
   142051     } else {
   142052       label = 5;
   142053       break;
   142054     }
   142055    case 3:
   142056     $0 = $job;
   142057     $i_08 = 0;
   142058     $c_09 = 77;
   142059     label = 4;
   142060     break;
   142061    case 4:
   142062     $conv6 = $c_09 & 255;
   142063     $x = $A + ($i_08 << 4) | 0;
   142064     $1 = +HEAPF64[$x >> 3];
   142065     $y = $A + ($i_08 << 4) + 8 | 0;
   142066     $2 = +HEAPF64[$y >> 3];
   142067     $sub = -0.0 - $2;
   142068     _gvprintf($0, 139840, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = $conv6, HEAPF64[tempInt + 8 >> 3] = $1, HEAPF64[tempInt + 16 >> 3] = $sub, tempInt) | 0);
   142069     $cmp2 = ($i_08 | 0) == 0;
   142070     $_ = $cmp2 ? 67 : 32;
   142071     $inc = $i_08 + 1 | 0;
   142072     $cmp = ($inc | 0) < ($n | 0);
   142073     if ($cmp) {
   142074       $i_08 = $inc;
   142075       $c_09 = $_;
   142076       label = 4;
   142077       break;
   142078     } else {
   142079       label = 5;
   142080       break;
   142081     }
   142082    case 5:
   142083     STACKTOP = __stackBase__;
   142084     return;
   142085   }
   142086 }
   142087 function _tkgen_begin_job($job) {
   142088   $job = $job | 0;
   142089   var $0 = 0, $common = 0;
   142090   $0 = $job;
   142091   _gvputs($0, 114832);
   142092   $common = $job + 12 | 0;
   142093   _gvputs($0, _tkgen_string(HEAP32[HEAP32[HEAP32[$common >> 2] >> 2] >> 2] | 0) | 0);
   142094   _gvputs($0, 114200);
   142095   _gvputs($0, _tkgen_string(HEAP32[(HEAP32[HEAP32[$common >> 2] >> 2] | 0) + 4 >> 2] | 0) | 0);
   142096   _gvputs($0, 113728);
   142097   _gvputs($0, _tkgen_string(HEAP32[(HEAP32[HEAP32[$common >> 2] >> 2] | 0) + 8 >> 2] | 0) | 0);
   142098   _gvputs($0, 113296);
   142099   return;
   142100 }
   142101 function _tkgen_begin_graph($job) {
   142102   $job = $job | 0;
   142103   var $obj1 = 0, $0 = 0, $1 = 0, $call = 0, $g = 0, $2 = 0, $name = 0, $3 = 0, $4 = 0, $tobool = 0, $call2 = 0, $5 = 0, $name5 = 0, $6 = 0, $call6 = 0, $call7 = 0, $x = 0, $7 = 0, $y = 0, $8 = 0, $mul = 0, label = 0, __stackBase__ = 0;
   142104   __stackBase__ = STACKTOP;
   142105   label = 2;
   142106   while (1) switch (label | 0) {
   142107    case 2:
   142108     $obj1 = $job + 16 | 0;
   142109     $0 = HEAP32[$obj1 >> 2] | 0;
   142110     $1 = $job;
   142111     $call = _gvputs($1, 116336) | 0;
   142112     $g = $0 + 8 | 0;
   142113     $2 = HEAP32[$g >> 2] | 0;
   142114     $name = $2 + 12 | 0;
   142115     $3 = HEAP32[$name >> 2] | 0;
   142116     $4 = HEAP8[$3] | 0;
   142117     $tobool = $4 << 24 >> 24 == 0;
   142118     if ($tobool) {
   142119       label = 4;
   142120       break;
   142121     } else {
   142122       label = 3;
   142123       break;
   142124     }
   142125    case 3:
   142126     $call2 = _gvputs($1, 115832) | 0;
   142127     $5 = HEAP32[$g >> 2] | 0;
   142128     $name5 = $5 + 12 | 0;
   142129     $6 = HEAP32[$name5 >> 2] | 0;
   142130     $call6 = _tkgen_string($6) | 0;
   142131     $call7 = _gvputs($1, $call6) | 0;
   142132     label = 4;
   142133     break;
   142134    case 4:
   142135     $x = $job + 164 | 0;
   142136     $7 = HEAP32[$x >> 2] | 0;
   142137     $y = $job + 168 | 0;
   142138     $8 = HEAP32[$y >> 2] | 0;
   142139     $mul = Math_imul($8, $7);
   142140     _gvprintf($1, 115376, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $mul, tempInt) | 0);
   142141     STACKTOP = __stackBase__;
   142142     return;
   142143   }
   142144 }
   142145 function _tkgen_textpara($job, $p, $para) {
   142146   $job = $job | 0;
   142147   $p = $p | 0;
   142148   $para = $para | 0;
   142149   var $obj1 = 0, $0 = 0, $pen = 0, $1 = 0, $cmp = 0, $fontsize = 0, $2 = 0.0, $zoom = 0, $3 = 0.0, $mul = 0.0, $conv = 0, $tobool = 0, $4 = 0, $call = 0, $conv3 = 0.0, $mul4 = 0.0, $y = 0, $5 = 0.0, $sub = 0.0, $call5 = 0, $str = 0, $6 = 0, $call6 = 0, $call7 = 0, $call8 = 0, $pencolor = 0, $call9 = 0, $postscript_alias = 0, $7 = 0, $tobool10 = 0, $family = 0, $fontname = 0, $font_0_in = 0, $font_0 = 0, $call13 = 0, $call14 = 0, $call15 = 0, $just = 0, $8 = 0, $conv16 = 0, $call17 = 0, $call19 = 0, $call21 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   142150   __stackBase__ = STACKTOP;
   142151   tempParam = $p;
   142152   $p = STACKTOP;
   142153   STACKTOP = STACKTOP + 16 | 0;
   142154   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   142155   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   142156   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   142157   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   142158   label = 2;
   142159   while (1) switch (label | 0) {
   142160    case 2:
   142161     $obj1 = $job + 16 | 0;
   142162     $0 = HEAP32[$obj1 >> 2] | 0;
   142163     $pen = $0 + 96 | 0;
   142164     $1 = HEAP32[$pen >> 2] | 0;
   142165     $cmp = ($1 | 0) == 0;
   142166     if ($cmp) {
   142167       label = 8;
   142168       break;
   142169     } else {
   142170       label = 3;
   142171       break;
   142172     }
   142173    case 3:
   142174     $fontsize = $para + 24 | 0;
   142175     $2 = +HEAPF64[$fontsize >> 3];
   142176     $zoom = $job + 352 | 0;
   142177     $3 = +HEAPF64[$zoom >> 3];
   142178     $mul = $2 * $3;
   142179     $conv = ~~$mul;
   142180     $tobool = ($conv | 0) == 0;
   142181     if ($tobool) {
   142182       label = 8;
   142183       break;
   142184     } else {
   142185       label = 4;
   142186       break;
   142187     }
   142188    case 4:
   142189     _tkgen_canvas($job);
   142190     $4 = $job;
   142191     $call = _gvputs($4, 121048) | 0;
   142192     $conv3 = +($conv | 0);
   142193     $mul4 = $conv3 * .55;
   142194     $y = $p + 8 | 0;
   142195     $5 = +HEAPF64[$y >> 3];
   142196     $sub = $5 - $mul4;
   142197     HEAPF64[$y >> 3] = $sub;
   142198     _gvprintpointf($4, $p);
   142199     $call5 = _gvputs($4, 120360) | 0;
   142200     $str = $para | 0;
   142201     $6 = HEAP32[$str >> 2] | 0;
   142202     $call6 = _gvputs($4, $6) | 0;
   142203     $call7 = _gvputs($4, 119720) | 0;
   142204     $call8 = _gvputs($4, 107048) | 0;
   142205     $pencolor = $0 + 16 | 0;
   142206     _tkgen_print_color($job, $pencolor);
   142207     $call9 = _gvputs($4, 119176) | 0;
   142208     $postscript_alias = $para + 4 | 0;
   142209     $7 = HEAP32[$postscript_alias >> 2] | 0;
   142210     $tobool10 = ($7 | 0) == 0;
   142211     $family = $7 + 4 | 0;
   142212     $fontname = $para + 20 | 0;
   142213     $font_0_in = $tobool10 ? $fontname : $family;
   142214     $font_0 = HEAP32[$font_0_in >> 2] | 0;
   142215     $call13 = _gvputs($4, 118656) | 0;
   142216     $call14 = _gvputs($4, $font_0) | 0;
   142217     $call15 = _gvputs($4, 118656) | 0;
   142218     _gvprintf($4, 118064, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $conv, tempInt) | 0);
   142219     $just = $para + 72 | 0;
   142220     $8 = HEAP8[$just] | 0;
   142221     $conv16 = $8 << 24 >> 24;
   142222     if (($conv16 | 0) == 108) {
   142223       label = 5;
   142224       break;
   142225     } else if (($conv16 | 0) == 114) {
   142226       label = 6;
   142227       break;
   142228     } else {
   142229       label = 7;
   142230       break;
   142231     }
   142232    case 5:
   142233     $call17 = _gvputs($4, 117504) | 0;
   142234     label = 7;
   142235     break;
   142236    case 6:
   142237     $call19 = _gvputs($4, 116816) | 0;
   142238     label = 7;
   142239     break;
   142240    case 7:
   142241     _tkgen_print_tags($job);
   142242     $call21 = _gvputs($4, 116384) | 0;
   142243     label = 8;
   142244     break;
   142245    case 8:
   142246     STACKTOP = __stackBase__;
   142247     return;
   142248   }
   142249 }
   142250 function _tkgen_ellipse($job, $A, $filled) {
   142251   $job = $job | 0;
   142252   $A = $A | 0;
   142253   $filled = $filled | 0;
   142254   var $obj1 = 0, $0 = 0, $pen = 0, $1 = 0, $cmp = 0, $x = 0, $2 = 0.0, $x3 = 0, $3 = 0.0, $sub = 0.0, $y = 0, $4 = 0.0, $y7 = 0, $5 = 0.0, $sub8 = 0.0, $sub13 = 0.0, $6 = 0.0, $sub17 = 0.0, $7 = 0, $call = 0, $call18 = 0, $tobool = 0, $fillcolor = 0, $8 = 0, $tobool20 = 0, $call22 = 0, $call24 = 0, $9 = 0, $cmp26 = 0, $call29 = 0, $penwidth = 0, $10 = 0.0, $call30 = 0, $pencolor = 0, $11 = 0, $cmp32 = 0, $call34 = 0, $_pr = 0, $12 = 0, $cmp37 = 0, $call39 = 0, $call41 = 0, label = 0;
   142255   label = 2;
   142256   while (1) switch (label | 0) {
   142257    case 2:
   142258     $obj1 = $job + 16 | 0;
   142259     $0 = HEAP32[$obj1 >> 2] | 0;
   142260     $pen = $0 + 96 | 0;
   142261     $1 = HEAP32[$pen >> 2] | 0;
   142262     $cmp = ($1 | 0) == 0;
   142263     if ($cmp) {
   142264       label = 15;
   142265       break;
   142266     } else {
   142267       label = 3;
   142268       break;
   142269     }
   142270    case 3:
   142271     $x = $A + 16 | 0;
   142272     $2 = +HEAPF64[$x >> 3];
   142273     $x3 = $A | 0;
   142274     $3 = +HEAPF64[$x3 >> 3];
   142275     $sub = $2 - $3;
   142276     $y = $A + 24 | 0;
   142277     $4 = +HEAPF64[$y >> 3];
   142278     $y7 = $A + 8 | 0;
   142279     $5 = +HEAPF64[$y7 >> 3];
   142280     $sub8 = $4 - $5;
   142281     $sub13 = $3 - $sub;
   142282     HEAPF64[$x3 >> 3] = $sub13;
   142283     $6 = +HEAPF64[$y7 >> 3];
   142284     $sub17 = $6 - $sub8;
   142285     HEAPF64[$y7 >> 3] = $sub17;
   142286     _tkgen_canvas($job);
   142287     $7 = $job;
   142288     $call = _gvputs($7, 121656) | 0;
   142289     _gvprintpointflist($7, $A, 2);
   142290     $call18 = _gvputs($7, 107048) | 0;
   142291     $tobool = ($filled | 0) == 0;
   142292     if ($tobool) {
   142293       label = 5;
   142294       break;
   142295     } else {
   142296       label = 4;
   142297       break;
   142298     }
   142299    case 4:
   142300     $fillcolor = $0 + 56 | 0;
   142301     _tkgen_print_color($job, $fillcolor);
   142302     label = 8;
   142303     break;
   142304    case 5:
   142305     $8 = HEAP32[4110] | 0;
   142306     $tobool20 = ($8 | 0) == 0;
   142307     if ($tobool20) {
   142308       label = 7;
   142309       break;
   142310     } else {
   142311       label = 6;
   142312       break;
   142313     }
   142314    case 6:
   142315     $call22 = _gvputs($7, 124864) | 0;
   142316     label = 8;
   142317     break;
   142318    case 7:
   142319     $call24 = _gvputs($7, 138688) | 0;
   142320     label = 8;
   142321     break;
   142322    case 8:
   142323     $9 = HEAP32[4110] | 0;
   142324     $cmp26 = ($9 | 0) == 1;
   142325     if ($cmp26) {
   142326       label = 9;
   142327       break;
   142328     } else {
   142329       label = 10;
   142330       break;
   142331     }
   142332    case 9:
   142333     HEAP32[4110] = 0;
   142334     label = 10;
   142335     break;
   142336    case 10:
   142337     $call29 = _gvputs($7, 131400) | 0;
   142338     $penwidth = $0 + 104 | 0;
   142339     $10 = +HEAPF64[$penwidth >> 3];
   142340     _gvprintdouble($7, $10);
   142341     $call30 = _gvputs($7, 122888) | 0;
   142342     $pencolor = $0 + 16 | 0;
   142343     _tkgen_print_color($job, $pencolor);
   142344     $11 = HEAP32[$pen >> 2] | 0;
   142345     $cmp32 = ($11 | 0) == 1;
   142346     if ($cmp32) {
   142347       label = 11;
   142348       break;
   142349     } else {
   142350       $12 = $11;
   142351       label = 12;
   142352       break;
   142353     }
   142354    case 11:
   142355     $call34 = _gvputs($7, 103120) | 0;
   142356     $_pr = HEAP32[$pen >> 2] | 0;
   142357     $12 = $_pr;
   142358     label = 12;
   142359     break;
   142360    case 12:
   142361     $cmp37 = ($12 | 0) == 2;
   142362     if ($cmp37) {
   142363       label = 13;
   142364       break;
   142365     } else {
   142366       label = 14;
   142367       break;
   142368     }
   142369    case 13:
   142370     $call39 = _gvputs($7, 99016) | 0;
   142371     label = 14;
   142372     break;
   142373    case 14:
   142374     _tkgen_print_tags($job);
   142375     $call41 = _gvputs($7, 116384) | 0;
   142376     label = 15;
   142377     break;
   142378    case 15:
   142379     return;
   142380   }
   142381 }
   142382 function _tkgen_polygon($job, $A, $n, $filled) {
   142383   $job = $job | 0;
   142384   $A = $A | 0;
   142385   $n = $n | 0;
   142386   $filled = $filled | 0;
   142387   var $obj1 = 0, $0 = 0, $pen = 0, $1 = 0, $cmp = 0, $2 = 0, $call = 0, $call2 = 0, $tobool = 0, $fillcolor = 0, $3 = 0, $tobool4 = 0, $call6 = 0, $call8 = 0, $4 = 0, $cmp10 = 0, $call13 = 0, $penwidth = 0, $5 = 0.0, $call14 = 0, $pencolor = 0, $6 = 0, $cmp16 = 0, $call18 = 0, $_pr = 0, $7 = 0, $cmp21 = 0, $call23 = 0, $call25 = 0, label = 0;
   142388   label = 2;
   142389   while (1) switch (label | 0) {
   142390    case 2:
   142391     $obj1 = $job + 16 | 0;
   142392     $0 = HEAP32[$obj1 >> 2] | 0;
   142393     $pen = $0 + 96 | 0;
   142394     $1 = HEAP32[$pen >> 2] | 0;
   142395     $cmp = ($1 | 0) == 0;
   142396     if ($cmp) {
   142397       label = 15;
   142398       break;
   142399     } else {
   142400       label = 3;
   142401       break;
   142402     }
   142403    case 3:
   142404     _tkgen_canvas($job);
   142405     $2 = $job;
   142406     $call = _gvputs($2, 127368) | 0;
   142407     _gvprintpointflist($2, $A, $n);
   142408     $call2 = _gvputs($2, 107048) | 0;
   142409     $tobool = ($filled | 0) == 0;
   142410     if ($tobool) {
   142411       label = 5;
   142412       break;
   142413     } else {
   142414       label = 4;
   142415       break;
   142416     }
   142417    case 4:
   142418     $fillcolor = $0 + 56 | 0;
   142419     _tkgen_print_color($job, $fillcolor);
   142420     label = 8;
   142421     break;
   142422    case 5:
   142423     $3 = HEAP32[4110] | 0;
   142424     $tobool4 = ($3 | 0) == 0;
   142425     if ($tobool4) {
   142426       label = 7;
   142427       break;
   142428     } else {
   142429       label = 6;
   142430       break;
   142431     }
   142432    case 6:
   142433     $call6 = _gvputs($2, 124864) | 0;
   142434     label = 8;
   142435     break;
   142436    case 7:
   142437     $call8 = _gvputs($2, 138688) | 0;
   142438     label = 8;
   142439     break;
   142440    case 8:
   142441     $4 = HEAP32[4110] | 0;
   142442     $cmp10 = ($4 | 0) == 1;
   142443     if ($cmp10) {
   142444       label = 9;
   142445       break;
   142446     } else {
   142447       label = 10;
   142448       break;
   142449     }
   142450    case 9:
   142451     HEAP32[4110] = 0;
   142452     label = 10;
   142453     break;
   142454    case 10:
   142455     $call13 = _gvputs($2, 131400) | 0;
   142456     $penwidth = $0 + 104 | 0;
   142457     $5 = +HEAPF64[$penwidth >> 3];
   142458     _gvprintdouble($2, $5);
   142459     $call14 = _gvputs($2, 122888) | 0;
   142460     $pencolor = $0 + 16 | 0;
   142461     _tkgen_print_color($job, $pencolor);
   142462     $6 = HEAP32[$pen >> 2] | 0;
   142463     $cmp16 = ($6 | 0) == 1;
   142464     if ($cmp16) {
   142465       label = 11;
   142466       break;
   142467     } else {
   142468       $7 = $6;
   142469       label = 12;
   142470       break;
   142471     }
   142472    case 11:
   142473     $call18 = _gvputs($2, 103120) | 0;
   142474     $_pr = HEAP32[$pen >> 2] | 0;
   142475     $7 = $_pr;
   142476     label = 12;
   142477     break;
   142478    case 12:
   142479     $cmp21 = ($7 | 0) == 2;
   142480     if ($cmp21) {
   142481       label = 13;
   142482       break;
   142483     } else {
   142484       label = 14;
   142485       break;
   142486     }
   142487    case 13:
   142488     $call23 = _gvputs($2, 99016) | 0;
   142489     label = 14;
   142490     break;
   142491    case 14:
   142492     _tkgen_print_tags($job);
   142493     $call25 = _gvputs($2, 116384) | 0;
   142494     label = 15;
   142495     break;
   142496    case 15:
   142497     return;
   142498   }
   142499 }
   142500 function _tkgen_bezier($job, $A, $n, $arrow_at_start, $arrow_at_end, $filled) {
   142501   $job = $job | 0;
   142502   $A = $A | 0;
   142503   $n = $n | 0;
   142504   $arrow_at_start = $arrow_at_start | 0;
   142505   $arrow_at_end = $arrow_at_end | 0;
   142506   $filled = $filled | 0;
   142507   var $obj1 = 0, $0 = 0, $pen = 0, $1 = 0, $cmp = 0, $2 = 0, $call = 0, $call2 = 0, $pencolor = 0, $call3 = 0, $penwidth = 0, $3 = 0.0, $4 = 0, $cmp5 = 0, $call7 = 0, $_pr = 0, $5 = 0, $cmp9 = 0, $call11 = 0, $call13 = 0, $call14 = 0, label = 0;
   142508   label = 2;
   142509   while (1) switch (label | 0) {
   142510    case 2:
   142511     $obj1 = $job + 16 | 0;
   142512     $0 = HEAP32[$obj1 >> 2] | 0;
   142513     $pen = $0 + 96 | 0;
   142514     $1 = HEAP32[$pen >> 2] | 0;
   142515     $cmp = ($1 | 0) == 0;
   142516     if ($cmp) {
   142517       label = 8;
   142518       break;
   142519     } else {
   142520       label = 3;
   142521       break;
   142522     }
   142523    case 3:
   142524     _tkgen_canvas($job);
   142525     $2 = $job;
   142526     $call = _gvputs($2, 111280) | 0;
   142527     _gvprintpointflist($2, $A, $n);
   142528     $call2 = _gvputs($2, 107048) | 0;
   142529     $pencolor = $0 + 16 | 0;
   142530     _tkgen_print_color($job, $pencolor);
   142531     $call3 = _gvputs($2, 131400) | 0;
   142532     $penwidth = $0 + 104 | 0;
   142533     $3 = +HEAPF64[$penwidth >> 3];
   142534     _gvprintdouble($2, $3);
   142535     $4 = HEAP32[$pen >> 2] | 0;
   142536     $cmp5 = ($4 | 0) == 1;
   142537     if ($cmp5) {
   142538       label = 4;
   142539       break;
   142540     } else {
   142541       $5 = $4;
   142542       label = 5;
   142543       break;
   142544     }
   142545    case 4:
   142546     $call7 = _gvputs($2, 103120) | 0;
   142547     $_pr = HEAP32[$pen >> 2] | 0;
   142548     $5 = $_pr;
   142549     label = 5;
   142550     break;
   142551    case 5:
   142552     $cmp9 = ($5 | 0) == 2;
   142553     if ($cmp9) {
   142554       label = 6;
   142555       break;
   142556     } else {
   142557       label = 7;
   142558       break;
   142559     }
   142560    case 6:
   142561     $call11 = _gvputs($2, 99016) | 0;
   142562     label = 7;
   142563     break;
   142564    case 7:
   142565     $call13 = _gvputs($2, 129664) | 0;
   142566     _tkgen_print_tags($job);
   142567     $call14 = _gvputs($2, 116384) | 0;
   142568     label = 8;
   142569     break;
   142570    case 8:
   142571     return;
   142572   }
   142573 }
   142574 function _tkgen_polyline($job, $A, $n) {
   142575   $job = $job | 0;
   142576   $A = $A | 0;
   142577   $n = $n | 0;
   142578   var $obj1 = 0, $0 = 0, $pen = 0, $1 = 0, $cmp = 0, $2 = 0, $call = 0, $call2 = 0, $pencolor = 0, $3 = 0, $cmp4 = 0, $call6 = 0, $_pr = 0, $4 = 0, $cmp8 = 0, $call10 = 0, $call12 = 0, label = 0;
   142579   label = 2;
   142580   while (1) switch (label | 0) {
   142581    case 2:
   142582     $obj1 = $job + 16 | 0;
   142583     $0 = HEAP32[$obj1 >> 2] | 0;
   142584     $pen = $0 + 96 | 0;
   142585     $1 = HEAP32[$pen >> 2] | 0;
   142586     $cmp = ($1 | 0) == 0;
   142587     if ($cmp) {
   142588       label = 8;
   142589       break;
   142590     } else {
   142591       label = 3;
   142592       break;
   142593     }
   142594    case 3:
   142595     _tkgen_canvas($job);
   142596     $2 = $job;
   142597     $call = _gvputs($2, 111280) | 0;
   142598     _gvprintpointflist($2, $A, $n);
   142599     $call2 = _gvputs($2, 107048) | 0;
   142600     $pencolor = $0 + 16 | 0;
   142601     _tkgen_print_color($job, $pencolor);
   142602     $3 = HEAP32[$pen >> 2] | 0;
   142603     $cmp4 = ($3 | 0) == 1;
   142604     if ($cmp4) {
   142605       label = 4;
   142606       break;
   142607     } else {
   142608       $4 = $3;
   142609       label = 5;
   142610       break;
   142611     }
   142612    case 4:
   142613     $call6 = _gvputs($2, 103120) | 0;
   142614     $_pr = HEAP32[$pen >> 2] | 0;
   142615     $4 = $_pr;
   142616     label = 5;
   142617     break;
   142618    case 5:
   142619     $cmp8 = ($4 | 0) == 2;
   142620     if ($cmp8) {
   142621       label = 6;
   142622       break;
   142623     } else {
   142624       label = 7;
   142625       break;
   142626     }
   142627    case 6:
   142628     $call10 = _gvputs($2, 99016) | 0;
   142629     label = 7;
   142630     break;
   142631    case 7:
   142632     _tkgen_print_tags($job);
   142633     $call12 = _gvputs($2, 116384) | 0;
   142634     label = 8;
   142635     break;
   142636    case 8:
   142637     return;
   142638   }
   142639 }
   142640 function _tkgen_comment($job, $str) {
   142641   $job = $job | 0;
   142642   $str = $str | 0;
   142643   var $0 = 0;
   142644   $0 = $job;
   142645   _gvputs($0, 123144);
   142646   _gvputs($0, _tkgen_string($str) | 0);
   142647   _gvputs($0, 116384);
   142648   return;
   142649 }
   142650 function _tkgen_canvas($job) {
   142651   $job = $job | 0;
   142652   var $external_context = 0, $0 = 0, $tobool = 0, $imagedata = 0, $1 = 0, $2 = 0, $call = 0, $3 = 0, $call1 = 0, label = 0;
   142653   label = 2;
   142654   while (1) switch (label | 0) {
   142655    case 2:
   142656     $external_context = $job + 144 | 0;
   142657     $0 = HEAP8[$external_context] | 0;
   142658     $tobool = $0 << 24 >> 24 == 0;
   142659     if ($tobool) {
   142660       label = 4;
   142661       break;
   142662     } else {
   142663       label = 3;
   142664       break;
   142665     }
   142666    case 3:
   142667     $imagedata = $job + 148 | 0;
   142668     $1 = HEAP32[$imagedata >> 2] | 0;
   142669     $2 = $job;
   142670     $call = _gvputs($2, $1) | 0;
   142671     label = 5;
   142672     break;
   142673    case 4:
   142674     $3 = $job;
   142675     $call1 = _gvputs($3, 133488) | 0;
   142676     label = 5;
   142677     break;
   142678    case 5:
   142679     return;
   142680   }
   142681 }
   142682 function _svg_textpara($job, $p, $para) {
   142683   $job = $job | 0;
   142684   $p = $p | 0;
   142685   $para = $para | 0;
   142686   var $obj1 = 0, $0 = 0, $1 = 0, $call = 0, $just = 0, $2 = 0, $conv = 0, $call2 = 0, $call4 = 0, $call6 = 0, $yoffset_centerline = 0, $3 = 0.0, $y = 0, $4 = 0.0, $add = 0.0, $x = 0, $5 = 0.0, $sub = 0.0, $postscript_alias = 0, $6 = 0, $tobool = 0, $gvc = 0, $7 = 0, $g = 0, $8 = 0, $fontnames = 0, $9 = 0, $name = 0, $weight9 = 0, $style10 = 0, $svg_font_family = 0, $svg_font_weight = 0, $svg_font_style = 0, $family14 = 0, $weight15 = 0, $style16 = 0, $family_0_in = 0, $weight_0_in = 0, $style_0_in = 0, $style_0 = 0, $weight_0 = 0, $family_0 = 0, $stretch18 = 0, $10 = 0, $svg_font_family19 = 0, $11 = 0, $tobool20 = 0, $call23 = 0, $tobool24 = 0, $tobool27 = 0, $tobool30 = 0, $fontname = 0, $12 = 0, $fontsize = 0, $13 = 0.0, $pencolor = 0, $type = 0, $14 = 0, $string = 0, $15 = 0, $call37 = 0, $tobool38 = 0, $16 = 0, $rgba = 0, $arrayidx = 0, $17 = 0, $conv47 = 0, $arrayidx51 = 0, $18 = 0, $conv52 = 0, $arrayidx56 = 0, $19 = 0, $conv57 = 0, $call60 = 0, $str = 0, $20 = 0, $call61 = 0, $call62 = 0, $call63 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   142687   __stackBase__ = STACKTOP;
   142688   tempParam = $p;
   142689   $p = STACKTOP;
   142690   STACKTOP = STACKTOP + 16 | 0;
   142691   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   142692   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   142693   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   142694   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   142695   label = 2;
   142696   while (1) switch (label | 0) {
   142697    case 2:
   142698     $obj1 = $job + 16 | 0;
   142699     $0 = HEAP32[$obj1 >> 2] | 0;
   142700     $1 = $job;
   142701     $call = _gvputs($1, 137912) | 0;
   142702     $just = $para + 72 | 0;
   142703     $2 = HEAP8[$just] | 0;
   142704     $conv = $2 << 24 >> 24;
   142705     if (($conv | 0) == 108) {
   142706       label = 3;
   142707       break;
   142708     } else if (($conv | 0) == 114) {
   142709       label = 4;
   142710       break;
   142711     } else {
   142712       label = 5;
   142713       break;
   142714     }
   142715    case 3:
   142716     $call2 = _gvputs($1, 137680) | 0;
   142717     label = 6;
   142718     break;
   142719    case 4:
   142720     $call4 = _gvputs($1, 137376) | 0;
   142721     label = 6;
   142722     break;
   142723    case 5:
   142724     $call6 = _gvputs($1, 137128) | 0;
   142725     label = 6;
   142726     break;
   142727    case 6:
   142728     $yoffset_centerline = $para + 48 | 0;
   142729     $3 = +HEAPF64[$yoffset_centerline >> 3];
   142730     $y = $p + 8 | 0;
   142731     $4 = +HEAPF64[$y >> 3];
   142732     $add = $3 + $4;
   142733     HEAPF64[$y >> 3] = $add;
   142734     $x = $p | 0;
   142735     $5 = +HEAPF64[$x >> 3];
   142736     $sub = -0.0 - $add;
   142737     _gvprintf($1, 136840, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = $5, HEAPF64[tempInt + 8 >> 3] = $sub, tempInt) | 0);
   142738     $postscript_alias = $para + 4 | 0;
   142739     $6 = HEAP32[$postscript_alias >> 2] | 0;
   142740     $tobool = ($6 | 0) == 0;
   142741     if ($tobool) {
   142742       label = 19;
   142743       break;
   142744     } else {
   142745       label = 7;
   142746       break;
   142747     }
   142748    case 7:
   142749     $gvc = $job | 0;
   142750     $7 = HEAP32[$gvc >> 2] | 0;
   142751     $g = $7 + 128 | 0;
   142752     $8 = HEAP32[$g >> 2] | 0;
   142753     $fontnames = $8 + 256 | 0;
   142754     $9 = HEAP32[$fontnames >> 2] | 0;
   142755     if (($9 | 0) == 1) {
   142756       label = 8;
   142757       break;
   142758     } else if (($9 | 0) == 2) {
   142759       label = 9;
   142760       break;
   142761     } else {
   142762       label = 10;
   142763       break;
   142764     }
   142765    case 8:
   142766     $name = $6 | 0;
   142767     $weight9 = $6 + 8 | 0;
   142768     $style10 = $6 + 16 | 0;
   142769     $style_0_in = $style10;
   142770     $weight_0_in = $weight9;
   142771     $family_0_in = $name;
   142772     label = 11;
   142773     break;
   142774    case 9:
   142775     $svg_font_family = $6 + 24 | 0;
   142776     $svg_font_weight = $6 + 28 | 0;
   142777     $svg_font_style = $6 + 32 | 0;
   142778     $style_0_in = $svg_font_style;
   142779     $weight_0_in = $svg_font_weight;
   142780     $family_0_in = $svg_font_family;
   142781     label = 11;
   142782     break;
   142783    case 10:
   142784     $family14 = $6 + 4 | 0;
   142785     $weight15 = $6 + 8 | 0;
   142786     $style16 = $6 + 16 | 0;
   142787     $style_0_in = $style16;
   142788     $weight_0_in = $weight15;
   142789     $family_0_in = $family14;
   142790     label = 11;
   142791     break;
   142792    case 11:
   142793     $style_0 = HEAP32[$style_0_in >> 2] | 0;
   142794     $weight_0 = HEAP32[$weight_0_in >> 2] | 0;
   142795     $family_0 = HEAP32[$family_0_in >> 2] | 0;
   142796     $stretch18 = $6 + 12 | 0;
   142797     $10 = HEAP32[$stretch18 >> 2] | 0;
   142798     _gvprintf($1, 136560, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $family_0, tempInt) | 0);
   142799     $svg_font_family19 = $6 + 24 | 0;
   142800     $11 = HEAP32[$svg_font_family19 >> 2] | 0;
   142801     $tobool20 = ($11 | 0) == 0;
   142802     if ($tobool20) {
   142803       label = 13;
   142804       break;
   142805     } else {
   142806       label = 12;
   142807       break;
   142808     }
   142809    case 12:
   142810     _gvprintf($1, 136208, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $11, tempInt) | 0);
   142811     label = 13;
   142812     break;
   142813    case 13:
   142814     $call23 = _gvputs($1, 142856) | 0;
   142815     $tobool24 = ($weight_0 | 0) == 0;
   142816     if ($tobool24) {
   142817       label = 15;
   142818       break;
   142819     } else {
   142820       label = 14;
   142821       break;
   142822     }
   142823    case 14:
   142824     _gvprintf($1, 135944, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $weight_0, tempInt) | 0);
   142825     label = 15;
   142826     break;
   142827    case 15:
   142828     $tobool27 = ($10 | 0) == 0;
   142829     if ($tobool27) {
   142830       label = 17;
   142831       break;
   142832     } else {
   142833       label = 16;
   142834       break;
   142835     }
   142836    case 16:
   142837     _gvprintf($1, 135704, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $10, tempInt) | 0);
   142838     label = 17;
   142839     break;
   142840    case 17:
   142841     $tobool30 = ($style_0 | 0) == 0;
   142842     if ($tobool30) {
   142843       label = 20;
   142844       break;
   142845     } else {
   142846       label = 18;
   142847       break;
   142848     }
   142849    case 18:
   142850     _gvprintf($1, 135504, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $style_0, tempInt) | 0);
   142851     label = 20;
   142852     break;
   142853    case 19:
   142854     $fontname = $para + 20 | 0;
   142855     $12 = HEAP32[$fontname >> 2] | 0;
   142856     _gvprintf($1, 135328, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $12, tempInt) | 0);
   142857     label = 20;
   142858     break;
   142859    case 20:
   142860     $fontsize = $para + 24 | 0;
   142861     $13 = +HEAPF64[$fontsize >> 3];
   142862     _gvprintf($1, 135088, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAPF64[tempInt >> 3] = $13, tempInt) | 0);
   142863     $pencolor = $0 + 16 | 0;
   142864     $type = $0 + 48 | 0;
   142865     $14 = HEAP32[$type >> 2] | 0;
   142866     if (($14 | 0) == 5) {
   142867       label = 21;
   142868       break;
   142869     } else if (($14 | 0) == 1) {
   142870       label = 23;
   142871       break;
   142872     } else {
   142873       label = 24;
   142874       break;
   142875     }
   142876    case 21:
   142877     $string = $pencolor;
   142878     $15 = HEAP32[$string >> 2] | 0;
   142879     $call37 = _strcasecmp($15, 92392) | 0;
   142880     $tobool38 = ($call37 | 0) == 0;
   142881     if ($tobool38) {
   142882       label = 25;
   142883       break;
   142884     } else {
   142885       label = 22;
   142886       break;
   142887     }
   142888    case 22:
   142889     $16 = HEAP32[$string >> 2] | 0;
   142890     _gvprintf($1, 134856, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $16, tempInt) | 0);
   142891     label = 25;
   142892     break;
   142893    case 23:
   142894     $rgba = $pencolor;
   142895     $arrayidx = $pencolor;
   142896     $17 = HEAP8[$arrayidx] | 0;
   142897     $conv47 = $17 & 255;
   142898     $arrayidx51 = $rgba + 1 | 0;
   142899     $18 = HEAP8[$arrayidx51] | 0;
   142900     $conv52 = $18 & 255;
   142901     $arrayidx56 = $rgba + 2 | 0;
   142902     $19 = HEAP8[$arrayidx56] | 0;
   142903     $conv57 = $19 & 255;
   142904     _gvprintf($1, 134648, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = $conv47, HEAP32[tempInt + 8 >> 2] = $conv52, HEAP32[tempInt + 16 >> 2] = $conv57, tempInt) | 0);
   142905     label = 25;
   142906     break;
   142907    case 24:
   142908     ___assert_func(141672, 379, 163832, 141400);
   142909    case 25:
   142910     $call60 = _gvputs($1, 134416) | 0;
   142911     $str = $para | 0;
   142912     $20 = HEAP32[$str >> 2] | 0;
   142913     $call61 = _xml_string($20) | 0;
   142914     $call62 = _gvputs($1, $call61) | 0;
   142915     $call63 = _gvputs($1, 134152) | 0;
   142916     STACKTOP = __stackBase__;
   142917     return;
   142918   }
   142919 }
   142920 function _svg_print_color($job, $color) {
   142921   $job = $job | 0;
   142922   $color = $color | 0;
   142923   var $type = 0, $0 = 0, $string = 0, $1 = 0, $2 = 0, $call = 0, $rgba = 0, $arrayidx = 0, $3 = 0, $cmp = 0, $4 = 0, $call4 = 0, $arrayidx7 = 0, $5 = 0, $conv8 = 0, $arrayidx11 = 0, $6 = 0, $conv12 = 0, $arrayidx15 = 0, $7 = 0, $conv16 = 0, $8 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   142924   __stackBase__ = STACKTOP;
   142925   tempParam = $color;
   142926   $color = STACKTOP;
   142927   STACKTOP = STACKTOP + 40 | 0;
   142928   _memcpy($color, tempParam, 40);
   142929   label = 2;
   142930   while (1) switch (label | 0) {
   142931    case 2:
   142932     $type = $color + 32 | 0;
   142933     $0 = HEAP32[$type >> 2] | 0;
   142934     if (($0 | 0) == 5) {
   142935       label = 3;
   142936       break;
   142937     } else if (($0 | 0) == 1) {
   142938       label = 4;
   142939       break;
   142940     } else {
   142941       label = 7;
   142942       break;
   142943     }
   142944    case 3:
   142945     $string = $color;
   142946     $1 = HEAP32[$string >> 2] | 0;
   142947     $2 = $job;
   142948     $call = _gvputs($2, $1) | 0;
   142949     label = 8;
   142950     break;
   142951    case 4:
   142952     $rgba = $color;
   142953     $arrayidx = $rgba + 3 | 0;
   142954     $3 = HEAP8[$arrayidx] | 0;
   142955     $cmp = $3 << 24 >> 24 == 0;
   142956     if ($cmp) {
   142957       label = 5;
   142958       break;
   142959     } else {
   142960       label = 6;
   142961       break;
   142962     }
   142963    case 5:
   142964     $4 = $job;
   142965     $call4 = _gvputs($4, 144488) | 0;
   142966     label = 8;
   142967     break;
   142968    case 6:
   142969     $arrayidx7 = $color;
   142970     $5 = HEAP8[$arrayidx7] | 0;
   142971     $conv8 = $5 & 255;
   142972     $arrayidx11 = $rgba + 1 | 0;
   142973     $6 = HEAP8[$arrayidx11] | 0;
   142974     $conv12 = $6 & 255;
   142975     $arrayidx15 = $rgba + 2 | 0;
   142976     $7 = HEAP8[$arrayidx15] | 0;
   142977     $conv16 = $7 & 255;
   142978     $8 = $job;
   142979     _gvprintf($8, 141952, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = $conv8, HEAP32[tempInt + 8 >> 2] = $conv12, HEAP32[tempInt + 16 >> 2] = $conv16, tempInt) | 0);
   142980     label = 8;
   142981     break;
   142982    case 7:
   142983     ___assert_func(141672, 86, 163848, 141400);
   142984    case 8:
   142985     STACKTOP = __stackBase__;
   142986     return;
   142987   }
   142988 }
   142989 function _tkgen_print_color($job, $color) {
   142990   $job = $job | 0;
   142991   $color = $color | 0;
   142992   var $type = 0, $0 = 0, $string = 0, $1 = 0, $2 = 0, $call = 0, $rgba = 0, $arrayidx = 0, $3 = 0, $cmp = 0, $4 = 0, $call4 = 0, $arrayidx7 = 0, $5 = 0, $conv8 = 0, $arrayidx11 = 0, $6 = 0, $conv12 = 0, $arrayidx15 = 0, $7 = 0, $conv16 = 0, $8 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   142993   __stackBase__ = STACKTOP;
   142994   tempParam = $color;
   142995   $color = STACKTOP;
   142996   STACKTOP = STACKTOP + 40 | 0;
   142997   _memcpy($color, tempParam, 40);
   142998   label = 2;
   142999   while (1) switch (label | 0) {
   143000    case 2:
   143001     $type = $color + 32 | 0;
   143002     $0 = HEAP32[$type >> 2] | 0;
   143003     if (($0 | 0) == 5) {
   143004       label = 3;
   143005       break;
   143006     } else if (($0 | 0) == 1) {
   143007       label = 4;
   143008       break;
   143009     } else {
   143010       label = 7;
   143011       break;
   143012     }
   143013    case 3:
   143014     $string = $color;
   143015     $1 = HEAP32[$string >> 2] | 0;
   143016     $2 = $job;
   143017     $call = _gvputs($2, $1) | 0;
   143018     label = 8;
   143019     break;
   143020    case 4:
   143021     $rgba = $color;
   143022     $arrayidx = $rgba + 3 | 0;
   143023     $3 = HEAP8[$arrayidx] | 0;
   143024     $cmp = $3 << 24 >> 24 == 0;
   143025     if ($cmp) {
   143026       label = 5;
   143027       break;
   143028     } else {
   143029       label = 6;
   143030       break;
   143031     }
   143032    case 5:
   143033     $4 = $job;
   143034     $call4 = _gvputs($4, 138688) | 0;
   143035     label = 8;
   143036     break;
   143037    case 6:
   143038     $arrayidx7 = $color;
   143039     $5 = HEAP8[$arrayidx7] | 0;
   143040     $conv8 = $5 & 255;
   143041     $arrayidx11 = $rgba + 1 | 0;
   143042     $6 = HEAP8[$arrayidx11] | 0;
   143043     $conv12 = $6 & 255;
   143044     $arrayidx15 = $rgba + 2 | 0;
   143045     $7 = HEAP8[$arrayidx15] | 0;
   143046     $conv16 = $7 & 255;
   143047     $8 = $job;
   143048     _gvprintf($8, 135912, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = $conv8, HEAP32[tempInt + 8 >> 2] = $conv12, HEAP32[tempInt + 16 >> 2] = $conv16, tempInt) | 0);
   143049     label = 8;
   143050     break;
   143051    case 7:
   143052     ___assert_func(148056, 51, 163808, 145272);
   143053    case 8:
   143054     STACKTOP = __stackBase__;
   143055     return;
   143056   }
   143057 }
   143058 function _xml_isentity3315($s) {
   143059   $s = $s | 0;
   143060   var $incdec_ptr = 0, $0 = 0, $cmp = 0, $incdec_ptr2 = 0, $1 = 0, $incdec_ptr10 = 0, $s_addr_0 = 0, $2 = 0, $_off29 = 0, $3 = 0, $_off30 = 0, $4 = 0, $or_cond = 0, $_off31 = 0, $5 = 0, $or_cond35 = 0, $incdec_ptr31 = 0, $s_addr_1 = 0, $6 = 0, $_off32 = 0, $7 = 0, $incdec_ptr42 = 0, $s_addr_2 = 0, $8 = 0, $_off = 0, $9 = 0, $_off28 = 0, $10 = 0, $or_cond37 = 0, $incdec_ptr64 = 0, $s_addr_3 = 0, $11 = 0, $cmp68 = 0, $_ = 0, label = 0;
   143061   label = 2;
   143062   while (1) switch (label | 0) {
   143063    case 2:
   143064     $incdec_ptr = $s + 1 | 0;
   143065     $0 = HEAP8[$incdec_ptr] | 0;
   143066     $cmp = $0 << 24 >> 24 == 35;
   143067     if ($cmp) {
   143068       label = 3;
   143069       break;
   143070     } else {
   143071       $s_addr_2 = $incdec_ptr;
   143072       label = 7;
   143073       break;
   143074     }
   143075    case 3:
   143076     $incdec_ptr2 = $s + 2 | 0;
   143077     $1 = HEAP8[$incdec_ptr2] | 0;
   143078     if (($1 << 24 >> 24 | 0) == 120 | ($1 << 24 >> 24 | 0) == 88) {
   143079       label = 4;
   143080       break;
   143081     } else {
   143082       $s_addr_1 = $incdec_ptr2;
   143083       label = 6;
   143084       break;
   143085     }
   143086    case 4:
   143087     $incdec_ptr10 = $s + 3 | 0;
   143088     $s_addr_0 = $incdec_ptr10;
   143089     label = 5;
   143090     break;
   143091    case 5:
   143092     $2 = HEAP8[$s_addr_0] | 0;
   143093     $_off29 = $2 - 48 & 255;
   143094     $3 = ($_off29 & 255) < 10;
   143095     $_off30 = $2 - 97 & 255;
   143096     $4 = ($_off30 & 255) < 6;
   143097     $or_cond = $3 | $4;
   143098     $_off31 = $2 - 65 & 255;
   143099     $5 = ($_off31 & 255) < 6;
   143100     $or_cond35 = $or_cond | $5;
   143101     $incdec_ptr31 = $s_addr_0 + 1 | 0;
   143102     if ($or_cond35) {
   143103       $s_addr_0 = $incdec_ptr31;
   143104       label = 5;
   143105       break;
   143106     } else {
   143107       $s_addr_3 = $s_addr_0;
   143108       label = 8;
   143109       break;
   143110     }
   143111    case 6:
   143112     $6 = HEAP8[$s_addr_1] | 0;
   143113     $_off32 = $6 - 48 & 255;
   143114     $7 = ($_off32 & 255) < 10;
   143115     $incdec_ptr42 = $s_addr_1 + 1 | 0;
   143116     if ($7) {
   143117       $s_addr_1 = $incdec_ptr42;
   143118       label = 6;
   143119       break;
   143120     } else {
   143121       $s_addr_3 = $s_addr_1;
   143122       label = 8;
   143123       break;
   143124     }
   143125    case 7:
   143126     $8 = HEAP8[$s_addr_2] | 0;
   143127     $_off = $8 - 97 & 255;
   143128     $9 = ($_off & 255) < 26;
   143129     $_off28 = $8 - 65 & 255;
   143130     $10 = ($_off28 & 255) < 26;
   143131     $or_cond37 = $9 | $10;
   143132     $incdec_ptr64 = $s_addr_2 + 1 | 0;
   143133     if ($or_cond37) {
   143134       $s_addr_2 = $incdec_ptr64;
   143135       label = 7;
   143136       break;
   143137     } else {
   143138       $s_addr_3 = $s_addr_2;
   143139       label = 8;
   143140       break;
   143141     }
   143142    case 8:
   143143     $11 = HEAP8[$s_addr_3] | 0;
   143144     $cmp68 = $11 << 24 >> 24 == 59;
   143145     $_ = $cmp68 & 1;
   143146     return $_ | 0;
   143147   }
   143148   return 0;
   143149 }
   143150 function _vml_begin_job($job) {
   143151   $job = $job | 0;
   143152   var $0 = 0, $common = 0;
   143153   $0 = $job;
   143154   _gvputs($0, 150304);
   143155   _gvputs($0, 150048);
   143156   $common = $job + 12 | 0;
   143157   _gvputs($0, _html_string(HEAP32[HEAP32[HEAP32[$common >> 2] >> 2] >> 2] | 0) | 0);
   143158   _gvputs($0, 149776);
   143159   _gvputs($0, _html_string(HEAP32[(HEAP32[HEAP32[$common >> 2] >> 2] | 0) + 4 >> 2] | 0) | 0);
   143160   _gvputs($0, 149392);
   143161   _gvputs($0, _html_string(HEAP32[(HEAP32[HEAP32[$common >> 2] >> 2] | 0) + 8 >> 2] | 0) | 0);
   143162   _gvputs($0, 149176);
   143163   return;
   143164 }
   143165 function _vml_begin_graph($job) {
   143166   $job = $job | 0;
   143167   var $obj1 = 0, $0 = 0, $y = 0, $1 = 0.0, $y3 = 0, $2 = 0.0, $sub = 0.0, $conv = 0, $x = 0, $3 = 0.0, $x8 = 0, $4 = 0.0, $sub9 = 0.0, $conv10 = 0, $5 = 0, $call = 0, $call11 = 0, $g = 0, $6 = 0, $name12 = 0, $7 = 0, $8 = 0, $tobool = 0, $call13 = 0, $call14 = 0, $call15 = 0, $call16 = 0, $x17 = 0, $9 = 0, $y19 = 0, $10 = 0, $mul = 0, $call20 = 0, $call21 = 0, $call22 = 0, $call23 = 0, $call24 = 0, $call25 = 0, $call26 = 0, $call27 = 0, $call28 = 0, $call29 = 0, $call30 = 0, $call31 = 0, $call32 = 0, $call33 = 0, $call34 = 0, $call35 = 0, $call36 = 0, $call37 = 0, $call38 = 0, $call39 = 0, $call40 = 0, $call41 = 0, $call42 = 0, $call43 = 0, $call44 = 0, $call45 = 0, $call46 = 0, $call47 = 0, $call48 = 0, $call49 = 0, $call50 = 0, $call51 = 0, $call52 = 0, $call53 = 0, $call54 = 0, $call55 = 0, $call56 = 0, $call57 = 0, $call58 = 0, $call59 = 0, $call60 = 0, $call61 = 0, $call62 = 0, $call63 = 0, $11 = 0, $12 = 0, $add = 0, $call64 = 0, $call65 = 0, $call66 = 0, $call67 = 0, $call68 = 0, $13 = 0, $14 = 0, $15 = 0, $16 = 0, label = 0, __stackBase__ = 0;
   143168   __stackBase__ = STACKTOP;
   143169   label = 2;
   143170   while (1) switch (label | 0) {
   143171    case 2:
   143172     $obj1 = $job + 16 | 0;
   143173     $0 = HEAP32[$obj1 >> 2] | 0;
   143174     $y = $job + 232 | 0;
   143175     $1 = +HEAPF64[$y >> 3];
   143176     $y3 = $job + 216 | 0;
   143177     $2 = +HEAPF64[$y3 >> 3];
   143178     $sub = $1 - $2;
   143179     $conv = ~~$sub;
   143180     HEAP32[4068] = $conv;
   143181     $x = $job + 224 | 0;
   143182     $3 = +HEAPF64[$x >> 3];
   143183     $x8 = $job + 208 | 0;
   143184     $4 = +HEAPF64[$x8 >> 3];
   143185     $sub9 = $3 - $4;
   143186     $conv10 = ~~$sub9;
   143187     HEAP32[4066] = $conv10;
   143188     $5 = $job;
   143189     $call = _gvputs($5, 91448) | 0;
   143190     $call11 = _gvputs($5, 91072) | 0;
   143191     $g = $0 + 8 | 0;
   143192     $6 = HEAP32[$g >> 2] | 0;
   143193     $name12 = $6 + 12 | 0;
   143194     $7 = HEAP32[$name12 >> 2] | 0;
   143195     $8 = HEAP8[$7] | 0;
   143196     $tobool = $8 << 24 >> 24 == 0;
   143197     if ($tobool) {
   143198       label = 4;
   143199       break;
   143200     } else {
   143201       label = 3;
   143202       break;
   143203     }
   143204    case 3:
   143205     $call13 = _gvputs($5, 90792) | 0;
   143206     $call14 = _html_string($7) | 0;
   143207     $call15 = _gvputs($5, $call14) | 0;
   143208     $call16 = _gvputs($5, 90480) | 0;
   143209     label = 4;
   143210     break;
   143211    case 4:
   143212     $x17 = $job + 164 | 0;
   143213     $9 = HEAP32[$x17 >> 2] | 0;
   143214     $y19 = $job + 168 | 0;
   143215     $10 = HEAP32[$y19 >> 2] | 0;
   143216     $mul = Math_imul($10, $9);
   143217     _gvprintf($5, 90176, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $mul, tempInt) | 0);
   143218     $call20 = _gvputs($5, 89824) | 0;
   143219     $call21 = _gvputs($5, 163040) | 0;
   143220     $call22 = _gvputs($5, 162712) | 0;
   143221     $call23 = _gvputs($5, 162352) | 0;
   143222     $call24 = _gvputs($5, 161896) | 0;
   143223     $call25 = _gvputs($5, 161616) | 0;
   143224     $call26 = _gvputs($5, 161312) | 0;
   143225     $call27 = _gvputs($5, 160968) | 0;
   143226     $call28 = _gvputs($5, 160648) | 0;
   143227     $call29 = _gvputs($5, 160312) | 0;
   143228     $call30 = _gvputs($5, 159992) | 0;
   143229     $call31 = _gvputs($5, 159664) | 0;
   143230     $call32 = _gvputs($5, 159216) | 0;
   143231     $call33 = _gvputs($5, 158808) | 0;
   143232     $call34 = _gvputs($5, 158368) | 0;
   143233     $call35 = _gvputs($5, 158016) | 0;
   143234     $call36 = _gvputs($5, 157608) | 0;
   143235     $call37 = _gvputs($5, 157256) | 0;
   143236     $call38 = _gvputs($5, 156936) | 0;
   143237     $call39 = _gvputs($5, 156672) | 0;
   143238     $call40 = _gvputs($5, 156336) | 0;
   143239     $call41 = _gvputs($5, 158016) | 0;
   143240     $call42 = _gvputs($5, 156016) | 0;
   143241     $call43 = _gvputs($5, 157256) | 0;
   143242     $call44 = _gvputs($5, 156936) | 0;
   143243     $call45 = _gvputs($5, 155624) | 0;
   143244     $call46 = _gvputs($5, 158808) | 0;
   143245     $call47 = _gvputs($5, 158368) | 0;
   143246     $call48 = _gvputs($5, 158016) | 0;
   143247     $call49 = _gvputs($5, 156016) | 0;
   143248     $call50 = _gvputs($5, 157256) | 0;
   143249     $call51 = _gvputs($5, 156936) | 0;
   143250     $call52 = _gvputs($5, 156672) | 0;
   143251     $call53 = _gvputs($5, 156336) | 0;
   143252     $call54 = _gvputs($5, 158016) | 0;
   143253     $call55 = _gvputs($5, 157608) | 0;
   143254     $call56 = _gvputs($5, 157256) | 0;
   143255     $call57 = _gvputs($5, 156936) | 0;
   143256     $call58 = _gvputs($5, 155328) | 0;
   143257     $call59 = _gvputs($5, 154960) | 0;
   143258     $call60 = _gvputs($5, 154640) | 0;
   143259     $call61 = _gvputs($5, 154312) | 0;
   143260     $call62 = _gvputs($5, 153992) | 0;
   143261     $call63 = _gvputs($5, 153640) | 0;
   143262     $11 = HEAP32[4066] | 0;
   143263     $12 = HEAP32[4068] | 0;
   143264     $add = $12 + 10 | 0;
   143265     _gvprintf($5, 153328, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $11, HEAP32[tempInt + 8 >> 2] = $add, tempInt) | 0);
   143266     $call64 = _gvputs($5, 152952) | 0;
   143267     $call65 = _gvputs($5, 152616) | 0;
   143268     $call66 = _gvputs($5, 152264) | 0;
   143269     $call67 = _gvputs($5, 151848) | 0;
   143270     $call68 = _gvputs($5, 151400) | 0;
   143271     $13 = HEAP32[4066] | 0;
   143272     $14 = HEAP32[4068] | 0;
   143273     _gvprintf($5, 150960, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $13, HEAP32[tempInt + 8 >> 2] = $14, tempInt) | 0);
   143274     $15 = HEAP32[4066] | 0;
   143275     $16 = HEAP32[4068] | 0;
   143276     _gvprintf($5, 150656, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $15, HEAP32[tempInt + 8 >> 2] = $16, tempInt) | 0);
   143277     STACKTOP = __stackBase__;
   143278     return;
   143279   }
   143280 }
   143281 function _vml_end_graph($job) {
   143282   $job = $job | 0;
   143283   var $0 = 0;
   143284   $0 = $job;
   143285   _gvputs($0, 94928);
   143286   _gvputs($0, 94640);
   143287   _gvputs($0, 94304);
   143288   _gvputs($0, 93968);
   143289   _gvputs($0, 94640);
   143290   _gvputs($0, 93608);
   143291   _gvputs($0, 93272);
   143292   _gvputs($0, 92912);
   143293   _gvputs($0, 94640);
   143294   _gvputs($0, 92544);
   143295   _gvputs($0, 92216);
   143296   _gvputs($0, 94640);
   143297   _gvputs($0, 91720);
   143298   return;
   143299 }
   143300 function _vml_begin_anchor($job, $href, $tooltip, $target, $id) {
   143301   $job = $job | 0;
   143302   $href = $href | 0;
   143303   $tooltip = $tooltip | 0;
   143304   $target = $target | 0;
   143305   $id = $id | 0;
   143306   var $0 = 0, $call = 0, $tobool = 0, $1 = 0, $tobool1 = 0, $call2 = 0, $tobool3 = 0, $2 = 0, $tobool7 = 0, $call9 = 0, $tobool11 = 0, $3 = 0, $tobool15 = 0, $call17 = 0, $call19 = 0, label = 0, __stackBase__ = 0;
   143307   __stackBase__ = STACKTOP;
   143308   label = 2;
   143309   while (1) switch (label | 0) {
   143310    case 2:
   143311     $0 = $job;
   143312     $call = _gvputs($0, 96928) | 0;
   143313     $tobool = ($href | 0) == 0;
   143314     if ($tobool) {
   143315       label = 5;
   143316       break;
   143317     } else {
   143318       label = 3;
   143319       break;
   143320     }
   143321    case 3:
   143322     $1 = HEAP8[$href] | 0;
   143323     $tobool1 = $1 << 24 >> 24 == 0;
   143324     if ($tobool1) {
   143325       label = 5;
   143326       break;
   143327     } else {
   143328       label = 4;
   143329       break;
   143330     }
   143331    case 4:
   143332     $call2 = _html_string($href) | 0;
   143333     _gvprintf($0, 96416, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $call2, tempInt) | 0);
   143334     label = 5;
   143335     break;
   143336    case 5:
   143337     $tobool3 = ($tooltip | 0) == 0;
   143338     if ($tobool3) {
   143339       label = 8;
   143340       break;
   143341     } else {
   143342       label = 6;
   143343       break;
   143344     }
   143345    case 6:
   143346     $2 = HEAP8[$tooltip] | 0;
   143347     $tobool7 = $2 << 24 >> 24 == 0;
   143348     if ($tobool7) {
   143349       label = 8;
   143350       break;
   143351     } else {
   143352       label = 7;
   143353       break;
   143354     }
   143355    case 7:
   143356     $call9 = _html_string($tooltip) | 0;
   143357     _gvprintf($0, 95960, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $call9, tempInt) | 0);
   143358     label = 8;
   143359     break;
   143360    case 8:
   143361     $tobool11 = ($target | 0) == 0;
   143362     if ($tobool11) {
   143363       label = 11;
   143364       break;
   143365     } else {
   143366       label = 9;
   143367       break;
   143368     }
   143369    case 9:
   143370     $3 = HEAP8[$target] | 0;
   143371     $tobool15 = $3 << 24 >> 24 == 0;
   143372     if ($tobool15) {
   143373       label = 11;
   143374       break;
   143375     } else {
   143376       label = 10;
   143377       break;
   143378     }
   143379    case 10:
   143380     $call17 = _html_string($target) | 0;
   143381     _gvprintf($0, 95680, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $call17, tempInt) | 0);
   143382     label = 11;
   143383     break;
   143384    case 11:
   143385     $call19 = _gvputs($0, 95264) | 0;
   143386     STACKTOP = __stackBase__;
   143387     return;
   143388   }
   143389 }
   143390 function _vml_end_anchor($job) {
   143391   $job = $job | 0;
   143392   _gvputs($job, 97256);
   143393   return;
   143394 }
   143395 function _vml_ellipse($job, $A, $filled) {
   143396   $job = $job | 0;
   143397   $A = $A | 0;
   143398   $filled = $filled | 0;
   143399   var $0 = 0, $2 = 0.0, $sub = 0.0, $4 = 0.0, $sub6 = 0.0, $sub9 = 0.0, __stackBase__ = 0;
   143400   __stackBase__ = STACKTOP;
   143401   $0 = $job;
   143402   _gvputs($0, 104312);
   143403   $2 = +HEAPF64[$A >> 3];
   143404   $sub = +HEAPF64[$A + 16 >> 3] - $2;
   143405   $4 = +HEAPF64[$A + 8 >> 3];
   143406   $sub6 = +HEAPF64[$A + 24 >> 3] - $4;
   143407   $sub9 = +((HEAP32[4068] | 0) >>> 0 >>> 0) - ($4 + $sub6);
   143408   _gvprintf($0, 103936, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = $2 - $sub, HEAPF64[tempInt + 8 >> 3] = $sub9, tempInt) | 0);
   143409   _gvprintf($0, 103440, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = $sub * 2.0, HEAPF64[tempInt + 8 >> 3] = $sub6 * 2.0, tempInt) | 0);
   143410   _vml_grfill($job, $filled);
   143411   _gvputs($0, 110032);
   143412   _vml_grstroke($job);
   143413   _gvputs($0, 103072);
   143414   STACKTOP = __stackBase__;
   143415   return;
   143416 }
   143417 function _vml_polygon($job, $A, $n, $filled) {
   143418   $job = $job | 0;
   143419   $A = $A | 0;
   143420   $n = $n | 0;
   143421   $filled = $filled | 0;
   143422   var $0 = 0, $call = 0, $1 = 0, $2 = 0, $call1 = 0, $call2 = 0, $cmp20 = 0, $sub12 = 0, $i_021 = 0, $x = 0, $3 = 0.0, $4 = 0, $conv = 0.0, $y = 0, $5 = 0.0, $sub = 0.0, $cmp4 = 0, $call6 = 0, $call10 = 0, $cmp13 = 0, $call16 = 0, $inc = 0, $cmp = 0, $call18 = 0, label = 0, __stackBase__ = 0;
   143423   __stackBase__ = STACKTOP;
   143424   label = 2;
   143425   while (1) switch (label | 0) {
   143426    case 2:
   143427     $0 = $job;
   143428     $call = _gvputs($0, 119672) | 0;
   143429     $1 = HEAP32[4066] | 0;
   143430     $2 = HEAP32[4068] | 0;
   143431     _gvprintf($0, 110464, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $1, HEAP32[tempInt + 8 >> 2] = $2, tempInt) | 0);
   143432     _vml_grfill($job, $filled);
   143433     $call1 = _gvputs($0, 110032) | 0;
   143434     _vml_grstroke($job);
   143435     $call2 = _gvputs($0, 109632) | 0;
   143436     $cmp20 = ($n | 0) > 0;
   143437     if ($cmp20) {
   143438       label = 3;
   143439       break;
   143440     } else {
   143441       label = 10;
   143442       break;
   143443     }
   143444    case 3:
   143445     $sub12 = $n - 1 | 0;
   143446     $i_021 = 0;
   143447     label = 4;
   143448     break;
   143449    case 4:
   143450     $x = $A + ($i_021 << 4) | 0;
   143451     $3 = +HEAPF64[$x >> 3];
   143452     $4 = HEAP32[4068] | 0;
   143453     $conv = +($4 >>> 0 >>> 0);
   143454     $y = $A + ($i_021 << 4) + 8 | 0;
   143455     $5 = +HEAPF64[$y >> 3];
   143456     $sub = $conv - $5;
   143457     $cmp4 = ($i_021 | 0) == 0;
   143458     if ($cmp4) {
   143459       label = 5;
   143460       break;
   143461     } else {
   143462       label = 6;
   143463       break;
   143464     }
   143465    case 5:
   143466     $call6 = _gvputs($0, 108960) | 0;
   143467     _gvprintf($0, 105536, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = $3, HEAPF64[tempInt + 8 >> 3] = $sub, tempInt) | 0);
   143468     $call10 = _gvputs($0, 105192) | 0;
   143469     label = 7;
   143470     break;
   143471    case 6:
   143472     _gvprintf($0, 105536, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = $3, HEAPF64[tempInt + 8 >> 3] = $sub, tempInt) | 0);
   143473     label = 7;
   143474     break;
   143475    case 7:
   143476     $cmp13 = ($i_021 | 0) == ($sub12 | 0);
   143477     if ($cmp13) {
   143478       label = 8;
   143479       break;
   143480     } else {
   143481       label = 9;
   143482       break;
   143483     }
   143484    case 8:
   143485     $call16 = _gvputs($0, 104872) | 0;
   143486     label = 9;
   143487     break;
   143488    case 9:
   143489     $inc = $i_021 + 1 | 0;
   143490     $cmp = ($inc | 0) < ($n | 0);
   143491     if ($cmp) {
   143492       $i_021 = $inc;
   143493       label = 4;
   143494       break;
   143495     } else {
   143496       label = 10;
   143497       break;
   143498     }
   143499    case 10:
   143500     $call18 = _gvputs($0, 115344) | 0;
   143501     STACKTOP = __stackBase__;
   143502     return;
   143503   }
   143504 }
   143505 function _vml_bezier($job, $A, $n, $arrow_at_start, $arrow_at_end, $filled) {
   143506   $job = $job | 0;
   143507   $A = $A | 0;
   143508   $n = $n | 0;
   143509   $arrow_at_start = $arrow_at_start | 0;
   143510   $arrow_at_end = $arrow_at_end | 0;
   143511   $filled = $filled | 0;
   143512   var $0 = 0, $2 = 0, __stackBase__ = 0;
   143513   __stackBase__ = STACKTOP;
   143514   $0 = $job;
   143515   _gvputs($0, 119672);
   143516   $2 = HEAP32[4068] | 0;
   143517   _gvprintf($0, 110464, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = HEAP32[4066] | 0, HEAP32[tempInt + 8 >> 2] = $2, tempInt) | 0);
   143518   _vml_grfill($job, $filled);
   143519   _gvputs($0, 110032);
   143520   _vml_grstroke($job);
   143521   _gvputs($0, 109632);
   143522   _vml_bzptarray($job, $A, $n);
   143523   _gvputs($0, 109312);
   143524   STACKTOP = __stackBase__;
   143525   return;
   143526 }
   143527 function _vml_polyline($job, $A, $n) {
   143528   $job = $job | 0;
   143529   $A = $A | 0;
   143530   $n = $n | 0;
   143531   var $0 = 0, $call = 0, $1 = 0, $2 = 0, $call1 = 0, $cmp18 = 0, $sub10 = 0, $i_019 = 0, $cmp2 = 0, $call3 = 0, $x = 0, $3 = 0.0, $4 = 0, $conv = 0.0, $y = 0, $5 = 0.0, $sub = 0.0, $call8 = 0, $x_c = 0, $6 = 0.0, $7 = 0, $conv_c = 0.0, $y_c = 0, $8 = 0.0, $sub_c = 0.0, $cmp11 = 0, $call14 = 0, $inc = 0, $cmp = 0, $call16 = 0, $call17 = 0, label = 0, __stackBase__ = 0;
   143532   __stackBase__ = STACKTOP;
   143533   label = 2;
   143534   while (1) switch (label | 0) {
   143535    case 2:
   143536     $0 = $job;
   143537     $call = _gvputs($0, 119672) | 0;
   143538     $1 = HEAP32[4066] | 0;
   143539     $2 = HEAP32[4068] | 0;
   143540     _gvprintf($0, 119136, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = $1, HEAP32[tempInt + 8 >> 2] = $2, tempInt) | 0);
   143541     $call1 = _gvputs($0, 118624) | 0;
   143542     $cmp18 = ($n | 0) > 0;
   143543     if ($cmp18) {
   143544       label = 3;
   143545       break;
   143546     } else {
   143547       label = 10;
   143548       break;
   143549     }
   143550    case 3:
   143551     $sub10 = $n - 1 | 0;
   143552     $i_019 = 0;
   143553     label = 4;
   143554     break;
   143555    case 4:
   143556     $cmp2 = ($i_019 | 0) == 0;
   143557     if ($cmp2) {
   143558       label = 5;
   143559       break;
   143560     } else {
   143561       label = 6;
   143562       break;
   143563     }
   143564    case 5:
   143565     $call3 = _gvputs($0, 118040) | 0;
   143566     $x = $A + ($i_019 << 4) | 0;
   143567     $3 = +HEAPF64[$x >> 3];
   143568     $4 = HEAP32[4068] | 0;
   143569     $conv = +($4 >>> 0 >>> 0);
   143570     $y = $A + ($i_019 << 4) + 8 | 0;
   143571     $5 = +HEAPF64[$y >> 3];
   143572     $sub = $conv - $5;
   143573     _gvprintf($0, 117424, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = $3, HEAPF64[tempInt + 8 >> 3] = $sub, tempInt) | 0);
   143574     $call8 = _gvputs($0, 116792) | 0;
   143575     label = 7;
   143576     break;
   143577    case 6:
   143578     $x_c = $A + ($i_019 << 4) | 0;
   143579     $6 = +HEAPF64[$x_c >> 3];
   143580     $7 = HEAP32[4068] | 0;
   143581     $conv_c = +($7 >>> 0 >>> 0);
   143582     $y_c = $A + ($i_019 << 4) + 8 | 0;
   143583     $8 = +HEAPF64[$y_c >> 3];
   143584     $sub_c = $conv_c - $8;
   143585     _gvprintf($0, 117424, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = $6, HEAPF64[tempInt + 8 >> 3] = $sub_c, tempInt) | 0);
   143586     label = 7;
   143587     break;
   143588    case 7:
   143589     $cmp11 = ($i_019 | 0) == ($sub10 | 0);
   143590     if ($cmp11) {
   143591       label = 8;
   143592       break;
   143593     } else {
   143594       label = 9;
   143595       break;
   143596     }
   143597    case 8:
   143598     $call14 = _gvputs($0, 116312) | 0;
   143599     label = 9;
   143600     break;
   143601    case 9:
   143602     $inc = $i_019 + 1 | 0;
   143603     $cmp = ($inc | 0) < ($n | 0);
   143604     if ($cmp) {
   143605       $i_019 = $inc;
   143606       label = 4;
   143607       break;
   143608     } else {
   143609       label = 10;
   143610       break;
   143611     }
   143612    case 10:
   143613     $call16 = _gvputs($0, 115808) | 0;
   143614     _vml_grstroke($job);
   143615     $call17 = _gvputs($0, 115344) | 0;
   143616     STACKTOP = __stackBase__;
   143617     return;
   143618   }
   143619 }
   143620 function _vml_comment($job, $str) {
   143621   $job = $job | 0;
   143622   $str = $str | 0;
   143623   var $0 = 0;
   143624   $0 = $job;
   143625   _gvputs($0, 121024);
   143626   _gvputs($0, _html_string($str) | 0);
   143627   _gvputs($0, 120288);
   143628   return;
   143629 }
   143630 function _vml_grstroke($job) {
   143631   $job = $job | 0;
   143632   var $obj1 = 0, $0 = 0, $1 = 0, $call = 0, $pencolor = 0, $penwidth = 0, $2 = 0.0, $cmp = 0, $pen = 0, $3 = 0, $call5 = 0, $call9 = 0, $call12 = 0, label = 0, __stackBase__ = 0;
   143633   __stackBase__ = STACKTOP;
   143634   label = 2;
   143635   while (1) switch (label | 0) {
   143636    case 2:
   143637     $obj1 = $job + 16 | 0;
   143638     $0 = HEAP32[$obj1 >> 2] | 0;
   143639     $1 = $job;
   143640     $call = _gvputs($1, 114792) | 0;
   143641     $pencolor = $0 + 16 | 0;
   143642     _vml_print_color($job, $pencolor);
   143643     $penwidth = $0 + 104 | 0;
   143644     $2 = +HEAPF64[$penwidth >> 3];
   143645     $cmp = $2 != 1.0;
   143646     if ($cmp) {
   143647       label = 3;
   143648       break;
   143649     } else {
   143650       label = 4;
   143651       break;
   143652     }
   143653    case 3:
   143654     _gvprintf($1, 114160, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAPF64[tempInt >> 3] = $2, tempInt) | 0);
   143655     label = 4;
   143656     break;
   143657    case 4:
   143658     $pen = $0 + 96 | 0;
   143659     $3 = HEAP32[$pen >> 2] | 0;
   143660     if (($3 | 0) == 1) {
   143661       label = 5;
   143662       break;
   143663     } else if (($3 | 0) == 2) {
   143664       label = 6;
   143665       break;
   143666     } else {
   143667       label = 7;
   143668       break;
   143669     }
   143670    case 5:
   143671     $call5 = _gvputs($1, 113688) | 0;
   143672     label = 7;
   143673     break;
   143674    case 6:
   143675     $call9 = _gvputs($1, 113256) | 0;
   143676     label = 7;
   143677     break;
   143678    case 7:
   143679     $call12 = _gvputs($1, 112680) | 0;
   143680     STACKTOP = __stackBase__;
   143681     return;
   143682   }
   143683 }
   143684 function _tkgen_print_tags($job) {
   143685   $job = $job | 0;
   143686   var $obj1 = 0, $0 = 0, $emit_state = 0, $1 = 0, $u = 0, $n = 0, $2 = 0, $3 = 0, $4 = 0, $5 = 0, $u5 = 0, $n6 = 0, $6 = 0, $7 = 0, $8 = 0, $9 = 0, $u13 = 0, $e = 0, $10 = 0, $11 = 0, $12 = 0, $13 = 0, $u20 = 0, $e21 = 0, $14 = 0, $15 = 0, $16 = 0, $17 = 0, $g = 0, $18 = 0, $19 = 0, $20 = 0, $21 = 0, $g33 = 0, $22 = 0, $23 = 0, $24 = 0, $25 = 0, $sg = 0, $26 = 0, $27 = 0, $28 = 0, $29 = 0, $sg45 = 0, $30 = 0, $31 = 0, $32 = 0, $ObjType_0 = 0, $ObjFlag_0 = 0, $ObjHandle_0_in = 0, $ObjHandle_0 = 0, $33 = 0, label = 0, __stackBase__ = 0;
   143687   __stackBase__ = STACKTOP;
   143688   label = 2;
   143689   while (1) switch (label | 0) {
   143690    case 2:
   143691     $obj1 = $job + 16 | 0;
   143692     $0 = HEAP32[$obj1 >> 2] | 0;
   143693     $emit_state = $0 + 12 | 0;
   143694     $1 = HEAP32[$emit_state >> 2] | 0;
   143695     if (($1 | 0) == 8) {
   143696       label = 3;
   143697       break;
   143698     } else if (($1 | 0) == 10) {
   143699       label = 4;
   143700       break;
   143701     } else if (($1 | 0) == 9 | ($1 | 0) == 2 | ($1 | 0) == 3) {
   143702       label = 5;
   143703       break;
   143704     } else if (($1 | 0) == 11 | ($1 | 0) == 6 | ($1 | 0) == 7) {
   143705       label = 6;
   143706       break;
   143707     } else if (($1 | 0) == 0) {
   143708       label = 7;
   143709       break;
   143710     } else if (($1 | 0) == 4) {
   143711       label = 8;
   143712       break;
   143713     } else if (($1 | 0) == 1) {
   143714       label = 9;
   143715       break;
   143716     } else if (($1 | 0) == 5) {
   143717       label = 10;
   143718       break;
   143719     } else {
   143720       label = 11;
   143721       break;
   143722     }
   143723    case 3:
   143724     $u = $0 + 8 | 0;
   143725     $n = $u;
   143726     $2 = HEAP32[$n >> 2] | 0;
   143727     $3 = $2;
   143728     $4 = HEAP32[$3 >> 2] | 0;
   143729     $5 = $4 & -256;
   143730     $ObjHandle_0_in = $5;
   143731     $ObjFlag_0 = 1;
   143732     $ObjType_0 = 94992;
   143733     label = 12;
   143734     break;
   143735    case 4:
   143736     $u5 = $0 + 8 | 0;
   143737     $n6 = $u5;
   143738     $6 = HEAP32[$n6 >> 2] | 0;
   143739     $7 = $6;
   143740     $8 = HEAP32[$7 >> 2] | 0;
   143741     $9 = $8 & -256;
   143742     $ObjHandle_0_in = $9;
   143743     $ObjFlag_0 = 0;
   143744     $ObjType_0 = 94992;
   143745     label = 12;
   143746     break;
   143747    case 5:
   143748     $u13 = $0 + 8 | 0;
   143749     $e = $u13;
   143750     $10 = HEAP32[$e >> 2] | 0;
   143751     $11 = $10;
   143752     $12 = HEAP32[$11 >> 2] | 0;
   143753     $13 = $12 & -256;
   143754     $ObjHandle_0_in = $13;
   143755     $ObjFlag_0 = 1;
   143756     $ObjType_0 = 158056;
   143757     label = 12;
   143758     break;
   143759    case 6:
   143760     $u20 = $0 + 8 | 0;
   143761     $e21 = $u20;
   143762     $14 = HEAP32[$e21 >> 2] | 0;
   143763     $15 = $14;
   143764     $16 = HEAP32[$15 >> 2] | 0;
   143765     $17 = $16 & -256;
   143766     $ObjHandle_0_in = $17;
   143767     $ObjFlag_0 = 0;
   143768     $ObjType_0 = 158056;
   143769     label = 12;
   143770     break;
   143771    case 7:
   143772     $g = $0 + 8 | 0;
   143773     $18 = HEAP32[$g >> 2] | 0;
   143774     $19 = $18;
   143775     $20 = HEAP32[$19 >> 2] | 0;
   143776     $21 = $20 & -256;
   143777     $ObjHandle_0_in = $21;
   143778     $ObjFlag_0 = 1;
   143779     $ObjType_0 = 154688;
   143780     label = 12;
   143781     break;
   143782    case 8:
   143783     $g33 = $0 + 8 | 0;
   143784     $22 = HEAP32[$g33 >> 2] | 0;
   143785     $23 = $22;
   143786     $24 = HEAP32[$23 >> 2] | 0;
   143787     $25 = $24 & -256;
   143788     $ObjHandle_0_in = $25;
   143789     $ObjFlag_0 = 0;
   143790     $ObjType_0 = 151024;
   143791     label = 12;
   143792     break;
   143793    case 9:
   143794     $sg = $0 + 8 | 0;
   143795     $26 = HEAP32[$sg >> 2] | 0;
   143796     $27 = $26;
   143797     $28 = HEAP32[$27 >> 2] | 0;
   143798     $29 = $28 & -256;
   143799     $ObjHandle_0_in = $29;
   143800     $ObjFlag_0 = 1;
   143801     $ObjType_0 = 154688;
   143802     label = 12;
   143803     break;
   143804    case 10:
   143805     $sg45 = $0 + 8 | 0;
   143806     $30 = HEAP32[$sg45 >> 2] | 0;
   143807     $31 = $30;
   143808     $32 = HEAP32[$31 >> 2] | 0;
   143809     $ObjHandle_0_in = $32;
   143810     $ObjFlag_0 = 0;
   143811     $ObjType_0 = 154688;
   143812     label = 12;
   143813     break;
   143814    case 11:
   143815     ___assert_func(148056, 148, 163784, 145272);
   143816    case 12:
   143817     $ObjHandle_0 = $ObjHandle_0_in >> 8;
   143818     $33 = $job;
   143819     _gvprintf($33, 142216, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = $ObjFlag_0, HEAP32[tempInt + 8 >> 2] = $ObjType_0, HEAP32[tempInt + 16 >> 2] = $ObjHandle_0, tempInt) | 0);
   143820     STACKTOP = __stackBase__;
   143821     return;
   143822   }
   143823 }
   143824 function _html_string($s) {
   143825   $s = $s | 0;
   143826   var $workstr = 0, $0 = 0, $tobool = 0, $call = 0, $1 = 0, $arrayidx = 0, $arrayidx75 = 0, $p_0_ph = 0, $prev_0_ph = 0, $pos_0_ph = 0, $s_addr_0_ph = 0, $remaining_0_ph = 0, $charnum_0_ph = 0, $tobool33 = 0, $p_0 = 0, $s_addr_0 = 0, $remaining_0 = 0, $charnum_0 = 0, $tobool1 = 0, $2 = 0, $tobool2 = 0, $3 = 0, $sub3 = 0, $cmp = 0, $mul = 0, $4 = 0, $call6 = 0, $add_ptr = 0, $p_1 = 0, $5 = 0, $cmp9 = 0, $call11 = 0, $tobool12 = 0, $_pr = 0, $6 = 0, $7 = 0, $cmp36 = 0, $8 = 0, $cmp51 = 0, $conv5537 = 0, $conv5541 = 0, $mask_040 = 0, $cnt_039 = 0, $inc = 0, $and = 0, $shr35 = 0, $cmp56 = 0, $cmp63 = 0, $shl = 0, $add = 0, $remaining_1_in = 0, $charnum_1 = 0, $remaining_1 = 0, $cmp71 = 0, $incdec_ptr = 0, $sub_0 = 0, $len_0 = 0, $charnum_2 = 0, $rem = 0, $add7636 = 0, $conv77 = 0, $incdec_ptr78 = 0, $div = 0, $inc79 = 0, $cmp80 = 0, $9 = 0, $10 = 0, $11 = 0, $sub_1_ph_ph = 0, $len_1_ph_ph = 0, $incdec_ptr87 = 0, $tobool9947 = 0, $charnum_3_ph117 = 0, $remaining_2_ph115 = 0, $len_1_ph114 = 0, $sub_1_ph113 = 0, $12 = 0, $len_150 = 0, $sub_149 = 0, $p_248 = 0, $dec98 = 0, $incdec_ptr101 = 0, $13 = 0, $incdec_ptr102 = 0, $tobool99 = 0, $scevgep = 0, $charnum_3_ph118 = 0, $remaining_2_ph116 = 0, $pos_1_lcssa = 0, $p_2_lcssa = 0, $incdec_ptr104 = 0, $14 = 0, label = 0, __stackBase__ = 0;
   143827   __stackBase__ = STACKTOP;
   143828   STACKTOP = STACKTOP + 16 | 0;
   143829   label = 2;
   143830   while (1) switch (label | 0) {
   143831    case 2:
   143832     $workstr = __stackBase__ | 0;
   143833     $0 = HEAP32[3506] | 0;
   143834     $tobool = ($0 | 0) == 0;
   143835     if ($tobool) {
   143836       label = 3;
   143837       break;
   143838     } else {
   143839       label = 4;
   143840       break;
   143841     }
   143842    case 3:
   143843     HEAP32[3504] = 64;
   143844     $call = _gmalloc(64) | 0;
   143845     HEAP32[3506] = $call;
   143846     label = 4;
   143847     break;
   143848    case 4:
   143849     $1 = HEAP32[3506] | 0;
   143850     $arrayidx = $workstr + 15 | 0;
   143851     $arrayidx75 = $workstr + 14 | 0;
   143852     $charnum_0_ph = 0;
   143853     $remaining_0_ph = 0;
   143854     $s_addr_0_ph = $s;
   143855     $pos_0_ph = 0;
   143856     $prev_0_ph = 0;
   143857     $p_0_ph = $1;
   143858     label = 5;
   143859     break;
   143860    case 5:
   143861     $tobool33 = ($prev_0_ph | 0) == 0;
   143862     $charnum_0 = $charnum_0_ph;
   143863     $remaining_0 = $remaining_0_ph;
   143864     $s_addr_0 = $s_addr_0_ph;
   143865     $p_0 = $p_0_ph;
   143866     label = 6;
   143867     break;
   143868    case 6:
   143869     $tobool1 = ($s_addr_0 | 0) == 0;
   143870     if ($tobool1) {
   143871       label = 34;
   143872       break;
   143873     } else {
   143874       label = 7;
   143875       break;
   143876     }
   143877    case 7:
   143878     $2 = HEAP8[$s_addr_0] | 0;
   143879     $tobool2 = $2 << 24 >> 24 == 0;
   143880     if ($tobool2) {
   143881       label = 34;
   143882       break;
   143883     } else {
   143884       label = 8;
   143885       break;
   143886     }
   143887    case 8:
   143888     $3 = HEAP32[3504] | 0;
   143889     $sub3 = $3 - 8 | 0;
   143890     $cmp = ($pos_0_ph | 0) > ($sub3 | 0);
   143891     if ($cmp) {
   143892       label = 9;
   143893       break;
   143894     } else {
   143895       $p_1 = $p_0;
   143896       label = 10;
   143897       break;
   143898     }
   143899    case 9:
   143900     $mul = $3 << 1;
   143901     HEAP32[3504] = $mul;
   143902     $4 = HEAP32[3506] | 0;
   143903     $call6 = _grealloc($4, $mul) | 0;
   143904     HEAP32[3506] = $call6;
   143905     $add_ptr = $call6 + $pos_0_ph | 0;
   143906     $p_1 = $add_ptr;
   143907     label = 10;
   143908     break;
   143909    case 10:
   143910     $5 = HEAP8[$s_addr_0] | 0;
   143911     $cmp9 = $5 << 24 >> 24 == 38;
   143912     if ($cmp9) {
   143913       label = 11;
   143914       break;
   143915     } else {
   143916       $6 = $5;
   143917       label = 13;
   143918       break;
   143919     }
   143920    case 11:
   143921     $call11 = _xml_isentity3315($s_addr_0) | 0;
   143922     $tobool12 = ($call11 | 0) == 0;
   143923     if ($tobool12) {
   143924       $len_1_ph_ph = 5;
   143925       $sub_1_ph_ph = 110184;
   143926       label = 28;
   143927       break;
   143928     } else {
   143929       label = 12;
   143930       break;
   143931     }
   143932    case 12:
   143933     $_pr = HEAP8[$s_addr_0] | 0;
   143934     $6 = $_pr;
   143935     label = 13;
   143936     break;
   143937    case 13:
   143938     if (($6 << 24 >> 24 | 0) == 45) {
   143939       label = 14;
   143940       break;
   143941     } else if (($6 << 24 >> 24 | 0) == 32) {
   143942       label = 15;
   143943       break;
   143944     } else if (($6 << 24 >> 24 | 0) == 60) {
   143945       $len_1_ph_ph = 4;
   143946       $sub_1_ph_ph = 147248;
   143947       label = 28;
   143948       break;
   143949     } else if (($6 << 24 >> 24 | 0) == 62) {
   143950       $sub_1_ph113 = 122360;
   143951       $len_1_ph114 = 4;
   143952       $remaining_2_ph115 = $remaining_0;
   143953       $charnum_3_ph117 = $charnum_0;
   143954       label = 30;
   143955       break;
   143956     } else {
   143957       label = 17;
   143958       break;
   143959     }
   143960    case 14:
   143961     $sub_1_ph113 = 116224;
   143962     $len_1_ph114 = 5;
   143963     $remaining_2_ph115 = $remaining_0;
   143964     $charnum_3_ph117 = $charnum_0;
   143965     label = 30;
   143966     break;
   143967    case 15:
   143968     if ($tobool33) {
   143969       label = 17;
   143970       break;
   143971     } else {
   143972       label = 16;
   143973       break;
   143974     }
   143975    case 16:
   143976     $7 = HEAP8[$prev_0_ph] | 0;
   143977     $cmp36 = $7 << 24 >> 24 == 32;
   143978     if ($cmp36) {
   143979       $len_1_ph_ph = 6;
   143980       $sub_1_ph_ph = 111136;
   143981       label = 28;
   143982       break;
   143983     } else {
   143984       label = 17;
   143985       break;
   143986     }
   143987    case 17:
   143988     $8 = HEAP8[$s_addr_0] | 0;
   143989     if (($8 << 24 >> 24 | 0) == 34) {
   143990       $len_1_ph_ph = 6;
   143991       $sub_1_ph_ph = 106936;
   143992       label = 28;
   143993       break;
   143994     } else if (($8 << 24 >> 24 | 0) == 39) {
   143995       $sub_1_ph113 = 103008;
   143996       $len_1_ph114 = 5;
   143997       $remaining_2_ph115 = $remaining_0;
   143998       $charnum_3_ph117 = $charnum_0;
   143999       label = 30;
   144000       break;
   144001     } else {
   144002       label = 18;
   144003       break;
   144004     }
   144005    case 18:
   144006     $cmp51 = $8 << 24 >> 24 < 0;
   144007     if ($cmp51) {
   144008       label = 19;
   144009       break;
   144010     } else {
   144011       $len_1_ph_ph = 1;
   144012       $sub_1_ph_ph = $s_addr_0;
   144013       label = 28;
   144014       break;
   144015     }
   144016    case 19:
   144017     $conv5537 = $8 & 255;
   144018     $cnt_039 = 0;
   144019     $mask_040 = 127;
   144020     $conv5541 = $conv5537;
   144021     label = 20;
   144022     break;
   144023    case 20:
   144024     $inc = $cnt_039 + 1 | 0;
   144025     $and = $conv5541 & $mask_040;
   144026     $shr35 = $mask_040 >>> 1;
   144027     $cmp56 = $shr35 >>> 0 < $and >>> 0;
   144028     if ($cmp56) {
   144029       $cnt_039 = $inc;
   144030       $mask_040 = $shr35;
   144031       $conv5541 = $and;
   144032       label = 20;
   144033       break;
   144034     } else {
   144035       label = 21;
   144036       break;
   144037     }
   144038    case 21:
   144039     $cmp63 = ($cnt_039 | 0) > 0;
   144040     if ($cmp63) {
   144041       $charnum_1 = $and;
   144042       $remaining_1_in = $inc;
   144043       label = 23;
   144044       break;
   144045     } else {
   144046       label = 22;
   144047       break;
   144048     }
   144049    case 22:
   144050     $shl = $charnum_0 << 6;
   144051     $add = $and + $shl | 0;
   144052     $charnum_1 = $add;
   144053     $remaining_1_in = $remaining_0;
   144054     label = 23;
   144055     break;
   144056    case 23:
   144057     $remaining_1 = $remaining_1_in - 1 | 0;
   144058     $cmp71 = ($remaining_1 | 0) > 0;
   144059     $incdec_ptr = $s_addr_0 + 1 | 0;
   144060     if ($cmp71) {
   144061       $charnum_0 = $charnum_1;
   144062       $remaining_0 = $remaining_1;
   144063       $s_addr_0 = $incdec_ptr;
   144064       $p_0 = $p_1;
   144065       label = 6;
   144066       break;
   144067     } else {
   144068       label = 24;
   144069       break;
   144070     }
   144071    case 24:
   144072     HEAP8[$arrayidx] = 59;
   144073     $charnum_2 = $charnum_1;
   144074     $len_0 = 3;
   144075     $sub_0 = $arrayidx75;
   144076     label = 25;
   144077     break;
   144078    case 25:
   144079     $rem = ($charnum_2 >>> 0) % 10;
   144080     $add7636 = $rem | 48;
   144081     $conv77 = $add7636 & 255;
   144082     $incdec_ptr78 = $sub_0 - 1 | 0;
   144083     HEAP8[$sub_0] = $conv77;
   144084     $div = ($charnum_2 >>> 0) / 10 >>> 0;
   144085     $inc79 = $len_0 + 1 | 0;
   144086     $cmp80 = ($inc79 | 0) > 12;
   144087     if ($cmp80) {
   144088       label = 26;
   144089       break;
   144090     } else {
   144091       label = 27;
   144092       break;
   144093     }
   144094    case 26:
   144095     $9 = HEAP32[_stderr >> 2] | 0;
   144096     $10 = _fwrite(98744, 46, 1, $9 | 0) | 0;
   144097     _exit(1);
   144098     return 0;
   144099    case 27:
   144100     $11 = $charnum_2 >>> 0 > 9;
   144101     if ($11) {
   144102       $charnum_2 = $div;
   144103       $len_0 = $inc79;
   144104       $sub_0 = $incdec_ptr78;
   144105       label = 25;
   144106       break;
   144107     } else {
   144108       label = 29;
   144109       break;
   144110     }
   144111    case 28:
   144112     $sub_1_ph113 = $sub_1_ph_ph;
   144113     $len_1_ph114 = $len_1_ph_ph;
   144114     $remaining_2_ph115 = $remaining_0;
   144115     $charnum_3_ph117 = $charnum_0;
   144116     label = 30;
   144117     break;
   144118    case 29:
   144119     $incdec_ptr87 = $sub_0 - 2 | 0;
   144120     HEAP8[$incdec_ptr78] = 35;
   144121     HEAP8[$incdec_ptr87] = 38;
   144122     $tobool9947 = ($inc79 | 0) == 0;
   144123     if ($tobool9947) {
   144124       $p_2_lcssa = $p_1;
   144125       $pos_1_lcssa = $pos_0_ph;
   144126       $remaining_2_ph116 = $remaining_1;
   144127       $charnum_3_ph118 = $div;
   144128       label = 33;
   144129       break;
   144130     } else {
   144131       $sub_1_ph113 = $incdec_ptr87;
   144132       $len_1_ph114 = $inc79;
   144133       $remaining_2_ph115 = $remaining_1;
   144134       $charnum_3_ph117 = $div;
   144135       label = 30;
   144136       break;
   144137     }
   144138    case 30:
   144139     $12 = $len_1_ph114 + $pos_0_ph | 0;
   144140     $p_248 = $p_1;
   144141     $sub_149 = $sub_1_ph113;
   144142     $len_150 = $len_1_ph114;
   144143     label = 31;
   144144     break;
   144145    case 31:
   144146     $dec98 = $len_150 - 1 | 0;
   144147     $incdec_ptr101 = $sub_149 + 1 | 0;
   144148     $13 = HEAP8[$sub_149] | 0;
   144149     $incdec_ptr102 = $p_248 + 1 | 0;
   144150     HEAP8[$p_248] = $13;
   144151     $tobool99 = ($dec98 | 0) == 0;
   144152     if ($tobool99) {
   144153       label = 32;
   144154       break;
   144155     } else {
   144156       $p_248 = $incdec_ptr102;
   144157       $sub_149 = $incdec_ptr101;
   144158       $len_150 = $dec98;
   144159       label = 31;
   144160       break;
   144161     }
   144162    case 32:
   144163     $scevgep = $p_1 + $len_1_ph114 | 0;
   144164     $p_2_lcssa = $scevgep;
   144165     $pos_1_lcssa = $12;
   144166     $remaining_2_ph116 = $remaining_2_ph115;
   144167     $charnum_3_ph118 = $charnum_3_ph117;
   144168     label = 33;
   144169     break;
   144170    case 33:
   144171     $incdec_ptr104 = $s_addr_0 + 1 | 0;
   144172     $charnum_0_ph = $charnum_3_ph118;
   144173     $remaining_0_ph = $remaining_2_ph116;
   144174     $s_addr_0_ph = $incdec_ptr104;
   144175     $pos_0_ph = $pos_1_lcssa;
   144176     $prev_0_ph = $s_addr_0;
   144177     $p_0_ph = $p_2_lcssa;
   144178     label = 5;
   144179     break;
   144180    case 34:
   144181     HEAP8[$p_0] = 0;
   144182     $14 = HEAP32[3506] | 0;
   144183     STACKTOP = __stackBase__;
   144184     return $14 | 0;
   144185   }
   144186   return 0;
   144187 }
   144188 function _vml_textpara($job, $p, $para) {
   144189   $job = $job | 0;
   144190   $p = $p | 0;
   144191   $para = $para | 0;
   144192   var $obj1 = 0, $0 = 0, $just = 0, $1 = 0, $conv = 0, $x = 0, $2 = 0.0, $x4 = 0, $3 = 0.0, $width = 0, $4 = 0.0, $sub = 0.0, $x7 = 0, $5 = 0.0, $width8 = 0, $6 = 0.0, $div = 0.0, $sub9 = 0.0, $p1_sroa_0_0 = 0.0, $width12 = 0, $7 = 0.0, $add = 0.0, $height = 0, $8 = 0.0, $fontsize = 0, $9 = 0.0, $cmp = 0, $mul = 0.0, $add16 = 0.0, $sub19 = 0.0, $add21 = 0.0, $10 = 0, $conv22 = 0.0, $y = 0, $11 = 0.0, $sub23 = 0.0, $12 = 0.0, $sub27 = 0.0, $13 = 0.0, $cmp30 = 0, $div34 = 0.0, $add35 = 0.0, $add45 = 0.0, $add35_pn = 0.0, $p1_sroa_1_0 = 0.0, $p2_sroa_1_0 = 0.0, $14 = 0, $sub58 = 0.0, $sub61 = 0.0, $call = 0, $call62 = 0, $postscript_alias = 0, $15 = 0, $tobool = 0, $family = 0, $16 = 0, $17 = 0, $weight = 0, $18 = 0, $tobool66 = 0, $19 = 0, $stretch = 0, $20 = 0, $tobool72 = 0, $21 = 0, $style = 0, $22 = 0, $tobool78 = 0, $fontname = 0, $23 = 0, $24 = 0.0, $pencolor = 0, $type = 0, $25 = 0, $string = 0, $26 = 0, $call88 = 0, $tobool89 = 0, $27 = 0, $rgba = 0, $arrayidx = 0, $28 = 0, $conv98 = 0, $arrayidx102 = 0, $29 = 0, $conv103 = 0, $arrayidx107 = 0, $30 = 0, $conv108 = 0, $call111 = 0, $str = 0, $31 = 0, $call112 = 0, $call113 = 0, $call114 = 0, $call115 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   144193   __stackBase__ = STACKTOP;
   144194   tempParam = $p;
   144195   $p = STACKTOP;
   144196   STACKTOP = STACKTOP + 16 | 0;
   144197   HEAP32[$p >> 2] = HEAP32[tempParam >> 2] | 0;
   144198   HEAP32[$p + 4 >> 2] = HEAP32[tempParam + 4 >> 2] | 0;
   144199   HEAP32[$p + 8 >> 2] = HEAP32[tempParam + 8 >> 2] | 0;
   144200   HEAP32[$p + 12 >> 2] = HEAP32[tempParam + 12 >> 2] | 0;
   144201   label = 2;
   144202   while (1) switch (label | 0) {
   144203    case 2:
   144204     $obj1 = $job + 16 | 0;
   144205     $0 = HEAP32[$obj1 >> 2] | 0;
   144206     $just = $para + 72 | 0;
   144207     $1 = HEAP8[$just] | 0;
   144208     $conv = $1 << 24 >> 24;
   144209     if (($conv | 0) == 108) {
   144210       label = 3;
   144211       break;
   144212     } else if (($conv | 0) == 114) {
   144213       label = 4;
   144214       break;
   144215     } else {
   144216       label = 5;
   144217       break;
   144218     }
   144219    case 3:
   144220     $x = $p | 0;
   144221     $2 = +HEAPF64[$x >> 3];
   144222     $p1_sroa_0_0 = $2;
   144223     label = 6;
   144224     break;
   144225    case 4:
   144226     $x4 = $p | 0;
   144227     $3 = +HEAPF64[$x4 >> 3];
   144228     $width = $para + 56 | 0;
   144229     $4 = +HEAPF64[$width >> 3];
   144230     $sub = $3 - $4;
   144231     $p1_sroa_0_0 = $sub;
   144232     label = 6;
   144233     break;
   144234    case 5:
   144235     $x7 = $p | 0;
   144236     $5 = +HEAPF64[$x7 >> 3];
   144237     $width8 = $para + 56 | 0;
   144238     $6 = +HEAPF64[$width8 >> 3];
   144239     $div = $6 * .5;
   144240     $sub9 = $5 - $div;
   144241     $p1_sroa_0_0 = $sub9;
   144242     label = 6;
   144243     break;
   144244    case 6:
   144245     $width12 = $para + 56 | 0;
   144246     $7 = +HEAPF64[$width12 >> 3];
   144247     $add = $p1_sroa_0_0 + $7;
   144248     $height = $para + 64 | 0;
   144249     $8 = +HEAPF64[$height >> 3];
   144250     $fontsize = $para + 24 | 0;
   144251     $9 = +HEAPF64[$fontsize >> 3];
   144252     $cmp = $8 < $9;
   144253     if ($cmp) {
   144254       label = 7;
   144255       break;
   144256     } else {
   144257       label = 8;
   144258       break;
   144259     }
   144260    case 7:
   144261     $mul = $9 * 1.1;
   144262     $add16 = $mul + 1.0;
   144263     HEAPF64[$height >> 3] = $add16;
   144264     label = 8;
   144265     break;
   144266    case 8:
   144267     $sub19 = $p1_sroa_0_0 + -8.0;
   144268     $add21 = $add + 8.0;
   144269     $10 = HEAP32[4068] | 0;
   144270     $conv22 = +($10 >>> 0 >>> 0);
   144271     $y = $p + 8 | 0;
   144272     $11 = +HEAPF64[$y >> 3];
   144273     $sub23 = $conv22 - $11;
   144274     $12 = +HEAPF64[$height >> 3];
   144275     $sub27 = $sub23 - $12;
   144276     $13 = +HEAPF64[$fontsize >> 3];
   144277     $cmp30 = $13 < 12.0;
   144278     $div34 = $13 / 5.0;
   144279     if ($cmp30) {
   144280       label = 9;
   144281       break;
   144282     } else {
   144283       label = 10;
   144284       break;
   144285     }
   144286    case 9:
   144287     $add35 = $div34 + 1.4;
   144288     $add35_pn = $add35;
   144289     label = 11;
   144290     break;
   144291    case 10:
   144292     $add45 = $div34 + 2.0;
   144293     $add35_pn = $add45;
   144294     label = 11;
   144295     break;
   144296    case 11:
   144297     $p1_sroa_1_0 = $sub27 + $add35_pn;
   144298     $p2_sroa_1_0 = $sub23 + $add35_pn;
   144299     $14 = $job;
   144300     _gvprintf($14, 102736, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0);
   144301     _gvprintf($14, 103936, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = $sub19, HEAPF64[tempInt + 8 >> 3] = $p1_sroa_1_0, tempInt) | 0);
   144302     $sub58 = $add21 - $sub19;
   144303     $sub61 = $p2_sroa_1_0 - $p1_sroa_1_0;
   144304     _gvprintf($14, 103440, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = $sub58, HEAPF64[tempInt + 8 >> 3] = $sub61, tempInt) | 0);
   144305     $call = _gvputs($14, 102416) | 0;
   144306     $call62 = _gvputs($14, 101904) | 0;
   144307     $postscript_alias = $para + 4 | 0;
   144308     $15 = HEAP32[$postscript_alias >> 2] | 0;
   144309     $tobool = ($15 | 0) == 0;
   144310     if ($tobool) {
   144311       label = 18;
   144312       break;
   144313     } else {
   144314       label = 12;
   144315       break;
   144316     }
   144317    case 12:
   144318     $family = $15 + 4 | 0;
   144319     $16 = HEAP32[$family >> 2] | 0;
   144320     _gvprintf($14, 101560, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $16, tempInt) | 0);
   144321     $17 = HEAP32[$postscript_alias >> 2] | 0;
   144322     $weight = $17 + 8 | 0;
   144323     $18 = HEAP32[$weight >> 2] | 0;
   144324     $tobool66 = ($18 | 0) == 0;
   144325     if ($tobool66) {
   144326       label = 14;
   144327       break;
   144328     } else {
   144329       label = 13;
   144330       break;
   144331     }
   144332    case 13:
   144333     _gvprintf($14, 100968, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $18, tempInt) | 0);
   144334     label = 14;
   144335     break;
   144336    case 14:
   144337     $19 = HEAP32[$postscript_alias >> 2] | 0;
   144338     $stretch = $19 + 12 | 0;
   144339     $20 = HEAP32[$stretch >> 2] | 0;
   144340     $tobool72 = ($20 | 0) == 0;
   144341     if ($tobool72) {
   144342       label = 16;
   144343       break;
   144344     } else {
   144345       label = 15;
   144346       break;
   144347     }
   144348    case 15:
   144349     _gvprintf($14, 100608, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $20, tempInt) | 0);
   144350     label = 16;
   144351     break;
   144352    case 16:
   144353     $21 = HEAP32[$postscript_alias >> 2] | 0;
   144354     $style = $21 + 16 | 0;
   144355     $22 = HEAP32[$style >> 2] | 0;
   144356     $tobool78 = ($22 | 0) == 0;
   144357     if ($tobool78) {
   144358       label = 19;
   144359       break;
   144360     } else {
   144361       label = 17;
   144362       break;
   144363     }
   144364    case 17:
   144365     _gvprintf($14, 100120, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $22, tempInt) | 0);
   144366     label = 19;
   144367     break;
   144368    case 18:
   144369     $fontname = $para + 20 | 0;
   144370     $23 = HEAP32[$fontname >> 2] | 0;
   144371     _gvprintf($14, 101560, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $23, tempInt) | 0);
   144372     label = 19;
   144373     break;
   144374    case 19:
   144375     $24 = +HEAPF64[$fontsize >> 3];
   144376     _gvprintf($14, 99744, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAPF64[tempInt >> 3] = $24, tempInt) | 0);
   144377     $pencolor = $0 + 16 | 0;
   144378     $type = $0 + 48 | 0;
   144379     $25 = HEAP32[$type >> 2] | 0;
   144380     if (($25 | 0) == 5) {
   144381       label = 20;
   144382       break;
   144383     } else if (($25 | 0) == 1) {
   144384       label = 22;
   144385       break;
   144386     } else {
   144387       label = 23;
   144388       break;
   144389     }
   144390    case 20:
   144391     $string = $pencolor;
   144392     $26 = HEAP32[$string >> 2] | 0;
   144393     $call88 = _strcasecmp($26, 157896) | 0;
   144394     $tobool89 = ($call88 | 0) == 0;
   144395     if ($tobool89) {
   144396       label = 24;
   144397       break;
   144398     } else {
   144399       label = 21;
   144400       break;
   144401     }
   144402    case 21:
   144403     $27 = HEAP32[$string >> 2] | 0;
   144404     _gvprintf($14, 99272, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $27, tempInt) | 0);
   144405     label = 24;
   144406     break;
   144407    case 22:
   144408     $rgba = $pencolor;
   144409     $arrayidx = $pencolor;
   144410     $28 = HEAP8[$arrayidx] | 0;
   144411     $conv98 = $28 & 255;
   144412     $arrayidx102 = $rgba + 1 | 0;
   144413     $29 = HEAP8[$arrayidx102] | 0;
   144414     $conv103 = $29 & 255;
   144415     $arrayidx107 = $rgba + 2 | 0;
   144416     $30 = HEAP8[$arrayidx107] | 0;
   144417     $conv108 = $30 & 255;
   144418     _gvprintf($14, 98944, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = $conv98, HEAP32[tempInt + 8 >> 2] = $conv103, HEAP32[tempInt + 16 >> 2] = $conv108, tempInt) | 0);
   144419     label = 24;
   144420     break;
   144421    case 23:
   144422     ___assert_func(111200, 442, 163736, 110816);
   144423    case 24:
   144424     $call111 = _gvputs($14, 98480) | 0;
   144425     $str = $para | 0;
   144426     $31 = HEAP32[$str >> 2] | 0;
   144427     $call112 = _html_string($31) | 0;
   144428     $call113 = _gvputs($14, $call112) | 0;
   144429     $call114 = _gvputs($14, 98088) | 0;
   144430     $call115 = _gvputs($14, 97672) | 0;
   144431     STACKTOP = __stackBase__;
   144432     return;
   144433   }
   144434 }
   144435 function _core_loadimage_null($gvc, $us, $b, $filled) {
   144436   $gvc = $gvc | 0;
   144437   $us = $us | 0;
   144438   $b = $b | 0;
   144439   $filled = $filled | 0;
   144440   var tempParam = 0, __stackBase__ = 0;
   144441   __stackBase__ = STACKTOP;
   144442   tempParam = $b;
   144443   $b = STACKTOP;
   144444   STACKTOP = STACKTOP + 32 | 0;
   144445   _memcpy($b, tempParam, 32);
   144446   STACKTOP = __stackBase__;
   144447   return;
   144448 }
   144449 function _poolClear($pool) {
   144450   $pool = $pool | 0;
   144451   var $freeBlocks = 0, $0 = 0, $tobool = 0, $blocks2 = 0, $1 = 0, $tobool312 = 0, $p_013 = 0, $next = 0, $2 = 0, $3 = 0, $tobool3 = 0, $start = 0, $ptr = 0, $end = 0, label = 0;
   144452   label = 2;
   144453   while (1) switch (label | 0) {
   144454    case 2:
   144455     $freeBlocks = $pool + 4 | 0;
   144456     $0 = HEAP32[$freeBlocks >> 2] | 0;
   144457     $tobool = ($0 | 0) == 0;
   144458     $blocks2 = $pool | 0;
   144459     $1 = HEAP32[$blocks2 >> 2] | 0;
   144460     if ($tobool) {
   144461       label = 4;
   144462       break;
   144463     } else {
   144464       label = 3;
   144465       break;
   144466     }
   144467    case 3:
   144468     $tobool312 = ($1 | 0) == 0;
   144469     if ($tobool312) {
   144470       label = 6;
   144471       break;
   144472     } else {
   144473       $p_013 = $1;
   144474       label = 5;
   144475       break;
   144476     }
   144477    case 4:
   144478     HEAP32[$freeBlocks >> 2] = $1;
   144479     label = 6;
   144480     break;
   144481    case 5:
   144482     $next = $p_013 | 0;
   144483     $2 = HEAP32[$next >> 2] | 0;
   144484     $3 = HEAP32[$freeBlocks >> 2] | 0;
   144485     HEAP32[$next >> 2] = $3;
   144486     HEAP32[$freeBlocks >> 2] = $p_013;
   144487     $tobool3 = ($2 | 0) == 0;
   144488     if ($tobool3) {
   144489       label = 6;
   144490       break;
   144491     } else {
   144492       $p_013 = $2;
   144493       label = 5;
   144494       break;
   144495     }
   144496    case 6:
   144497     HEAP32[$blocks2 >> 2] = 0;
   144498     $start = $pool + 16 | 0;
   144499     HEAP32[$start >> 2] = 0;
   144500     $ptr = $pool + 12 | 0;
   144501     HEAP32[$ptr >> 2] = 0;
   144502     $end = $pool + 8 | 0;
   144503     HEAP32[$end >> 2] = 0;
   144504     return;
   144505   }
   144506 }
   144507 function _vml_grfill($job, $filled) {
   144508   $job = $job | 0;
   144509   $filled = $filled | 0;
   144510   var $tobool = 0, $obj1 = 0, $0 = 0, $1 = 0, $call = 0, $fillcolor = 0, $call2 = 0, $2 = 0, $call3 = 0, label = 0;
   144511   label = 2;
   144512   while (1) switch (label | 0) {
   144513    case 2:
   144514     $tobool = ($filled | 0) == 0;
   144515     if ($tobool) {
   144516       label = 4;
   144517       break;
   144518     } else {
   144519       label = 3;
   144520       break;
   144521     }
   144522    case 3:
   144523     $obj1 = $job + 16 | 0;
   144524     $0 = HEAP32[$obj1 >> 2] | 0;
   144525     $1 = $job;
   144526     $call = _gvputs($1, 106616) | 0;
   144527     $fillcolor = $0 + 56 | 0;
   144528     _vml_print_color($job, $fillcolor);
   144529     $call2 = _gvputs($1, 106280) | 0;
   144530     label = 5;
   144531     break;
   144532    case 4:
   144533     $2 = $job;
   144534     $call3 = _gvputs($2, 105896) | 0;
   144535     label = 5;
   144536     break;
   144537    case 5:
   144538     return;
   144539   }
   144540 }
   144541 function _vml_bzptarray($job, $A, $n) {
   144542   $job = $job | 0;
   144543   $A = $A | 0;
   144544   $n = $n | 0;
   144545   var $cmp6 = 0, $0 = 0, $c_08 = 0, $i_07 = 0, $x = 0, $1 = 0.0, $2 = 0, $conv = 0.0, $y = 0, $3 = 0.0, $sub = 0.0, $cmp2 = 0, $_ = 0, $inc = 0, $cmp = 0, $4 = 0, $call = 0, label = 0, __stackBase__ = 0;
   144546   __stackBase__ = STACKTOP;
   144547   label = 2;
   144548   while (1) switch (label | 0) {
   144549    case 2:
   144550     $cmp6 = ($n | 0) > 0;
   144551     if ($cmp6) {
   144552       label = 3;
   144553       break;
   144554     } else {
   144555       label = 5;
   144556       break;
   144557     }
   144558    case 3:
   144559     $0 = $job;
   144560     $i_07 = 0;
   144561     $c_08 = 108960;
   144562     label = 4;
   144563     break;
   144564    case 4:
   144565     $x = $A + ($i_07 << 4) | 0;
   144566     $1 = +HEAPF64[$x >> 3];
   144567     $2 = HEAP32[4068] | 0;
   144568     $conv = +($2 >>> 0 >>> 0);
   144569     $y = $A + ($i_07 << 4) + 8 | 0;
   144570     $3 = +HEAPF64[$y >> 3];
   144571     $sub = $conv - $3;
   144572     _gvprintf($0, 108224, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = $c_08, HEAPF64[tempInt + 8 >> 3] = $1, HEAPF64[tempInt + 16 >> 3] = $sub, tempInt) | 0);
   144573     $cmp2 = ($i_07 | 0) == 0;
   144574     $_ = $cmp2 ? 107840 : 107344;
   144575     $inc = $i_07 + 1 | 0;
   144576     $cmp = ($inc | 0) < ($n | 0);
   144577     if ($cmp) {
   144578       $i_07 = $inc;
   144579       $c_08 = $_;
   144580       label = 4;
   144581       break;
   144582     } else {
   144583       label = 5;
   144584       break;
   144585     }
   144586    case 5:
   144587     $4 = $job;
   144588     $call = _gvputs($4, 107e3) | 0;
   144589     STACKTOP = __stackBase__;
   144590     return;
   144591   }
   144592 }
   144593 function _core_loadimage_vml($job, $us, $b, $filled) {
   144594   $job = $job | 0;
   144595   $us = $us | 0;
   144596   $b = $b | 0;
   144597   $filled = $filled | 0;
   144598   var $4 = 0.0, $sub6 = 0.0, $5 = 0.0, $sub11 = 0.0, $sub17 = 0.0, $7 = 0, tempParam = 0, __stackBase__ = 0;
   144599   __stackBase__ = STACKTOP;
   144600   tempParam = $b;
   144601   $b = STACKTOP;
   144602   STACKTOP = STACKTOP + 32 | 0;
   144603   _memcpy($b, tempParam, 32);
   144604   $4 = +HEAPF64[$b >> 3];
   144605   $sub6 = +HEAPF64[$b + 16 >> 3] - $4;
   144606   $5 = +HEAPF64[$b + 24 >> 3];
   144607   $sub11 = $5 - +HEAPF64[$b + 8 >> 3];
   144608   $sub17 = +(~~(+HEAPF64[$job + 232 >> 3] - +HEAPF64[$job + 216 >> 3]) >>> 0 >>> 0) - $5;
   144609   $7 = $job;
   144610   _gvprintf($7, 108840, (tempInt = STACKTOP, STACKTOP = STACKTOP + 40 | 0, HEAP32[tempInt >> 2] = HEAP32[$us + 8 >> 2] | 0, HEAPF64[tempInt + 8 >> 3] = $sub6, HEAPF64[tempInt + 16 >> 3] = $sub11, HEAPF64[tempInt + 24 >> 3] = $4, HEAPF64[tempInt + 32 >> 3] = $sub17, tempInt) | 0);
   144611   _gvputs($7, 108192);
   144612   STACKTOP = __stackBase__;
   144613   return;
   144614 }
   144615 function _ps_freeimage($us) {
   144616   $us = $us | 0;
   144617   _free(HEAP32[$us + 52 >> 2] | 0);
   144618   return;
   144619 }
   144620 function _XML_ParserCreate($encodingName) {
   144621   $encodingName = $encodingName | 0;
   144622   return _XML_ParserCreate_MM($encodingName, 0, 0) | 0;
   144623 }
   144624 function _XML_ParserCreate_MM($encodingName, $memsuite, $nameSep) {
   144625   $encodingName = $encodingName | 0;
   144626   $memsuite = $memsuite | 0;
   144627   $nameSep = $nameSep | 0;
   144628   return _parserCreate($encodingName, $memsuite, $nameSep) | 0;
   144629 }
   144630 function _parserCreate($encodingName, $memsuite, $nameSep) {
   144631   $encodingName = $encodingName | 0;
   144632   $memsuite = $memsuite | 0;
   144633   $nameSep = $nameSep | 0;
   144634   var $tobool = 0, $malloc_fcn = 0, $0 = 0, $call = 0, $1 = 0, $cmp = 0, $m_mem = 0, $2 = 0, $malloc_fcn3 = 0, $realloc_fcn = 0, $3 = 0, $realloc_fcn4 = 0, $4 = 0, $free_fcn = 0, $5 = 0, $free_fcn5 = 0, $6 = 0, $call7 = 0, $7 = 0, $cmp8 = 0, $m_mem10 = 0, $malloc_fcn11 = 0, $realloc_fcn12 = 0, $8 = 0, $free_fcn13 = 0, $9 = 0, $parser_0 = 0, $tobool16 = 0, $m_buffer = 0, $m_bufferLim = 0, $m_attsSize = 0, $m_mem19 = 0, $malloc_fcn20 = 0, $10 = 0, $call22 = 0, $11 = 0, $m_atts = 0, $cmp24 = 0, $free_fcn27 = 0, $12 = 0, $13 = 0, $14 = 0, $call31 = 0, $m_dataBuf = 0, $cmp33 = 0, $free_fcn36 = 0, $15 = 0, $16 = 0, $17 = 0, $18 = 0, $19 = 0, $add_ptr = 0, $m_dataBufEnd = 0, $call46 = 0, $m_dtd47 = 0, $cmp49 = 0, $free_fcn52 = 0, $20 = 0, $21 = 0, $22 = 0, $23 = 0, $24 = 0, $25 = 0, $26 = 0, $m_freeBindingList = 0, $m_freeTagList = 0, $m_freeInternalEntities = 0, $m_groupSize = 0, $m_groupConnector = 0, $m_unknownEncodingHandler = 0, $m_unknownEncodingHandlerData = 0, $m_namespaceSeparator = 0, $m_ns = 0, $m_ns_triplets = 0, $m_nsAtts = 0, $m_nsAttsVersion = 0, $m_nsAttsPower = 0, $m_tempPool = 0, $m_temp2Pool = 0, $tobool63 = 0, $m_protocolEncodingName = 0, $27 = 0, $tobool64 = 0, $tobool67 = 0, $m_internalEncoding = 0, $28 = 0, $m_internalEncoding74 = 0, $retval_0 = 0, label = 0;
   144635   label = 2;
   144636   while (1) switch (label | 0) {
   144637    case 2:
   144638     $tobool = ($memsuite | 0) == 0;
   144639     if ($tobool) {
   144640       label = 5;
   144641       break;
   144642     } else {
   144643       label = 3;
   144644       break;
   144645     }
   144646    case 3:
   144647     $malloc_fcn = $memsuite | 0;
   144648     $0 = HEAP32[$malloc_fcn >> 2] | 0;
   144649     $call = FUNCTION_TABLE_ii[$0 & 1023](476) | 0;
   144650     $1 = $call;
   144651     $cmp = ($call | 0) == 0;
   144652     if ($cmp) {
   144653       $parser_0 = $1;
   144654       label = 7;
   144655       break;
   144656     } else {
   144657       label = 4;
   144658       break;
   144659     }
   144660    case 4:
   144661     $m_mem = $call + 12 | 0;
   144662     $2 = HEAP32[$malloc_fcn >> 2] | 0;
   144663     $malloc_fcn3 = $m_mem;
   144664     HEAP32[$malloc_fcn3 >> 2] = $2;
   144665     $realloc_fcn = $memsuite + 4 | 0;
   144666     $3 = HEAP32[$realloc_fcn >> 2] | 0;
   144667     $realloc_fcn4 = $call + 16 | 0;
   144668     $4 = $realloc_fcn4;
   144669     HEAP32[$4 >> 2] = $3;
   144670     $free_fcn = $memsuite + 8 | 0;
   144671     $5 = HEAP32[$free_fcn >> 2] | 0;
   144672     $free_fcn5 = $call + 20 | 0;
   144673     $6 = $free_fcn5;
   144674     HEAP32[$6 >> 2] = $5;
   144675     $parser_0 = $1;
   144676     label = 7;
   144677     break;
   144678    case 5:
   144679     $call7 = _malloc(476) | 0;
   144680     $7 = $call7;
   144681     $cmp8 = ($call7 | 0) == 0;
   144682     if ($cmp8) {
   144683       $parser_0 = $7;
   144684       label = 7;
   144685       break;
   144686     } else {
   144687       label = 6;
   144688       break;
   144689     }
   144690    case 6:
   144691     $m_mem10 = $call7 + 12 | 0;
   144692     $malloc_fcn11 = $m_mem10;
   144693     HEAP32[$malloc_fcn11 >> 2] = 306;
   144694     $realloc_fcn12 = $call7 + 16 | 0;
   144695     $8 = $realloc_fcn12;
   144696     HEAP32[$8 >> 2] = 492;
   144697     $free_fcn13 = $call7 + 20 | 0;
   144698     $9 = $free_fcn13;
   144699     HEAP32[$9 >> 2] = 528;
   144700     $parser_0 = $7;
   144701     label = 7;
   144702     break;
   144703    case 7:
   144704     $tobool16 = ($parser_0 | 0) == 0;
   144705     if ($tobool16) {
   144706       $retval_0 = 0;
   144707       label = 20;
   144708       break;
   144709     } else {
   144710       label = 8;
   144711       break;
   144712     }
   144713    case 8:
   144714     $m_buffer = $parser_0 + 8 | 0;
   144715     HEAP32[$m_buffer >> 2] = 0;
   144716     $m_bufferLim = $parser_0 + 32 | 0;
   144717     HEAP32[$m_bufferLim >> 2] = 0;
   144718     $m_attsSize = $parser_0 + 364 | 0;
   144719     HEAP32[$m_attsSize >> 2] = 16;
   144720     $m_mem19 = $parser_0 + 12 | 0;
   144721     $malloc_fcn20 = $m_mem19 | 0;
   144722     $10 = HEAP32[$malloc_fcn20 >> 2] | 0;
   144723     $call22 = FUNCTION_TABLE_ii[$10 & 1023](256) | 0;
   144724     $11 = $call22;
   144725     $m_atts = $parser_0 + 376 | 0;
   144726     HEAP32[$m_atts >> 2] = $11;
   144727     $cmp24 = ($call22 | 0) == 0;
   144728     if ($cmp24) {
   144729       label = 9;
   144730       break;
   144731     } else {
   144732       label = 10;
   144733       break;
   144734     }
   144735    case 9:
   144736     $free_fcn27 = $parser_0 + 20 | 0;
   144737     $12 = HEAP32[$free_fcn27 >> 2] | 0;
   144738     $13 = $parser_0;
   144739     FUNCTION_TABLE_vi[$12 & 1023]($13);
   144740     $retval_0 = 0;
   144741     label = 20;
   144742     break;
   144743    case 10:
   144744     $14 = HEAP32[$malloc_fcn20 >> 2] | 0;
   144745     $call31 = FUNCTION_TABLE_ii[$14 & 1023](1024) | 0;
   144746     $m_dataBuf = $parser_0 + 44 | 0;
   144747     HEAP32[$m_dataBuf >> 2] = $call31;
   144748     $cmp33 = ($call31 | 0) == 0;
   144749     if ($cmp33) {
   144750       label = 11;
   144751       break;
   144752     } else {
   144753       label = 12;
   144754       break;
   144755     }
   144756    case 11:
   144757     $free_fcn36 = $parser_0 + 20 | 0;
   144758     $15 = HEAP32[$free_fcn36 >> 2] | 0;
   144759     $16 = HEAP32[$m_atts >> 2] | 0;
   144760     $17 = $16;
   144761     FUNCTION_TABLE_vi[$15 & 1023]($17);
   144762     $18 = HEAP32[$free_fcn36 >> 2] | 0;
   144763     $19 = $parser_0;
   144764     FUNCTION_TABLE_vi[$18 & 1023]($19);
   144765     $retval_0 = 0;
   144766     label = 20;
   144767     break;
   144768    case 12:
   144769     $add_ptr = $call31 + 1024 | 0;
   144770     $m_dataBufEnd = $parser_0 + 48 | 0;
   144771     HEAP32[$m_dataBufEnd >> 2] = $add_ptr;
   144772     $call46 = _dtdCreate($m_mem19) | 0;
   144773     $m_dtd47 = $parser_0 + 340 | 0;
   144774     HEAP32[$m_dtd47 >> 2] = $call46;
   144775     $cmp49 = ($call46 | 0) == 0;
   144776     if ($cmp49) {
   144777       label = 13;
   144778       break;
   144779     } else {
   144780       label = 14;
   144781       break;
   144782     }
   144783    case 13:
   144784     $free_fcn52 = $parser_0 + 20 | 0;
   144785     $20 = HEAP32[$free_fcn52 >> 2] | 0;
   144786     $21 = HEAP32[$m_dataBuf >> 2] | 0;
   144787     FUNCTION_TABLE_vi[$20 & 1023]($21);
   144788     $22 = HEAP32[$free_fcn52 >> 2] | 0;
   144789     $23 = HEAP32[$m_atts >> 2] | 0;
   144790     $24 = $23;
   144791     FUNCTION_TABLE_vi[$22 & 1023]($24);
   144792     $25 = HEAP32[$free_fcn52 >> 2] | 0;
   144793     $26 = $parser_0;
   144794     FUNCTION_TABLE_vi[$25 & 1023]($26);
   144795     $retval_0 = 0;
   144796     label = 20;
   144797     break;
   144798    case 14:
   144799     $m_freeBindingList = $parser_0 + 360 | 0;
   144800     HEAP32[$m_freeBindingList >> 2] = 0;
   144801     $m_freeTagList = $parser_0 + 352 | 0;
   144802     HEAP32[$m_freeTagList >> 2] = 0;
   144803     $m_freeInternalEntities = $parser_0 + 288 | 0;
   144804     HEAP32[$m_freeInternalEntities >> 2] = 0;
   144805     $m_groupSize = $parser_0 + 452 | 0;
   144806     HEAP32[$m_groupSize >> 2] = 0;
   144807     $m_groupConnector = $parser_0 + 448 | 0;
   144808     HEAP32[$m_groupConnector >> 2] = 0;
   144809     $m_unknownEncodingHandler = $parser_0 + 124 | 0;
   144810     HEAP32[$m_unknownEncodingHandler >> 2] = 0;
   144811     $m_unknownEncodingHandlerData = $parser_0 + 244 | 0;
   144812     HEAP32[$m_unknownEncodingHandlerData >> 2] = 0;
   144813     $m_namespaceSeparator = $parser_0 + 456 | 0;
   144814     HEAP8[$m_namespaceSeparator] = 33;
   144815     $m_ns = $parser_0 + 232 | 0;
   144816     HEAP8[$m_ns] = 0;
   144817     $m_ns_triplets = $parser_0 + 233 | 0;
   144818     HEAP8[$m_ns_triplets] = 0;
   144819     $m_nsAtts = $parser_0 + 380 | 0;
   144820     HEAP32[$m_nsAtts >> 2] = 0;
   144821     $m_nsAttsVersion = $parser_0 + 384 | 0;
   144822     HEAP32[$m_nsAttsVersion >> 2] = 0;
   144823     $m_nsAttsPower = $parser_0 + 388 | 0;
   144824     HEAP8[$m_nsAttsPower] = 0;
   144825     $m_tempPool = $parser_0 + 400 | 0;
   144826     _poolInit($m_tempPool, $m_mem19);
   144827     $m_temp2Pool = $parser_0 + 424 | 0;
   144828     _poolInit($m_temp2Pool, $m_mem19);
   144829     _parserInit($parser_0, $encodingName);
   144830     $tobool63 = ($encodingName | 0) == 0;
   144831     if ($tobool63) {
   144832       label = 17;
   144833       break;
   144834     } else {
   144835       label = 15;
   144836       break;
   144837     }
   144838    case 15:
   144839     $m_protocolEncodingName = $parser_0 + 228 | 0;
   144840     $27 = HEAP32[$m_protocolEncodingName >> 2] | 0;
   144841     $tobool64 = ($27 | 0) == 0;
   144842     if ($tobool64) {
   144843       label = 16;
   144844       break;
   144845     } else {
   144846       label = 17;
   144847       break;
   144848     }
   144849    case 16:
   144850     _XML_ParserFree($parser_0);
   144851     $retval_0 = 0;
   144852     label = 20;
   144853     break;
   144854    case 17:
   144855     $tobool67 = ($nameSep | 0) == 0;
   144856     if ($tobool67) {
   144857       label = 19;
   144858       break;
   144859     } else {
   144860       label = 18;
   144861       break;
   144862     }
   144863    case 18:
   144864     HEAP8[$m_ns] = 1;
   144865     $m_internalEncoding = $parser_0 + 224 | 0;
   144866     HEAP32[$m_internalEncoding >> 2] = 12360;
   144867     $28 = HEAP8[$nameSep] | 0;
   144868     HEAP8[$m_namespaceSeparator] = $28;
   144869     $retval_0 = $parser_0;
   144870     label = 20;
   144871     break;
   144872    case 19:
   144873     $m_internalEncoding74 = $parser_0 + 224 | 0;
   144874     HEAP32[$m_internalEncoding74 >> 2] = 12360;
   144875     $retval_0 = $parser_0;
   144876     label = 20;
   144877     break;
   144878    case 20:
   144879     return $retval_0 | 0;
   144880   }
   144881   return 0;
   144882 }
   144883 function _parserInit($parser, $encodingName) {
   144884   $parser = $parser | 0;
   144885   $encodingName = $encodingName | 0;
   144886   var $m_processor = 0, $m_prologState = 0, $cmp = 0, $m_tempPool = 0, $call = 0, $cond = 0, $m_protocolEncodingName = 0, $m_curBase = 0, $m_initEncoding = 0, $m_encoding = 0, $call1 = 0, $m_userData = 0, $m_handlerArg = 0, $m_startElementHandler = 0, $m_externalEntityRefHandlerArg = 0, $0 = 0, $m_skippedEntityHandler = 0, $m_elementDeclHandler = 0, $m_buffer = 0, $1 = 0, $2 = 0, $m_bufferPtr = 0, $3 = 0, $m_bufferEnd = 0, $m_parseEndByteIndex = 0, $m_parseEndPtr = 0, $m_declEntity = 0, $m_position = 0, $4 = 0, $$etemp$0$0 = 0, $$etemp$0$1 = 0, $st$1$0 = 0, $st$2$1 = 0, $m_errorCode = 0, $m_defaultExpandInternalEntities = 0, $5 = 0, $6 = 0, $m_tagLevel = 0, $m_tagStack = 0, $m_inheritedBindings = 0, $m_nSpecifiedAtts = 0, $m_unknownEncodingMem = 0, $m_unknownEncodingRelease = 0, $m_unknownEncodingData = 0, $m_parentParser = 0, $parsing = 0, $m_hash_secret_salt = 0, label = 0;
   144887   label = 2;
   144888   while (1) switch (label | 0) {
   144889    case 2:
   144890     $m_processor = $parser + 264 | 0;
   144891     HEAP32[$m_processor >> 2] = 154;
   144892     $m_prologState = $parser + 252 | 0;
   144893     _XmlPrologStateInit($m_prologState);
   144894     $cmp = ($encodingName | 0) == 0;
   144895     if ($cmp) {
   144896       $cond = 0;
   144897       label = 4;
   144898       break;
   144899     } else {
   144900       label = 3;
   144901       break;
   144902     }
   144903    case 3:
   144904     $m_tempPool = $parser + 400 | 0;
   144905     $call = _poolCopyString($m_tempPool, $encodingName) | 0;
   144906     $cond = $call;
   144907     label = 4;
   144908     break;
   144909    case 4:
   144910     $m_protocolEncodingName = $parser + 228 | 0;
   144911     HEAP32[$m_protocolEncodingName >> 2] = $cond;
   144912     $m_curBase = $parser + 344 | 0;
   144913     HEAP32[$m_curBase >> 2] = 0;
   144914     $m_initEncoding = $parser + 148 | 0;
   144915     $m_encoding = $parser + 144 | 0;
   144916     $call1 = _XmlInitEncoding($m_initEncoding, $m_encoding, 0) | 0;
   144917     $m_userData = $parser | 0;
   144918     HEAP32[$m_userData >> 2] = 0;
   144919     $m_handlerArg = $parser + 4 | 0;
   144920     HEAP32[$m_handlerArg >> 2] = 0;
   144921     $m_startElementHandler = $parser + 52 | 0;
   144922     $m_externalEntityRefHandlerArg = $parser + 116 | 0;
   144923     $0 = $m_startElementHandler;
   144924     _memset($0 | 0, 0, 64);
   144925     HEAP32[$m_externalEntityRefHandlerArg >> 2] = $parser;
   144926     $m_skippedEntityHandler = $parser + 120 | 0;
   144927     HEAP32[$m_skippedEntityHandler >> 2] = 0;
   144928     $m_elementDeclHandler = $parser + 128 | 0;
   144929     $m_buffer = $parser + 8 | 0;
   144930     $1 = $m_elementDeclHandler;
   144931     _memset($1 | 0, 0, 16);
   144932     $2 = HEAP32[$m_buffer >> 2] | 0;
   144933     $m_bufferPtr = $parser + 24 | 0;
   144934     HEAP32[$m_bufferPtr >> 2] = $2;
   144935     $3 = HEAP32[$m_buffer >> 2] | 0;
   144936     $m_bufferEnd = $parser + 28 | 0;
   144937     HEAP32[$m_bufferEnd >> 2] = $3;
   144938     $m_parseEndByteIndex = $parser + 36 | 0;
   144939     HEAP32[$m_parseEndByteIndex >> 2] = 0;
   144940     $m_parseEndPtr = $parser + 40 | 0;
   144941     HEAP32[$m_parseEndPtr >> 2] = 0;
   144942     $m_declEntity = $parser + 300 | 0;
   144943     $m_position = $parser + 392 | 0;
   144944     $4 = $m_position;
   144945     $$etemp$0$0 = 0;
   144946     $$etemp$0$1 = 0;
   144947     $st$1$0 = $4 | 0;
   144948     HEAP32[$st$1$0 >> 2] = $$etemp$0$0;
   144949     $st$2$1 = $4 + 4 | 0;
   144950     HEAP32[$st$2$1 >> 2] = $$etemp$0$1;
   144951     $m_errorCode = $parser + 268 | 0;
   144952     $m_defaultExpandInternalEntities = $parser + 292 | 0;
   144953     $5 = $m_errorCode;
   144954     _memset($5 | 0, 0, 20);
   144955     $6 = $m_declEntity;
   144956     _memset($6 | 0, 0, 38);
   144957     HEAP8[$m_defaultExpandInternalEntities] = 1;
   144958     $m_tagLevel = $parser + 296 | 0;
   144959     HEAP32[$m_tagLevel >> 2] = 0;
   144960     $m_tagStack = $parser + 348 | 0;
   144961     HEAP32[$m_tagStack >> 2] = 0;
   144962     $m_inheritedBindings = $parser + 356 | 0;
   144963     HEAP32[$m_inheritedBindings >> 2] = 0;
   144964     $m_nSpecifiedAtts = $parser + 368 | 0;
   144965     HEAP32[$m_nSpecifiedAtts >> 2] = 0;
   144966     $m_unknownEncodingMem = $parser + 236 | 0;
   144967     HEAP32[$m_unknownEncodingMem >> 2] = 0;
   144968     $m_unknownEncodingRelease = $parser + 248 | 0;
   144969     HEAP32[$m_unknownEncodingRelease >> 2] = 0;
   144970     $m_unknownEncodingData = $parser + 240 | 0;
   144971     HEAP32[$m_unknownEncodingData >> 2] = 0;
   144972     $m_parentParser = $parser + 460 | 0;
   144973     HEAP32[$m_parentParser >> 2] = 0;
   144974     $parsing = $parser + 464 | 0;
   144975     HEAP32[$parsing >> 2] = 0;
   144976     $m_hash_secret_salt = $parser + 472 | 0;
   144977     HEAP32[$m_hash_secret_salt >> 2] = 0;
   144978     return;
   144979   }
   144980 }
   144981 function _poolCopyString($pool, $s) {
   144982   $pool = $pool | 0;
   144983   $s = $s | 0;
   144984   var $ptr = 0, $end = 0, $s_addr_0 = 0, $0 = 0, $1 = 0, $cmp = 0, $call = 0, $tobool = 0, $2 = 0, $3 = 0, $incdec_ptr = 0, $incdec_ptr2 = 0, $4 = 0, $tobool3 = 0, $start = 0, $5 = 0, $6 = 0, $retval_0 = 0, label = 0;
   144985   label = 2;
   144986   while (1) switch (label | 0) {
   144987    case 2:
   144988     $ptr = $pool + 12 | 0;
   144989     $end = $pool + 8 | 0;
   144990     $s_addr_0 = $s;
   144991     label = 3;
   144992     break;
   144993    case 3:
   144994     $0 = HEAP32[$ptr >> 2] | 0;
   144995     $1 = HEAP32[$end >> 2] | 0;
   144996     $cmp = ($0 | 0) == ($1 | 0);
   144997     if ($cmp) {
   144998       label = 4;
   144999       break;
   145000     } else {
   145001       label = 5;
   145002       break;
   145003     }
   145004    case 4:
   145005     $call = _poolGrow($pool) | 0;
   145006     $tobool = $call << 24 >> 24 == 0;
   145007     if ($tobool) {
   145008       $retval_0 = 0;
   145009       label = 7;
   145010       break;
   145011     } else {
   145012       label = 5;
   145013       break;
   145014     }
   145015    case 5:
   145016     $2 = HEAP8[$s_addr_0] | 0;
   145017     $3 = HEAP32[$ptr >> 2] | 0;
   145018     $incdec_ptr = $3 + 1 | 0;
   145019     HEAP32[$ptr >> 2] = $incdec_ptr;
   145020     HEAP8[$3] = $2;
   145021     $incdec_ptr2 = $s_addr_0 + 1 | 0;
   145022     $4 = HEAP8[$s_addr_0] | 0;
   145023     $tobool3 = $4 << 24 >> 24 == 0;
   145024     if ($tobool3) {
   145025       label = 6;
   145026       break;
   145027     } else {
   145028       $s_addr_0 = $incdec_ptr2;
   145029       label = 3;
   145030       break;
   145031     }
   145032    case 6:
   145033     $start = $pool + 16 | 0;
   145034     $5 = HEAP32[$start >> 2] | 0;
   145035     $6 = HEAP32[$ptr >> 2] | 0;
   145036     HEAP32[$start >> 2] = $6;
   145037     $retval_0 = $5;
   145038     label = 7;
   145039     break;
   145040    case 7:
   145041     return $retval_0 | 0;
   145042   }
   145043   return 0;
   145044 }
   145045 function _vml_print_color($job, $color) {
   145046   $job = $job | 0;
   145047   $color = $color | 0;
   145048   var $type = 0, $0 = 0, $string = 0, $1 = 0, $2 = 0, $call = 0, $rgba = 0, $arrayidx = 0, $3 = 0, $cmp = 0, $4 = 0, $call4 = 0, $arrayidx7 = 0, $5 = 0, $conv8 = 0, $arrayidx11 = 0, $6 = 0, $conv12 = 0, $arrayidx15 = 0, $7 = 0, $conv16 = 0, $8 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   145049   __stackBase__ = STACKTOP;
   145050   tempParam = $color;
   145051   $color = STACKTOP;
   145052   STACKTOP = STACKTOP + 40 | 0;
   145053   _memcpy($color, tempParam, 40);
   145054   label = 2;
   145055   while (1) switch (label | 0) {
   145056    case 2:
   145057     $type = $color + 32 | 0;
   145058     $0 = HEAP32[$type >> 2] | 0;
   145059     if (($0 | 0) == 5) {
   145060       label = 3;
   145061       break;
   145062     } else if (($0 | 0) == 1) {
   145063       label = 4;
   145064       break;
   145065     } else {
   145066       label = 7;
   145067       break;
   145068     }
   145069    case 3:
   145070     $string = $color;
   145071     $1 = HEAP32[$string >> 2] | 0;
   145072     $2 = $job;
   145073     $call = _gvputs($2, $1) | 0;
   145074     label = 8;
   145075     break;
   145076    case 4:
   145077     $rgba = $color;
   145078     $arrayidx = $rgba + 3 | 0;
   145079     $3 = HEAP8[$arrayidx] | 0;
   145080     $cmp = $3 << 24 >> 24 == 0;
   145081     if ($cmp) {
   145082       label = 5;
   145083       break;
   145084     } else {
   145085       label = 6;
   145086       break;
   145087     }
   145088    case 5:
   145089     $4 = $job;
   145090     $call4 = _gvputs($4, 112208) | 0;
   145091     label = 8;
   145092     break;
   145093    case 6:
   145094     $arrayidx7 = $color;
   145095     $5 = HEAP8[$arrayidx7] | 0;
   145096     $conv8 = $5 & 255;
   145097     $arrayidx11 = $rgba + 1 | 0;
   145098     $6 = HEAP8[$arrayidx11] | 0;
   145099     $conv12 = $6 & 255;
   145100     $arrayidx15 = $rgba + 2 | 0;
   145101     $7 = HEAP8[$arrayidx15] | 0;
   145102     $conv16 = $7 & 255;
   145103     $8 = $job;
   145104     _gvprintf($8, 111656, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = $conv8, HEAP32[tempInt + 8 >> 2] = $conv12, HEAP32[tempInt + 16 >> 2] = $conv16, tempInt) | 0);
   145105     label = 8;
   145106     break;
   145107    case 7:
   145108     ___assert_func(111200, 95, 163752, 110816);
   145109    case 8:
   145110     STACKTOP = __stackBase__;
   145111     return;
   145112   }
   145113 }
   145114 function _core_loadimage_pslib($job, $us, $b, $filled) {
   145115   $job = $job | 0;
   145116   $us = $us | 0;
   145117   $b = $b | 0;
   145118   $filled = $filled | 0;
   145119   var $AF = 0, $tobool = 0, $tobool1 = 0, $name = 0, $0 = 0, $tobool5 = 0, $data = 0, $1 = 0, $tobool9 = 0, $2 = 0, $3 = 0, $arrayidx10 = 0, $UR = 0, $4 = 0, $5 = 0, $x = 0, $6 = 0.0, $x13 = 0, $y = 0, $7 = 0.0, $y16 = 0, $x18 = 0, $8 = 0.0, $x20 = 0, $y22 = 0, $9 = 0.0, $y24 = 0, $tobool25 = 0, $10 = 0, $x28 = 0, $11 = 0.0, $y30 = 0, $12 = 0.0, $x28_1 = 0, $13 = 0.0, $y30_1 = 0, $14 = 0.0, $x28_2 = 0, $15 = 0.0, $y30_2 = 0, $16 = 0.0, $x28_3 = 0, $17 = 0.0, $y30_3 = 0, $18 = 0.0, $19 = 0.0, $20 = 0.0, $21 = 0, $22 = 0, $x40 = 0, $23 = 0.0, $y42 = 0, $24 = 0.0, $x40_1 = 0, $25 = 0.0, $y42_1 = 0, $26 = 0.0, $x40_2 = 0, $27 = 0.0, $y42_2 = 0, $28 = 0.0, $x40_3 = 0, $29 = 0.0, $y42_3 = 0, $30 = 0.0, $31 = 0.0, $32 = 0.0, $33 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   145120   __stackBase__ = STACKTOP;
   145121   STACKTOP = STACKTOP + 64 | 0;
   145122   tempParam = $b;
   145123   $b = STACKTOP;
   145124   STACKTOP = STACKTOP + 32 | 0;
   145125   _memcpy($b, tempParam, 32);
   145126   label = 2;
   145127   while (1) switch (label | 0) {
   145128    case 2:
   145129     $AF = __stackBase__ | 0;
   145130     $tobool = ($job | 0) == 0;
   145131     if ($tobool) {
   145132       label = 3;
   145133       break;
   145134     } else {
   145135       label = 4;
   145136       break;
   145137     }
   145138    case 3:
   145139     ___assert_func(107776, 205, 164488, 107304);
   145140    case 4:
   145141     $tobool1 = ($us | 0) == 0;
   145142     if ($tobool1) {
   145143       label = 5;
   145144       break;
   145145     } else {
   145146       label = 6;
   145147       break;
   145148     }
   145149    case 5:
   145150     ___assert_func(107776, 206, 164488, 106968);
   145151    case 6:
   145152     $name = $us + 8 | 0;
   145153     $0 = HEAP32[$name >> 2] | 0;
   145154     $tobool5 = ($0 | 0) == 0;
   145155     if ($tobool5) {
   145156       label = 7;
   145157       break;
   145158     } else {
   145159       label = 8;
   145160       break;
   145161     }
   145162    case 7:
   145163     ___assert_func(107776, 207, 164488, 106576);
   145164    case 8:
   145165     $data = $us + 52 | 0;
   145166     $1 = HEAP32[$data >> 2] | 0;
   145167     $tobool9 = ($1 | 0) == 0;
   145168     if ($tobool9) {
   145169       label = 12;
   145170       break;
   145171     } else {
   145172       label = 9;
   145173       break;
   145174     }
   145175    case 9:
   145176     $2 = $AF;
   145177     $3 = $b;
   145178     HEAP32[$2 >> 2] = HEAP32[$3 >> 2] | 0;
   145179     HEAP32[$2 + 4 >> 2] = HEAP32[$3 + 4 >> 2] | 0;
   145180     HEAP32[$2 + 8 >> 2] = HEAP32[$3 + 8 >> 2] | 0;
   145181     HEAP32[$2 + 12 >> 2] = HEAP32[$3 + 12 >> 2] | 0;
   145182     $arrayidx10 = $AF + 32 | 0;
   145183     $UR = $b + 16 | 0;
   145184     $4 = $arrayidx10;
   145185     $5 = $UR;
   145186     HEAP32[$4 >> 2] = HEAP32[$5 >> 2] | 0;
   145187     HEAP32[$4 + 4 >> 2] = HEAP32[$5 + 4 >> 2] | 0;
   145188     HEAP32[$4 + 8 >> 2] = HEAP32[$5 + 8 >> 2] | 0;
   145189     HEAP32[$4 + 12 >> 2] = HEAP32[$5 + 12 >> 2] | 0;
   145190     $x = $AF | 0;
   145191     $6 = +HEAPF64[$x >> 3];
   145192     $x13 = $AF + 16 | 0;
   145193     HEAPF64[$x13 >> 3] = $6;
   145194     $y = $AF + 40 | 0;
   145195     $7 = +HEAPF64[$y >> 3];
   145196     $y16 = $AF + 24 | 0;
   145197     HEAPF64[$y16 >> 3] = $7;
   145198     $x18 = $arrayidx10 | 0;
   145199     $8 = +HEAPF64[$x18 >> 3];
   145200     $x20 = $AF + 48 | 0;
   145201     HEAPF64[$x20 >> 3] = $8;
   145202     $y22 = $AF + 8 | 0;
   145203     $9 = +HEAPF64[$y22 >> 3];
   145204     $y24 = $AF + 56 | 0;
   145205     HEAPF64[$y24 >> 3] = $9;
   145206     $tobool25 = $filled << 24 >> 24 == 0;
   145207     if ($tobool25) {
   145208       label = 11;
   145209       break;
   145210     } else {
   145211       label = 10;
   145212       break;
   145213     }
   145214    case 10:
   145215     $10 = $job;
   145216     _gvprintf($10, 106248, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0);
   145217     $x28 = $AF | 0;
   145218     $11 = +HEAPF64[$x28 >> 3];
   145219     $y30 = $AF + 8 | 0;
   145220     $12 = +HEAPF64[$y30 >> 3];
   145221     _gvprintf($10, 105864, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = $11, HEAPF64[tempInt + 8 >> 3] = $12, tempInt) | 0);
   145222     $x28_1 = $AF + 16 | 0;
   145223     $13 = +HEAPF64[$x28_1 >> 3];
   145224     $y30_1 = $AF + 24 | 0;
   145225     $14 = +HEAPF64[$y30_1 >> 3];
   145226     _gvprintf($10, 105864, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = $13, HEAPF64[tempInt + 8 >> 3] = $14, tempInt) | 0);
   145227     $x28_2 = $AF + 32 | 0;
   145228     $15 = +HEAPF64[$x28_2 >> 3];
   145229     $y30_2 = $AF + 40 | 0;
   145230     $16 = +HEAPF64[$y30_2 >> 3];
   145231     _gvprintf($10, 105864, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = $15, HEAPF64[tempInt + 8 >> 3] = $16, tempInt) | 0);
   145232     $x28_3 = $AF + 48 | 0;
   145233     $17 = +HEAPF64[$x28_3 >> 3];
   145234     $y30_3 = $AF + 56 | 0;
   145235     $18 = +HEAPF64[$y30_3 >> 3];
   145236     _gvprintf($10, 105864, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = $17, HEAPF64[tempInt + 8 >> 3] = $18, tempInt) | 0);
   145237     $19 = +HEAPF64[$x >> 3];
   145238     $20 = +HEAPF64[$y22 >> 3];
   145239     _gvprintf($10, 105864, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = $19, HEAPF64[tempInt + 8 >> 3] = $20, tempInt) | 0);
   145240     $21 = HEAP32[$name >> 2] | 0;
   145241     _gvprintf($10, 105464, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = 4, HEAP32[tempInt + 8 >> 2] = $21, tempInt) | 0);
   145242     label = 11;
   145243     break;
   145244    case 11:
   145245     $22 = $job;
   145246     _gvprintf($22, 106248, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0);
   145247     $x40 = $AF | 0;
   145248     $23 = +HEAPF64[$x40 >> 3];
   145249     $y42 = $AF + 8 | 0;
   145250     $24 = +HEAPF64[$y42 >> 3];
   145251     _gvprintf($22, 105864, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = $23, HEAPF64[tempInt + 8 >> 3] = $24, tempInt) | 0);
   145252     $x40_1 = $AF + 16 | 0;
   145253     $25 = +HEAPF64[$x40_1 >> 3];
   145254     $y42_1 = $AF + 24 | 0;
   145255     $26 = +HEAPF64[$y42_1 >> 3];
   145256     _gvprintf($22, 105864, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = $25, HEAPF64[tempInt + 8 >> 3] = $26, tempInt) | 0);
   145257     $x40_2 = $AF + 32 | 0;
   145258     $27 = +HEAPF64[$x40_2 >> 3];
   145259     $y42_2 = $AF + 40 | 0;
   145260     $28 = +HEAPF64[$y42_2 >> 3];
   145261     _gvprintf($22, 105864, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = $27, HEAPF64[tempInt + 8 >> 3] = $28, tempInt) | 0);
   145262     $x40_3 = $AF + 48 | 0;
   145263     $29 = +HEAPF64[$x40_3 >> 3];
   145264     $y42_3 = $AF + 56 | 0;
   145265     $30 = +HEAPF64[$y42_3 >> 3];
   145266     _gvprintf($22, 105864, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = $29, HEAPF64[tempInt + 8 >> 3] = $30, tempInt) | 0);
   145267     $31 = +HEAPF64[$x >> 3];
   145268     $32 = +HEAPF64[$y22 >> 3];
   145269     _gvprintf($22, 105864, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = $31, HEAPF64[tempInt + 8 >> 3] = $32, tempInt) | 0);
   145270     $33 = HEAP32[$name >> 2] | 0;
   145271     _gvprintf($22, 105152, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAP32[tempInt >> 2] = 4, HEAP32[tempInt + 8 >> 2] = $33, tempInt) | 0);
   145272     label = 12;
   145273     break;
   145274    case 12:
   145275     STACKTOP = __stackBase__;
   145276     return;
   145277   }
   145278 }
   145279 function _core_loadimage_ps($job, $us, $b, $filled) {
   145280   $job = $job | 0;
   145281   $us = $us | 0;
   145282   $b = $b | 0;
   145283   $filled = $filled | 0;
   145284   var $statbuf = 0, $tobool = 0, $tobool1 = 0, $name = 0, $0 = 0, $tobool5 = 0, $data = 0, $1 = 0, $tobool9 = 0, $datafree = 0, $2 = 0, $cmp = 0, $datasize = 0, $_pr = 0, $tobool16 = 0, $call = 0, $tobool18 = 0, $f = 0, $3 = 0, $call21 = 0, $type = 0, $4 = 0, $_off = 0, $switch = 0, $call22 = 0, $st_size = 0, $5 = 0, $datasize23 = 0, $6 = 0, $call25 = 0, $7 = 0, $call29 = 0, $must_inline = 0, $8 = 0, $tobool31 = 0, $datafree33 = 0, $_pr30 = 0, $tobool37 = 0, $x = 0, $9 = 0.0, $x39 = 0, $10 = 0, $conv = 0.0, $sub = 0.0, $y = 0, $11 = 0.0, $y41 = 0, $12 = 0, $conv42 = 0.0, $sub43 = 0.0, $13 = 0, $must_inline44 = 0, $14 = 0, $tobool45 = 0, $15 = 0, $macro_id = 0, $16 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   145285   __stackBase__ = STACKTOP;
   145286   STACKTOP = STACKTOP + 72 | 0;
   145287   tempParam = $b;
   145288   $b = STACKTOP;
   145289   STACKTOP = STACKTOP + 32 | 0;
   145290   _memcpy($b, tempParam, 32);
   145291   label = 2;
   145292   while (1) switch (label | 0) {
   145293    case 2:
   145294     $statbuf = __stackBase__ | 0;
   145295     $tobool = ($job | 0) == 0;
   145296     if ($tobool) {
   145297       label = 3;
   145298       break;
   145299     } else {
   145300       label = 4;
   145301       break;
   145302     }
   145303    case 3:
   145304     ___assert_func(107776, 146, 164512, 107304);
   145305    case 4:
   145306     $tobool1 = ($us | 0) == 0;
   145307     if ($tobool1) {
   145308       label = 5;
   145309       break;
   145310     } else {
   145311       label = 6;
   145312       break;
   145313     }
   145314    case 5:
   145315     ___assert_func(107776, 147, 164512, 106968);
   145316    case 6:
   145317     $name = $us + 8 | 0;
   145318     $0 = HEAP32[$name >> 2] | 0;
   145319     $tobool5 = ($0 | 0) == 0;
   145320     if ($tobool5) {
   145321       label = 7;
   145322       break;
   145323     } else {
   145324       label = 8;
   145325       break;
   145326     }
   145327    case 7:
   145328     ___assert_func(107776, 148, 164512, 106576);
   145329    case 8:
   145330     $data = $us + 52 | 0;
   145331     $1 = HEAP32[$data >> 2] | 0;
   145332     $tobool9 = ($1 | 0) == 0;
   145333     if ($tobool9) {
   145334       label = 12;
   145335       break;
   145336     } else {
   145337       label = 9;
   145338       break;
   145339     }
   145340    case 9:
   145341     $datafree = $us + 60 | 0;
   145342     $2 = HEAP32[$datafree >> 2] | 0;
   145343     $cmp = ($2 | 0) == 160;
   145344     if ($cmp) {
   145345       label = 11;
   145346       break;
   145347     } else {
   145348       label = 10;
   145349       break;
   145350     }
   145351    case 10:
   145352     FUNCTION_TABLE_vi[$2 & 1023]($us);
   145353     HEAP32[$data >> 2] = 0;
   145354     HEAP32[$datafree >> 2] = 0;
   145355     $datasize = $us + 56 | 0;
   145356     HEAP32[$datasize >> 2] = 0;
   145357     label = 11;
   145358     break;
   145359    case 11:
   145360     $_pr = HEAP32[$data >> 2] | 0;
   145361     $tobool16 = ($_pr | 0) == 0;
   145362     if ($tobool16) {
   145363       label = 12;
   145364       break;
   145365     } else {
   145366       label = 18;
   145367       break;
   145368     }
   145369    case 12:
   145370     $call = _gvusershape_file_access($us) | 0;
   145371     $tobool18 = $call << 24 >> 24 == 0;
   145372     if ($tobool18) {
   145373       label = 22;
   145374       break;
   145375     } else {
   145376       label = 13;
   145377       break;
   145378     }
   145379    case 13:
   145380     $f = $us + 20 | 0;
   145381     $3 = HEAP32[$f >> 2] | 0;
   145382     $call21 = _fileno($3 | 0) | 0;
   145383     $type = $us + 24 | 0;
   145384     $4 = HEAP32[$type >> 2] | 0;
   145385     $_off = $4 - 6 | 0;
   145386     $switch = $_off >>> 0 < 2;
   145387     if ($switch) {
   145388       label = 14;
   145389       break;
   145390     } else {
   145391       label = 15;
   145392       break;
   145393     }
   145394    case 14:
   145395     $call22 = _fstat($call21 | 0, $statbuf | 0) | 0;
   145396     $st_size = $statbuf + 28 | 0;
   145397     $5 = HEAP32[$st_size >> 2] | 0;
   145398     $datasize23 = $us + 56 | 0;
   145399     HEAP32[$datasize23 >> 2] = $5;
   145400     $6 = HEAP32[$st_size >> 2] | 0;
   145401     $call25 = _malloc($6) | 0;
   145402     HEAP32[$data >> 2] = $call25;
   145403     $7 = HEAP32[$st_size >> 2] | 0;
   145404     $call29 = _read($call21 | 0, $call25 | 0, $7 | 0) | 0;
   145405     $must_inline = $us + 16 | 0;
   145406     HEAP8[$must_inline] = 1;
   145407     label = 15;
   145408     break;
   145409    case 15:
   145410     $8 = HEAP32[$data >> 2] | 0;
   145411     $tobool31 = ($8 | 0) == 0;
   145412     if ($tobool31) {
   145413       label = 17;
   145414       break;
   145415     } else {
   145416       label = 16;
   145417       break;
   145418     }
   145419    case 16:
   145420     $datafree33 = $us + 60 | 0;
   145421     HEAP32[$datafree33 >> 2] = 160;
   145422     label = 17;
   145423     break;
   145424    case 17:
   145425     _gvusershape_file_release($us);
   145426     $_pr30 = HEAP32[$data >> 2] | 0;
   145427     $tobool37 = ($_pr30 | 0) == 0;
   145428     if ($tobool37) {
   145429       label = 22;
   145430       break;
   145431     } else {
   145432       label = 18;
   145433       break;
   145434     }
   145435    case 18:
   145436     $x = $b | 0;
   145437     $9 = +HEAPF64[$x >> 3];
   145438     $x39 = $us + 32 | 0;
   145439     $10 = HEAP32[$x39 >> 2] | 0;
   145440     $conv = +($10 | 0);
   145441     $sub = $9 - $conv;
   145442     $y = $b + 8 | 0;
   145443     $11 = +HEAPF64[$y >> 3];
   145444     $y41 = $us + 36 | 0;
   145445     $12 = HEAP32[$y41 >> 2] | 0;
   145446     $conv42 = +($12 | 0);
   145447     $sub43 = $11 - $conv42;
   145448     $13 = $job;
   145449     _gvprintf($13, 104784, (tempInt = STACKTOP, STACKTOP = STACKTOP + 16 | 0, HEAPF64[tempInt >> 3] = $sub, HEAPF64[tempInt + 8 >> 3] = $sub43, tempInt) | 0);
   145450     $must_inline44 = $us + 16 | 0;
   145451     $14 = HEAP8[$must_inline44] | 0;
   145452     $tobool45 = $14 << 24 >> 24 == 0;
   145453     if ($tobool45) {
   145454       label = 20;
   145455       break;
   145456     } else {
   145457       label = 19;
   145458       break;
   145459     }
   145460    case 19:
   145461     $15 = $job;
   145462     _epsf_emit_body($15, $us);
   145463     label = 21;
   145464     break;
   145465    case 20:
   145466     $macro_id = $us + 12 | 0;
   145467     $16 = HEAP32[$macro_id >> 2] | 0;
   145468     _gvprintf($13, 104272, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $16, tempInt) | 0);
   145469     label = 21;
   145470     break;
   145471    case 21:
   145472     _gvprintf($13, 103824, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0);
   145473     label = 22;
   145474     break;
   145475    case 22:
   145476     STACKTOP = __stackBase__;
   145477     return;
   145478   }
   145479 }
   145480 function _core_loadimage_vrml($job, $us, $b, $filled) {
   145481   $job = $job | 0;
   145482   $us = $us | 0;
   145483   $b = $b | 0;
   145484   $filled = $filled | 0;
   145485   var $tobool = 0, $obj1 = 0, $0 = 0, $tobool2 = 0, $tobool6 = 0, $name = 0, $1 = 0, $tobool10 = 0, $u = 0, $n15 = 0, $2 = 0, $tobool16 = 0, $3 = 0, $4 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   145486   __stackBase__ = STACKTOP;
   145487   tempParam = $b;
   145488   $b = STACKTOP;
   145489   STACKTOP = STACKTOP + 32 | 0;
   145490   _memcpy($b, tempParam, 32);
   145491   label = 2;
   145492   while (1) switch (label | 0) {
   145493    case 2:
   145494     $tobool = ($job | 0) == 0;
   145495     if ($tobool) {
   145496       label = 3;
   145497       break;
   145498     } else {
   145499       label = 4;
   145500       break;
   145501     }
   145502    case 3:
   145503     ___assert_func(107776, 114, 164440, 107304);
   145504    case 4:
   145505     $obj1 = $job + 16 | 0;
   145506     $0 = HEAP32[$obj1 >> 2] | 0;
   145507     $tobool2 = ($0 | 0) == 0;
   145508     if ($tobool2) {
   145509       label = 5;
   145510       break;
   145511     } else {
   145512       label = 6;
   145513       break;
   145514     }
   145515    case 5:
   145516     ___assert_func(107776, 116, 164440, 103408);
   145517    case 6:
   145518     $tobool6 = ($us | 0) == 0;
   145519     if ($tobool6) {
   145520       label = 7;
   145521       break;
   145522     } else {
   145523       label = 8;
   145524       break;
   145525     }
   145526    case 7:
   145527     ___assert_func(107776, 117, 164440, 106968);
   145528    case 8:
   145529     $name = $us + 8 | 0;
   145530     $1 = HEAP32[$name >> 2] | 0;
   145531     $tobool10 = ($1 | 0) == 0;
   145532     if ($tobool10) {
   145533       label = 9;
   145534       break;
   145535     } else {
   145536       label = 10;
   145537       break;
   145538     }
   145539    case 9:
   145540     ___assert_func(107776, 118, 164440, 106576);
   145541    case 10:
   145542     $u = $0 + 8 | 0;
   145543     $n15 = $u;
   145544     $2 = HEAP32[$n15 >> 2] | 0;
   145545     $tobool16 = ($2 | 0) == 0;
   145546     if ($tobool16) {
   145547       label = 11;
   145548       break;
   145549     } else {
   145550       label = 12;
   145551       break;
   145552     }
   145553    case 11:
   145554     ___assert_func(107776, 121, 164440, 103040);
   145555    case 12:
   145556     $3 = $job;
   145557     _gvprintf($3, 102696, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0);
   145558     _gvprintf($3, 102320, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0);
   145559     _gvprintf($3, 101848, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0);
   145560     _gvprintf($3, 101472, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0);
   145561     _gvprintf($3, 100904, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0);
   145562     _gvprintf($3, 100568, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0);
   145563     $4 = HEAP32[$name >> 2] | 0;
   145564     _gvprintf($3, 100056, (tempInt = STACKTOP, STACKTOP = STACKTOP + 8 | 0, HEAP32[tempInt >> 2] = $4, tempInt) | 0);
   145565     _gvprintf($3, 99656, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0);
   145566     _gvprintf($3, 99232, (tempInt = STACKTOP, STACKTOP = STACKTOP + 1 | 0, STACKTOP = STACKTOP + 7 >> 3 << 3, HEAP32[tempInt >> 2] = 0, tempInt) | 0);
   145567     STACKTOP = __stackBase__;
   145568     return;
   145569   }
   145570 }
   145571 function _core_loadimage_fig($job, $us, $bf, $filled) {
   145572   $job = $job | 0;
   145573   $us = $us | 0;
   145574   $bf = $bf | 0;
   145575   $filled = $filled | 0;
   145576   var $tobool = 0, $tobool1 = 0, $name = 0, $0 = 0, $tobool5 = 0, $x = 0, $1 = 0.0, $cmp = 0, $add = 0.0, $sub = 0.0, $add_sink = 0.0, $conv = 0, $y = 0, $2 = 0.0, $cmp20 = 0, $add25 = 0.0, $sub30 = 0.0, $add25_sink = 0.0, $conv26 = 0, $x36 = 0, $3 = 0.0, $cmp37 = 0, $add42 = 0.0, $sub47 = 0.0, $add42_sink = 0.0, $conv43 = 0, $y54 = 0, $4 = 0.0, $cmp55 = 0, $add60 = 0.0, $sub65 = 0.0, $add60_sink = 0.0, $conv61 = 0, $5 = 0, $6 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   145577   __stackBase__ = STACKTOP;
   145578   tempParam = $bf;
   145579   $bf = STACKTOP;
   145580   STACKTOP = STACKTOP + 32 | 0;
   145581   _memcpy($bf, tempParam, 32);
   145582   label = 2;
   145583   while (1) switch (label | 0) {
   145584    case 2:
   145585     $tobool = ($job | 0) == 0;
   145586     if ($tobool) {
   145587       label = 3;
   145588       break;
   145589     } else {
   145590       label = 4;
   145591       break;
   145592     }
   145593    case 3:
   145594     ___assert_func(107776, 90, 164536, 107304);
   145595    case 4:
   145596     $tobool1 = ($us | 0) == 0;
   145597     if ($tobool1) {
   145598       label = 5;
   145599       break;
   145600     } else {
   145601       label = 6;
   145602       break;
   145603     }
   145604    case 5:
   145605     ___assert_func(107776, 91, 164536, 106968);
   145606    case 6:
   145607     $name = $us + 8 | 0;
   145608     $0 = HEAP32[$name >> 2] | 0;
   145609     $tobool5 = ($0 | 0) == 0;
   145610     if ($tobool5) {
   145611       label = 7;
   145612       break;
   145613     } else {
   145614       label = 8;
   145615       break;
   145616     }
   145617    case 7:
   145618     ___assert_func(107776, 92, 164536, 106576);
   145619    case 8:
   145620     $x = $bf | 0;
   145621     $1 = +HEAPF64[$x >> 3];
   145622     $cmp = $1 < 0.0;
   145623     if ($cmp) {
   145624       label = 10;
   145625       break;
   145626     } else {
   145627       label = 9;
   145628       break;
   145629     }
   145630    case 9:
   145631     $add = $1 + .5;
   145632     $add_sink = $add;
   145633     label = 11;
   145634     break;
   145635    case 10:
   145636     $sub = $1 + -.5;
   145637     $add_sink = $sub;
   145638     label = 11;
   145639     break;
   145640    case 11:
   145641     $conv = ~~$add_sink;
   145642     $y = $bf + 8 | 0;
   145643     $2 = +HEAPF64[$y >> 3];
   145644     $cmp20 = $2 < 0.0;
   145645     if ($cmp20) {
   145646       label = 13;
   145647       break;
   145648     } else {
   145649       label = 12;
   145650       break;
   145651     }
   145652    case 12:
   145653     $add25 = $2 + .5;
   145654     $add25_sink = $add25;
   145655     label = 14;
   145656     break;
   145657    case 13:
   145658     $sub30 = $2 + -.5;
   145659     $add25_sink = $sub30;
   145660     label = 14;
   145661     break;
   145662    case 14:
   145663     $conv26 = ~~$add25_sink;
   145664     $x36 = $bf + 16 | 0;
   145665     $3 = +HEAPF64[$x36 >> 3];
   145666     $cmp37 = $3 < 0.0;
   145667     if ($cmp37) {
   145668       label = 16;
   145669       break;
   145670     } else {
   145671       label = 15;
   145672       break;
   145673     }
   145674    case 15:
   145675     $add42 = $3 + .5;
   145676     $add42_sink = $add42;
   145677     label = 17;
   145678     break;
   145679    case 16:
   145680     $sub47 = $3 + -.5;
   145681     $add42_sink = $sub47;
   145682     label = 17;
   145683     break;
   145684    case 17:
   145685     $conv43 = ~~$add42_sink;
   145686     $y54 = $bf + 24 | 0;
   145687     $4 = +HEAPF64[$y54 >> 3];
   145688     $cmp55 = $4 < 0.0;
   145689     if ($cmp55) {
   145690       label = 19;
   145691       break;
   145692     } else {
   145693       label = 18;
   145694       break;
   145695     }
   145696    case 18:
   145697     $add60 = $4 + .5;
   145698     $add60_sink = $add60;
   145699     label = 20;
   145700     break;
   145701    case 19:
   145702     $sub65 = $4 + -.5;
   145703     $add60_sink = $sub65;
   145704     label = 20;
   145705     break;
   145706    case 20:
   145707     $conv61 = ~~$add60_sink;
   145708     $5 = HEAP32[$name >> 2] | 0;
   145709     $6 = $job;
   145710     _gvprintf($6, 98816, (tempInt = STACKTOP, STACKTOP = STACKTOP + 144 | 0, HEAP32[tempInt >> 2] = 2, HEAP32[tempInt + 8 >> 2] = 5, HEAP32[tempInt + 16 >> 2] = 0, HEAP32[tempInt + 24 >> 2] = 0, HEAP32[tempInt + 32 >> 2] = 0, HEAP32[tempInt + 40 >> 2] = -1, HEAP32[tempInt + 48 >> 2] = 1, HEAP32[tempInt + 56 >> 2] = -1, HEAP32[tempInt + 64 >> 2] = 0, HEAPF64[tempInt + 72 >> 3] = 0.0, HEAP32[tempInt + 80 >> 2] = 0, HEAP32[tempInt + 88 >> 2] = 0, HEAP32[tempInt + 96 >> 2] = 0, HEAP32[tempInt + 104 >> 2] = 0, HEAP32[tempInt + 112 >> 2] = 0, HEAP32[tempInt + 120 >> 2] = 5, HEAP32[tempInt + 128 >> 2] = 0, HEAP32[tempInt + 136 >> 2] = $5, tempInt) | 0);
   145711     _gvprintf($6, 98424, (tempInt = STACKTOP, STACKTOP = STACKTOP + 80 | 0, HEAP32[tempInt >> 2] = $conv, HEAP32[tempInt + 8 >> 2] = $conv26, HEAP32[tempInt + 16 >> 2] = $conv, HEAP32[tempInt + 24 >> 2] = $conv61, HEAP32[tempInt + 32 >> 2] = $conv43, HEAP32[tempInt + 40 >> 2] = $conv61, HEAP32[tempInt + 48 >> 2] = $conv43, HEAP32[tempInt + 56 >> 2] = $conv26, HEAP32[tempInt + 64 >> 2] = $conv, HEAP32[tempInt + 72 >> 2] = $conv26, tempInt) | 0);
   145712     STACKTOP = __stackBase__;
   145713     return;
   145714   }
   145715 }
   145716 function _core_loadimage_svg($job, $us, $b, $filled) {
   145717   $job = $job | 0;
   145718   $us = $us | 0;
   145719   $b = $b | 0;
   145720   $filled = $filled | 0;
   145721   var $tobool = 0, $tobool1 = 0, $name = 0, $0 = 0, $tobool5 = 0, $1 = 0, $call = 0, $2 = 0, $call10 = 0, $rotation = 0, $3 = 0, $tobool11 = 0, $UR = 0, $y = 0, $4 = 0.0, $y12 = 0, $5 = 0.0, $sub = 0.0, $x = 0, $6 = 0.0, $x15 = 0, $7 = 0.0, $sub16 = 0.0, $8 = 0, $9 = 0.0, $10 = 0.0, $x27 = 0, $11 = 0.0, $x29 = 0, $12 = 0.0, $sub30 = 0.0, $y32 = 0, $13 = 0.0, $y34 = 0, $14 = 0.0, $sub35 = 0.0, $sub40 = 0.0, $call41 = 0, label = 0, tempParam = 0, __stackBase__ = 0;
   145722   __stackBase__ = STACKTOP;
   145723   tempParam = $b;
   145724   $b = STACKTOP;
   145725   STACKTOP = STACKTOP + 32 | 0;
   145726   _memcpy($b, tempParam, 32);
   145727   label = 2;
   145728   while (1) switch (label | 0) {
   145729    case 2:
   145730     $tobool = ($job | 0) == 0;
   145731     if ($tobool) {
   145732       label = 3;
   145733       break;
   145734     } else {
   145735       label = 4;
   145736       break;
   145737     }
   145738    case 3:
   145739     ___assert_func(107776, 49, 164464, 107304);
   145740    case 4:
   145741     $tobool1 = ($us | 0) == 0;
   145742     if ($tobool1) {
   145743       label = 5;
   145744       break;
   145745     } else {
   145746       label = 6;
   145747       break;
   145748     }
   145749    case 5:
   145750     ___assert_func(107776, 50, 164464, 106968);
   145751    case 6:
   145752     $name = $us + 8 | 0;
   145753     $0 = HEAP32[$name >> 2] | 0;
   145754     $tobool5 = ($0 | 0) == 0;
   145755     if ($tobool5) {
   145756       label = 7;
   145757       break;
   145758     } else {
   145759       label = 8;
   145760       break;
   145761     }
   145762    case 7:
   145763     ___assert_func(107776, 51, 164464, 106576);
   145764    case 8:
   145765     $1 = $job;
   145766     $call = _gvputs($1, 98032) | 0;
   145767     $2 = HEAP32[$name >> 2] | 0;
   145768     $call10 = _gvputs($1, $2) | 0;
   145769     $rotation = $job + 360 | 0;
   145770     $3 = HEAP32[$rotation >> 2] | 0;
   145771     $tobool11 = ($3 | 0) == 0;
   145772     $UR = $b + 16 | 0;
   145773     if ($tobool11) {
   145774       label = 10;
   145775       break;
   145776     } else {
   145777       label = 9;
   145778       break;
   145779     }
   145780    case 9:
   145781     $y = $b + 24 | 0;
   145782     $4 = +HEAPF64[$y >> 3];
   145783     $y12 = $b + 8 | 0;
   145784     $5 = +HEAPF64[$y12 >> 3];
   145785     $sub = $4 - $5;
   145786     $x = $UR | 0;
   145787     $6 = +HEAPF64[$x >> 3];
   145788     $x15 = $b | 0;
   145789     $7 = +HEAPF64[$x15 >> 3];
   145790     $sub16 = $6 - $7;
   145791     _gvprintf($1, 97568, (tempInt = STACKTOP, STACKTOP = STACKTOP + 32 | 0, HEAPF64[tempInt >> 3] = $sub, HEAPF64[tempInt + 8 >> 3] = $sub16, HEAPF64[tempInt + 16 >> 3] = $7, HEAPF64[tempInt + 24 >> 3] = $4, tempInt) | 0);
   145792     $8 = HEAP32[$rotation >> 2] | 0;
   145793     $9 = +HEAPF64[$x15 >> 3];
   145794     $10 = +HEAPF64[$y >> 3];
   145795     _gvprintf($1, 97200, (tempInt = STACKTOP, STACKTOP = STACKTOP + 24 | 0, HEAP32[tempInt >> 2] = $8, HEAPF64[tempInt + 8 >> 3] = $9, HEAPF64[tempInt + 16 >> 3] = $10, tempInt) | 0);
   145796     label = 11;
   145797     break;
   145798    case 10:
   145799     $x27 = $UR | 0;
   145800     $11 = +HEAPF64[$x27 >> 3];
   145801     $x29 = $b | 0;
   145802     $12 = +HEAPF64[$x29 >> 3];
   145803     $sub30 = $11 - $12;
   145804     $y32 = $b + 24 | 0;
   145805     $13 = +HEAPF64[$y32 >> 3];
   145806     $y34 = $b + 8 | 0;
   145807     $14 = +HEAPF64[$y34 >> 3];
   145808     $sub35 = $13 - $14;
   145809     $sub40 = -0.0 - $13;
   145810     _gvprintf($1, 96792, (tempInt = STACKTOP, STACKTOP = STACKTOP + 32 | 0, HEAPF64[tempInt >> 3] = $sub30, HEAPF64[tempInt + 8 >> 3] = $sub35, HEAPF64[tempInt + 16 >> 3] = $12, HEAPF64[tempInt + 24 >> 3] = $sub40, tempInt) | 0);
   145811     label = 11;
   145812     break;
   145813    case 11:
   145814     $call41 = _gvputs($1, 96360) | 0;
   145815     STACKTOP = __stackBase__;
   145816     return;
   145817   }
   145818 }
   145819 function _XML_SetElementHandler($parser, $start, $end) {
   145820   $parser = $parser | 0;
   145821   $start = $start | 0;
   145822   $end = $end | 0;
   145823   HEAP32[$parser + 52 >> 2] = $start;
   145824   HEAP32[$parser + 56 >> 2] = $end;
   145825   return;
   145826 }
   145827 function _XML_SetCharacterDataHandler($parser, $handler) {
   145828   $parser = $parser | 0;
   145829   $handler = $handler | 0;
   145830   HEAP32[$parser + 60 >> 2] = $handler;
   145831   return;
   145832 }
   145833 function _errorProcessor($parser, $s, $end, $nextPtr) {
   145834   $parser = $parser | 0;
   145835   $s = $s | 0;
   145836   $end = $end | 0;
   145837   $nextPtr = $nextPtr | 0;
   145838   return HEAP32[$parser + 268 >> 2] | 0;
   145839 }
   145840 function _setContext($parser, $context) {
   145841   $parser = $parser | 0;
   145842   $context = $context | 0;
   145843   var $m_dtd = 0, $0 = 0, $1 = 0, $cmp6467 = 0, $m_tempPool34 = 0, $ptr35 = 0, $end145 = 0, $start37 = 0, $defaultPrefix = 0, $end92 = 0, $m_inheritedBindings = 0, $end45 = 0, $prefixes = 0, $pool = 0, $ptr = 0, $end = 0, $m_tempPool = 0, $generalEntities = 0, $start = 0, $s_0_ph69 = 0, $s_065 = 0, $2 = 0, $3 = 0, $4 = 0, $cmp9 = 0, $call = 0, $tobool = 0, $5 = 0, $incdec_ptr = 0, $6 = 0, $call16 = 0, $tobool17 = 0, $open = 0, $7 = 0, $8 = 0, $cmp21 = 0, $incdec_ptr24 = 0, $s_0_incdec_ptr24 = 0, $9 = 0, $context_addr_0_ph_be = 0, $10 = 0, $cmp64 = 0, $cmp31 = 0, $11 = 0, $12 = 0, $cmp38 = 0, $13 = 0, $cmp46 = 0, $call50 = 0, $tobool51 = 0, $14 = 0, $incdec_ptr56 = 0, $15 = 0, $call61 = 0, $16 = 0, $tobool62 = 0, $name = 0, $17 = 0, $18 = 0, $cmp67 = 0, $call71 = 0, $tobool74 = 0, $19 = 0, $prefix_0 = 0, $s_0_pn = 0, $context_addr_1 = 0, $20 = 0, $21 = 0, $22 = 0, $cmp93 = 0, $call97 = 0, $tobool98 = 0, $23 = 0, $24 = 0, $incdec_ptr103 = 0, $call115 = 0, $tobool116 = 0, $25 = 0, $incdec_ptr121 = 0, $26 = 0, $call126 = 0, $cmp127 = 0, $27 = 0, $28 = 0, $cmp136 = 0, $incdec_ptr139 = 0, $context_addr_1_incdec_ptr139 = 0, $29 = 0, $cmp146 = 0, $call150 = 0, $tobool151 = 0, $30 = 0, $31 = 0, $incdec_ptr156 = 0, $incdec_ptr159 = 0, $32 = 0, $cmp = 0, $retval_0 = 0, label = 0;
   145844   label = 2;
   145845   while (1) switch (label | 0) {
   145846    case 2:
   145847     $m_dtd = $parser + 340 | 0;
   145848     $0 = HEAP32[$m_dtd >> 2] | 0;
   145849     $1 = HEAP8[$context] | 0;
   145850     $cmp6467 = $1 << 24 >> 24 == 0;
   145851     if ($cmp6467) {
   145852       $retval_0 = 1;
   145853       label = 32;
   145854       break;
   145855     } else {
   145856       label = 3;
   145857       break;
   145858     }
   145859    case 3:
   145860     $m_tempPool34 = $parser + 400 | 0;
   145861     $ptr35 = $parser + 412 | 0;
   145862     $end145 = $parser + 408 | 0;
   145863     $start37 = $parser + 416 | 0;
   145864     $defaultPrefix = $0 + 132 | 0;
   145865     $end92 = $parser + 408 | 0;
   145866     $m_inheritedBindings = $parser + 356 | 0;
   145867     $end45 = $parser + 408 | 0;
   145868     $prefixes = $0 + 60 | 0;
   145869     $pool = $0 + 80 | 0;
   145870     $ptr = $parser + 412 | 0;
   145871     $end = $parser + 408 | 0;
   145872     $m_tempPool = $parser + 400 | 0;
   145873     $generalEntities = $0 | 0;
   145874     $start = $parser + 416 | 0;
   145875     $s_0_ph69 = $context;
   145876     label = 4;
   145877     break;
   145878    case 4:
   145879     $s_065 = $s_0_ph69;
   145880     label = 5;
   145881     break;
   145882    case 5:
   145883     $2 = HEAP8[$s_065] | 0;
   145884     if (($2 << 24 >> 24 | 0) == 12 | ($2 << 24 >> 24 | 0) == 0) {
   145885       label = 6;
   145886       break;
   145887     } else {
   145888       label = 12;
   145889       break;
   145890     }
   145891    case 6:
   145892     $3 = HEAP32[$ptr >> 2] | 0;
   145893     $4 = HEAP32[$end >> 2] | 0;
   145894     $cmp9 = ($3 | 0) == ($4 | 0);
   145895     if ($cmp9) {
   145896       label = 7;
   145897       break;
   145898     } else {
   145899       label = 8;
   145900       break;
   145901     }
   145902    case 7:
   145903     $call = _poolGrow($m_tempPool) | 0;
   145904     $tobool = $call << 24 >> 24 == 0;
   145905     if ($tobool) {
   145906       $retval_0 = 0;
   145907       label = 32;
   145908       break;
   145909     } else {
   145910       label = 8;
   145911       break;
   145912     }
   145913    case 8:
   145914     $5 = HEAP32[$ptr >> 2] | 0;
   145915     $incdec_ptr = $5 + 1 | 0;
   145916     HEAP32[$ptr >> 2] = $incdec_ptr;
   145917     HEAP8[$5] = 0;
   145918     $6 = HEAP32[$start >> 2] | 0;
   145919     $call16 = _lookup($parser, $generalEntities, $6, 0) | 0;
   145920     $tobool17 = ($call16 | 0) == 0;
   145921     if ($tobool17) {
   145922       label = 10;
   145923       break;
   145924     } else {
   145925       label = 9;
   145926       break;
   145927     }
   145928    case 9:
   145929     $open = $call16 + 32 | 0;
   145930     $7 = $open;
   145931     HEAP8[$7] = 1;
   145932     label = 10;
   145933     break;
   145934    case 10:
   145935     $8 = HEAP8[$s_065] | 0;
   145936     $cmp21 = $8 << 24 >> 24 == 0;
   145937     $incdec_ptr24 = $s_065 + 1 | 0;
   145938     $s_0_incdec_ptr24 = $cmp21 ? $s_065 : $incdec_ptr24;
   145939     $9 = HEAP32[$start >> 2] | 0;
   145940     HEAP32[$ptr >> 2] = $9;
   145941     $context_addr_0_ph_be = $s_0_incdec_ptr24;
   145942     label = 11;
   145943     break;
   145944    case 11:
   145945     $10 = HEAP8[$context_addr_0_ph_be] | 0;
   145946     $cmp64 = $10 << 24 >> 24 == 0;
   145947     if ($cmp64) {
   145948       $retval_0 = 1;
   145949       label = 32;
   145950       break;
   145951     } else {
   145952       $s_0_ph69 = $context_addr_0_ph_be;
   145953       label = 4;
   145954       break;
   145955     }
   145956    case 12:
   145957     $cmp31 = $2 << 24 >> 24 == 61;
   145958     $11 = HEAP32[$ptr35 >> 2] | 0;
   145959     if ($cmp31) {
   145960       label = 13;
   145961       break;
   145962     } else {
   145963       label = 29;
   145964       break;
   145965     }
   145966    case 13:
   145967     $12 = HEAP32[$start37 >> 2] | 0;
   145968     $cmp38 = ($11 | 0) == ($12 | 0);
   145969     if ($cmp38) {
   145970       $prefix_0 = $defaultPrefix;
   145971       label = 20;
   145972       break;
   145973     } else {
   145974       label = 14;
   145975       break;
   145976     }
   145977    case 14:
   145978     $13 = HEAP32[$end45 >> 2] | 0;
   145979     $cmp46 = ($11 | 0) == ($13 | 0);
   145980     if ($cmp46) {
   145981       label = 15;
   145982       break;
   145983     } else {
   145984       label = 16;
   145985       break;
   145986     }
   145987    case 15:
   145988     $call50 = _poolGrow($m_tempPool34) | 0;
   145989     $tobool51 = $call50 << 24 >> 24 == 0;
   145990     if ($tobool51) {
   145991       $retval_0 = 0;
   145992       label = 32;
   145993       break;
   145994     } else {
   145995       label = 16;
   145996       break;
   145997     }
   145998    case 16:
   145999     $14 = HEAP32[$ptr35 >> 2] | 0;
   146000     $incdec_ptr56 = $14 + 1 | 0;
   146001     HEAP32[$ptr35 >> 2] = $incdec_ptr56;
   146002     HEAP8[$14] = 0;
   146003     $15 = HEAP32[$start37 >> 2] | 0;
   146004     $call61 = _lookup($parser, $prefixes, $15, 8) | 0;
   146005     $16 = $call61;
   146006     $tobool62 = ($call61 | 0) == 0;
   146007     if ($tobool62) {
   146008       $retval_0 = 0;
   146009       label = 32;
   146010       break;
   146011     } else {
   146012       label = 17;
   146013       break;
   146014     }
   146015    case 17:
   146016     $name = $call61 | 0;
   146017     $17 = HEAP32[$name >> 2] | 0;
   146018     $18 = HEAP32[$start37 >> 2] | 0;
   146019     $cmp67 = ($17 | 0) == ($18 | 0);
   146020     if ($cmp67) {
   146021       label = 18;
   146022       break;
   146023     } else {
   146024       label = 19;
   146025       break;
   146026     }
   146027    case 18:
   146028     $call71 = _poolCopyString($pool, $17) | 0;
   146029     HEAP32[$name >> 2] = $call71;
   146030     $tobool74 = ($call71 | 0) == 0;
   146031     if ($tobool74) {
   146032       $retval_0 = 0;
   146033       label = 32;
   146034       break;
   146035     } else {
   146036       label = 19;
   146037       break;
   146038     }
   146039    case 19:
   146040     $19 = HEAP32[$start37 >> 2] | 0;
   146041     HEAP32[$ptr35 >> 2] = $19;
   146042     $prefix_0 = $16;
   146043     label = 20;
   146044     break;
   146045    case 20:
   146046     $s_0_pn = $s_065;
   146047     label = 21;
   146048     break;
   146049    case 21:
   146050     $context_addr_1 = $s_0_pn + 1 | 0;
   146051     $20 = HEAP8[$context_addr_1] | 0;
   146052     $21 = HEAP32[$ptr35 >> 2] | 0;
   146053     $22 = HEAP32[$end92 >> 2] | 0;
   146054     $cmp93 = ($21 | 0) == ($22 | 0);
   146055     if (($20 << 24 >> 24 | 0) == 12 | ($20 << 24 >> 24 | 0) == 0) {
   146056       label = 25;
   146057       break;
   146058     } else {
   146059       label = 22;
   146060       break;
   146061     }
   146062    case 22:
   146063     if ($cmp93) {
   146064       label = 23;
   146065       break;
   146066     } else {
   146067       label = 24;
   146068       break;
   146069     }
   146070    case 23:
   146071     $call97 = _poolGrow($m_tempPool34) | 0;
   146072     $tobool98 = $call97 << 24 >> 24 == 0;
   146073     if ($tobool98) {
   146074       $retval_0 = 0;
   146075       label = 32;
   146076       break;
   146077     } else {
   146078       label = 24;
   146079       break;
   146080     }
   146081    case 24:
   146082     $23 = HEAP8[$context_addr_1] | 0;
   146083     $24 = HEAP32[$ptr35 >> 2] | 0;
   146084     $incdec_ptr103 = $24 + 1 | 0;
   146085     HEAP32[$ptr35 >> 2] = $incdec_ptr103;
   146086     HEAP8[$24] = $23;
   146087     $s_0_pn = $context_addr_1;
   146088     label = 21;
   146089     break;
   146090    case 25:
   146091     if ($cmp93) {
   146092       label = 26;
   146093       break;
   146094     } else {
   146095       label = 27;
   146096       break;
   146097     }
   146098    case 26:
   146099     $call115 = _poolGrow($m_tempPool34) | 0;
   146100     $tobool116 = $call115 << 24 >> 24 == 0;
   146101     if ($tobool116) {
   146102       $retval_0 = 0;
   146103       label = 32;
   146104       break;
   146105     } else {
   146106       label = 27;
   146107       break;
   146108     }
   146109    case 27:
   146110     $25 = HEAP32[$ptr35 >> 2] | 0;
   146111     $incdec_ptr121 = $25 + 1 | 0;
   146112     HEAP32[$ptr35 >> 2] = $incdec_ptr121;
   146113     HEAP8[$25] = 0;
   146114     $26 = HEAP32[$start37 >> 2] | 0;
   146115     $call126 = _addBinding($parser, $prefix_0, 0, $26, $m_inheritedBindings) | 0;
   146116     $cmp127 = ($call126 | 0) == 0;
   146117     if ($cmp127) {
   146118       label = 28;
   146119       break;
   146120     } else {
   146121       $retval_0 = 0;
   146122       label = 32;
   146123       break;
   146124     }
   146125    case 28:
   146126     $27 = HEAP32[$start37 >> 2] | 0;
   146127     HEAP32[$ptr35 >> 2] = $27;
   146128     $28 = HEAP8[$context_addr_1] | 0;
   146129     $cmp136 = $28 << 24 >> 24 == 0;
   146130     $incdec_ptr139 = $s_0_pn + 2 | 0;
   146131     $context_addr_1_incdec_ptr139 = $cmp136 ? $context_addr_1 : $incdec_ptr139;
   146132     $context_addr_0_ph_be = $context_addr_1_incdec_ptr139;
   146133     label = 11;
   146134     break;
   146135    case 29:
   146136     $29 = HEAP32[$end145 >> 2] | 0;
   146137     $cmp146 = ($11 | 0) == ($29 | 0);
   146138     if ($cmp146) {
   146139       label = 30;
   146140       break;
   146141     } else {
   146142       label = 31;
   146143       break;
   146144     }
   146145    case 30:
   146146     $call150 = _poolGrow($m_tempPool34) | 0;
   146147     $tobool151 = $call150 << 24 >> 24 == 0;
   146148     if ($tobool151) {
   146149       $retval_0 = 0;
   146150       label = 32;
   146151       break;
   146152     } else {
   146153       label = 31;
   146154       break;
   146155     }
   146156    case 31:
   146157     $30 = HEAP8[$s_065] | 0;
   146158     $31 = HEAP32[$ptr35 >> 2] | 0;
   146159     $incdec_ptr156 = $31 + 1 | 0;
   146160     HEAP32[$ptr35 >> 2] = $incdec_ptr156;
   146161     HEAP8[$31] = $30;
   146162     $incdec_ptr159 = $s_065 + 1 | 0;
   146163     $32 = HEAP8[$s_0_ph69] | 0;
   146164     $cmp = $32 << 24 >> 24 == 0;
   146165     if ($cmp) {
   146166       $retval_0 = 1;
   146167       label = 32;
   146168       break;
   146169     } else {
   146170       $s_065 = $incdec_ptr159;
   146171       label = 5;
   146172       break;
   146173     }
   146174    case 32:
   146175     return $retval_0 | 0;
   146176   }
   146177   return 0;
   146178 }
   146179 function _XML_ParserFree($parser) {
   146180   $parser = $parser | 0;
   146181   var $cmp = 0, $m_tagStack = 0, $0 = 0, $m_freeTagList = 0, $free_fcn = 0, $tagList_0 = 0, $cmp1 = 0, $1 = 0, $cmp3 = 0, $tagList_1 = 0, $parent = 0, $2 = 0, $3 = 0, $buf = 0, $4 = 0, $bindings = 0, $5 = 0, $6 = 0, $7 = 0, $m_openInternalEntities = 0, $8 = 0, $m_freeInternalEntities = 0, $free_fcn21 = 0, $entityList_0 = 0, $cmp12 = 0, $9 = 0, $cmp14 = 0, $entityList_1 = 0, $next = 0, $10 = 0, $11 = 0, $12 = 0, $m_freeBindingList = 0, $13 = 0, $m_inheritedBindings = 0, $14 = 0, $m_tempPool = 0, $m_temp2Pool = 0, $m_dtd = 0, $15 = 0, $tobool = 0, $m_parentParser = 0, $16 = 0, $lnot = 0, $conv = 0, $m_mem26 = 0, $free_fcn29 = 0, $17 = 0, $m_atts = 0, $18 = 0, $19 = 0, $20 = 0, $m_groupConnector = 0, $21 = 0, $22 = 0, $m_buffer = 0, $23 = 0, $24 = 0, $m_dataBuf = 0, $25 = 0, $26 = 0, $m_nsAtts = 0, $27 = 0, $28 = 0, $29 = 0, $m_unknownEncodingMem = 0, $30 = 0, $m_unknownEncodingRelease = 0, $31 = 0, $tobool40 = 0, $m_unknownEncodingData = 0, $32 = 0, $33 = 0, $34 = 0, label = 0;
   146182   label = 2;
   146183   while (1) switch (label | 0) {
   146184    case 2:
   146185     $cmp = ($parser | 0) == 0;
   146186     if ($cmp) {
   146187       label = 18;
   146188       break;
   146189     } else {
   146190       label = 3;
   146191       break;
   146192     }
   146193    case 3:
   146194     $m_tagStack = $parser + 348 | 0;
   146195     $0 = HEAP32[$m_tagStack >> 2] | 0;
   146196     $m_freeTagList = $parser + 352 | 0;
   146197     $free_fcn = $parser + 20 | 0;
   146198     $tagList_0 = $0;
   146199     label = 4;
   146200     break;
   146201    case 4:
   146202     $cmp1 = ($tagList_0 | 0) == 0;
   146203     if ($cmp1) {
   146204       label = 5;
   146205       break;
   146206     } else {
   146207       $tagList_1 = $tagList_0;
   146208       label = 7;
   146209       break;
   146210     }
   146211    case 5:
   146212     $1 = HEAP32[$m_freeTagList >> 2] | 0;
   146213     $cmp3 = ($1 | 0) == 0;
   146214     if ($cmp3) {
   146215       label = 8;
   146216       break;
   146217     } else {
   146218       label = 6;
   146219       break;
   146220     }
   146221    case 6:
   146222     HEAP32[$m_freeTagList >> 2] = 0;
   146223     $tagList_1 = $1;
   146224     label = 7;
   146225     break;
   146226    case 7:
   146227     $parent = $tagList_1 | 0;
   146228     $2 = HEAP32[$parent >> 2] | 0;
   146229     $3 = HEAP32[$free_fcn >> 2] | 0;
   146230     $buf = $tagList_1 + 36 | 0;
   146231     $4 = HEAP32[$buf >> 2] | 0;
   146232     FUNCTION_TABLE_vi[$3 & 1023]($4);
   146233     $bindings = $tagList_1 + 44 | 0;
   146234     $5 = HEAP32[$bindings >> 2] | 0;
   146235     _destroyBindings($5, $parser);
   146236     $6 = HEAP32[$free_fcn >> 2] | 0;
   146237     $7 = $tagList_1;
   146238     FUNCTION_TABLE_vi[$6 & 1023]($7);
   146239     $tagList_0 = $2;
   146240     label = 4;
   146241     break;
   146242    case 8:
   146243     $m_openInternalEntities = $parser + 284 | 0;
   146244     $8 = HEAP32[$m_openInternalEntities >> 2] | 0;
   146245     $m_freeInternalEntities = $parser + 288 | 0;
   146246     $free_fcn21 = $parser + 20 | 0;
   146247     $entityList_0 = $8;
   146248     label = 9;
   146249     break;
   146250    case 9:
   146251     $cmp12 = ($entityList_0 | 0) == 0;
   146252     if ($cmp12) {
   146253       label = 10;
   146254       break;
   146255     } else {
   146256       $entityList_1 = $entityList_0;
   146257       label = 12;
   146258       break;
   146259     }
   146260    case 10:
   146261     $9 = HEAP32[$m_freeInternalEntities >> 2] | 0;
   146262     $cmp14 = ($9 | 0) == 0;
   146263     if ($cmp14) {
   146264       label = 13;
   146265       break;
   146266     } else {
   146267       label = 11;
   146268       break;
   146269     }
   146270    case 11:
   146271     HEAP32[$m_freeInternalEntities >> 2] = 0;
   146272     $entityList_1 = $9;
   146273     label = 12;
   146274     break;
   146275    case 12:
   146276     $next = $entityList_1 + 8 | 0;
   146277     $10 = HEAP32[$next >> 2] | 0;
   146278     $11 = HEAP32[$free_fcn21 >> 2] | 0;
   146279     $12 = $entityList_1;
   146280     FUNCTION_TABLE_vi[$11 & 1023]($12);
   146281     $entityList_0 = $10;
   146282     label = 9;
   146283     break;
   146284    case 13:
   146285     $m_freeBindingList = $parser + 360 | 0;
   146286     $13 = HEAP32[$m_freeBindingList >> 2] | 0;
   146287     _destroyBindings($13, $parser);
   146288     $m_inheritedBindings = $parser + 356 | 0;
   146289     $14 = HEAP32[$m_inheritedBindings >> 2] | 0;
   146290     _destroyBindings($14, $parser);
   146291     $m_tempPool = $parser + 400 | 0;
   146292     _poolDestroy($m_tempPool);
   146293     $m_temp2Pool = $parser + 424 | 0;
   146294     _poolDestroy($m_temp2Pool);
   146295     $m_dtd = $parser + 340 | 0;
   146296     $15 = HEAP32[$m_dtd >> 2] | 0;
   146297     $tobool = ($15 | 0) == 0;
   146298     if ($tobool) {
   146299       label = 15;
   146300       break;
   146301     } else {
   146302       label = 14;
   146303       break;
   146304     }
   146305    case 14:
   146306     $m_parentParser = $parser + 460 | 0;
   146307     $16 = HEAP32[$m_parentParser >> 2] | 0;
   146308     $lnot = ($16 | 0) == 0;
   146309     $conv = $lnot & 1;
   146310     $m_mem26 = $parser + 12 | 0;
   146311     _dtdDestroy($15, $conv, $m_mem26);
   146312     label = 15;
   146313     break;
   146314    case 15:
   146315     $free_fcn29 = $parser + 20 | 0;
   146316     $17 = HEAP32[$free_fcn29 >> 2] | 0;
   146317     $m_atts = $parser + 376 | 0;
   146318     $18 = HEAP32[$m_atts >> 2] | 0;
   146319     $19 = $18;
   146320     FUNCTION_TABLE_vi[$17 & 1023]($19);
   146321     $20 = HEAP32[$free_fcn29 >> 2] | 0;
   146322     $m_groupConnector = $parser + 448 | 0;
   146323     $21 = HEAP32[$m_groupConnector >> 2] | 0;
   146324     FUNCTION_TABLE_vi[$20 & 1023]($21);
   146325     $22 = HEAP32[$free_fcn29 >> 2] | 0;
   146326     $m_buffer = $parser + 8 | 0;
   146327     $23 = HEAP32[$m_buffer >> 2] | 0;
   146328     FUNCTION_TABLE_vi[$22 & 1023]($23);
   146329     $24 = HEAP32[$free_fcn29 >> 2] | 0;
   146330     $m_dataBuf = $parser + 44 | 0;
   146331     $25 = HEAP32[$m_dataBuf >> 2] | 0;
   146332     FUNCTION_TABLE_vi[$24 & 1023]($25);
   146333     $26 = HEAP32[$free_fcn29 >> 2] | 0;
   146334     $m_nsAtts = $parser + 380 | 0;
   146335     $27 = HEAP32[$m_nsAtts >> 2] | 0;
   146336     $28 = $27;
   146337     FUNCTION_TABLE_vi[$26 & 1023]($28);
   146338     $29 = HEAP32[$free_fcn29 >> 2] | 0;
   146339     $m_unknownEncodingMem = $parser + 236 | 0;
   146340     $30 = HEAP32[$m_unknownEncodingMem >> 2] | 0;
   146341     FUNCTION_TABLE_vi[$29 & 1023]($30);
   146342     $m_unknownEncodingRelease = $parser + 248 | 0;
   146343     $31 = HEAP32[$m_unknownEncodingRelease >> 2] | 0;
   146344     $tobool40 = ($31 | 0) == 0;
   146345     if ($tobool40) {
   146346       label = 17;
   146347       break;
   146348     } else {
   146349       label = 16;
   146350       break;
   146351     }
   146352    case 16:
   146353     $m_unknownEncodingData = $parser + 240 | 0;
   146354     $32 = HEAP32[$m_unknownEncodingData >> 2] | 0;
   146355     FUNCTION_TABLE_vi[$31 & 1023]($32);
   146356     label = 17;
   146357     break;
   146358    case 17:
   146359     $33 = HEAP32[$free_fcn29 >> 2] | 0;
   146360     $34 = $parser;
   146361     FUNCTION_TABLE_vi[$33 & 1023]($34);
   146362     label = 18;
   146363     break;
   146364    case 18:
   146365     return;
   146366   }
   146367 }
   146368 function _destroyBindings($bindings, $parser) {
   146369   $bindings = $bindings | 0;
   146370   $parser = $parser | 0;
   146371   var $tobool5 = 0, $free_fcn = 0, $bindings_addr_06 = 0, $nextTagBinding = 0, $0 = 0, $1 = 0, $uri = 0, $2 = 0, $3 = 0, $4 = 0, $tobool = 0, label = 0;
   146372   label = 2;
   146373   while (1) switch (label | 0) {
   146374    case 2:
   146375     $tobool5 = ($bindings | 0) == 0;
   146376     if ($tobool5) {
   146377       label = 5;
   146378       break;
   146379     } else {
   146380       label = 3;
   146381       break;
   146382     }
   146383    case 3:
   146384     $free_fcn = $parser + 20 | 0;
   146385     $bindings_addr_06 = $bindings;
   146386     label = 4;
   146387     break;
   146388    case 4:
   146389     $nextTagBinding = $bindings_addr_06 + 4 | 0;
   146390     $0 = HEAP32[$nextTagBinding >> 2] | 0;
   146391     $1 = HEAP32[$free_fcn >> 2] | 0;
   146392     $uri = $bindings_addr_06 + 16 | 0;
   146393     $2 = HEAP32[$uri >> 2] | 0;
   146394     FUNCTION_TABLE_vi[$1 & 1023]($2);
   146395     $3 = HEAP32[$free_fcn >> 2] | 0;
   146396     $4 = $bindings_addr_06;
   146397     FUNCTION_TABLE_vi[$3 & 1023]($4);
   146398     $tobool = ($0 | 0) == 0;
   146399     if ($tobool) {
   146400       label = 5;
   146401       break;
   146402     } else {
   146403       $bindings_addr_06 = $0;
   146404       label = 4;
   146405       break;
   146406     }
   146407    case 5:
   146408     return;
   146409   }
   146410 }
   146411 function _poolDestroy($pool) {
   146412   $pool = $pool | 0;
   146413   var $blocks = 0, $0 = 0, $tobool11 = 0, $mem = 0, $p_012 = 0, $next = 0, $1 = 0, $2 = 0, $free_fcn = 0, $3 = 0, $4 = 0, $tobool = 0, $freeBlocks = 0, $5 = 0, $tobool29 = 0, $mem6 = 0, $p_110 = 0, $next5 = 0, $6 = 0, $7 = 0, $free_fcn7 = 0, $8 = 0, $9 = 0, $tobool2 = 0, label = 0;
   146414   label = 2;
   146415   while (1) switch (label | 0) {
   146416    case 2:
   146417     $blocks = $pool | 0;
   146418     $0 = HEAP32[$blocks >> 2] | 0;
   146419     $tobool11 = ($0 | 0) == 0;
   146420     if ($tobool11) {
   146421       label = 5;
   146422       break;
   146423     } else {
   146424       label = 3;
   146425       break;
   146426     }
   146427    case 3:
   146428     $mem = $pool + 20 | 0;
   146429     $p_012 = $0;
   146430     label = 4;
   146431     break;
   146432    case 4:
   146433     $next = $p_012 | 0;
   146434     $1 = HEAP32[$next >> 2] | 0;
   146435     $2 = HEAP32[$mem >> 2] | 0;
   146436     $free_fcn = $2 + 8 | 0;
   146437     $3 = HEAP32[$free_fcn >> 2] | 0;
   146438     $4 = $p_012;
   146439     FUNCTION_TABLE_vi[$3 & 1023]($4);
   146440     $tobool = ($1 | 0) == 0;
   146441     if ($tobool) {
   146442       label = 5;
   146443       break;
   146444     } else {
   146445       $p_012 = $1;
   146446       label = 4;
   146447       break;
   146448     }
   146449    case 5:
   146450     $freeBlocks = $pool + 4 | 0;
   146451     $5 = HEAP32[$freeBlocks >> 2] | 0;
   146452     $tobool29 = ($5 | 0) == 0;
   146453     if ($tobool29) {
   146454       label = 8;
   146455       break;
   146456     } else {
   146457       label = 6;
   146458       break;
   146459     }
   146460    case 6:
   146461     $mem6 = $pool + 20 | 0;
   146462     $p_110 = $5;
   146463     label = 7;
   146464     break;
   146465    case 7:
   146466     $next5 = $p_110 | 0;
   146467     $6 = HEAP32[$next5 >> 2] | 0;
   146468     $7 = HEAP32[$mem6 >> 2] | 0;
   146469     $free_fcn7 = $7 + 8 | 0;
   146470     $8 = HEAP32[$free_fcn7 >> 2] | 0;
   146471     $9 = $p_110;
   146472     FUNCTION_TABLE_vi[$8 & 1023]($9);
   146473     $tobool2 = ($6 | 0) == 0;
   146474     if ($tobool2) {
   146475       label = 8;
   146476       break;
   146477     } else {
   146478       $p_110 = $6;
   146479       label = 7;
   146480       break;
   146481     }
   146482    case 8:
   146483     return;
   146484   }
   146485 }
   146486 function _dtdDestroy($p, $isDocEntity, $ms) {
   146487   $p = $p | 0;
   146488   $isDocEntity = $isDocEntity | 0;
   146489   $ms = $ms | 0;
   146490   var $iter = 0, $elementTypes = 0, $call15 = 0, $tobool16 = 0, $free_fcn = 0, $call17 = 0, $allocDefaultAtts = 0, $0 = 0, $1 = 0, $cmp = 0, $2 = 0, $defaultAtts = 0, $3 = 0, $4 = 0, $5 = 0, $call = 0, $tobool = 0, $generalEntities = 0, $attributeIds = 0, $prefixes = 0, $pool = 0, $entityValuePool = 0, $tobool4 = 0, $free_fcn6 = 0, $6 = 0, $scaffIndex = 0, $7 = 0, $8 = 0, $9 = 0, $scaffold = 0, $10 = 0, $11 = 0, $free_fcn9 = 0, $12 = 0, $13 = 0, label = 0, __stackBase__ = 0;
   146491   __stackBase__ = STACKTOP;
   146492   STACKTOP = STACKTOP + 8 | 0;
   146493   label = 2;
   146494   while (1) switch (label | 0) {
   146495    case 2:
   146496     $iter = __stackBase__ | 0;
   146497     $elementTypes = $p + 20 | 0;
   146498     _hashTableIterInit($iter, $elementTypes);
   146499     $call15 = _hashTableIterNext($iter) | 0;
   146500     $tobool16 = ($call15 | 0) == 0;
   146501     if ($tobool16) {
   146502       label = 7;
   146503       break;
   146504     } else {
   146505       label = 3;
   146506       break;
   146507     }
   146508    case 3:
   146509     $free_fcn = $ms + 8 | 0;
   146510     $call17 = $call15;
   146511     label = 4;
   146512     break;
   146513    case 4:
   146514     $allocDefaultAtts = $call17 + 16 | 0;
   146515     $0 = $allocDefaultAtts;
   146516     $1 = HEAP32[$0 >> 2] | 0;
   146517     $cmp = ($1 | 0) == 0;
   146518     if ($cmp) {
   146519       label = 6;
   146520       break;
   146521     } else {
   146522       label = 5;
   146523       break;
   146524     }
   146525    case 5:
   146526     $2 = HEAP32[$free_fcn >> 2] | 0;
   146527     $defaultAtts = $call17 + 20 | 0;
   146528     $3 = $defaultAtts;
   146529     $4 = HEAP32[$3 >> 2] | 0;
   146530     $5 = $4;
   146531     FUNCTION_TABLE_vi[$2 & 1023]($5);
   146532     label = 6;
   146533     break;
   146534    case 6:
   146535     $call = _hashTableIterNext($iter) | 0;
   146536     $tobool = ($call | 0) == 0;
   146537     if ($tobool) {
   146538       label = 7;
   146539       break;
   146540     } else {
   146541       $call17 = $call;
   146542       label = 4;
   146543       break;
   146544     }
   146545    case 7:
   146546     $generalEntities = $p | 0;
   146547     _hashTableDestroy($generalEntities);
   146548     _hashTableDestroy($elementTypes);
   146549     $attributeIds = $p + 40 | 0;
   146550     _hashTableDestroy($attributeIds);
   146551     $prefixes = $p + 60 | 0;
   146552     _hashTableDestroy($prefixes);
   146553     $pool = $p + 80 | 0;
   146554     _poolDestroy($pool);
   146555     $entityValuePool = $p + 104 | 0;
   146556     _poolDestroy($entityValuePool);
   146557     $tobool4 = $isDocEntity << 24 >> 24 == 0;
   146558     if ($tobool4) {
   146559       label = 9;
   146560       break;
   146561     } else {
   146562       label = 8;
   146563       break;
   146564     }
   146565    case 8:
   146566     $free_fcn6 = $ms + 8 | 0;
   146567     $6 = HEAP32[$free_fcn6 >> 2] | 0;
   146568     $scaffIndex = $p + 164 | 0;
   146569     $7 = HEAP32[$scaffIndex >> 2] | 0;
   146570     $8 = $7;
   146571     FUNCTION_TABLE_vi[$6 & 1023]($8);
   146572     $9 = HEAP32[$free_fcn6 >> 2] | 0;
   146573     $scaffold = $p + 144 | 0;
   146574     $10 = HEAP32[$scaffold >> 2] | 0;
   146575     $11 = $10;
   146576     FUNCTION_TABLE_vi[$9 & 1023]($11);
   146577     label = 9;
   146578     break;
   146579    case 9:
   146580     $free_fcn9 = $ms + 8 | 0;
   146581     $12 = HEAP32[$free_fcn9 >> 2] | 0;
   146582     $13 = $p;
   146583     FUNCTION_TABLE_vi[$12 & 1023]($13);
   146584     STACKTOP = __stackBase__;
   146585     return;
   146586   }
   146587 }
   146588 function _XML_Parse($parser, $s, $len, $isFinal) {
   146589   $parser = $parser | 0;
   146590   $s = $s | 0;
   146591   $len = $len | 0;
   146592   $isFinal = $isFinal | 0;
   146593   var $end = 0, $parsing = 0, $0 = 0, $m_errorCode = 0, $m_errorCode2 = 0, $m_parentParser = 0, $1 = 0, $cmp = 0, $call = 0, $tobool = 0, $m_errorCode4 = 0, $cmp7 = 0, $conv = 0, $finalBuffer = 0, $tobool10 = 0, $m_bufferPtr = 0, $2 = 0, $m_positionPtr = 0, $m_bufferEnd = 0, $3 = 0, $m_parseEndPtr = 0, $m_processor = 0, $4 = 0, $5 = 0, $call16 = 0, $m_errorCode17 = 0, $cmp19 = 0, $6 = 0, $m_encoding = 0, $7 = 0, $updatePosition = 0, $8 = 0, $9 = 0, $10 = 0, $m_position = 0, $11 = 0, $m_eventPtr = 0, $12 = 0, $m_eventEndPtr = 0, $m_bufferPtr36 = 0, $13 = 0, $m_bufferEnd37 = 0, $14 = 0, $cmp38 = 0, $m_parseEndByteIndex = 0, $15 = 0, $add = 0, $m_positionPtr41 = 0, $conv42 = 0, $finalBuffer44 = 0, $m_processor45 = 0, $16 = 0, $add_ptr = 0, $m_parseEndPtr46 = 0, $call47 = 0, $m_errorCode48 = 0, $cmp50 = 0, $m_eventPtr53 = 0, $17 = 0, $m_eventEndPtr54 = 0, $18 = 0, $tobool61 = 0, $result_0 = 0, $m_encoding69 = 0, $19 = 0, $updatePosition70 = 0, $20 = 0, $21 = 0, $22 = 0, $m_position73 = 0, $23 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $tobool75 = 0, $m_buffer = 0, $24 = 0, $cmp77 = 0, $m_bufferLim = 0, $25 = 0, $sub_ptr_lhs_cast80 = 0, $sub_ptr_rhs_cast81 = 0, $sub_ptr_sub82 = 0, $cmp83 = 0, $_pr = 0, $cmp87 = 0, $malloc_fcn = 0, $26 = 0, $mul = 0, $call89 = 0, $realloc_fcn = 0, $27 = 0, $mul92 = 0, $call93 = 0, $cond = 0, $cmp94 = 0, $m_eventEndPtr98 = 0, $m_eventPtr99 = 0, $mul104 = 0, $add_ptr105 = 0, $m_bufferLim106 = 0, $28 = 0, $29 = 0, $m_buffer110 = 0, $30 = 0, $31 = 0, $add_ptr113 = 0, $32 = 0, $33 = 0, $34 = 0, $m_eventPtr120 = 0, $35 = 0, $m_eventEndPtr122 = 0, $call124 = 0, $cmp125 = 0, $call129 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   146594   __stackBase__ = STACKTOP;
   146595   STACKTOP = STACKTOP + 8 | 0;
   146596   label = 2;
   146597   while (1) switch (label | 0) {
   146598    case 2:
   146599     $end = __stackBase__ | 0;
   146600     $parsing = $parser + 464 | 0;
   146601     $0 = HEAP32[$parsing >> 2] | 0;
   146602     if (($0 | 0) == 3) {
   146603       label = 3;
   146604       break;
   146605     } else if (($0 | 0) == 2) {
   146606       label = 4;
   146607       break;
   146608     } else if (($0 | 0) == 0) {
   146609       label = 5;
   146610       break;
   146611     } else {
   146612       label = 8;
   146613       break;
   146614     }
   146615    case 3:
   146616     $m_errorCode = $parser + 268 | 0;
   146617     HEAP32[$m_errorCode >> 2] = 33;
   146618     $retval_0 = 0;
   146619     label = 35;
   146620     break;
   146621    case 4:
   146622     $m_errorCode2 = $parser + 268 | 0;
   146623     HEAP32[$m_errorCode2 >> 2] = 36;
   146624     $retval_0 = 0;
   146625     label = 35;
   146626     break;
   146627    case 5:
   146628     $m_parentParser = $parser + 460 | 0;
   146629     $1 = HEAP32[$m_parentParser >> 2] | 0;
   146630     $cmp = ($1 | 0) == 0;
   146631     if ($cmp) {
   146632       label = 6;
   146633       break;
   146634     } else {
   146635       label = 8;
   146636       break;
   146637     }
   146638    case 6:
   146639     $call = _startParsing($parser) | 0;
   146640     $tobool = $call << 24 >> 24 == 0;
   146641     if ($tobool) {
   146642       label = 7;
   146643       break;
   146644     } else {
   146645       label = 8;
   146646       break;
   146647     }
   146648    case 7:
   146649     $m_errorCode4 = $parser + 268 | 0;
   146650     HEAP32[$m_errorCode4 >> 2] = 1;
   146651     $retval_0 = 0;
   146652     label = 35;
   146653     break;
   146654    case 8:
   146655     HEAP32[$parsing >> 2] = 1;
   146656     $cmp7 = ($len | 0) == 0;
   146657     if ($cmp7) {
   146658       label = 9;
   146659       break;
   146660     } else {
   146661       label = 15;
   146662       break;
   146663     }
   146664    case 9:
   146665     $conv = $isFinal & 255;
   146666     $finalBuffer = $parser + 468 | 0;
   146667     HEAP8[$finalBuffer] = $conv;
   146668     $tobool10 = ($isFinal | 0) == 0;
   146669     if ($tobool10) {
   146670       $retval_0 = 1;
   146671       label = 35;
   146672       break;
   146673     } else {
   146674       label = 10;
   146675       break;
   146676     }
   146677    case 10:
   146678     $m_bufferPtr = $parser + 24 | 0;
   146679     $2 = HEAP32[$m_bufferPtr >> 2] | 0;
   146680     $m_positionPtr = $parser + 280 | 0;
   146681     HEAP32[$m_positionPtr >> 2] = $2;
   146682     $m_bufferEnd = $parser + 28 | 0;
   146683     $3 = HEAP32[$m_bufferEnd >> 2] | 0;
   146684     $m_parseEndPtr = $parser + 40 | 0;
   146685     HEAP32[$m_parseEndPtr >> 2] = $3;
   146686     $m_processor = $parser + 264 | 0;
   146687     $4 = HEAP32[$m_processor >> 2] | 0;
   146688     $5 = HEAP32[$m_bufferPtr >> 2] | 0;
   146689     $call16 = FUNCTION_TABLE_iiiii[$4 & 1023]($parser, $5, $3, $m_bufferPtr) | 0;
   146690     $m_errorCode17 = $parser + 268 | 0;
   146691     HEAP32[$m_errorCode17 >> 2] = $call16;
   146692     $cmp19 = ($call16 | 0) == 0;
   146693     if ($cmp19) {
   146694       label = 11;
   146695       break;
   146696     } else {
   146697       label = 14;
   146698       break;
   146699     }
   146700    case 11:
   146701     $6 = HEAP32[$parsing >> 2] | 0;
   146702     if (($6 | 0) == 3) {
   146703       label = 12;
   146704       break;
   146705     } else if (($6 | 0) == 0 | ($6 | 0) == 1) {
   146706       label = 13;
   146707       break;
   146708     } else {
   146709       $retval_0 = 1;
   146710       label = 35;
   146711       break;
   146712     }
   146713    case 12:
   146714     $m_encoding = $parser + 144 | 0;
   146715     $7 = HEAP32[$m_encoding >> 2] | 0;
   146716     $updatePosition = $7 + 48 | 0;
   146717     $8 = HEAP32[$updatePosition >> 2] | 0;
   146718     $9 = HEAP32[$m_positionPtr >> 2] | 0;
   146719     $10 = HEAP32[$m_bufferPtr >> 2] | 0;
   146720     $m_position = $parser + 392 | 0;
   146721     FUNCTION_TABLE_viiii[$8 & 1023]($7, $9, $10, $m_position);
   146722     $11 = HEAP32[$m_bufferPtr >> 2] | 0;
   146723     HEAP32[$m_positionPtr >> 2] = $11;
   146724     $retval_0 = 2;
   146725     label = 35;
   146726     break;
   146727    case 13:
   146728     HEAP32[$parsing >> 2] = 2;
   146729     $retval_0 = 1;
   146730     label = 35;
   146731     break;
   146732    case 14:
   146733     $m_eventPtr = $parser + 272 | 0;
   146734     $12 = HEAP32[$m_eventPtr >> 2] | 0;
   146735     $m_eventEndPtr = $parser + 276 | 0;
   146736     HEAP32[$m_eventEndPtr >> 2] = $12;
   146737     HEAP32[$m_processor >> 2] = 448;
   146738     $retval_0 = 0;
   146739     label = 35;
   146740     break;
   146741    case 15:
   146742     $m_bufferPtr36 = $parser + 24 | 0;
   146743     $13 = HEAP32[$m_bufferPtr36 >> 2] | 0;
   146744     $m_bufferEnd37 = $parser + 28 | 0;
   146745     $14 = HEAP32[$m_bufferEnd37 >> 2] | 0;
   146746     $cmp38 = ($13 | 0) == ($14 | 0);
   146747     if ($cmp38) {
   146748       label = 16;
   146749       break;
   146750     } else {
   146751       label = 33;
   146752       break;
   146753     }
   146754    case 16:
   146755     $m_parseEndByteIndex = $parser + 36 | 0;
   146756     $15 = HEAP32[$m_parseEndByteIndex >> 2] | 0;
   146757     $add = $15 + $len | 0;
   146758     HEAP32[$m_parseEndByteIndex >> 2] = $add;
   146759     $m_positionPtr41 = $parser + 280 | 0;
   146760     HEAP32[$m_positionPtr41 >> 2] = $s;
   146761     $conv42 = $isFinal & 255;
   146762     $finalBuffer44 = $parser + 468 | 0;
   146763     HEAP8[$finalBuffer44] = $conv42;
   146764     $m_processor45 = $parser + 264 | 0;
   146765     $16 = HEAP32[$m_processor45 >> 2] | 0;
   146766     $add_ptr = $s + $len | 0;
   146767     $m_parseEndPtr46 = $parser + 40 | 0;
   146768     HEAP32[$m_parseEndPtr46 >> 2] = $add_ptr;
   146769     $call47 = FUNCTION_TABLE_iiiii[$16 & 1023]($parser, $s, $add_ptr, $end) | 0;
   146770     $m_errorCode48 = $parser + 268 | 0;
   146771     HEAP32[$m_errorCode48 >> 2] = $call47;
   146772     $cmp50 = ($call47 | 0) == 0;
   146773     if ($cmp50) {
   146774       label = 18;
   146775       break;
   146776     } else {
   146777       label = 17;
   146778       break;
   146779     }
   146780    case 17:
   146781     $m_eventPtr53 = $parser + 272 | 0;
   146782     $17 = HEAP32[$m_eventPtr53 >> 2] | 0;
   146783     $m_eventEndPtr54 = $parser + 276 | 0;
   146784     HEAP32[$m_eventEndPtr54 >> 2] = $17;
   146785     HEAP32[$m_processor45 >> 2] = 448;
   146786     $retval_0 = 0;
   146787     label = 35;
   146788     break;
   146789    case 18:
   146790     $18 = HEAP32[$parsing >> 2] | 0;
   146791     if (($18 | 0) == 0 | ($18 | 0) == 1) {
   146792       label = 19;
   146793       break;
   146794     } else if (($18 | 0) == 3) {
   146795       $result_0 = 2;
   146796       label = 22;
   146797       break;
   146798     } else {
   146799       label = 21;
   146800       break;
   146801     }
   146802    case 19:
   146803     $tobool61 = ($isFinal | 0) == 0;
   146804     if ($tobool61) {
   146805       label = 21;
   146806       break;
   146807     } else {
   146808       label = 20;
   146809       break;
   146810     }
   146811    case 20:
   146812     HEAP32[$parsing >> 2] = 2;
   146813     $retval_0 = 1;
   146814     label = 35;
   146815     break;
   146816    case 21:
   146817     $result_0 = 1;
   146818     label = 22;
   146819     break;
   146820    case 22:
   146821     $m_encoding69 = $parser + 144 | 0;
   146822     $19 = HEAP32[$m_encoding69 >> 2] | 0;
   146823     $updatePosition70 = $19 + 48 | 0;
   146824     $20 = HEAP32[$updatePosition70 >> 2] | 0;
   146825     $21 = HEAP32[$m_positionPtr41 >> 2] | 0;
   146826     $22 = HEAP32[$end >> 2] | 0;
   146827     $m_position73 = $parser + 392 | 0;
   146828     FUNCTION_TABLE_viiii[$20 & 1023]($19, $21, $22, $m_position73);
   146829     $23 = HEAP32[$end >> 2] | 0;
   146830     $sub_ptr_lhs_cast = $add_ptr;
   146831     $sub_ptr_rhs_cast = $23;
   146832     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   146833     $tobool75 = ($add_ptr | 0) == ($23 | 0);
   146834     if ($tobool75) {
   146835       label = 32;
   146836       break;
   146837     } else {
   146838       label = 23;
   146839       break;
   146840     }
   146841    case 23:
   146842     $m_buffer = $parser + 8 | 0;
   146843     $24 = HEAP32[$m_buffer >> 2] | 0;
   146844     $cmp77 = ($24 | 0) == 0;
   146845     if ($cmp77) {
   146846       label = 26;
   146847       break;
   146848     } else {
   146849       label = 24;
   146850       break;
   146851     }
   146852    case 24:
   146853     $m_bufferLim = $parser + 32 | 0;
   146854     $25 = HEAP32[$m_bufferLim >> 2] | 0;
   146855     $sub_ptr_lhs_cast80 = $25;
   146856     $sub_ptr_rhs_cast81 = $24;
   146857     $sub_ptr_sub82 = $sub_ptr_lhs_cast80 - $sub_ptr_rhs_cast81 | 0;
   146858     $cmp83 = ($sub_ptr_sub | 0) > ($sub_ptr_sub82 | 0);
   146859     if ($cmp83) {
   146860       label = 25;
   146861       break;
   146862     } else {
   146863       label = 31;
   146864       break;
   146865     }
   146866    case 25:
   146867     $_pr = HEAP32[$m_buffer >> 2] | 0;
   146868     $cmp87 = ($_pr | 0) == 0;
   146869     if ($cmp87) {
   146870       label = 26;
   146871       break;
   146872     } else {
   146873       label = 27;
   146874       break;
   146875     }
   146876    case 26:
   146877     $malloc_fcn = $parser + 12 | 0;
   146878     $26 = HEAP32[$malloc_fcn >> 2] | 0;
   146879     $mul = $len << 1;
   146880     $call89 = FUNCTION_TABLE_ii[$26 & 1023]($mul) | 0;
   146881     $cond = $call89;
   146882     label = 28;
   146883     break;
   146884    case 27:
   146885     $realloc_fcn = $parser + 16 | 0;
   146886     $27 = HEAP32[$realloc_fcn >> 2] | 0;
   146887     $mul92 = $len << 1;
   146888     $call93 = FUNCTION_TABLE_iii[$27 & 1023]($_pr, $mul92) | 0;
   146889     $cond = $call93;
   146890     label = 28;
   146891     break;
   146892    case 28:
   146893     $cmp94 = ($cond | 0) == 0;
   146894     if ($cmp94) {
   146895       label = 29;
   146896       break;
   146897     } else {
   146898       label = 30;
   146899       break;
   146900     }
   146901    case 29:
   146902     HEAP32[$m_errorCode48 >> 2] = 1;
   146903     $m_eventEndPtr98 = $parser + 276 | 0;
   146904     HEAP32[$m_eventEndPtr98 >> 2] = 0;
   146905     $m_eventPtr99 = $parser + 272 | 0;
   146906     HEAP32[$m_eventPtr99 >> 2] = 0;
   146907     HEAP32[$m_processor45 >> 2] = 448;
   146908     $retval_0 = 0;
   146909     label = 35;
   146910     break;
   146911    case 30:
   146912     HEAP32[$m_buffer >> 2] = $cond;
   146913     $mul104 = $len << 1;
   146914     $add_ptr105 = $cond + $mul104 | 0;
   146915     $m_bufferLim106 = $parser + 32 | 0;
   146916     HEAP32[$m_bufferLim106 >> 2] = $add_ptr105;
   146917     label = 31;
   146918     break;
   146919    case 31:
   146920     $28 = HEAP32[$m_buffer >> 2] | 0;
   146921     $29 = HEAP32[$end >> 2] | 0;
   146922     _memcpy($28 | 0, $29 | 0, $sub_ptr_sub);
   146923     label = 32;
   146924     break;
   146925    case 32:
   146926     $m_buffer110 = $parser + 8 | 0;
   146927     $30 = HEAP32[$m_buffer110 >> 2] | 0;
   146928     HEAP32[$m_bufferPtr36 >> 2] = $30;
   146929     $31 = HEAP32[$m_buffer110 >> 2] | 0;
   146930     $add_ptr113 = $31 + $sub_ptr_sub | 0;
   146931     HEAP32[$m_bufferEnd37 >> 2] = $add_ptr113;
   146932     $32 = HEAP32[$m_bufferPtr36 >> 2] | 0;
   146933     HEAP32[$m_positionPtr41 >> 2] = $32;
   146934     $33 = HEAP32[$m_bufferEnd37 >> 2] | 0;
   146935     HEAP32[$m_parseEndPtr46 >> 2] = $33;
   146936     $34 = HEAP32[$m_bufferPtr36 >> 2] | 0;
   146937     $m_eventPtr120 = $parser + 272 | 0;
   146938     HEAP32[$m_eventPtr120 >> 2] = $34;
   146939     $35 = HEAP32[$m_bufferPtr36 >> 2] | 0;
   146940     $m_eventEndPtr122 = $parser + 276 | 0;
   146941     HEAP32[$m_eventEndPtr122 >> 2] = $35;
   146942     $retval_0 = $result_0;
   146943     label = 35;
   146944     break;
   146945    case 33:
   146946     $call124 = _XML_GetBuffer($parser, $len) | 0;
   146947     $cmp125 = ($call124 | 0) == 0;
   146948     if ($cmp125) {
   146949       $retval_0 = 0;
   146950       label = 35;
   146951       break;
   146952     } else {
   146953       label = 34;
   146954       break;
   146955     }
   146956    case 34:
   146957     _memcpy($call124 | 0, $s | 0, $len);
   146958     $call129 = _XML_ParseBuffer($parser, $len, $isFinal) | 0;
   146959     $retval_0 = $call129;
   146960     label = 35;
   146961     break;
   146962    case 35:
   146963     STACKTOP = __stackBase__;
   146964     return $retval_0 | 0;
   146965   }
   146966   return 0;
   146967 }
   146968 function _startParsing($parser) {
   146969   $parser = $parser | 0;
   146970   var $m_hash_secret_salt = 0, $0 = 0, $cmp = 0, $call = 0, $m_ns = 0, $1 = 0, $tobool = 0, $call3 = 0, $retval_0 = 0, label = 0;
   146971   label = 2;
   146972   while (1) switch (label | 0) {
   146973    case 2:
   146974     $m_hash_secret_salt = $parser + 472 | 0;
   146975     $0 = HEAP32[$m_hash_secret_salt >> 2] | 0;
   146976     $cmp = ($0 | 0) == 0;
   146977     if ($cmp) {
   146978       label = 3;
   146979       break;
   146980     } else {
   146981       label = 4;
   146982       break;
   146983     }
   146984    case 3:
   146985     $call = _generate_hash_secret_salt() | 0;
   146986     HEAP32[$m_hash_secret_salt >> 2] = $call;
   146987     label = 4;
   146988     break;
   146989    case 4:
   146990     $m_ns = $parser + 232 | 0;
   146991     $1 = HEAP8[$m_ns] | 0;
   146992     $tobool = $1 << 24 >> 24 == 0;
   146993     if ($tobool) {
   146994       $retval_0 = 1;
   146995       label = 6;
   146996       break;
   146997     } else {
   146998       label = 5;
   146999       break;
   147000     }
   147001    case 5:
   147002     $call3 = _setContext($parser, 12776) | 0;
   147003     $retval_0 = $call3;
   147004     label = 6;
   147005     break;
   147006    case 6:
   147007     return $retval_0 | 0;
   147008   }
   147009   return 0;
   147010 }
   147011 function _XML_GetBuffer($parser, $len) {
   147012   $parser = $parser | 0;
   147013   $len = $len | 0;
   147014   var $parsing = 0, $0 = 0, $m_errorCode = 0, $m_errorCode2 = 0, $m_bufferLim = 0, $1 = 0, $m_bufferEnd = 0, $2 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp = 0, $m_bufferPtr = 0, $3 = 0, $sub_ptr_rhs_cast5 = 0, $sub_ptr_sub6 = 0, $add = 0, $m_buffer = 0, $4 = 0, $sub_ptr_rhs_cast9 = 0, $sub_ptr_sub10 = 0, $cmp11 = 0, $5 = 0, $6 = 0, $7 = 0, $sub_ptr_lhs_cast23 = 0, $sub_ptr_rhs_cast24 = 0, $sub_ptr_sub25 = 0, $add_ptr = 0, $8 = 0, $sub_ptr_sub33 = 0, $cmp34 = 0, $_sub_ptr_sub33 = 0, $bufferSize_0 = 0, $mul = 0, $cmp36 = 0, $malloc_fcn = 0, $9 = 0, $call = 0, $cmp37 = 0, $m_errorCode39 = 0, $add_ptr41 = 0, $10 = 0, $tobool = 0, $11 = 0, $sub_ptr_lhs_cast48 = 0, $sub_ptr_rhs_cast49 = 0, $sub_ptr_sub50 = 0, $free_fcn = 0, $12 = 0, $13 = 0, $14 = 0, $15 = 0, $sub_ptr_lhs_cast56 = 0, $sub_ptr_rhs_cast57 = 0, $sub_ptr_sub58 = 0, $add_ptr59 = 0, $storemerge = 0, $m_eventEndPtr = 0, $m_eventPtr = 0, $m_positionPtr = 0, $16 = 0, $retval_0 = 0, label = 0;
   147015   label = 2;
   147016   while (1) switch (label | 0) {
   147017    case 2:
   147018     $parsing = $parser + 464 | 0;
   147019     $0 = HEAP32[$parsing >> 2] | 0;
   147020     if (($0 | 0) == 3) {
   147021       label = 3;
   147022       break;
   147023     } else if (($0 | 0) == 2) {
   147024       label = 4;
   147025       break;
   147026     } else {
   147027       label = 5;
   147028       break;
   147029     }
   147030    case 3:
   147031     $m_errorCode = $parser + 268 | 0;
   147032     HEAP32[$m_errorCode >> 2] = 33;
   147033     $retval_0 = 0;
   147034     label = 17;
   147035     break;
   147036    case 4:
   147037     $m_errorCode2 = $parser + 268 | 0;
   147038     HEAP32[$m_errorCode2 >> 2] = 36;
   147039     $retval_0 = 0;
   147040     label = 17;
   147041     break;
   147042    case 5:
   147043     $m_bufferLim = $parser + 32 | 0;
   147044     $1 = HEAP32[$m_bufferLim >> 2] | 0;
   147045     $m_bufferEnd = $parser + 28 | 0;
   147046     $2 = HEAP32[$m_bufferEnd >> 2] | 0;
   147047     $sub_ptr_lhs_cast = $1;
   147048     $sub_ptr_rhs_cast = $2;
   147049     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   147050     $cmp = ($sub_ptr_sub | 0) < ($len | 0);
   147051     if ($cmp) {
   147052       label = 6;
   147053       break;
   147054     } else {
   147055       label = 16;
   147056       break;
   147057     }
   147058    case 6:
   147059     $m_bufferPtr = $parser + 24 | 0;
   147060     $3 = HEAP32[$m_bufferPtr >> 2] | 0;
   147061     $sub_ptr_rhs_cast5 = $3;
   147062     $sub_ptr_sub6 = $sub_ptr_rhs_cast - $sub_ptr_rhs_cast5 | 0;
   147063     $add = $sub_ptr_sub6 + $len | 0;
   147064     $m_buffer = $parser + 8 | 0;
   147065     $4 = HEAP32[$m_buffer >> 2] | 0;
   147066     $sub_ptr_rhs_cast9 = $4;
   147067     $sub_ptr_sub10 = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast9 | 0;
   147068     $cmp11 = ($add | 0) > ($sub_ptr_sub10 | 0);
   147069     if ($cmp11) {
   147070       label = 8;
   147071       break;
   147072     } else {
   147073       label = 7;
   147074       break;
   147075     }
   147076    case 7:
   147077     _bcopy($3 | 0, $4 | 0, $sub_ptr_sub6 | 0);
   147078     $5 = HEAP32[$m_buffer >> 2] | 0;
   147079     $6 = HEAP32[$m_bufferEnd >> 2] | 0;
   147080     $7 = HEAP32[$m_bufferPtr >> 2] | 0;
   147081     $sub_ptr_lhs_cast23 = $6;
   147082     $sub_ptr_rhs_cast24 = $7;
   147083     $sub_ptr_sub25 = $sub_ptr_lhs_cast23 - $sub_ptr_rhs_cast24 | 0;
   147084     $add_ptr = $5 + $sub_ptr_sub25 | 0;
   147085     HEAP32[$m_bufferEnd >> 2] = $add_ptr;
   147086     $8 = HEAP32[$m_buffer >> 2] | 0;
   147087     $storemerge = $8;
   147088     label = 15;
   147089     break;
   147090    case 8:
   147091     $sub_ptr_sub33 = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast5 | 0;
   147092     $cmp34 = ($1 | 0) == ($3 | 0);
   147093     $_sub_ptr_sub33 = $cmp34 ? 1024 : $sub_ptr_sub33;
   147094     $bufferSize_0 = $_sub_ptr_sub33;
   147095     label = 9;
   147096     break;
   147097    case 9:
   147098     $mul = $bufferSize_0 << 1;
   147099     $cmp36 = ($mul | 0) < ($add | 0);
   147100     if ($cmp36) {
   147101       $bufferSize_0 = $mul;
   147102       label = 9;
   147103       break;
   147104     } else {
   147105       label = 10;
   147106       break;
   147107     }
   147108    case 10:
   147109     $malloc_fcn = $parser + 12 | 0;
   147110     $9 = HEAP32[$malloc_fcn >> 2] | 0;
   147111     $call = FUNCTION_TABLE_ii[$9 & 1023]($mul) | 0;
   147112     $cmp37 = ($call | 0) == 0;
   147113     if ($cmp37) {
   147114       label = 11;
   147115       break;
   147116     } else {
   147117       label = 12;
   147118       break;
   147119     }
   147120    case 11:
   147121     $m_errorCode39 = $parser + 268 | 0;
   147122     HEAP32[$m_errorCode39 >> 2] = 1;
   147123     $retval_0 = 0;
   147124     label = 17;
   147125     break;
   147126    case 12:
   147127     $add_ptr41 = $call + $mul | 0;
   147128     HEAP32[$m_bufferLim >> 2] = $add_ptr41;
   147129     $10 = HEAP32[$m_bufferPtr >> 2] | 0;
   147130     $tobool = ($10 | 0) == 0;
   147131     if ($tobool) {
   147132       label = 14;
   147133       break;
   147134     } else {
   147135       label = 13;
   147136       break;
   147137     }
   147138    case 13:
   147139     $11 = HEAP32[$m_bufferEnd >> 2] | 0;
   147140     $sub_ptr_lhs_cast48 = $11;
   147141     $sub_ptr_rhs_cast49 = $10;
   147142     $sub_ptr_sub50 = $sub_ptr_lhs_cast48 - $sub_ptr_rhs_cast49 | 0;
   147143     _memcpy($call | 0, $10 | 0, $sub_ptr_sub50);
   147144     $free_fcn = $parser + 20 | 0;
   147145     $12 = HEAP32[$free_fcn >> 2] | 0;
   147146     $13 = HEAP32[$m_buffer >> 2] | 0;
   147147     FUNCTION_TABLE_vi[$12 & 1023]($13);
   147148     label = 14;
   147149     break;
   147150    case 14:
   147151     $14 = HEAP32[$m_bufferEnd >> 2] | 0;
   147152     $15 = HEAP32[$m_bufferPtr >> 2] | 0;
   147153     $sub_ptr_lhs_cast56 = $14;
   147154     $sub_ptr_rhs_cast57 = $15;
   147155     $sub_ptr_sub58 = $sub_ptr_lhs_cast56 - $sub_ptr_rhs_cast57 | 0;
   147156     $add_ptr59 = $call + $sub_ptr_sub58 | 0;
   147157     HEAP32[$m_bufferEnd >> 2] = $add_ptr59;
   147158     HEAP32[$m_buffer >> 2] = $call;
   147159     $storemerge = $call;
   147160     label = 15;
   147161     break;
   147162    case 15:
   147163     HEAP32[$m_bufferPtr >> 2] = $storemerge;
   147164     $m_eventEndPtr = $parser + 276 | 0;
   147165     HEAP32[$m_eventEndPtr >> 2] = 0;
   147166     $m_eventPtr = $parser + 272 | 0;
   147167     HEAP32[$m_eventPtr >> 2] = 0;
   147168     $m_positionPtr = $parser + 280 | 0;
   147169     HEAP32[$m_positionPtr >> 2] = 0;
   147170     label = 16;
   147171     break;
   147172    case 16:
   147173     $16 = HEAP32[$m_bufferEnd >> 2] | 0;
   147174     $retval_0 = $16;
   147175     label = 17;
   147176     break;
   147177    case 17:
   147178     return $retval_0 | 0;
   147179   }
   147180   return 0;
   147181 }
   147182 function _XML_GetErrorCode($parser) {
   147183   $parser = $parser | 0;
   147184   return HEAP32[$parser + 268 >> 2] | 0;
   147185 }
   147186 function _XML_ErrorString($code) {
   147187   $code = $code | 0;
   147188   var $cmp = 0, $cmp1 = 0, $or_cond = 0, $arrayidx = 0, $0 = 0, $retval_0 = 0, label = 0;
   147189   label = 2;
   147190   while (1) switch (label | 0) {
   147191    case 2:
   147192     $cmp = ($code | 0) != 0;
   147193     $cmp1 = $code >>> 0 < 41;
   147194     $or_cond = $cmp & $cmp1;
   147195     if ($or_cond) {
   147196       label = 3;
   147197       break;
   147198     } else {
   147199       $retval_0 = 0;
   147200       label = 4;
   147201       break;
   147202     }
   147203    case 3:
   147204     $arrayidx = 164744 + ($code << 2) | 0;
   147205     $0 = HEAP32[$arrayidx >> 2] | 0;
   147206     $retval_0 = $0;
   147207     label = 4;
   147208     break;
   147209    case 4:
   147210     return $retval_0 | 0;
   147211   }
   147212   return 0;
   147213 }
   147214 function _hashTableIterInit($iter, $table) {
   147215   $iter = $iter | 0;
   147216   $table = $table | 0;
   147217   var $0 = 0;
   147218   $0 = HEAP32[$table >> 2] | 0;
   147219   HEAP32[$iter >> 2] = $0;
   147220   HEAP32[$iter + 4 >> 2] = $0 + (HEAP32[$table + 8 >> 2] << 2) | 0;
   147221   return;
   147222 }
   147223 function _hashTableIterNext($iter) {
   147224   $iter = $iter | 0;
   147225   var $p = 0, $end = 0, $0 = 0, $1 = 0, $cmp = 0, $incdec_ptr = 0, $2 = 0, $tobool = 0, $retval_0 = 0, label = 0;
   147226   label = 2;
   147227   while (1) switch (label | 0) {
   147228    case 2:
   147229     $p = $iter | 0;
   147230     $end = $iter + 4 | 0;
   147231     label = 3;
   147232     break;
   147233    case 3:
   147234     $0 = HEAP32[$p >> 2] | 0;
   147235     $1 = HEAP32[$end >> 2] | 0;
   147236     $cmp = ($0 | 0) == ($1 | 0);
   147237     if ($cmp) {
   147238       $retval_0 = 0;
   147239       label = 5;
   147240       break;
   147241     } else {
   147242       label = 4;
   147243       break;
   147244     }
   147245    case 4:
   147246     $incdec_ptr = $0 + 4 | 0;
   147247     HEAP32[$p >> 2] = $incdec_ptr;
   147248     $2 = HEAP32[$0 >> 2] | 0;
   147249     $tobool = ($2 | 0) == 0;
   147250     if ($tobool) {
   147251       label = 3;
   147252       break;
   147253     } else {
   147254       $retval_0 = $2;
   147255       label = 5;
   147256       break;
   147257     }
   147258    case 5:
   147259     return $retval_0 | 0;
   147260   }
   147261   return 0;
   147262 }
   147263 function _hash($parser, $s) {
   147264   $parser = $parser | 0;
   147265   $s = $s | 0;
   147266   var $m_hash_secret_salt = 0, $0 = 0, $1 = 0, $tobool2 = 0, $2 = 0, $h_04 = 0, $s_addr_03 = 0, $mul = 0, $incdec_ptr = 0, $conv = 0, $xor = 0, $3 = 0, $tobool = 0, $h_0_lcssa = 0, label = 0;
   147267   label = 2;
   147268   while (1) switch (label | 0) {
   147269    case 2:
   147270     $m_hash_secret_salt = $parser + 472 | 0;
   147271     $0 = HEAP32[$m_hash_secret_salt >> 2] | 0;
   147272     $1 = HEAP8[$s] | 0;
   147273     $tobool2 = $1 << 24 >> 24 == 0;
   147274     if ($tobool2) {
   147275       $h_0_lcssa = $0;
   147276       label = 4;
   147277       break;
   147278     } else {
   147279       $s_addr_03 = $s;
   147280       $h_04 = $0;
   147281       $2 = $1;
   147282       label = 3;
   147283       break;
   147284     }
   147285    case 3:
   147286     $mul = $h_04 * 1000003 & -1;
   147287     $incdec_ptr = $s_addr_03 + 1 | 0;
   147288     $conv = $2 & 255;
   147289     $xor = $conv ^ $mul;
   147290     $3 = HEAP8[$incdec_ptr] | 0;
   147291     $tobool = $3 << 24 >> 24 == 0;
   147292     if ($tobool) {
   147293       $h_0_lcssa = $xor;
   147294       label = 4;
   147295       break;
   147296     } else {
   147297       $s_addr_03 = $incdec_ptr;
   147298       $h_04 = $xor;
   147299       $2 = $3;
   147300       label = 3;
   147301       break;
   147302     }
   147303    case 4:
   147304     return $h_0_lcssa | 0;
   147305   }
   147306   return 0;
   147307 }
   147308 function _keyeq($s1, $s2) {
   147309   $s1 = $s1 | 0;
   147310   $s2 = $s2 | 0;
   147311   var $0 = 0, $1 = 0, $cmp5 = 0, $2 = 0, $s2_addr_07 = 0, $s1_addr_06 = 0, $cmp4 = 0, $incdec_ptr = 0, $incdec_ptr6 = 0, $3 = 0, $4 = 0, $cmp = 0, $retval_0 = 0, label = 0;
   147312   label = 2;
   147313   while (1) switch (label | 0) {
   147314    case 2:
   147315     $0 = HEAP8[$s1] | 0;
   147316     $1 = HEAP8[$s2] | 0;
   147317     $cmp5 = $0 << 24 >> 24 == $1 << 24 >> 24;
   147318     if ($cmp5) {
   147319       $s1_addr_06 = $s1;
   147320       $s2_addr_07 = $s2;
   147321       $2 = $0;
   147322       label = 3;
   147323       break;
   147324     } else {
   147325       $retval_0 = 0;
   147326       label = 5;
   147327       break;
   147328     }
   147329    case 3:
   147330     $cmp4 = $2 << 24 >> 24 == 0;
   147331     if ($cmp4) {
   147332       $retval_0 = 1;
   147333       label = 5;
   147334       break;
   147335     } else {
   147336       label = 4;
   147337       break;
   147338     }
   147339    case 4:
   147340     $incdec_ptr = $s1_addr_06 + 1 | 0;
   147341     $incdec_ptr6 = $s2_addr_07 + 1 | 0;
   147342     $3 = HEAP8[$incdec_ptr] | 0;
   147343     $4 = HEAP8[$incdec_ptr6] | 0;
   147344     $cmp = $3 << 24 >> 24 == $4 << 24 >> 24;
   147345     if ($cmp) {
   147346       $s1_addr_06 = $incdec_ptr;
   147347       $s2_addr_07 = $incdec_ptr6;
   147348       $2 = $3;
   147349       label = 3;
   147350       break;
   147351     } else {
   147352       $retval_0 = 0;
   147353       label = 5;
   147354       break;
   147355     }
   147356    case 5:
   147357     return $retval_0 | 0;
   147358   }
   147359   return 0;
   147360 }
   147361 function _XML_ParseBuffer($parser, $len, $isFinal) {
   147362   $parser = $parser | 0;
   147363   $len = $len | 0;
   147364   $isFinal = $isFinal | 0;
   147365   var $parsing = 0, $0 = 0, $m_errorCode = 0, $m_errorCode2 = 0, $m_parentParser = 0, $1 = 0, $cmp = 0, $call = 0, $tobool = 0, $m_errorCode4 = 0, $m_bufferPtr = 0, $2 = 0, $m_positionPtr = 0, $m_bufferEnd = 0, $3 = 0, $add_ptr = 0, $m_parseEndPtr = 0, $m_parseEndByteIndex = 0, $4 = 0, $add = 0, $conv = 0, $finalBuffer = 0, $m_processor = 0, $5 = 0, $6 = 0, $call11 = 0, $m_errorCode12 = 0, $cmp14 = 0, $m_eventPtr = 0, $7 = 0, $m_eventEndPtr = 0, $8 = 0, $tobool22 = 0, $result_0 = 0, $m_encoding = 0, $9 = 0, $updatePosition = 0, $10 = 0, $11 = 0, $12 = 0, $m_position = 0, $13 = 0, $retval_0 = 0, label = 0;
   147366   label = 2;
   147367   while (1) switch (label | 0) {
   147368    case 2:
   147369     $parsing = $parser + 464 | 0;
   147370     $0 = HEAP32[$parsing >> 2] | 0;
   147371     if (($0 | 0) == 3) {
   147372       label = 3;
   147373       break;
   147374     } else if (($0 | 0) == 2) {
   147375       label = 4;
   147376       break;
   147377     } else if (($0 | 0) == 0) {
   147378       label = 5;
   147379       break;
   147380     } else {
   147381       label = 8;
   147382       break;
   147383     }
   147384    case 3:
   147385     $m_errorCode = $parser + 268 | 0;
   147386     HEAP32[$m_errorCode >> 2] = 33;
   147387     $retval_0 = 0;
   147388     label = 15;
   147389     break;
   147390    case 4:
   147391     $m_errorCode2 = $parser + 268 | 0;
   147392     HEAP32[$m_errorCode2 >> 2] = 36;
   147393     $retval_0 = 0;
   147394     label = 15;
   147395     break;
   147396    case 5:
   147397     $m_parentParser = $parser + 460 | 0;
   147398     $1 = HEAP32[$m_parentParser >> 2] | 0;
   147399     $cmp = ($1 | 0) == 0;
   147400     if ($cmp) {
   147401       label = 6;
   147402       break;
   147403     } else {
   147404       label = 8;
   147405       break;
   147406     }
   147407    case 6:
   147408     $call = _startParsing($parser) | 0;
   147409     $tobool = $call << 24 >> 24 == 0;
   147410     if ($tobool) {
   147411       label = 7;
   147412       break;
   147413     } else {
   147414       label = 8;
   147415       break;
   147416     }
   147417    case 7:
   147418     $m_errorCode4 = $parser + 268 | 0;
   147419     HEAP32[$m_errorCode4 >> 2] = 1;
   147420     $retval_0 = 0;
   147421     label = 15;
   147422     break;
   147423    case 8:
   147424     HEAP32[$parsing >> 2] = 1;
   147425     $m_bufferPtr = $parser + 24 | 0;
   147426     $2 = HEAP32[$m_bufferPtr >> 2] | 0;
   147427     $m_positionPtr = $parser + 280 | 0;
   147428     HEAP32[$m_positionPtr >> 2] = $2;
   147429     $m_bufferEnd = $parser + 28 | 0;
   147430     $3 = HEAP32[$m_bufferEnd >> 2] | 0;
   147431     $add_ptr = $3 + $len | 0;
   147432     HEAP32[$m_bufferEnd >> 2] = $add_ptr;
   147433     $m_parseEndPtr = $parser + 40 | 0;
   147434     HEAP32[$m_parseEndPtr >> 2] = $add_ptr;
   147435     $m_parseEndByteIndex = $parser + 36 | 0;
   147436     $4 = HEAP32[$m_parseEndByteIndex >> 2] | 0;
   147437     $add = $4 + $len | 0;
   147438     HEAP32[$m_parseEndByteIndex >> 2] = $add;
   147439     $conv = $isFinal & 255;
   147440     $finalBuffer = $parser + 468 | 0;
   147441     HEAP8[$finalBuffer] = $conv;
   147442     $m_processor = $parser + 264 | 0;
   147443     $5 = HEAP32[$m_processor >> 2] | 0;
   147444     $6 = HEAP32[$m_parseEndPtr >> 2] | 0;
   147445     $call11 = FUNCTION_TABLE_iiiii[$5 & 1023]($parser, $2, $6, $m_bufferPtr) | 0;
   147446     $m_errorCode12 = $parser + 268 | 0;
   147447     HEAP32[$m_errorCode12 >> 2] = $call11;
   147448     $cmp14 = ($call11 | 0) == 0;
   147449     if ($cmp14) {
   147450       label = 10;
   147451       break;
   147452     } else {
   147453       label = 9;
   147454       break;
   147455     }
   147456    case 9:
   147457     $m_eventPtr = $parser + 272 | 0;
   147458     $7 = HEAP32[$m_eventPtr >> 2] | 0;
   147459     $m_eventEndPtr = $parser + 276 | 0;
   147460     HEAP32[$m_eventEndPtr >> 2] = $7;
   147461     HEAP32[$m_processor >> 2] = 448;
   147462     $retval_0 = 0;
   147463     label = 15;
   147464     break;
   147465    case 10:
   147466     $8 = HEAP32[$parsing >> 2] | 0;
   147467     if (($8 | 0) == 3) {
   147468       label = 11;
   147469       break;
   147470     } else if (($8 | 0) == 0 | ($8 | 0) == 1) {
   147471       label = 12;
   147472       break;
   147473     } else {
   147474       $result_0 = 1;
   147475       label = 14;
   147476       break;
   147477     }
   147478    case 11:
   147479     $result_0 = 2;
   147480     label = 14;
   147481     break;
   147482    case 12:
   147483     $tobool22 = ($isFinal | 0) == 0;
   147484     if ($tobool22) {
   147485       $result_0 = 1;
   147486       label = 14;
   147487       break;
   147488     } else {
   147489       label = 13;
   147490       break;
   147491     }
   147492    case 13:
   147493     HEAP32[$parsing >> 2] = 2;
   147494     $retval_0 = 1;
   147495     label = 15;
   147496     break;
   147497    case 14:
   147498     $m_encoding = $parser + 144 | 0;
   147499     $9 = HEAP32[$m_encoding >> 2] | 0;
   147500     $updatePosition = $9 + 48 | 0;
   147501     $10 = HEAP32[$updatePosition >> 2] | 0;
   147502     $11 = HEAP32[$m_positionPtr >> 2] | 0;
   147503     $12 = HEAP32[$m_bufferPtr >> 2] | 0;
   147504     $m_position = $parser + 392 | 0;
   147505     FUNCTION_TABLE_viiii[$10 & 1023]($9, $11, $12, $m_position);
   147506     $13 = HEAP32[$m_bufferPtr >> 2] | 0;
   147507     HEAP32[$m_positionPtr >> 2] = $13;
   147508     $retval_0 = $result_0;
   147509     label = 15;
   147510     break;
   147511    case 15:
   147512     return $retval_0 | 0;
   147513   }
   147514   return 0;
   147515 }
   147516 function _XML_GetCurrentLineNumber($parser) {
   147517   $parser = $parser | 0;
   147518   var $m_eventPtr = 0, $0 = 0, $tobool = 0, $m_positionPtr = 0, $1 = 0, $cmp = 0, $m_encoding = 0, $2 = 0, $updatePosition = 0, $3 = 0, $m_position = 0, $4 = 0, $lineNumber = 0, $5 = 0, $add = 0, label = 0;
   147519   label = 2;
   147520   while (1) switch (label | 0) {
   147521    case 2:
   147522     $m_eventPtr = $parser + 272 | 0;
   147523     $0 = HEAP32[$m_eventPtr >> 2] | 0;
   147524     $tobool = ($0 | 0) == 0;
   147525     if ($tobool) {
   147526       label = 5;
   147527       break;
   147528     } else {
   147529       label = 3;
   147530       break;
   147531     }
   147532    case 3:
   147533     $m_positionPtr = $parser + 280 | 0;
   147534     $1 = HEAP32[$m_positionPtr >> 2] | 0;
   147535     $cmp = $0 >>> 0 < $1 >>> 0;
   147536     if ($cmp) {
   147537       label = 5;
   147538       break;
   147539     } else {
   147540       label = 4;
   147541       break;
   147542     }
   147543    case 4:
   147544     $m_encoding = $parser + 144 | 0;
   147545     $2 = HEAP32[$m_encoding >> 2] | 0;
   147546     $updatePosition = $2 + 48 | 0;
   147547     $3 = HEAP32[$updatePosition >> 2] | 0;
   147548     $m_position = $parser + 392 | 0;
   147549     FUNCTION_TABLE_viiii[$3 & 1023]($2, $1, $0, $m_position);
   147550     $4 = HEAP32[$m_eventPtr >> 2] | 0;
   147551     HEAP32[$m_positionPtr >> 2] = $4;
   147552     label = 5;
   147553     break;
   147554    case 5:
   147555     $lineNumber = $parser + 392 | 0;
   147556     $5 = HEAP32[$lineNumber >> 2] | 0;
   147557     $add = $5 + 1 | 0;
   147558     return $add | 0;
   147559   }
   147560   return 0;
   147561 }
   147562 function _reportDefault($parser, $enc, $s, $end) {
   147563   $parser = $parser | 0;
   147564   $enc = $enc | 0;
   147565   $s = $s | 0;
   147566   $end = $end | 0;
   147567   var $s_addr = 0, $dataPtr = 0, $isUtf8 = 0, $0 = 0, $tobool = 0, $m_encoding = 0, $1 = 0, $cmp = 0, $m_eventPtr = 0, $m_eventEndPtr = 0, $m_openInternalEntities = 0, $2 = 0, $internalEventPtr = 0, $internalEventEndPtr = 0, $eventPP_0_ph = 0, $eventEndPP_0_ph = 0, $m_dataBuf = 0, $utf8Convert = 0, $m_dataBufEnd = 0, $m_defaultHandler = 0, $m_handlerArg = 0, $3 = 0, $4 = 0, $5 = 0, $6 = 0, $7 = 0, $8 = 0, $9 = 0, $10 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $11 = 0, $12 = 0, $cmp5 = 0, $m_defaultHandler7 = 0, $13 = 0, $m_handlerArg8 = 0, $14 = 0, $sub_ptr_lhs_cast9 = 0, $sub_ptr_rhs_cast10 = 0, $sub_ptr_sub11 = 0, label = 0, __stackBase__ = 0;
   147568   __stackBase__ = STACKTOP;
   147569   STACKTOP = STACKTOP + 16 | 0;
   147570   label = 2;
   147571   while (1) switch (label | 0) {
   147572    case 2:
   147573     $s_addr = __stackBase__ | 0;
   147574     $dataPtr = __stackBase__ + 8 | 0;
   147575     HEAP32[$s_addr >> 2] = $s;
   147576     $isUtf8 = $enc + 68 | 0;
   147577     $0 = HEAP8[$isUtf8] | 0;
   147578     $tobool = $0 << 24 >> 24 == 0;
   147579     if ($tobool) {
   147580       label = 3;
   147581       break;
   147582     } else {
   147583       label = 8;
   147584       break;
   147585     }
   147586    case 3:
   147587     $m_encoding = $parser + 144 | 0;
   147588     $1 = HEAP32[$m_encoding >> 2] | 0;
   147589     $cmp = ($1 | 0) == ($enc | 0);
   147590     if ($cmp) {
   147591       label = 4;
   147592       break;
   147593     } else {
   147594       label = 5;
   147595       break;
   147596     }
   147597    case 4:
   147598     $m_eventPtr = $parser + 272 | 0;
   147599     $m_eventEndPtr = $parser + 276 | 0;
   147600     $eventEndPP_0_ph = $m_eventEndPtr;
   147601     $eventPP_0_ph = $m_eventPtr;
   147602     label = 6;
   147603     break;
   147604    case 5:
   147605     $m_openInternalEntities = $parser + 284 | 0;
   147606     $2 = HEAP32[$m_openInternalEntities >> 2] | 0;
   147607     $internalEventPtr = $2 | 0;
   147608     $internalEventEndPtr = $2 + 4 | 0;
   147609     $eventEndPP_0_ph = $internalEventEndPtr;
   147610     $eventPP_0_ph = $internalEventPtr;
   147611     label = 6;
   147612     break;
   147613    case 6:
   147614     $m_dataBuf = $parser + 44 | 0;
   147615     $utf8Convert = $enc + 56 | 0;
   147616     $m_dataBufEnd = $parser + 48 | 0;
   147617     $m_defaultHandler = $parser + 80 | 0;
   147618     $m_handlerArg = $parser + 4 | 0;
   147619     label = 7;
   147620     break;
   147621    case 7:
   147622     $3 = HEAP32[$m_dataBuf >> 2] | 0;
   147623     HEAP32[$dataPtr >> 2] = $3;
   147624     $4 = HEAP32[$utf8Convert >> 2] | 0;
   147625     $5 = HEAP32[$m_dataBufEnd >> 2] | 0;
   147626     FUNCTION_TABLE_viiiii[$4 & 1023]($enc, $s_addr, $end, $dataPtr, $5);
   147627     $6 = HEAP32[$s_addr >> 2] | 0;
   147628     HEAP32[$eventEndPP_0_ph >> 2] = $6;
   147629     $7 = HEAP32[$m_defaultHandler >> 2] | 0;
   147630     $8 = HEAP32[$m_handlerArg >> 2] | 0;
   147631     $9 = HEAP32[$m_dataBuf >> 2] | 0;
   147632     $10 = HEAP32[$dataPtr >> 2] | 0;
   147633     $sub_ptr_lhs_cast = $10;
   147634     $sub_ptr_rhs_cast = $9;
   147635     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   147636     FUNCTION_TABLE_viii[$7 & 1023]($8, $9, $sub_ptr_sub);
   147637     $11 = HEAP32[$s_addr >> 2] | 0;
   147638     HEAP32[$eventPP_0_ph >> 2] = $11;
   147639     $12 = HEAP32[$s_addr >> 2] | 0;
   147640     $cmp5 = ($12 | 0) == ($end | 0);
   147641     if ($cmp5) {
   147642       label = 9;
   147643       break;
   147644     } else {
   147645       label = 7;
   147646       break;
   147647     }
   147648    case 8:
   147649     $m_defaultHandler7 = $parser + 80 | 0;
   147650     $13 = HEAP32[$m_defaultHandler7 >> 2] | 0;
   147651     $m_handlerArg8 = $parser + 4 | 0;
   147652     $14 = HEAP32[$m_handlerArg8 >> 2] | 0;
   147653     $sub_ptr_lhs_cast9 = $end;
   147654     $sub_ptr_rhs_cast10 = $s;
   147655     $sub_ptr_sub11 = $sub_ptr_lhs_cast9 - $sub_ptr_rhs_cast10 | 0;
   147656     FUNCTION_TABLE_viii[$13 & 1023]($14, $s, $sub_ptr_sub11);
   147657     label = 9;
   147658     break;
   147659    case 9:
   147660     STACKTOP = __stackBase__;
   147661     return;
   147662   }
   147663 }
   147664 function _poolGrow($pool) {
   147665   $pool = $pool | 0;
   147666   var $freeBlocks = 0, $0 = 0, $tobool = 0, $start = 0, $1 = 0, $cmp = 0, $blocks = 0, $2 = 0, $next = 0, $3 = 0, $4 = 0, $next6 = 0, $5 = 0, $arraydecay = 0, $6 = 0, $size = 0, $7 = 0, $add_ptr = 0, $end = 0, $8 = 0, $ptr = 0, $end12 = 0, $9 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $size15 = 0, $10 = 0, $cmp16 = 0, $next19 = 0, $11 = 0, $blocks20 = 0, $12 = 0, $13 = 0, $14 = 0, $15 = 0, $16 = 0, $17 = 0, $sub_ptr_lhs_cast31 = 0, $sub_ptr_rhs_cast32 = 0, $sub_ptr_sub33 = 0, $18 = 0, $ptr37 = 0, $19 = 0, $20 = 0, $sub_ptr_lhs_cast39 = 0, $sub_ptr_rhs_cast40 = 0, $sub_ptr_sub41 = 0, $add_ptr42 = 0, $21 = 0, $arraydecay46 = 0, $22 = 0, $size50 = 0, $23 = 0, $add_ptr51 = 0, $blocks55 = 0, $24 = 0, $tobool56 = 0, $start57 = 0, $25 = 0, $arraydecay60 = 0, $cmp61 = 0, $end63 = 0, $26 = 0, $sub_ptr_lhs_cast65 = 0, $sub_ptr_rhs_cast66 = 0, $sub_ptr_sub67 = 0, $mul68 = 0, $mem = 0, $27 = 0, $realloc_fcn = 0, $28 = 0, $29 = 0, $add = 0, $call = 0, $cmp71 = 0, $30 = 0, $size76 = 0, $31 = 0, $32 = 0, $ptr80 = 0, $33 = 0, $34 = 0, $sub_ptr_lhs_cast82 = 0, $sub_ptr_rhs_cast83 = 0, $sub_ptr_sub84 = 0, $add_ptr85 = 0, $35 = 0, $arraydecay89 = 0, $add_ptr92 = 0, $end96 = 0, $36 = 0, $start97 = 0, $37 = 0, $sub_ptr_lhs_cast98 = 0, $sub_ptr_rhs_cast99 = 0, $sub_ptr_sub100 = 0, $cmp101 = 0, $mul104 = 0, $blockSize95_0 = 0, $mem106 = 0, $38 = 0, $malloc_fcn = 0, $39 = 0, $add108 = 0, $call109 = 0, $tobool110 = 0, $40 = 0, $size113 = 0, $41 = 0, $42 = 0, $next115 = 0, $ptr117 = 0, $43 = 0, $44 = 0, $cmp119 = 0, $s121 = 0, $sub_ptr_lhs_cast125 = 0, $sub_ptr_rhs_cast126 = 0, $sub_ptr_sub127 = 0, $s130 = 0, $45 = 0, $46 = 0, $sub_ptr_lhs_cast134 = 0, $sub_ptr_rhs_cast135 = 0, $sub_ptr_sub136 = 0, $s130_sum = 0, $add_ptr137 = 0, $add_ptr144 = 0, $retval_0 = 0, label = 0;
   147667   label = 2;
   147668   while (1) switch (label | 0) {
   147669    case 2:
   147670     $freeBlocks = $pool + 4 | 0;
   147671     $0 = HEAP32[$freeBlocks >> 2] | 0;
   147672     $tobool = ($0 | 0) == 0;
   147673     if ($tobool) {
   147674       label = 7;
   147675       break;
   147676     } else {
   147677       label = 3;
   147678       break;
   147679     }
   147680    case 3:
   147681     $start = $pool + 16 | 0;
   147682     $1 = HEAP32[$start >> 2] | 0;
   147683     $cmp = ($1 | 0) == 0;
   147684     if ($cmp) {
   147685       label = 4;
   147686       break;
   147687     } else {
   147688       label = 5;
   147689       break;
   147690     }
   147691    case 4:
   147692     $blocks = $pool | 0;
   147693     HEAP32[$blocks >> 2] = $0;
   147694     $2 = HEAP32[$freeBlocks >> 2] | 0;
   147695     $next = $2 | 0;
   147696     $3 = HEAP32[$next >> 2] | 0;
   147697     HEAP32[$freeBlocks >> 2] = $3;
   147698     $4 = HEAP32[$blocks >> 2] | 0;
   147699     $next6 = $4 | 0;
   147700     HEAP32[$next6 >> 2] = 0;
   147701     $5 = HEAP32[$blocks >> 2] | 0;
   147702     $arraydecay = $5 + 8 | 0;
   147703     HEAP32[$start >> 2] = $arraydecay;
   147704     $6 = HEAP32[$blocks >> 2] | 0;
   147705     $size = $6 + 4 | 0;
   147706     $7 = HEAP32[$size >> 2] | 0;
   147707     $add_ptr = $7 + ($5 + 8) | 0;
   147708     $end = $pool + 8 | 0;
   147709     HEAP32[$end >> 2] = $add_ptr;
   147710     $8 = HEAP32[$start >> 2] | 0;
   147711     $ptr = $pool + 12 | 0;
   147712     HEAP32[$ptr >> 2] = $8;
   147713     $retval_0 = 1;
   147714     label = 15;
   147715     break;
   147716    case 5:
   147717     $end12 = $pool + 8 | 0;
   147718     $9 = HEAP32[$end12 >> 2] | 0;
   147719     $sub_ptr_lhs_cast = $9;
   147720     $sub_ptr_rhs_cast = $1;
   147721     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   147722     $size15 = $0 + 4 | 0;
   147723     $10 = HEAP32[$size15 >> 2] | 0;
   147724     $cmp16 = ($sub_ptr_sub | 0) < ($10 | 0);
   147725     if ($cmp16) {
   147726       label = 6;
   147727       break;
   147728     } else {
   147729       label = 7;
   147730       break;
   147731     }
   147732    case 6:
   147733     $next19 = $0 | 0;
   147734     $11 = HEAP32[$next19 >> 2] | 0;
   147735     $blocks20 = $pool | 0;
   147736     $12 = HEAP32[$blocks20 >> 2] | 0;
   147737     HEAP32[$next19 >> 2] = $12;
   147738     $13 = HEAP32[$freeBlocks >> 2] | 0;
   147739     HEAP32[$blocks20 >> 2] = $13;
   147740     HEAP32[$freeBlocks >> 2] = $11;
   147741     $14 = HEAP32[$blocks20 >> 2] | 0;
   147742     $15 = $14 + 8 | 0;
   147743     $16 = HEAP32[$start >> 2] | 0;
   147744     $17 = HEAP32[$end12 >> 2] | 0;
   147745     $sub_ptr_lhs_cast31 = $17;
   147746     $sub_ptr_rhs_cast32 = $16;
   147747     $sub_ptr_sub33 = $sub_ptr_lhs_cast31 - $sub_ptr_rhs_cast32 | 0;
   147748     _memcpy($15 | 0, $16 | 0, $sub_ptr_sub33);
   147749     $18 = HEAP32[$blocks20 >> 2] | 0;
   147750     $ptr37 = $pool + 12 | 0;
   147751     $19 = HEAP32[$ptr37 >> 2] | 0;
   147752     $20 = HEAP32[$start >> 2] | 0;
   147753     $sub_ptr_lhs_cast39 = $19;
   147754     $sub_ptr_rhs_cast40 = $20;
   147755     $sub_ptr_sub41 = $sub_ptr_lhs_cast39 - $sub_ptr_rhs_cast40 | 0;
   147756     $add_ptr42 = $sub_ptr_sub41 + ($18 + 8) | 0;
   147757     HEAP32[$ptr37 >> 2] = $add_ptr42;
   147758     $21 = HEAP32[$blocks20 >> 2] | 0;
   147759     $arraydecay46 = $21 + 8 | 0;
   147760     HEAP32[$start >> 2] = $arraydecay46;
   147761     $22 = HEAP32[$blocks20 >> 2] | 0;
   147762     $size50 = $22 + 4 | 0;
   147763     $23 = HEAP32[$size50 >> 2] | 0;
   147764     $add_ptr51 = $23 + ($21 + 8) | 0;
   147765     HEAP32[$end12 >> 2] = $add_ptr51;
   147766     $retval_0 = 1;
   147767     label = 15;
   147768     break;
   147769    case 7:
   147770     $blocks55 = $pool | 0;
   147771     $24 = HEAP32[$blocks55 >> 2] | 0;
   147772     $tobool56 = ($24 | 0) == 0;
   147773     if ($tobool56) {
   147774       label = 11;
   147775       break;
   147776     } else {
   147777       label = 8;
   147778       break;
   147779     }
   147780    case 8:
   147781     $start57 = $pool + 16 | 0;
   147782     $25 = HEAP32[$start57 >> 2] | 0;
   147783     $arraydecay60 = $24 + 8 | 0;
   147784     $cmp61 = ($25 | 0) == ($arraydecay60 | 0);
   147785     if ($cmp61) {
   147786       label = 9;
   147787       break;
   147788     } else {
   147789       label = 11;
   147790       break;
   147791     }
   147792    case 9:
   147793     $end63 = $pool + 8 | 0;
   147794     $26 = HEAP32[$end63 >> 2] | 0;
   147795     $sub_ptr_lhs_cast65 = $26;
   147796     $sub_ptr_rhs_cast66 = $25;
   147797     $sub_ptr_sub67 = $sub_ptr_lhs_cast65 - $sub_ptr_rhs_cast66 | 0;
   147798     $mul68 = $sub_ptr_sub67 << 1;
   147799     $mem = $pool + 20 | 0;
   147800     $27 = HEAP32[$mem >> 2] | 0;
   147801     $realloc_fcn = $27 + 4 | 0;
   147802     $28 = HEAP32[$realloc_fcn >> 2] | 0;
   147803     $29 = $24;
   147804     $add = $mul68 + 8 | 0;
   147805     $call = FUNCTION_TABLE_iii[$28 & 1023]($29, $add) | 0;
   147806     $cmp71 = ($call | 0) == 0;
   147807     if ($cmp71) {
   147808       $retval_0 = 0;
   147809       label = 15;
   147810       break;
   147811     } else {
   147812       label = 10;
   147813       break;
   147814     }
   147815    case 10:
   147816     $30 = $call;
   147817     HEAP32[$blocks55 >> 2] = $30;
   147818     $size76 = $call + 4 | 0;
   147819     $31 = $size76;
   147820     HEAP32[$31 >> 2] = $mul68;
   147821     $32 = HEAP32[$blocks55 >> 2] | 0;
   147822     $ptr80 = $pool + 12 | 0;
   147823     $33 = HEAP32[$ptr80 >> 2] | 0;
   147824     $34 = HEAP32[$start57 >> 2] | 0;
   147825     $sub_ptr_lhs_cast82 = $33;
   147826     $sub_ptr_rhs_cast83 = $34;
   147827     $sub_ptr_sub84 = $sub_ptr_lhs_cast82 - $sub_ptr_rhs_cast83 | 0;
   147828     $add_ptr85 = $sub_ptr_sub84 + ($32 + 8) | 0;
   147829     HEAP32[$ptr80 >> 2] = $add_ptr85;
   147830     $35 = HEAP32[$blocks55 >> 2] | 0;
   147831     $arraydecay89 = $35 + 8 | 0;
   147832     HEAP32[$start57 >> 2] = $arraydecay89;
   147833     $add_ptr92 = $mul68 + ($35 + 8) | 0;
   147834     HEAP32[$end63 >> 2] = $add_ptr92;
   147835     $retval_0 = 1;
   147836     label = 15;
   147837     break;
   147838    case 11:
   147839     $end96 = $pool + 8 | 0;
   147840     $36 = HEAP32[$end96 >> 2] | 0;
   147841     $start97 = $pool + 16 | 0;
   147842     $37 = HEAP32[$start97 >> 2] | 0;
   147843     $sub_ptr_lhs_cast98 = $36;
   147844     $sub_ptr_rhs_cast99 = $37;
   147845     $sub_ptr_sub100 = $sub_ptr_lhs_cast98 - $sub_ptr_rhs_cast99 | 0;
   147846     $cmp101 = ($sub_ptr_sub100 | 0) < 1024;
   147847     $mul104 = $sub_ptr_sub100 << 1;
   147848     $blockSize95_0 = $cmp101 ? 1024 : $mul104;
   147849     $mem106 = $pool + 20 | 0;
   147850     $38 = HEAP32[$mem106 >> 2] | 0;
   147851     $malloc_fcn = $38 | 0;
   147852     $39 = HEAP32[$malloc_fcn >> 2] | 0;
   147853     $add108 = $blockSize95_0 + 8 | 0;
   147854     $call109 = FUNCTION_TABLE_ii[$39 & 1023]($add108) | 0;
   147855     $tobool110 = ($call109 | 0) == 0;
   147856     if ($tobool110) {
   147857       $retval_0 = 0;
   147858       label = 15;
   147859       break;
   147860     } else {
   147861       label = 12;
   147862       break;
   147863     }
   147864    case 12:
   147865     $40 = $call109;
   147866     $size113 = $call109 + 4 | 0;
   147867     $41 = $size113;
   147868     HEAP32[$41 >> 2] = $blockSize95_0;
   147869     $42 = HEAP32[$blocks55 >> 2] | 0;
   147870     $next115 = $call109;
   147871     HEAP32[$next115 >> 2] = $42;
   147872     HEAP32[$blocks55 >> 2] = $40;
   147873     $ptr117 = $pool + 12 | 0;
   147874     $43 = HEAP32[$ptr117 >> 2] | 0;
   147875     $44 = HEAP32[$start97 >> 2] | 0;
   147876     $cmp119 = ($43 | 0) == ($44 | 0);
   147877     if ($cmp119) {
   147878       label = 14;
   147879       break;
   147880     } else {
   147881       label = 13;
   147882       break;
   147883     }
   147884    case 13:
   147885     $s121 = $call109 + 8 | 0;
   147886     $sub_ptr_lhs_cast125 = $43;
   147887     $sub_ptr_rhs_cast126 = $44;
   147888     $sub_ptr_sub127 = $sub_ptr_lhs_cast125 - $sub_ptr_rhs_cast126 | 0;
   147889     _memcpy($s121 | 0, $44 | 0, $sub_ptr_sub127);
   147890     label = 14;
   147891     break;
   147892    case 14:
   147893     $s130 = $call109 + 8 | 0;
   147894     $45 = HEAP32[$ptr117 >> 2] | 0;
   147895     $46 = HEAP32[$start97 >> 2] | 0;
   147896     $sub_ptr_lhs_cast134 = $45;
   147897     $sub_ptr_rhs_cast135 = $46;
   147898     $sub_ptr_sub136 = $sub_ptr_lhs_cast134 + 8 | 0;
   147899     $s130_sum = $sub_ptr_sub136 - $sub_ptr_rhs_cast135 | 0;
   147900     $add_ptr137 = $call109 + $s130_sum | 0;
   147901     HEAP32[$ptr117 >> 2] = $add_ptr137;
   147902     HEAP32[$start97 >> 2] = $s130;
   147903     $add_ptr144 = $call109 + $add108 | 0;
   147904     HEAP32[$end96 >> 2] = $add_ptr144;
   147905     $retval_0 = 1;
   147906     label = 15;
   147907     break;
   147908    case 15:
   147909     return $retval_0 | 0;
   147910   }
   147911   return 0;
   147912 }
   147913 function _lookup($parser, $table, $name, $createSize) {
   147914   $parser = $parser | 0;
   147915   $table = $table | 0;
   147916   $name = $name | 0;
   147917   $createSize = $createSize | 0;
   147918   var $size = 0, $0 = 0, $cmp = 0, $tobool = 0, $power = 0, $mem = 0, $1 = 0, $malloc_fcn = 0, $2 = 0, $call = 0, $3 = 0, $v = 0, $tobool5 = 0, $call10 = 0, $4 = 0, $sub = 0, $and = 0, $call12 = 0, $sub14 = 0, $and15 = 0, $v16 = 0, $5 = 0, $arrayidx116 = 0, $6 = 0, $tobool17117 = 0, $neg = 0, $and29 = 0, $power30 = 0, $shr32 = 0, $7 = 0, $i_0119 = 0, $step_0118 = 0, $name20 = 0, $8 = 0, $call21 = 0, $tobool22 = 0, $tobool27 = 0, $9 = 0, $conv = 0, $sub31 = 0, $shr = 0, $and33 = 0, $or = 0, $conv34 = 0, $step_1 = 0, $conv36 = 0, $cmp37 = 0, $10 = 0, $sub41 = 0, $add = 0, $sub43 = 0, $i_0_be = 0, $11 = 0, $arrayidx = 0, $12 = 0, $tobool17 = 0, $i_0_lcssa = 0, $tobool44 = 0, $used = 0, $13 = 0, $power47 = 0, $14 = 0, $conv48 = 0, $sub49 = 0, $shr50 = 0, $tobool51 = 0, $add55 = 0, $conv57 = 0, $shl = 0, $sub58 = 0, $mul60 = 0, $mem61 = 0, $15 = 0, $malloc_fcn62 = 0, $16 = 0, $call63 = 0, $17 = 0, $tobool64 = 0, $18 = 0, $cmp68111 = 0, $neg85 = 0, $sub88 = 0, $shr90 = 0, $i_1112 = 0, $19 = 0, $arrayidx71 = 0, $20 = 0, $tobool72 = 0, $name76 = 0, $21 = 0, $call77 = 0, $and78 = 0, $arrayidx80106 = 0, $22 = 0, $tobool81107 = 0, $and86 = 0, $shr89 = 0, $and91 = 0, $or92 = 0, $conv93 = 0, $j_0109 = 0, $step_2108 = 0, $tobool83 = 0, $conv93_step_2108 = 0, $conv95 = 0, $cmp96 = 0, $sub100 = 0, $sub100_pn = 0, $j_0_be = 0, $arrayidx80 = 0, $23 = 0, $tobool81 = 0, $arrayidx80_lcssa = 0, $24 = 0, $arrayidx109 = 0, $25 = 0, $inc = 0, $26 = 0, $cmp68 = 0, $27 = 0, $free_fcn = 0, $28 = 0, $29 = 0, $30 = 0, $and117 = 0, $31 = 0, $arrayidx120102 = 0, $32 = 0, $tobool121103 = 0, $neg125 = 0, $and126 = 0, $sub128 = 0, $shr129 = 0, $shr130 = 0, $and131 = 0, $or132 = 0, $conv133 = 0, $33 = 0, $i_2105 = 0, $step_4104 = 0, $tobool123 = 0, $conv133_step_4104 = 0, $conv135 = 0, $cmp136 = 0, $sub140 = 0, $sub140_pn = 0, $i_2_be = 0, $arrayidx120 = 0, $34 = 0, $tobool121 = 0, $i_3 = 0, $mem150 = 0, $35 = 0, $malloc_fcn151 = 0, $36 = 0, $call152 = 0, $37 = 0, $v153 = 0, $38 = 0, $arrayidx154 = 0, $39 = 0, $arrayidx156 = 0, $40 = 0, $tobool157 = 0, $41 = 0, $42 = 0, $arrayidx163 = 0, $43 = 0, $name164 = 0, $used165 = 0, $44 = 0, $inc166 = 0, $45 = 0, $arrayidx168 = 0, $46 = 0, $retval_0 = 0, label = 0;
   147919   label = 2;
   147920   while (1) switch (label | 0) {
   147921    case 2:
   147922     $size = $table + 8 | 0;
   147923     $0 = HEAP32[$size >> 2] | 0;
   147924     $cmp = ($0 | 0) == 0;
   147925     if ($cmp) {
   147926       label = 3;
   147927       break;
   147928     } else {
   147929       label = 7;
   147930       break;
   147931     }
   147932    case 3:
   147933     $tobool = ($createSize | 0) == 0;
   147934     if ($tobool) {
   147935       $retval_0 = 0;
   147936       label = 32;
   147937       break;
   147938     } else {
   147939       label = 4;
   147940       break;
   147941     }
   147942    case 4:
   147943     $power = $table + 4 | 0;
   147944     HEAP8[$power] = 6;
   147945     HEAP32[$size >> 2] = 64;
   147946     $mem = $table + 16 | 0;
   147947     $1 = HEAP32[$mem >> 2] | 0;
   147948     $malloc_fcn = $1 | 0;
   147949     $2 = HEAP32[$malloc_fcn >> 2] | 0;
   147950     $call = FUNCTION_TABLE_ii[$2 & 1023](256) | 0;
   147951     $3 = $call;
   147952     $v = $table | 0;
   147953     HEAP32[$v >> 2] = $3;
   147954     $tobool5 = ($call | 0) == 0;
   147955     if ($tobool5) {
   147956       label = 5;
   147957       break;
   147958     } else {
   147959       label = 6;
   147960       break;
   147961     }
   147962    case 5:
   147963     HEAP32[$size >> 2] = 0;
   147964     $retval_0 = 0;
   147965     label = 32;
   147966     break;
   147967    case 6:
   147968     _memset($call | 0, 0, 256);
   147969     $call10 = _hash($parser, $name) | 0;
   147970     $4 = HEAP32[$size >> 2] | 0;
   147971     $sub = $4 - 1 | 0;
   147972     $and = $sub & $call10;
   147973     $i_3 = $and;
   147974     label = 30;
   147975     break;
   147976    case 7:
   147977     $call12 = _hash($parser, $name) | 0;
   147978     $sub14 = $0 - 1 | 0;
   147979     $and15 = $call12 & $sub14;
   147980     $v16 = $table | 0;
   147981     $5 = HEAP32[$v16 >> 2] | 0;
   147982     $arrayidx116 = $5 + ($and15 << 2) | 0;
   147983     $6 = HEAP32[$arrayidx116 >> 2] | 0;
   147984     $tobool17117 = ($6 | 0) == 0;
   147985     if ($tobool17117) {
   147986       $i_0_lcssa = $and15;
   147987       label = 16;
   147988       break;
   147989     } else {
   147990       label = 8;
   147991       break;
   147992     }
   147993    case 8:
   147994     $neg = -$0 | 0;
   147995     $and29 = $call12 & $neg;
   147996     $power30 = $table + 4 | 0;
   147997     $shr32 = $sub14 >>> 2;
   147998     $step_0118 = 0;
   147999     $i_0119 = $and15;
   148000     $7 = $6;
   148001     label = 9;
   148002     break;
   148003    case 9:
   148004     $name20 = $7 | 0;
   148005     $8 = HEAP32[$name20 >> 2] | 0;
   148006     $call21 = _keyeq($name, $8) | 0;
   148007     $tobool22 = $call21 << 24 >> 24 == 0;
   148008     if ($tobool22) {
   148009       label = 10;
   148010       break;
   148011     } else {
   148012       $retval_0 = $7;
   148013       label = 32;
   148014       break;
   148015     }
   148016    case 10:
   148017     $tobool27 = $step_0118 << 24 >> 24 == 0;
   148018     if ($tobool27) {
   148019       label = 11;
   148020       break;
   148021     } else {
   148022       $step_1 = $step_0118;
   148023       label = 12;
   148024       break;
   148025     }
   148026    case 11:
   148027     $9 = HEAP8[$power30] | 0;
   148028     $conv = $9 & 255;
   148029     $sub31 = $conv - 1 | 0;
   148030     $shr = $and29 >>> ($sub31 >>> 0);
   148031     $and33 = $shr & $shr32;
   148032     $or = $and33 | 1;
   148033     $conv34 = $or & 255;
   148034     $step_1 = $conv34;
   148035     label = 12;
   148036     break;
   148037    case 12:
   148038     $conv36 = $step_1 & 255;
   148039     $cmp37 = $i_0119 >>> 0 < $conv36 >>> 0;
   148040     if ($cmp37) {
   148041       label = 13;
   148042       break;
   148043     } else {
   148044       label = 14;
   148045       break;
   148046     }
   148047    case 13:
   148048     $10 = HEAP32[$size >> 2] | 0;
   148049     $sub41 = $i_0119 - $conv36 | 0;
   148050     $add = $sub41 + $10 | 0;
   148051     $i_0_be = $add;
   148052     label = 15;
   148053     break;
   148054    case 14:
   148055     $sub43 = $i_0119 - $conv36 | 0;
   148056     $i_0_be = $sub43;
   148057     label = 15;
   148058     break;
   148059    case 15:
   148060     $11 = HEAP32[$v16 >> 2] | 0;
   148061     $arrayidx = $11 + ($i_0_be << 2) | 0;
   148062     $12 = HEAP32[$arrayidx >> 2] | 0;
   148063     $tobool17 = ($12 | 0) == 0;
   148064     if ($tobool17) {
   148065       $i_0_lcssa = $i_0_be;
   148066       label = 16;
   148067       break;
   148068     } else {
   148069       $step_0118 = $step_1;
   148070       $i_0119 = $i_0_be;
   148071       $7 = $12;
   148072       label = 9;
   148073       break;
   148074     }
   148075    case 16:
   148076     $tobool44 = ($createSize | 0) == 0;
   148077     if ($tobool44) {
   148078       $retval_0 = 0;
   148079       label = 32;
   148080       break;
   148081     } else {
   148082       label = 17;
   148083       break;
   148084     }
   148085    case 17:
   148086     $used = $table + 12 | 0;
   148087     $13 = HEAP32[$used >> 2] | 0;
   148088     $power47 = $table + 4 | 0;
   148089     $14 = HEAP8[$power47] | 0;
   148090     $conv48 = $14 & 255;
   148091     $sub49 = $conv48 - 1 | 0;
   148092     $shr50 = $13 >>> ($sub49 >>> 0);
   148093     $tobool51 = ($shr50 | 0) == 0;
   148094     if ($tobool51) {
   148095       $i_3 = $i_0_lcssa;
   148096       label = 30;
   148097       break;
   148098     } else {
   148099       label = 18;
   148100       break;
   148101     }
   148102    case 18:
   148103     $add55 = $14 + 1 & 255;
   148104     $conv57 = $add55 & 255;
   148105     $shl = 1 << $conv57;
   148106     $sub58 = $shl - 1 | 0;
   148107     $mul60 = $shl << 2;
   148108     $mem61 = $table + 16 | 0;
   148109     $15 = HEAP32[$mem61 >> 2] | 0;
   148110     $malloc_fcn62 = $15 | 0;
   148111     $16 = HEAP32[$malloc_fcn62 >> 2] | 0;
   148112     $call63 = FUNCTION_TABLE_ii[$16 & 1023]($mul60) | 0;
   148113     $17 = $call63;
   148114     $tobool64 = ($call63 | 0) == 0;
   148115     if ($tobool64) {
   148116       $retval_0 = 0;
   148117       label = 32;
   148118       break;
   148119     } else {
   148120       label = 19;
   148121       break;
   148122     }
   148123    case 19:
   148124     _memset($call63 | 0, 0, $mul60 | 0);
   148125     $18 = HEAP32[$size >> 2] | 0;
   148126     $cmp68111 = ($18 | 0) == 0;
   148127     if ($cmp68111) {
   148128       label = 27;
   148129       break;
   148130     } else {
   148131       label = 20;
   148132       break;
   148133     }
   148134    case 20:
   148135     $neg85 = -$shl | 0;
   148136     $sub88 = $conv57 - 1 | 0;
   148137     $shr90 = $sub58 >>> 2;
   148138     $i_1112 = 0;
   148139     label = 21;
   148140     break;
   148141    case 21:
   148142     $19 = HEAP32[$v16 >> 2] | 0;
   148143     $arrayidx71 = $19 + ($i_1112 << 2) | 0;
   148144     $20 = HEAP32[$arrayidx71 >> 2] | 0;
   148145     $tobool72 = ($20 | 0) == 0;
   148146     if ($tobool72) {
   148147       label = 26;
   148148       break;
   148149     } else {
   148150       label = 22;
   148151       break;
   148152     }
   148153    case 22:
   148154     $name76 = $20 | 0;
   148155     $21 = HEAP32[$name76 >> 2] | 0;
   148156     $call77 = _hash($parser, $21) | 0;
   148157     $and78 = $call77 & $sub58;
   148158     $arrayidx80106 = $17 + ($and78 << 2) | 0;
   148159     $22 = HEAP32[$arrayidx80106 >> 2] | 0;
   148160     $tobool81107 = ($22 | 0) == 0;
   148161     if ($tobool81107) {
   148162       $arrayidx80_lcssa = $arrayidx80106;
   148163       label = 25;
   148164       break;
   148165     } else {
   148166       label = 23;
   148167       break;
   148168     }
   148169    case 23:
   148170     $and86 = $call77 & $neg85;
   148171     $shr89 = $and86 >>> ($sub88 >>> 0);
   148172     $and91 = $shr89 & $shr90;
   148173     $or92 = $and91 | 1;
   148174     $conv93 = $or92 & 255;
   148175     $step_2108 = 0;
   148176     $j_0109 = $and78;
   148177     label = 24;
   148178     break;
   148179    case 24:
   148180     $tobool83 = $step_2108 << 24 >> 24 == 0;
   148181     $conv93_step_2108 = $tobool83 ? $conv93 : $step_2108;
   148182     $conv95 = $conv93_step_2108 & 255;
   148183     $cmp96 = $j_0109 >>> 0 < $conv95 >>> 0;
   148184     $sub100 = $cmp96 ? $shl : 0;
   148185     $sub100_pn = $j_0109 + $sub100 | 0;
   148186     $j_0_be = $sub100_pn - $conv95 | 0;
   148187     $arrayidx80 = $17 + ($j_0_be << 2) | 0;
   148188     $23 = HEAP32[$arrayidx80 >> 2] | 0;
   148189     $tobool81 = ($23 | 0) == 0;
   148190     if ($tobool81) {
   148191       $arrayidx80_lcssa = $arrayidx80;
   148192       label = 25;
   148193       break;
   148194     } else {
   148195       $step_2108 = $conv93_step_2108;
   148196       $j_0109 = $j_0_be;
   148197       label = 24;
   148198       break;
   148199     }
   148200    case 25:
   148201     $24 = HEAP32[$v16 >> 2] | 0;
   148202     $arrayidx109 = $24 + ($i_1112 << 2) | 0;
   148203     $25 = HEAP32[$arrayidx109 >> 2] | 0;
   148204     HEAP32[$arrayidx80_lcssa >> 2] = $25;
   148205     label = 26;
   148206     break;
   148207    case 26:
   148208     $inc = $i_1112 + 1 | 0;
   148209     $26 = HEAP32[$size >> 2] | 0;
   148210     $cmp68 = $inc >>> 0 < $26 >>> 0;
   148211     if ($cmp68) {
   148212       $i_1112 = $inc;
   148213       label = 21;
   148214       break;
   148215     } else {
   148216       label = 27;
   148217       break;
   148218     }
   148219    case 27:
   148220     $27 = HEAP32[$mem61 >> 2] | 0;
   148221     $free_fcn = $27 + 8 | 0;
   148222     $28 = HEAP32[$free_fcn >> 2] | 0;
   148223     $29 = HEAP32[$v16 >> 2] | 0;
   148224     $30 = $29;
   148225     FUNCTION_TABLE_vi[$28 & 1023]($30);
   148226     HEAP32[$v16 >> 2] = $17;
   148227     HEAP8[$power47] = $add55;
   148228     HEAP32[$size >> 2] = $shl;
   148229     $and117 = $sub58 & $call12;
   148230     $31 = HEAP32[$v16 >> 2] | 0;
   148231     $arrayidx120102 = $31 + ($and117 << 2) | 0;
   148232     $32 = HEAP32[$arrayidx120102 >> 2] | 0;
   148233     $tobool121103 = ($32 | 0) == 0;
   148234     if ($tobool121103) {
   148235       $i_3 = $and117;
   148236       label = 30;
   148237       break;
   148238     } else {
   148239       label = 28;
   148240       break;
   148241     }
   148242    case 28:
   148243     $neg125 = -$shl | 0;
   148244     $and126 = $call12 & $neg125;
   148245     $sub128 = $conv57 - 1 | 0;
   148246     $shr129 = $and126 >>> ($sub128 >>> 0);
   148247     $shr130 = $sub58 >>> 2;
   148248     $and131 = $shr129 & $shr130;
   148249     $or132 = $and131 | 1;
   148250     $conv133 = $or132 & 255;
   148251     $33 = HEAP32[$v16 >> 2] | 0;
   148252     $step_4104 = 0;
   148253     $i_2105 = $and117;
   148254     label = 29;
   148255     break;
   148256    case 29:
   148257     $tobool123 = $step_4104 << 24 >> 24 == 0;
   148258     $conv133_step_4104 = $tobool123 ? $conv133 : $step_4104;
   148259     $conv135 = $conv133_step_4104 & 255;
   148260     $cmp136 = $i_2105 >>> 0 < $conv135 >>> 0;
   148261     $sub140 = $cmp136 ? $shl : 0;
   148262     $sub140_pn = $i_2105 + $sub140 | 0;
   148263     $i_2_be = $sub140_pn - $conv135 | 0;
   148264     $arrayidx120 = $33 + ($i_2_be << 2) | 0;
   148265     $34 = HEAP32[$arrayidx120 >> 2] | 0;
   148266     $tobool121 = ($34 | 0) == 0;
   148267     if ($tobool121) {
   148268       $i_3 = $i_2_be;
   148269       label = 30;
   148270       break;
   148271     } else {
   148272       $step_4104 = $conv133_step_4104;
   148273       $i_2105 = $i_2_be;
   148274       label = 29;
   148275       break;
   148276     }
   148277    case 30:
   148278     $mem150 = $table + 16 | 0;
   148279     $35 = HEAP32[$mem150 >> 2] | 0;
   148280     $malloc_fcn151 = $35 | 0;
   148281     $36 = HEAP32[$malloc_fcn151 >> 2] | 0;
   148282     $call152 = FUNCTION_TABLE_ii[$36 & 1023]($createSize) | 0;
   148283     $37 = $call152;
   148284     $v153 = $table | 0;
   148285     $38 = HEAP32[$v153 >> 2] | 0;
   148286     $arrayidx154 = $38 + ($i_3 << 2) | 0;
   148287     HEAP32[$arrayidx154 >> 2] = $37;
   148288     $39 = HEAP32[$v153 >> 2] | 0;
   148289     $arrayidx156 = $39 + ($i_3 << 2) | 0;
   148290     $40 = HEAP32[$arrayidx156 >> 2] | 0;
   148291     $tobool157 = ($40 | 0) == 0;
   148292     if ($tobool157) {
   148293       $retval_0 = 0;
   148294       label = 32;
   148295       break;
   148296     } else {
   148297       label = 31;
   148298       break;
   148299     }
   148300    case 31:
   148301     $41 = $40;
   148302     _memset($41 | 0, 0, $createSize | 0);
   148303     $42 = HEAP32[$v153 >> 2] | 0;
   148304     $arrayidx163 = $42 + ($i_3 << 2) | 0;
   148305     $43 = HEAP32[$arrayidx163 >> 2] | 0;
   148306     $name164 = $43 | 0;
   148307     HEAP32[$name164 >> 2] = $name;
   148308     $used165 = $table + 12 | 0;
   148309     $44 = HEAP32[$used165 >> 2] | 0;
   148310     $inc166 = $44 + 1 | 0;
   148311     HEAP32[$used165 >> 2] = $inc166;
   148312     $45 = HEAP32[$v153 >> 2] | 0;
   148313     $arrayidx168 = $45 + ($i_3 << 2) | 0;
   148314     $46 = HEAP32[$arrayidx168 >> 2] | 0;
   148315     $retval_0 = $46;
   148316     label = 32;
   148317     break;
   148318    case 32:
   148319     return $retval_0 | 0;
   148320   }
   148321   return 0;
   148322 }
   148323 function _hashTableDestroy($table) {
   148324   $table = $table | 0;
   148325   var $size = 0, $0 = 0, $cmp7 = 0, $mem = 0, $1 = 0, $free_fcn8 = 0, $2 = 0, $v = 0, $3 = 0, $4 = 0, $5 = 0, $i_09 = 0, $arrayidx = 0, $6 = 0, $7 = 0, $inc = 0, $8 = 0, $cmp = 0, $9 = 0, $free_fcn = 0, $10 = 0, $11 = 0, $_lcssa6 = 0, $_lcssa = 0, $12 = 0, label = 0;
   148326   label = 2;
   148327   while (1) switch (label | 0) {
   148328    case 2:
   148329     $size = $table + 8 | 0;
   148330     $0 = HEAP32[$size >> 2] | 0;
   148331     $cmp7 = ($0 | 0) == 0;
   148332     $mem = $table + 16 | 0;
   148333     $1 = HEAP32[$mem >> 2] | 0;
   148334     $free_fcn8 = $1 + 8 | 0;
   148335     $2 = HEAP32[$free_fcn8 >> 2] | 0;
   148336     $v = $table | 0;
   148337     $3 = HEAP32[$v >> 2] | 0;
   148338     if ($cmp7) {
   148339       $_lcssa = $2;
   148340       $_lcssa6 = $3;
   148341       label = 4;
   148342       break;
   148343     } else {
   148344       $i_09 = 0;
   148345       $5 = $2;
   148346       $4 = $3;
   148347       label = 3;
   148348       break;
   148349     }
   148350    case 3:
   148351     $arrayidx = $4 + ($i_09 << 2) | 0;
   148352     $6 = HEAP32[$arrayidx >> 2] | 0;
   148353     $7 = $6;
   148354     FUNCTION_TABLE_vi[$5 & 1023]($7);
   148355     $inc = $i_09 + 1 | 0;
   148356     $8 = HEAP32[$size >> 2] | 0;
   148357     $cmp = $inc >>> 0 < $8 >>> 0;
   148358     $9 = HEAP32[$mem >> 2] | 0;
   148359     $free_fcn = $9 + 8 | 0;
   148360     $10 = HEAP32[$free_fcn >> 2] | 0;
   148361     $11 = HEAP32[$v >> 2] | 0;
   148362     if ($cmp) {
   148363       $i_09 = $inc;
   148364       $5 = $10;
   148365       $4 = $11;
   148366       label = 3;
   148367       break;
   148368     } else {
   148369       $_lcssa = $10;
   148370       $_lcssa6 = $11;
   148371       label = 4;
   148372       break;
   148373     }
   148374    case 4:
   148375     $12 = $_lcssa6;
   148376     FUNCTION_TABLE_vi[$_lcssa & 1023]($12);
   148377     return;
   148378   }
   148379 }
   148380 function _addBinding($parser, $prefix, $attId, $uri, $bindingsPtr) {
   148381   $parser = $parser | 0;
   148382   $prefix = $prefix | 0;
   148383   $attId = $attId | 0;
   148384   $uri = $uri | 0;
   148385   $bindingsPtr = $bindingsPtr | 0;
   148386   var $0 = 0, $cmp = 0, $name = 0, $1 = 0, $tobool = 0, $name2 = 0, $2 = 0, $tobool3 = 0, $3 = 0, $cmp7 = 0, $arrayidx11 = 0, $4 = 0, $cmp13 = 0, $arrayidx17 = 0, $5 = 0, $cmp19 = 0, $arrayidx23 = 0, $6 = 0, $cmp25 = 0, $arrayidx29 = 0, $7 = 0, $cmp31 = 0, $arrayidx35 = 0, $8 = 0, $cmp37 = 0, $9 = 0, $arrayidx42 = 0, $10 = 0, $cmp44 = 0, $_ = 0, $mustBeXML_0 = 0, $11 = 0, $tobool5080 = 0, $tobool64 = 0, $tobool5285 = 0, $12 = 0, $arrayidx4984 = 0, $len_083 = 0, $isXMLNS_082 = 0, $isXML_081 = 0, $cmp54 = 0, $arrayidx58 = 0, $13 = 0, $cmp60 = 0, $isXML_1 = 0, $tobool67 = 0, $or_cond = 0, $cmp69 = 0, $14 = 0, $arrayidx74 = 0, $15 = 0, $cmp76 = 0, $isXMLNS_1 = 0, $inc = 0, $arrayidx49 = 0, $16 = 0, $tobool50 = 0, $tobool52 = 0, $isXMLNS_0_lcssa92 = 0, $len_0_lcssa90 = 0, $cmp82 = 0, $phitmp79 = 0, $isXMLNS_0_lcssa91 = 0, $len_0_lcssa89 = 0, $17 = 0, $tobool86 = 0, $cmp88 = 0, $phitmp78 = 0, $18 = 0, $conv93 = 0, $cmp95 = 0, $tobool99 = 0, $cond = 0, $tobool101 = 0, $m_namespaceSeparator = 0, $19 = 0, $not_tobool104 = 0, $inc106 = 0, $len_0_inc106 = 0, $m_freeBindingList = 0, $20 = 0, $tobool108 = 0, $uriAlloc = 0, $21 = 0, $cmp111 = 0, $realloc_fcn = 0, $22 = 0, $uri114 = 0, $23 = 0, $add = 0, $call = 0, $cmp115 = 0, $nextTagBinding = 0, $24 = 0, $malloc_fcn = 0, $25 = 0, $call125 = 0, $26 = 0, $tobool126 = 0, $27 = 0, $add131 = 0, $call133 = 0, $uri134 = 0, $28 = 0, $tobool136 = 0, $free_fcn = 0, $29 = 0, $uriAlloc141 = 0, $30 = 0, $b_0 = 0, $uriLen = 0, $uri143 = 0, $31 = 0, $32 = 0, $tobool146 = 0, $sub = 0, $33 = 0, $arrayidx150 = 0, $prefix152 = 0, $attId153 = 0, $binding = 0, $34 = 0, $prevPrefixBinding = 0, $35 = 0, $cmp155 = 0, $m_dtd = 0, $36 = 0, $defaultPrefix = 0, $cmp158 = 0, $storemerge = 0, $37 = 0, $nextTagBinding165 = 0, $tobool166 = 0, $m_startNamespaceDeclHandler = 0, $38 = 0, $tobool168 = 0, $m_handlerArg = 0, $39 = 0, $40 = 0, $41 = 0, $tobool173 = 0, $cond174 = 0, $retval_0 = 0, label = 0;
   148387   label = 2;
   148388   while (1) switch (label | 0) {
   148389    case 2:
   148390     $0 = HEAP8[$uri] | 0;
   148391     $cmp = $0 << 24 >> 24 == 0;
   148392     if ($cmp) {
   148393       label = 3;
   148394       break;
   148395     } else {
   148396       label = 4;
   148397       break;
   148398     }
   148399    case 3:
   148400     $name = $prefix | 0;
   148401     $1 = HEAP32[$name >> 2] | 0;
   148402     $tobool = ($1 | 0) == 0;
   148403     if ($tobool) {
   148404       label = 4;
   148405       break;
   148406     } else {
   148407       $retval_0 = 28;
   148408       label = 47;
   148409       break;
   148410     }
   148411    case 4:
   148412     $name2 = $prefix | 0;
   148413     $2 = HEAP32[$name2 >> 2] | 0;
   148414     $tobool3 = ($2 | 0) == 0;
   148415     if ($tobool3) {
   148416       $mustBeXML_0 = 0;
   148417       label = 12;
   148418       break;
   148419     } else {
   148420       label = 5;
   148421       break;
   148422     }
   148423    case 5:
   148424     $3 = HEAP8[$2] | 0;
   148425     $cmp7 = $3 << 24 >> 24 == 120;
   148426     if ($cmp7) {
   148427       label = 6;
   148428       break;
   148429     } else {
   148430       $mustBeXML_0 = 0;
   148431       label = 12;
   148432       break;
   148433     }
   148434    case 6:
   148435     $arrayidx11 = $2 + 1 | 0;
   148436     $4 = HEAP8[$arrayidx11] | 0;
   148437     $cmp13 = $4 << 24 >> 24 == 109;
   148438     if ($cmp13) {
   148439       label = 7;
   148440       break;
   148441     } else {
   148442       $mustBeXML_0 = 0;
   148443       label = 12;
   148444       break;
   148445     }
   148446    case 7:
   148447     $arrayidx17 = $2 + 2 | 0;
   148448     $5 = HEAP8[$arrayidx17] | 0;
   148449     $cmp19 = $5 << 24 >> 24 == 108;
   148450     if ($cmp19) {
   148451       label = 8;
   148452       break;
   148453     } else {
   148454       $mustBeXML_0 = 0;
   148455       label = 12;
   148456       break;
   148457     }
   148458    case 8:
   148459     $arrayidx23 = $2 + 3 | 0;
   148460     $6 = HEAP8[$arrayidx23] | 0;
   148461     $cmp25 = $6 << 24 >> 24 == 110;
   148462     if ($cmp25) {
   148463       label = 9;
   148464       break;
   148465     } else {
   148466       label = 11;
   148467       break;
   148468     }
   148469    case 9:
   148470     $arrayidx29 = $2 + 4 | 0;
   148471     $7 = HEAP8[$arrayidx29] | 0;
   148472     $cmp31 = $7 << 24 >> 24 == 115;
   148473     if ($cmp31) {
   148474       label = 10;
   148475       break;
   148476     } else {
   148477       label = 11;
   148478       break;
   148479     }
   148480    case 10:
   148481     $arrayidx35 = $2 + 5 | 0;
   148482     $8 = HEAP8[$arrayidx35] | 0;
   148483     $cmp37 = $8 << 24 >> 24 == 0;
   148484     if ($cmp37) {
   148485       $retval_0 = 39;
   148486       label = 47;
   148487       break;
   148488     } else {
   148489       label = 11;
   148490       break;
   148491     }
   148492    case 11:
   148493     $9 = HEAP32[$name2 >> 2] | 0;
   148494     $arrayidx42 = $9 + 3 | 0;
   148495     $10 = HEAP8[$arrayidx42] | 0;
   148496     $cmp44 = $10 << 24 >> 24 == 0;
   148497     $_ = $cmp44 & 1;
   148498     $mustBeXML_0 = $_;
   148499     label = 12;
   148500     break;
   148501    case 12:
   148502     $11 = HEAP8[$uri] | 0;
   148503     $tobool5080 = $11 << 24 >> 24 == 0;
   148504     if ($tobool5080) {
   148505       $len_0_lcssa90 = 0;
   148506       $isXMLNS_0_lcssa92 = 1;
   148507       label = 24;
   148508       break;
   148509     } else {
   148510       label = 13;
   148511       break;
   148512     }
   148513    case 13:
   148514     $tobool64 = $mustBeXML_0 << 24 >> 24 != 0;
   148515     $isXML_081 = 1;
   148516     $isXMLNS_082 = 1;
   148517     $len_083 = 0;
   148518     $arrayidx4984 = $uri;
   148519     $12 = $11;
   148520     $tobool5285 = 1;
   148521     label = 14;
   148522     break;
   148523    case 14:
   148524     if ($tobool5285) {
   148525       label = 15;
   148526       break;
   148527     } else {
   148528       $isXML_1 = 0;
   148529       label = 18;
   148530       break;
   148531     }
   148532    case 15:
   148533     $cmp54 = ($len_083 | 0) > 36;
   148534     if ($cmp54) {
   148535       label = 17;
   148536       break;
   148537     } else {
   148538       label = 16;
   148539       break;
   148540     }
   148541    case 16:
   148542     $arrayidx58 = $len_083 + 89704 | 0;
   148543     $13 = HEAP8[$arrayidx58] | 0;
   148544     $cmp60 = $12 << 24 >> 24 == $13 << 24 >> 24;
   148545     if ($cmp60) {
   148546       $isXML_1 = $isXML_081;
   148547       label = 18;
   148548       break;
   148549     } else {
   148550       label = 17;
   148551       break;
   148552     }
   148553    case 17:
   148554     $isXML_1 = 0;
   148555     label = 18;
   148556     break;
   148557    case 18:
   148558     $tobool67 = $isXMLNS_082 << 24 >> 24 == 0;
   148559     $or_cond = $tobool64 | $tobool67;
   148560     if ($or_cond) {
   148561       $isXMLNS_1 = $isXMLNS_082;
   148562       label = 22;
   148563       break;
   148564     } else {
   148565       label = 19;
   148566       break;
   148567     }
   148568    case 19:
   148569     $cmp69 = ($len_083 | 0) > 29;
   148570     if ($cmp69) {
   148571       label = 21;
   148572       break;
   148573     } else {
   148574       label = 20;
   148575       break;
   148576     }
   148577    case 20:
   148578     $14 = HEAP8[$arrayidx4984] | 0;
   148579     $arrayidx74 = $len_083 + 89672 | 0;
   148580     $15 = HEAP8[$arrayidx74] | 0;
   148581     $cmp76 = $14 << 24 >> 24 == $15 << 24 >> 24;
   148582     if ($cmp76) {
   148583       $isXMLNS_1 = $isXMLNS_082;
   148584       label = 22;
   148585       break;
   148586     } else {
   148587       label = 21;
   148588       break;
   148589     }
   148590    case 21:
   148591     $isXMLNS_1 = 0;
   148592     label = 22;
   148593     break;
   148594    case 22:
   148595     $inc = $len_083 + 1 | 0;
   148596     $arrayidx49 = $uri + $inc | 0;
   148597     $16 = HEAP8[$arrayidx49] | 0;
   148598     $tobool50 = $16 << 24 >> 24 == 0;
   148599     $tobool52 = $isXML_1 << 24 >> 24 != 0;
   148600     if ($tobool50) {
   148601       label = 23;
   148602       break;
   148603     } else {
   148604       $isXML_081 = $isXML_1;
   148605       $isXMLNS_082 = $isXMLNS_1;
   148606       $len_083 = $inc;
   148607       $arrayidx4984 = $arrayidx49;
   148608       $12 = $16;
   148609       $tobool5285 = $tobool52;
   148610       label = 14;
   148611       break;
   148612     }
   148613    case 23:
   148614     if ($tobool52) {
   148615       $len_0_lcssa90 = $inc;
   148616       $isXMLNS_0_lcssa92 = $isXMLNS_1;
   148617       label = 24;
   148618       break;
   148619     } else {
   148620       $17 = 0;
   148621       $len_0_lcssa89 = $inc;
   148622       $isXMLNS_0_lcssa91 = $isXMLNS_1;
   148623       label = 25;
   148624       break;
   148625     }
   148626    case 24:
   148627     $cmp82 = ($len_0_lcssa90 | 0) == 36;
   148628     $phitmp79 = $cmp82 & 1;
   148629     $17 = $phitmp79;
   148630     $len_0_lcssa89 = $len_0_lcssa90;
   148631     $isXMLNS_0_lcssa91 = $isXMLNS_0_lcssa92;
   148632     label = 25;
   148633     break;
   148634    case 25:
   148635     $tobool86 = $isXMLNS_0_lcssa91 << 24 >> 24 == 0;
   148636     if ($tobool86) {
   148637       $18 = 0;
   148638       label = 27;
   148639       break;
   148640     } else {
   148641       label = 26;
   148642       break;
   148643     }
   148644    case 26:
   148645     $cmp88 = ($len_0_lcssa89 | 0) == 29;
   148646     $phitmp78 = $cmp88 & 1;
   148647     $18 = $phitmp78;
   148648     label = 27;
   148649     break;
   148650    case 27:
   148651     $conv93 = $mustBeXML_0 & 255;
   148652     $cmp95 = ($conv93 | 0) == ($17 | 0);
   148653     if ($cmp95) {
   148654       label = 29;
   148655       break;
   148656     } else {
   148657       label = 28;
   148658       break;
   148659     }
   148660    case 28:
   148661     $tobool99 = $mustBeXML_0 << 24 >> 24 != 0;
   148662     $cond = $tobool99 ? 38 : 40;
   148663     $retval_0 = $cond;
   148664     label = 47;
   148665     break;
   148666    case 29:
   148667     $tobool101 = $18 << 24 >> 24 == 0;
   148668     if ($tobool101) {
   148669       label = 30;
   148670       break;
   148671     } else {
   148672       $retval_0 = 40;
   148673       label = 47;
   148674       break;
   148675     }
   148676    case 30:
   148677     $m_namespaceSeparator = $parser + 456 | 0;
   148678     $19 = HEAP8[$m_namespaceSeparator] | 0;
   148679     $not_tobool104 = $19 << 24 >> 24 != 0;
   148680     $inc106 = $not_tobool104 & 1;
   148681     $len_0_inc106 = $inc106 + $len_0_lcssa89 | 0;
   148682     $m_freeBindingList = $parser + 360 | 0;
   148683     $20 = HEAP32[$m_freeBindingList >> 2] | 0;
   148684     $tobool108 = ($20 | 0) == 0;
   148685     if ($tobool108) {
   148686       label = 35;
   148687       break;
   148688     } else {
   148689       label = 31;
   148690       break;
   148691     }
   148692    case 31:
   148693     $uriAlloc = $20 + 24 | 0;
   148694     $21 = HEAP32[$uriAlloc >> 2] | 0;
   148695     $cmp111 = ($len_0_inc106 | 0) > ($21 | 0);
   148696     if ($cmp111) {
   148697       label = 32;
   148698       break;
   148699     } else {
   148700       label = 34;
   148701       break;
   148702     }
   148703    case 32:
   148704     $realloc_fcn = $parser + 16 | 0;
   148705     $22 = HEAP32[$realloc_fcn >> 2] | 0;
   148706     $uri114 = $20 + 16 | 0;
   148707     $23 = HEAP32[$uri114 >> 2] | 0;
   148708     $add = $len_0_inc106 + 24 | 0;
   148709     $call = FUNCTION_TABLE_iii[$22 & 1023]($23, $add) | 0;
   148710     $cmp115 = ($call | 0) == 0;
   148711     if ($cmp115) {
   148712       $retval_0 = 1;
   148713       label = 47;
   148714       break;
   148715     } else {
   148716       label = 33;
   148717       break;
   148718     }
   148719    case 33:
   148720     HEAP32[$uri114 >> 2] = $call;
   148721     HEAP32[$uriAlloc >> 2] = $add;
   148722     label = 34;
   148723     break;
   148724    case 34:
   148725     $nextTagBinding = $20 + 4 | 0;
   148726     $24 = HEAP32[$nextTagBinding >> 2] | 0;
   148727     HEAP32[$m_freeBindingList >> 2] = $24;
   148728     $b_0 = $20;
   148729     label = 39;
   148730     break;
   148731    case 35:
   148732     $malloc_fcn = $parser + 12 | 0;
   148733     $25 = HEAP32[$malloc_fcn >> 2] | 0;
   148734     $call125 = FUNCTION_TABLE_ii[$25 & 1023](28) | 0;
   148735     $26 = $call125;
   148736     $tobool126 = ($call125 | 0) == 0;
   148737     if ($tobool126) {
   148738       $retval_0 = 1;
   148739       label = 47;
   148740       break;
   148741     } else {
   148742       label = 36;
   148743       break;
   148744     }
   148745    case 36:
   148746     $27 = HEAP32[$malloc_fcn >> 2] | 0;
   148747     $add131 = $len_0_inc106 + 24 | 0;
   148748     $call133 = FUNCTION_TABLE_ii[$27 & 1023]($add131) | 0;
   148749     $uri134 = $call125 + 16 | 0;
   148750     $28 = $uri134;
   148751     HEAP32[$28 >> 2] = $call133;
   148752     $tobool136 = ($call133 | 0) == 0;
   148753     if ($tobool136) {
   148754       label = 37;
   148755       break;
   148756     } else {
   148757       label = 38;
   148758       break;
   148759     }
   148760    case 37:
   148761     $free_fcn = $parser + 20 | 0;
   148762     $29 = HEAP32[$free_fcn >> 2] | 0;
   148763     FUNCTION_TABLE_vi[$29 & 1023]($call125);
   148764     $retval_0 = 1;
   148765     label = 47;
   148766     break;
   148767    case 38:
   148768     $uriAlloc141 = $call125 + 24 | 0;
   148769     $30 = $uriAlloc141;
   148770     HEAP32[$30 >> 2] = $add131;
   148771     $b_0 = $26;
   148772     label = 39;
   148773     break;
   148774    case 39:
   148775     $uriLen = $b_0 + 20 | 0;
   148776     HEAP32[$uriLen >> 2] = $len_0_inc106;
   148777     $uri143 = $b_0 + 16 | 0;
   148778     $31 = HEAP32[$uri143 >> 2] | 0;
   148779     _memcpy($31 | 0, $uri | 0, $len_0_inc106);
   148780     $32 = HEAP8[$m_namespaceSeparator] | 0;
   148781     $tobool146 = $32 << 24 >> 24 == 0;
   148782     if ($tobool146) {
   148783       label = 41;
   148784       break;
   148785     } else {
   148786       label = 40;
   148787       break;
   148788     }
   148789    case 40:
   148790     $sub = $len_0_inc106 - 1 | 0;
   148791     $33 = HEAP32[$uri143 >> 2] | 0;
   148792     $arrayidx150 = $33 + $sub | 0;
   148793     HEAP8[$arrayidx150] = $32;
   148794     label = 41;
   148795     break;
   148796    case 41:
   148797     $prefix152 = $b_0 | 0;
   148798     HEAP32[$prefix152 >> 2] = $prefix;
   148799     $attId153 = $b_0 + 12 | 0;
   148800     HEAP32[$attId153 >> 2] = $attId;
   148801     $binding = $prefix + 4 | 0;
   148802     $34 = HEAP32[$binding >> 2] | 0;
   148803     $prevPrefixBinding = $b_0 + 8 | 0;
   148804     HEAP32[$prevPrefixBinding >> 2] = $34;
   148805     $35 = HEAP8[$uri] | 0;
   148806     $cmp155 = $35 << 24 >> 24 == 0;
   148807     if ($cmp155) {
   148808       label = 42;
   148809       break;
   148810     } else {
   148811       label = 43;
   148812       break;
   148813     }
   148814    case 42:
   148815     $m_dtd = $parser + 340 | 0;
   148816     $36 = HEAP32[$m_dtd >> 2] | 0;
   148817     $defaultPrefix = $36 + 132 | 0;
   148818     $cmp158 = ($defaultPrefix | 0) == ($prefix | 0);
   148819     if ($cmp158) {
   148820       $storemerge = 0;
   148821       label = 44;
   148822       break;
   148823     } else {
   148824       label = 43;
   148825       break;
   148826     }
   148827    case 43:
   148828     $storemerge = $b_0;
   148829     label = 44;
   148830     break;
   148831    case 44:
   148832     HEAP32[$binding >> 2] = $storemerge;
   148833     $37 = HEAP32[$bindingsPtr >> 2] | 0;
   148834     $nextTagBinding165 = $b_0 + 4 | 0;
   148835     HEAP32[$nextTagBinding165 >> 2] = $37;
   148836     HEAP32[$bindingsPtr >> 2] = $b_0;
   148837     $tobool166 = ($attId | 0) == 0;
   148838     if ($tobool166) {
   148839       $retval_0 = 0;
   148840       label = 47;
   148841       break;
   148842     } else {
   148843       label = 45;
   148844       break;
   148845     }
   148846    case 45:
   148847     $m_startNamespaceDeclHandler = $parser + 100 | 0;
   148848     $38 = HEAP32[$m_startNamespaceDeclHandler >> 2] | 0;
   148849     $tobool168 = ($38 | 0) == 0;
   148850     if ($tobool168) {
   148851       $retval_0 = 0;
   148852       label = 47;
   148853       break;
   148854     } else {
   148855       label = 46;
   148856       break;
   148857     }
   148858    case 46:
   148859     $m_handlerArg = $parser + 4 | 0;
   148860     $39 = HEAP32[$m_handlerArg >> 2] | 0;
   148861     $40 = HEAP32[$name2 >> 2] | 0;
   148862     $41 = HEAP32[$binding >> 2] | 0;
   148863     $tobool173 = ($41 | 0) != 0;
   148864     $cond174 = $tobool173 ? $uri : 0;
   148865     FUNCTION_TABLE_viii[$38 & 1023]($39, $40, $cond174);
   148866     $retval_0 = 0;
   148867     label = 47;
   148868     break;
   148869    case 47:
   148870     return $retval_0 | 0;
   148871   }
   148872   return 0;
   148873 }
   148874 function _initializeEncoding($parser) {
   148875   $parser = $parser | 0;
   148876   var $m_protocolEncodingName = 0, $0 = 0, $m_initEncoding = 0, $m_encoding = 0, $call = 0, $tobool1 = 0, $1 = 0, $call3 = 0, $retval_0 = 0, label = 0;
   148877   label = 2;
   148878   while (1) switch (label | 0) {
   148879    case 2:
   148880     $m_protocolEncodingName = $parser + 228 | 0;
   148881     $0 = HEAP32[$m_protocolEncodingName >> 2] | 0;
   148882     $m_initEncoding = $parser + 148 | 0;
   148883     $m_encoding = $parser + 144 | 0;
   148884     $call = _XmlInitEncoding($m_initEncoding, $m_encoding, $0) | 0;
   148885     $tobool1 = ($call | 0) == 0;
   148886     if ($tobool1) {
   148887       label = 3;
   148888       break;
   148889     } else {
   148890       $retval_0 = 0;
   148891       label = 4;
   148892       break;
   148893     }
   148894    case 3:
   148895     $1 = HEAP32[$m_protocolEncodingName >> 2] | 0;
   148896     $call3 = _handleUnknownEncoding($parser, $1) | 0;
   148897     $retval_0 = $call3;
   148898     label = 4;
   148899     break;
   148900    case 4:
   148901     return $retval_0 | 0;
   148902   }
   148903   return 0;
   148904 }
   148905 function _processXmlDecl($parser, $isGeneralTextEntity, $s, $next) {
   148906   $parser = $parser | 0;
   148907   $isGeneralTextEntity = $isGeneralTextEntity | 0;
   148908   $s = $s | 0;
   148909   $next = $next | 0;
   148910   var $encodingName = 0, $newEncoding = 0, $version = 0, $versionend = 0, $standalone = 0, $m_encoding = 0, $0 = 0, $m_eventPtr = 0, $call = 0, $tobool1 = 0, $tobool4 = 0, $_ = 0, $1 = 0, $cmp = 0, $m_dtd = 0, $2 = 0, $standalone7 = 0, $m_xmlDeclHandler = 0, $3 = 0, $tobool9 = 0, $4 = 0, $cmp11 = 0, $m_temp2Pool = 0, $5 = 0, $nameLength = 0, $6 = 0, $call17 = 0, $add_ptr = 0, $call18 = 0, $tobool19 = 0, $ptr = 0, $7 = 0, $start = 0, $storedEncName_0 = 0, $8 = 0, $tobool25 = 0, $m_temp2Pool27 = 0, $9 = 0, $10 = 0, $minBytesPerChar = 0, $11 = 0, $idx_neg = 0, $add_ptr30 = 0, $call31 = 0, $tobool32 = 0, $storedversion_0 = 0, $12 = 0, $m_handlerArg = 0, $13 = 0, $14 = 0, $m_defaultHandler = 0, $15 = 0, $tobool38 = 0, $16 = 0, $storedEncName_1 = 0, $storedversion_1 = 0, $m_protocolEncodingName = 0, $17 = 0, $cmp43 = 0, $18 = 0, $tobool46 = 0, $minBytesPerChar48 = 0, $19 = 0, $20 = 0, $minBytesPerChar50 = 0, $21 = 0, $cmp51 = 0, $22 = 0, $23 = 0, $tobool58 = 0, $tobool60 = 0, $m_temp2Pool62 = 0, $24 = 0, $nameLength65 = 0, $25 = 0, $call67 = 0, $add_ptr68 = 0, $call69 = 0, $tobool70 = 0, $storedEncName_2 = 0, $call74 = 0, $m_temp2Pool75 = 0, $cmp76 = 0, $26 = 0, $tobool84 = 0, $tobool85 = 0, $or_cond = 0, $m_temp2Pool87 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   148911   __stackBase__ = STACKTOP;
   148912   STACKTOP = STACKTOP + 40 | 0;
   148913   label = 2;
   148914   while (1) switch (label | 0) {
   148915    case 2:
   148916     $encodingName = __stackBase__ | 0;
   148917     $newEncoding = __stackBase__ + 8 | 0;
   148918     $version = __stackBase__ + 16 | 0;
   148919     $versionend = __stackBase__ + 24 | 0;
   148920     $standalone = __stackBase__ + 32 | 0;
   148921     HEAP32[$encodingName >> 2] = 0;
   148922     HEAP32[$newEncoding >> 2] = 0;
   148923     HEAP32[$version >> 2] = 0;
   148924     HEAP32[$standalone >> 2] = -1;
   148925     $m_encoding = $parser + 144 | 0;
   148926     $0 = HEAP32[$m_encoding >> 2] | 0;
   148927     $m_eventPtr = $parser + 272 | 0;
   148928     $call = _XmlParseXmlDecl($isGeneralTextEntity, $0, $s, $next, $m_eventPtr, $version, $versionend, $encodingName, $newEncoding, $standalone) | 0;
   148929     $tobool1 = ($call | 0) == 0;
   148930     $tobool4 = ($isGeneralTextEntity | 0) != 0;
   148931     if ($tobool1) {
   148932       label = 3;
   148933       break;
   148934     } else {
   148935       label = 4;
   148936       break;
   148937     }
   148938    case 3:
   148939     $_ = $tobool4 ? 31 : 30;
   148940     $retval_0 = $_;
   148941     label = 28;
   148942     break;
   148943    case 4:
   148944     if ($tobool4) {
   148945       label = 7;
   148946       break;
   148947     } else {
   148948       label = 5;
   148949       break;
   148950     }
   148951    case 5:
   148952     $1 = HEAP32[$standalone >> 2] | 0;
   148953     $cmp = ($1 | 0) == 1;
   148954     if ($cmp) {
   148955       label = 6;
   148956       break;
   148957     } else {
   148958       label = 7;
   148959       break;
   148960     }
   148961    case 6:
   148962     $m_dtd = $parser + 340 | 0;
   148963     $2 = HEAP32[$m_dtd >> 2] | 0;
   148964     $standalone7 = $2 + 130 | 0;
   148965     HEAP8[$standalone7] = 1;
   148966     label = 7;
   148967     break;
   148968    case 7:
   148969     $m_xmlDeclHandler = $parser + 140 | 0;
   148970     $3 = HEAP32[$m_xmlDeclHandler >> 2] | 0;
   148971     $tobool9 = ($3 | 0) == 0;
   148972     if ($tobool9) {
   148973       label = 14;
   148974       break;
   148975     } else {
   148976       label = 8;
   148977       break;
   148978     }
   148979    case 8:
   148980     $4 = HEAP32[$encodingName >> 2] | 0;
   148981     $cmp11 = ($4 | 0) == 0;
   148982     if ($cmp11) {
   148983       $storedEncName_0 = 0;
   148984       label = 11;
   148985       break;
   148986     } else {
   148987       label = 9;
   148988       break;
   148989     }
   148990    case 9:
   148991     $m_temp2Pool = $parser + 424 | 0;
   148992     $5 = HEAP32[$m_encoding >> 2] | 0;
   148993     $nameLength = $5 + 28 | 0;
   148994     $6 = HEAP32[$nameLength >> 2] | 0;
   148995     $call17 = FUNCTION_TABLE_iii[$6 & 1023]($5, $4) | 0;
   148996     $add_ptr = $4 + $call17 | 0;
   148997     $call18 = _poolStoreString($m_temp2Pool, $5, $4, $add_ptr) | 0;
   148998     $tobool19 = ($call18 | 0) == 0;
   148999     if ($tobool19) {
   149000       $retval_0 = 1;
   149001       label = 28;
   149002       break;
   149003     } else {
   149004       label = 10;
   149005       break;
   149006     }
   149007    case 10:
   149008     $ptr = $parser + 436 | 0;
   149009     $7 = HEAP32[$ptr >> 2] | 0;
   149010     $start = $parser + 440 | 0;
   149011     HEAP32[$start >> 2] = $7;
   149012     $storedEncName_0 = $call18;
   149013     label = 11;
   149014     break;
   149015    case 11:
   149016     $8 = HEAP32[$version >> 2] | 0;
   149017     $tobool25 = ($8 | 0) == 0;
   149018     if ($tobool25) {
   149019       $storedversion_0 = 0;
   149020       label = 13;
   149021       break;
   149022     } else {
   149023       label = 12;
   149024       break;
   149025     }
   149026    case 12:
   149027     $m_temp2Pool27 = $parser + 424 | 0;
   149028     $9 = HEAP32[$m_encoding >> 2] | 0;
   149029     $10 = HEAP32[$versionend >> 2] | 0;
   149030     $minBytesPerChar = $9 + 64 | 0;
   149031     $11 = HEAP32[$minBytesPerChar >> 2] | 0;
   149032     $idx_neg = -$11 | 0;
   149033     $add_ptr30 = $10 + $idx_neg | 0;
   149034     $call31 = _poolStoreString($m_temp2Pool27, $9, $8, $add_ptr30) | 0;
   149035     $tobool32 = ($call31 | 0) == 0;
   149036     if ($tobool32) {
   149037       $retval_0 = 1;
   149038       label = 28;
   149039       break;
   149040     } else {
   149041       $storedversion_0 = $call31;
   149042       label = 13;
   149043       break;
   149044     }
   149045    case 13:
   149046     $12 = HEAP32[$m_xmlDeclHandler >> 2] | 0;
   149047     $m_handlerArg = $parser + 4 | 0;
   149048     $13 = HEAP32[$m_handlerArg >> 2] | 0;
   149049     $14 = HEAP32[$standalone >> 2] | 0;
   149050     FUNCTION_TABLE_viiii[$12 & 1023]($13, $storedversion_0, $storedEncName_0, $14);
   149051     $storedversion_1 = $storedversion_0;
   149052     $storedEncName_1 = $storedEncName_0;
   149053     label = 16;
   149054     break;
   149055    case 14:
   149056     $m_defaultHandler = $parser + 80 | 0;
   149057     $15 = HEAP32[$m_defaultHandler >> 2] | 0;
   149058     $tobool38 = ($15 | 0) == 0;
   149059     if ($tobool38) {
   149060       $storedversion_1 = 0;
   149061       $storedEncName_1 = 0;
   149062       label = 16;
   149063       break;
   149064     } else {
   149065       label = 15;
   149066       break;
   149067     }
   149068    case 15:
   149069     $16 = HEAP32[$m_encoding >> 2] | 0;
   149070     _reportDefault($parser, $16, $s, $next);
   149071     $storedversion_1 = 0;
   149072     $storedEncName_1 = 0;
   149073     label = 16;
   149074     break;
   149075    case 16:
   149076     $m_protocolEncodingName = $parser + 228 | 0;
   149077     $17 = HEAP32[$m_protocolEncodingName >> 2] | 0;
   149078     $cmp43 = ($17 | 0) == 0;
   149079     if ($cmp43) {
   149080       label = 17;
   149081       break;
   149082     } else {
   149083       label = 26;
   149084       break;
   149085     }
   149086    case 17:
   149087     $18 = HEAP32[$newEncoding >> 2] | 0;
   149088     $tobool46 = ($18 | 0) == 0;
   149089     if ($tobool46) {
   149090       label = 21;
   149091       break;
   149092     } else {
   149093       label = 18;
   149094       break;
   149095     }
   149096    case 18:
   149097     $minBytesPerChar48 = $18 + 64 | 0;
   149098     $19 = HEAP32[$minBytesPerChar48 >> 2] | 0;
   149099     $20 = HEAP32[$m_encoding >> 2] | 0;
   149100     $minBytesPerChar50 = $20 + 64 | 0;
   149101     $21 = HEAP32[$minBytesPerChar50 >> 2] | 0;
   149102     $cmp51 = ($19 | 0) == ($21 | 0);
   149103     if ($cmp51) {
   149104       label = 20;
   149105       break;
   149106     } else {
   149107       label = 19;
   149108       break;
   149109     }
   149110    case 19:
   149111     $22 = HEAP32[$encodingName >> 2] | 0;
   149112     HEAP32[$m_eventPtr >> 2] = $22;
   149113     $retval_0 = 19;
   149114     label = 28;
   149115     break;
   149116    case 20:
   149117     HEAP32[$m_encoding >> 2] = $18;
   149118     label = 26;
   149119     break;
   149120    case 21:
   149121     $23 = HEAP32[$encodingName >> 2] | 0;
   149122     $tobool58 = ($23 | 0) == 0;
   149123     if ($tobool58) {
   149124       label = 26;
   149125       break;
   149126     } else {
   149127       label = 22;
   149128       break;
   149129     }
   149130    case 22:
   149131     $tobool60 = ($storedEncName_1 | 0) == 0;
   149132     if ($tobool60) {
   149133       label = 23;
   149134       break;
   149135     } else {
   149136       $storedEncName_2 = $storedEncName_1;
   149137       label = 24;
   149138       break;
   149139     }
   149140    case 23:
   149141     $m_temp2Pool62 = $parser + 424 | 0;
   149142     $24 = HEAP32[$m_encoding >> 2] | 0;
   149143     $nameLength65 = $24 + 28 | 0;
   149144     $25 = HEAP32[$nameLength65 >> 2] | 0;
   149145     $call67 = FUNCTION_TABLE_iii[$25 & 1023]($24, $23) | 0;
   149146     $add_ptr68 = $23 + $call67 | 0;
   149147     $call69 = _poolStoreString($m_temp2Pool62, $24, $23, $add_ptr68) | 0;
   149148     $tobool70 = ($call69 | 0) == 0;
   149149     if ($tobool70) {
   149150       $retval_0 = 1;
   149151       label = 28;
   149152       break;
   149153     } else {
   149154       $storedEncName_2 = $call69;
   149155       label = 24;
   149156       break;
   149157     }
   149158    case 24:
   149159     $call74 = _handleUnknownEncoding($parser, $storedEncName_2) | 0;
   149160     $m_temp2Pool75 = $parser + 424 | 0;
   149161     _poolClear($m_temp2Pool75);
   149162     $cmp76 = ($call74 | 0) == 18;
   149163     if ($cmp76) {
   149164       label = 25;
   149165       break;
   149166     } else {
   149167       $retval_0 = $call74;
   149168       label = 28;
   149169       break;
   149170     }
   149171    case 25:
   149172     $26 = HEAP32[$encodingName >> 2] | 0;
   149173     HEAP32[$m_eventPtr >> 2] = $26;
   149174     $retval_0 = 18;
   149175     label = 28;
   149176     break;
   149177    case 26:
   149178     $tobool84 = ($storedEncName_1 | 0) == 0;
   149179     $tobool85 = ($storedversion_1 | 0) == 0;
   149180     $or_cond = $tobool84 & $tobool85;
   149181     if ($or_cond) {
   149182       $retval_0 = 0;
   149183       label = 28;
   149184       break;
   149185     } else {
   149186       label = 27;
   149187       break;
   149188     }
   149189    case 27:
   149190     $m_temp2Pool87 = $parser + 424 | 0;
   149191     _poolClear($m_temp2Pool87);
   149192     $retval_0 = 0;
   149193     label = 28;
   149194     break;
   149195    case 28:
   149196     STACKTOP = __stackBase__;
   149197     return $retval_0 | 0;
   149198   }
   149199   return 0;
   149200 }
   149201 function _externalEntityContentProcessor($parser, $start, $end, $endPtr) {
   149202   $parser = $parser | 0;
   149203   $start = $start | 0;
   149204   $end = $end | 0;
   149205   $endPtr = $endPtr | 0;
   149206   var $m_encoding = 0, $0 = 0, $finalBuffer = 0, $1 = 0, $lnot = 0, $conv = 0, $call = 0, $cmp = 0, $call2 = 0, $tobool3 = 0, $retval_0 = 0, label = 0;
   149207   label = 2;
   149208   while (1) switch (label | 0) {
   149209    case 2:
   149210     $m_encoding = $parser + 144 | 0;
   149211     $0 = HEAP32[$m_encoding >> 2] | 0;
   149212     $finalBuffer = $parser + 468 | 0;
   149213     $1 = HEAP8[$finalBuffer] | 0;
   149214     $lnot = $1 << 24 >> 24 == 0;
   149215     $conv = $lnot & 1;
   149216     $call = _doContent($parser, 1, $0, $start, $end, $endPtr, $conv) | 0;
   149217     $cmp = ($call | 0) == 0;
   149218     if ($cmp) {
   149219       label = 3;
   149220       break;
   149221     } else {
   149222       label = 4;
   149223       break;
   149224     }
   149225    case 3:
   149226     $call2 = _storeRawNames($parser) | 0;
   149227     $tobool3 = $call2 << 24 >> 24 == 0;
   149228     if ($tobool3) {
   149229       $retval_0 = 1;
   149230       label = 5;
   149231       break;
   149232     } else {
   149233       label = 4;
   149234       break;
   149235     }
   149236    case 4:
   149237     $retval_0 = $call;
   149238     label = 5;
   149239     break;
   149240    case 5:
   149241     return $retval_0 | 0;
   149242   }
   149243   return 0;
   149244 }
   149245 function _doContent($parser, $startTagLevel, $enc, $s, $end, $nextPtr, $haveMore) {
   149246   $parser = $parser | 0;
   149247   $startTagLevel = $startTagLevel | 0;
   149248   $enc = $enc | 0;
   149249   $s = $s | 0;
   149250   $end = $end | 0;
   149251   $nextPtr = $nextPtr | 0;
   149252   $haveMore = $haveMore | 0;
   149253   var $s_addr = 0, $next = 0, $c = 0, $ch = 0, $toPtr = 0, $fromPtr = 0, $bindings234 = 0, $name235 = 0, $buf416 = 0, $c432 = 0, $dataPtr = 0, $dataPtr509 = 0, $m_dtd = 0, $0 = 0, $m_encoding = 0, $1 = 0, $cmp = 0, $m_eventPtr = 0, $m_eventEndPtr = 0, $m_openInternalEntities = 0, $2 = 0, $internalEventPtr = 0, $internalEventEndPtr = 0, $eventEndPP_0 = 0, $eventPP_0 = 0, $3 = 0, $arrayidx = 0, $m_defaultHandler546 = 0, $parsing = 0, $predefinedEntityName = 0, $minBytesPerChar = 0, $pool = 0, $generalEntities = 0, $start = 0, $ptr = 0, $hasParamEntityRefs = 0, $m_externalEntityRefHandler = 0, $m_defaultHandler140 = 0, $m_externalEntityRefHandlerArg = 0, $start136 = 0, $ptr138 = 0, $m_defaultExpandInternalEntities = 0, $m_skippedEntityHandler104 = 0, $m_defaultHandler111 = 0, $m_handlerArg108 = 0, $standalone = 0, $m_skippedEntityHandler = 0, $m_defaultHandler87 = 0, $m_handlerArg85 = 0, $m_characterDataHandler44 = 0, $m_defaultHandler50 = 0, $m_handlerArg48 = 0, $m_freeTagList = 0, $malloc_fcn = 0, $m_tagStack = 0, $minBytesPerChar173 = 0, $nameLength = 0, $m_tagLevel177 = 0, $utf8Convert = 0, $realloc_fcn = 0, $m_startElementHandler = 0, $m_defaultHandler223 = 0, $m_tempPool228 = 0, $m_handlerArg219 = 0, $m_atts = 0, $minBytesPerChar231 = 0, $m_tempPool236 = 0, $nameLength237 = 0, $str241 = 0, $ptr247 = 0, $start249 = 0, $m_startElementHandler258 = 0, $m_endElementHandler = 0, $m_defaultHandler278 = 0, $m_tagLevel294 = 0, $m_endNamespaceDeclHandler = 0, $m_freeBindingList = 0, $m_handlerArg287 = 0, $m_handlerArg273 = 0, $m_handlerArg262 = 0, $m_atts264 = 0, $m_tagLevel301 = 0, $m_tagStack308 = 0, $m_freeTagList311 = 0, $minBytesPerChar314 = 0, $nameLength316 = 0, $m_endElementHandler329 = 0, $m_defaultHandler371 = 0, $m_ns = 0, $m_handlerArg367 = 0, $m_ns_triplets = 0, $m_namespaceSeparator = 0, $m_endNamespaceDeclHandler382 = 0, $m_freeBindingList393 = 0, $m_handlerArg386 = 0, $charRefNumber = 0, $m_characterDataHandler413 = 0, $m_defaultHandler422 = 0, $m_handlerArg418 = 0, $arraydecay = 0, $m_characterDataHandler429 = 0, $m_defaultHandler436 = 0, $m_handlerArg434 = 0, $m_startCdataSectionHandler = 0, $m_defaultHandler448 = 0, $m_handlerArg446 = 0, $m_characterDataHandler502 = 0, $m_defaultHandler531 = 0, $isUtf8505 = 0, $m_handlerArg525 = 0, $m_dataBuf510 = 0, $utf8Convert511 = 0, $m_dataBufEnd512 = 0, $m_handlerArg513 = 0, $4 = 0, $5 = 0, $call = 0, $6 = 0, $tobool = 0, $7 = 0, $m_characterDataHandler = 0, $8 = 0, $tobool4 = 0, $9 = 0, $m_handlerArg = 0, $10 = 0, $m_defaultHandler = 0, $11 = 0, $tobool8 = 0, $12 = 0, $cmp12 = 0, $m_tagLevel = 0, $13 = 0, $cmp15 = 0, $tobool19 = 0, $14 = 0, $cmp22 = 0, $m_tagLevel24 = 0, $15 = 0, $cmp25 = 0, $16 = 0, $17 = 0, $tobool31 = 0, $18 = 0, $tobool35 = 0, $19 = 0, $20 = 0, $21 = 0, $22 = 0, $add_ptr = 0, $23 = 0, $idx_neg = 0, $add_ptr40 = 0, $call41 = 0, $conv = 0, $tobool42 = 0, $24 = 0, $tobool45 = 0, $25 = 0, $26 = 0, $tobool51 = 0, $27 = 0, $28 = 0, $29 = 0, $30 = 0, $add_ptr57 = 0, $31 = 0, $idx_neg59 = 0, $add_ptr60 = 0, $call61 = 0, $tobool62 = 0, $call65 = 0, $32 = 0, $33 = 0, $34 = 0, $tobool68 = 0, $35 = 0, $tobool70 = 0, $tobool72 = 0, $is_internal = 0, $36 = 0, $tobool75 = 0, $tobool80 = 0, $37 = 0, $tobool82 = 0, $38 = 0, $39 = 0, $tobool88 = 0, $40 = 0, $41 = 0, $open = 0, $42 = 0, $43 = 0, $tobool94 = 0, $44 = 0, $45 = 0, $tobool97 = 0, $46 = 0, $47 = 0, $tobool100 = 0, $48 = 0, $tobool102 = 0, $49 = 0, $tobool105 = 0, $50 = 0, $name109 = 0, $51 = 0, $52 = 0, $tobool112 = 0, $53 = 0, $54 = 0, $call117 = 0, $cmp118 = 0, $55 = 0, $tobool123 = 0, $call126 = 0, $tobool128 = 0, $56 = 0, $57 = 0, $58 = 0, $59 = 0, $60 = 0, $61 = 0, $62 = 0, $63 = 0, $call132 = 0, $tobool133 = 0, $64 = 0, $65 = 0, $tobool141 = 0, $66 = 0, $67 = 0, $68 = 0, $tobool148 = 0, $parent = 0, $69 = 0, $70 = 0, $call154 = 0, $71 = 0, $tobool155 = 0, $72 = 0, $call160 = 0, $buf = 0, $73 = 0, $tobool162 = 0, $free_fcn = 0, $74 = 0, $add_ptr167 = 0, $bufEnd = 0, $75 = 0, $tag_0 = 0, $bindings = 0, $76 = 0, $parent169 = 0, $name171 = 0, $localPart = 0, $prefix = 0, $77 = 0, $78 = 0, $add_ptr174 = 0, $rawName = 0, $79 = 0, $call176 = 0, $rawNameLength = 0, $80 = 0, $inc = 0, $81 = 0, $82 = 0, $add_ptr180 = 0, $buf182 = 0, $83 = 0, $bufEnd184 = 0, $storemerge = 0, $84 = 0, $85 = 0, $add_ptr185 = 0, $86 = 0, $87 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $88 = 0, $cmp187 = 0, $strLen = 0, $89 = 0, $str = 0, $90 = 0, $91 = 0, $call212 = 0, $tobool213 = 0, $92 = 0, $sub_ptr_lhs_cast194 = 0, $sub_ptr_sub196 = 0, $shl = 0, $93 = 0, $call199 = 0, $cmp200 = 0, $add_ptr205 = 0, $add_ptr207 = 0, $94 = 0, $tobool216 = 0, $95 = 0, $96 = 0, $97 = 0, $98 = 0, $99 = 0, $tobool224 = 0, $100 = 0, $101 = 0, $102 = 0, $103 = 0, $add_ptr232 = 0, $104 = 0, $call238 = 0, $add_ptr232_sum = 0, $add_ptr239 = 0, $call240 = 0, $tobool243 = 0, $105 = 0, $106 = 0, $call250 = 0, $tobool251 = 0, $107 = 0, $108 = 0, $tobool259 = 0, $109 = 0, $110 = 0, $111 = 0, $112 = 0, $noElmHandlers_0 = 0, $113 = 0, $tobool266 = 0, $114 = 0, $tobool269 = 0, $115 = 0, $116 = 0, $117 = 0, $118 = 0, $tobool277 = 0, $119 = 0, $tobool279 = 0, $120 = 0, $121 = 0, $122 = 0, $tobool283334 = 0, $bindings234_promoted = 0, $123 = 0, $124 = 0, $125 = 0, $tobool284 = 0, $126 = 0, $prefix288 = 0, $127 = 0, $name289 = 0, $128 = 0, $nextTagBinding = 0, $129 = 0, $130 = 0, $nextTagBinding291 = 0, $prevPrefixBinding = 0, $131 = 0, $prefix293 = 0, $132 = 0, $binding = 0, $tobool283 = 0, $133 = 0, $cmp295 = 0, $134 = 0, $call298 = 0, $135 = 0, $cmp302 = 0, $136 = 0, $parent309 = 0, $137 = 0, $138 = 0, $139 = 0, $140 = 0, $mul = 0, $add_ptr315 = 0, $141 = 0, $call317 = 0, $rawNameLength318 = 0, $142 = 0, $cmp319 = 0, $rawName322 = 0, $143 = 0, $call323 = 0, $cmp324 = 0, $144 = 0, $dec = 0, $145 = 0, $tobool330 = 0, $name334 = 0, $localPart335 = 0, $146 = 0, $147 = 0, $tobool337 = 0, $tobool339 = 0, $or_cond = 0, $str342 = 0, $148 = 0, $uriLen = 0, $149 = 0, $add_ptr344 = 0, $150 = 0, $tobool346325 = 0, $151 = 0, $uri_0327 = 0, $localPart332_0326 = 0, $incdec_ptr = 0, $incdec_ptr348 = 0, $152 = 0, $tobool346 = 0, $uri_0_lcssa = 0, $prefix351 = 0, $153 = 0, $154 = 0, $tobool353 = 0, $tobool355 = 0, $or_cond323 = 0, $155 = 0, $uri_1328 = 0, $156 = 0, $tobool359329 = 0, $157 = 0, $uri_1331 = 0, $prefix333_0330 = 0, $incdec_ptr361 = 0, $uri_1 = 0, $158 = 0, $tobool359 = 0, $uri_2 = 0, $159 = 0, $160 = 0, $str369 = 0, $161 = 0, $162 = 0, $tobool372 = 0, $163 = 0, $164 = 0, $bindings377 = 0, $165 = 0, $tobool378333 = 0, $166 = 0, $167 = 0, $tobool383 = 0, $168 = 0, $prefix387 = 0, $169 = 0, $name388 = 0, $170 = 0, $171 = 0, $nextTagBinding391 = 0, $172 = 0, $173 = 0, $nextTagBinding394 = 0, $prevPrefixBinding396 = 0, $174 = 0, $prefix397 = 0, $175 = 0, $binding398 = 0, $176 = 0, $tobool378 = 0, $177 = 0, $cmp401 = 0, $178 = 0, $call404 = 0, $179 = 0, $180 = 0, $call408 = 0, $cmp409 = 0, $181 = 0, $tobool414 = 0, $182 = 0, $call420 = 0, $183 = 0, $tobool423 = 0, $184 = 0, $185 = 0, $186 = 0, $tobool430 = 0, $187 = 0, $188 = 0, $189 = 0, $tobool437 = 0, $190 = 0, $191 = 0, $192 = 0, $tobool443 = 0, $193 = 0, $194 = 0, $tobool449 = 0, $195 = 0, $196 = 0, $call453 = 0, $cmp454 = 0, $197 = 0, $tobool458 = 0, $m_processor = 0, $tobool463 = 0, $198 = 0, $m_characterDataHandler466 = 0, $199 = 0, $tobool467 = 0, $isUtf8 = 0, $200 = 0, $tobool469 = 0, $m_dataBuf = 0, $201 = 0, $utf8Convert471 = 0, $202 = 0, $m_dataBufEnd = 0, $203 = 0, $204 = 0, $m_handlerArg473 = 0, $205 = 0, $206 = 0, $207 = 0, $sub_ptr_lhs_cast476 = 0, $sub_ptr_rhs_cast477 = 0, $sub_ptr_sub478 = 0, $m_handlerArg481 = 0, $208 = 0, $209 = 0, $sub_ptr_lhs_cast482 = 0, $sub_ptr_rhs_cast483 = 0, $sub_ptr_sub484 = 0, $m_defaultHandler487 = 0, $210 = 0, $tobool488 = 0, $211 = 0, $cmp492 = 0, $m_tagLevel496 = 0, $212 = 0, $cmp497 = 0, $213 = 0, $tobool503 = 0, $214 = 0, $tobool506 = 0, $215 = 0, $216 = 0, $217 = 0, $218 = 0, $219 = 0, $220 = 0, $221 = 0, $222 = 0, $sub_ptr_lhs_cast516 = 0, $sub_ptr_rhs_cast517 = 0, $sub_ptr_sub518 = 0, $223 = 0, $224 = 0, $cmp519 = 0, $225 = 0, $226 = 0, $227 = 0, $sub_ptr_lhs_cast526 = 0, $sub_ptr_rhs_cast527 = 0, $sub_ptr_sub528 = 0, $228 = 0, $tobool532 = 0, $229 = 0, $230 = 0, $231 = 0, $232 = 0, $call537 = 0, $tobool538 = 0, $233 = 0, $234 = 0, $call542 = 0, $tobool543 = 0, $235 = 0, $tobool547 = 0, $236 = 0, $237 = 0, $238 = 0, $239 = 0, $240 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   149254   __stackBase__ = STACKTOP;
   149255   STACKTOP = STACKTOP + 112 | 0;
   149256   label = 2;
   149257   while (1) switch (label | 0) {
   149258    case 2:
   149259     $s_addr = __stackBase__ | 0;
   149260     $next = __stackBase__ + 8 | 0;
   149261     $c = __stackBase__ + 16 | 0;
   149262     $ch = __stackBase__ + 24 | 0;
   149263     $toPtr = __stackBase__ + 32 | 0;
   149264     $fromPtr = __stackBase__ + 40 | 0;
   149265     $bindings234 = __stackBase__ + 48 | 0;
   149266     $name235 = __stackBase__ + 56 | 0;
   149267     $buf416 = __stackBase__ + 80 | 0;
   149268     $c432 = __stackBase__ + 88 | 0;
   149269     $dataPtr = __stackBase__ + 96 | 0;
   149270     $dataPtr509 = __stackBase__ + 104 | 0;
   149271     HEAP32[$s_addr >> 2] = $s;
   149272     $m_dtd = $parser + 340 | 0;
   149273     $0 = HEAP32[$m_dtd >> 2] | 0;
   149274     $m_encoding = $parser + 144 | 0;
   149275     $1 = HEAP32[$m_encoding >> 2] | 0;
   149276     $cmp = ($1 | 0) == ($enc | 0);
   149277     if ($cmp) {
   149278       label = 3;
   149279       break;
   149280     } else {
   149281       label = 4;
   149282       break;
   149283     }
   149284    case 3:
   149285     $m_eventPtr = $parser + 272 | 0;
   149286     $m_eventEndPtr = $parser + 276 | 0;
   149287     $eventPP_0 = $m_eventPtr;
   149288     $eventEndPP_0 = $m_eventEndPtr;
   149289     label = 5;
   149290     break;
   149291    case 4:
   149292     $m_openInternalEntities = $parser + 284 | 0;
   149293     $2 = HEAP32[$m_openInternalEntities >> 2] | 0;
   149294     $internalEventPtr = $2 | 0;
   149295     $internalEventEndPtr = $2 + 4 | 0;
   149296     $eventPP_0 = $internalEventPtr;
   149297     $eventEndPP_0 = $internalEventEndPtr;
   149298     label = 5;
   149299     break;
   149300    case 5:
   149301     $3 = HEAP32[$s_addr >> 2] | 0;
   149302     HEAP32[$eventPP_0 >> 2] = $3;
   149303     $arrayidx = $enc + 4 | 0;
   149304     $m_defaultHandler546 = $parser + 80 | 0;
   149305     $parsing = $parser + 464 | 0;
   149306     $predefinedEntityName = $enc + 44 | 0;
   149307     $minBytesPerChar = $enc + 64 | 0;
   149308     $pool = $0 + 80 | 0;
   149309     $generalEntities = $0 | 0;
   149310     $start = $0 + 96 | 0;
   149311     $ptr = $0 + 92 | 0;
   149312     $hasParamEntityRefs = $0 + 129 | 0;
   149313     $m_externalEntityRefHandler = $parser + 112 | 0;
   149314     $m_defaultHandler140 = $parser + 80 | 0;
   149315     $m_externalEntityRefHandlerArg = $parser + 116 | 0;
   149316     $start136 = $parser + 416 | 0;
   149317     $ptr138 = $parser + 412 | 0;
   149318     $m_defaultExpandInternalEntities = $parser + 292 | 0;
   149319     $m_skippedEntityHandler104 = $parser + 120 | 0;
   149320     $m_defaultHandler111 = $parser + 80 | 0;
   149321     $m_handlerArg108 = $parser + 4 | 0;
   149322     $standalone = $0 + 130 | 0;
   149323     $m_skippedEntityHandler = $parser + 120 | 0;
   149324     $m_defaultHandler87 = $parser + 80 | 0;
   149325     $m_handlerArg85 = $parser + 4 | 0;
   149326     $m_characterDataHandler44 = $parser + 60 | 0;
   149327     $m_defaultHandler50 = $parser + 80 | 0;
   149328     $m_handlerArg48 = $parser + 4 | 0;
   149329     $m_freeTagList = $parser + 352 | 0;
   149330     $malloc_fcn = $parser + 12 | 0;
   149331     $m_tagStack = $parser + 348 | 0;
   149332     $minBytesPerChar173 = $enc + 64 | 0;
   149333     $nameLength = $enc + 28 | 0;
   149334     $m_tagLevel177 = $parser + 296 | 0;
   149335     $utf8Convert = $enc + 56 | 0;
   149336     $realloc_fcn = $parser + 16 | 0;
   149337     $m_startElementHandler = $parser + 52 | 0;
   149338     $m_defaultHandler223 = $parser + 80 | 0;
   149339     $m_tempPool228 = $parser + 400 | 0;
   149340     $m_handlerArg219 = $parser + 4 | 0;
   149341     $m_atts = $parser + 376 | 0;
   149342     $minBytesPerChar231 = $enc + 64 | 0;
   149343     $m_tempPool236 = $parser + 400 | 0;
   149344     $nameLength237 = $enc + 28 | 0;
   149345     $str241 = $name235 | 0;
   149346     $ptr247 = $parser + 412 | 0;
   149347     $start249 = $parser + 416 | 0;
   149348     $m_startElementHandler258 = $parser + 52 | 0;
   149349     $m_endElementHandler = $parser + 56 | 0;
   149350     $m_defaultHandler278 = $parser + 80 | 0;
   149351     $m_tagLevel294 = $parser + 296 | 0;
   149352     $m_endNamespaceDeclHandler = $parser + 104 | 0;
   149353     $m_freeBindingList = $parser + 360 | 0;
   149354     $m_handlerArg287 = $parser + 4 | 0;
   149355     $m_handlerArg273 = $parser + 4 | 0;
   149356     $m_handlerArg262 = $parser + 4 | 0;
   149357     $m_atts264 = $parser + 376 | 0;
   149358     $m_tagLevel301 = $parser + 296 | 0;
   149359     $m_tagStack308 = $parser + 348 | 0;
   149360     $m_freeTagList311 = $parser + 352 | 0;
   149361     $minBytesPerChar314 = $enc + 64 | 0;
   149362     $nameLength316 = $enc + 28 | 0;
   149363     $m_endElementHandler329 = $parser + 56 | 0;
   149364     $m_defaultHandler371 = $parser + 80 | 0;
   149365     $m_ns = $parser + 232 | 0;
   149366     $m_handlerArg367 = $parser + 4 | 0;
   149367     $m_ns_triplets = $parser + 233 | 0;
   149368     $m_namespaceSeparator = $parser + 456 | 0;
   149369     $m_endNamespaceDeclHandler382 = $parser + 104 | 0;
   149370     $m_freeBindingList393 = $parser + 360 | 0;
   149371     $m_handlerArg386 = $parser + 4 | 0;
   149372     $charRefNumber = $enc + 40 | 0;
   149373     $m_characterDataHandler413 = $parser + 60 | 0;
   149374     $m_defaultHandler422 = $parser + 80 | 0;
   149375     $m_handlerArg418 = $parser + 4 | 0;
   149376     $arraydecay = $buf416 | 0;
   149377     $m_characterDataHandler429 = $parser + 60 | 0;
   149378     $m_defaultHandler436 = $parser + 80 | 0;
   149379     $m_handlerArg434 = $parser + 4 | 0;
   149380     $m_startCdataSectionHandler = $parser + 72 | 0;
   149381     $m_defaultHandler448 = $parser + 80 | 0;
   149382     $m_handlerArg446 = $parser + 4 | 0;
   149383     $m_characterDataHandler502 = $parser + 60 | 0;
   149384     $m_defaultHandler531 = $parser + 80 | 0;
   149385     $isUtf8505 = $enc + 68 | 0;
   149386     $m_handlerArg525 = $parser + 4 | 0;
   149387     $m_dataBuf510 = $parser + 44 | 0;
   149388     $utf8Convert511 = $enc + 56 | 0;
   149389     $m_dataBufEnd512 = $parser + 48 | 0;
   149390     $m_handlerArg513 = $parser + 4 | 0;
   149391     label = 6;
   149392     break;
   149393    case 6:
   149394     $4 = HEAP32[$s_addr >> 2] | 0;
   149395     HEAP32[$next >> 2] = $4;
   149396     $5 = HEAP32[$arrayidx >> 2] | 0;
   149397     $call = FUNCTION_TABLE_iiiii[$5 & 1023]($enc, $4, $end, $next) | 0;
   149398     $6 = HEAP32[$next >> 2] | 0;
   149399     HEAP32[$eventEndPP_0 >> 2] = $6;
   149400     if (($call | 0) == (-3 | 0)) {
   149401       label = 7;
   149402       break;
   149403     } else if (($call | 0) == (-4 | 0)) {
   149404       label = 16;
   149405       break;
   149406     } else if (($call | 0) == 0) {
   149407       label = 21;
   149408       break;
   149409     } else if (($call | 0) == (-1 | 0)) {
   149410       label = 22;
   149411       break;
   149412     } else if (($call | 0) == (-2 | 0)) {
   149413       label = 24;
   149414       break;
   149415     } else if (($call | 0) == 9) {
   149416       label = 26;
   149417       break;
   149418     } else if (($call | 0) == 2 | ($call | 0) == 1) {
   149419       label = 56;
   149420       break;
   149421     } else if (($call | 0) == 4 | ($call | 0) == 3) {
   149422       label = 72;
   149423       break;
   149424     } else if (($call | 0) == 5) {
   149425       label = 91;
   149426       break;
   149427     } else if (($call | 0) == 10) {
   149428       label = 112;
   149429       break;
   149430     } else if (($call | 0) == 7) {
   149431       label = 117;
   149432       break;
   149433     } else if (($call | 0) == 8) {
   149434       label = 121;
   149435       break;
   149436     } else if (($call | 0) == (-5 | 0)) {
   149437       label = 128;
   149438       break;
   149439     } else if (($call | 0) == 6) {
   149440       label = 141;
   149441       break;
   149442     } else if (($call | 0) == 11) {
   149443       label = 148;
   149444       break;
   149445     } else if (($call | 0) == 13) {
   149446       label = 149;
   149447       break;
   149448     } else if (($call | 0) == 12) {
   149449       $retval_0 = 17;
   149450       label = 154;
   149451       break;
   149452     } else {
   149453       label = 150;
   149454       break;
   149455     }
   149456    case 7:
   149457     $tobool = $haveMore << 24 >> 24 == 0;
   149458     if ($tobool) {
   149459       label = 9;
   149460       break;
   149461     } else {
   149462       label = 8;
   149463       break;
   149464     }
   149465    case 8:
   149466     $7 = HEAP32[$s_addr >> 2] | 0;
   149467     HEAP32[$nextPtr >> 2] = $7;
   149468     $retval_0 = 0;
   149469     label = 154;
   149470     break;
   149471    case 9:
   149472     HEAP32[$eventEndPP_0 >> 2] = $end;
   149473     $m_characterDataHandler = $parser + 60 | 0;
   149474     $8 = HEAP32[$m_characterDataHandler >> 2] | 0;
   149475     $tobool4 = ($8 | 0) == 0;
   149476     if ($tobool4) {
   149477       label = 11;
   149478       break;
   149479     } else {
   149480       label = 10;
   149481       break;
   149482     }
   149483    case 10:
   149484     HEAP8[$c] = 10;
   149485     $9 = HEAP32[$m_characterDataHandler >> 2] | 0;
   149486     $m_handlerArg = $parser + 4 | 0;
   149487     $10 = HEAP32[$m_handlerArg >> 2] | 0;
   149488     FUNCTION_TABLE_viii[$9 & 1023]($10, $c, 1);
   149489     label = 13;
   149490     break;
   149491    case 11:
   149492     $m_defaultHandler = $parser + 80 | 0;
   149493     $11 = HEAP32[$m_defaultHandler >> 2] | 0;
   149494     $tobool8 = ($11 | 0) == 0;
   149495     if ($tobool8) {
   149496       label = 13;
   149497       break;
   149498     } else {
   149499       label = 12;
   149500       break;
   149501     }
   149502    case 12:
   149503     $12 = HEAP32[$s_addr >> 2] | 0;
   149504     _reportDefault($parser, $enc, $12, $end);
   149505     label = 13;
   149506     break;
   149507    case 13:
   149508     $cmp12 = ($startTagLevel | 0) == 0;
   149509     if ($cmp12) {
   149510       $retval_0 = 3;
   149511       label = 154;
   149512       break;
   149513     } else {
   149514       label = 14;
   149515       break;
   149516     }
   149517    case 14:
   149518     $m_tagLevel = $parser + 296 | 0;
   149519     $13 = HEAP32[$m_tagLevel >> 2] | 0;
   149520     $cmp15 = ($13 | 0) == ($startTagLevel | 0);
   149521     if ($cmp15) {
   149522       label = 15;
   149523       break;
   149524     } else {
   149525       $retval_0 = 13;
   149526       label = 154;
   149527       break;
   149528     }
   149529    case 15:
   149530     HEAP32[$nextPtr >> 2] = $end;
   149531     $retval_0 = 0;
   149532     label = 154;
   149533     break;
   149534    case 16:
   149535     $tobool19 = $haveMore << 24 >> 24 == 0;
   149536     if ($tobool19) {
   149537       label = 18;
   149538       break;
   149539     } else {
   149540       label = 17;
   149541       break;
   149542     }
   149543    case 17:
   149544     $14 = HEAP32[$s_addr >> 2] | 0;
   149545     HEAP32[$nextPtr >> 2] = $14;
   149546     $retval_0 = 0;
   149547     label = 154;
   149548     break;
   149549    case 18:
   149550     $cmp22 = ($startTagLevel | 0) > 0;
   149551     if ($cmp22) {
   149552       label = 19;
   149553       break;
   149554     } else {
   149555       $retval_0 = 3;
   149556       label = 154;
   149557       break;
   149558     }
   149559    case 19:
   149560     $m_tagLevel24 = $parser + 296 | 0;
   149561     $15 = HEAP32[$m_tagLevel24 >> 2] | 0;
   149562     $cmp25 = ($15 | 0) == ($startTagLevel | 0);
   149563     if ($cmp25) {
   149564       label = 20;
   149565       break;
   149566     } else {
   149567       $retval_0 = 13;
   149568       label = 154;
   149569       break;
   149570     }
   149571    case 20:
   149572     $16 = HEAP32[$s_addr >> 2] | 0;
   149573     HEAP32[$nextPtr >> 2] = $16;
   149574     $retval_0 = 0;
   149575     label = 154;
   149576     break;
   149577    case 21:
   149578     $17 = HEAP32[$next >> 2] | 0;
   149579     HEAP32[$eventPP_0 >> 2] = $17;
   149580     $retval_0 = 4;
   149581     label = 154;
   149582     break;
   149583    case 22:
   149584     $tobool31 = $haveMore << 24 >> 24 == 0;
   149585     if ($tobool31) {
   149586       $retval_0 = 5;
   149587       label = 154;
   149588       break;
   149589     } else {
   149590       label = 23;
   149591       break;
   149592     }
   149593    case 23:
   149594     $18 = HEAP32[$s_addr >> 2] | 0;
   149595     HEAP32[$nextPtr >> 2] = $18;
   149596     $retval_0 = 0;
   149597     label = 154;
   149598     break;
   149599    case 24:
   149600     $tobool35 = $haveMore << 24 >> 24 == 0;
   149601     if ($tobool35) {
   149602       $retval_0 = 6;
   149603       label = 154;
   149604       break;
   149605     } else {
   149606       label = 25;
   149607       break;
   149608     }
   149609    case 25:
   149610     $19 = HEAP32[$s_addr >> 2] | 0;
   149611     HEAP32[$nextPtr >> 2] = $19;
   149612     $retval_0 = 0;
   149613     label = 154;
   149614     break;
   149615    case 26:
   149616     $20 = HEAP32[$predefinedEntityName >> 2] | 0;
   149617     $21 = HEAP32[$s_addr >> 2] | 0;
   149618     $22 = HEAP32[$minBytesPerChar >> 2] | 0;
   149619     $add_ptr = $21 + $22 | 0;
   149620     $23 = HEAP32[$next >> 2] | 0;
   149621     $idx_neg = -$22 | 0;
   149622     $add_ptr40 = $23 + $idx_neg | 0;
   149623     $call41 = FUNCTION_TABLE_iiii[$20 & 1023]($enc, $add_ptr, $add_ptr40) | 0;
   149624     $conv = $call41 & 255;
   149625     HEAP8[$ch] = $conv;
   149626     $tobool42 = $conv << 24 >> 24 == 0;
   149627     if ($tobool42) {
   149628       label = 31;
   149629       break;
   149630     } else {
   149631       label = 27;
   149632       break;
   149633     }
   149634    case 27:
   149635     $24 = HEAP32[$m_characterDataHandler44 >> 2] | 0;
   149636     $tobool45 = ($24 | 0) == 0;
   149637     if ($tobool45) {
   149638       label = 29;
   149639       break;
   149640     } else {
   149641       label = 28;
   149642       break;
   149643     }
   149644    case 28:
   149645     $25 = HEAP32[$m_handlerArg48 >> 2] | 0;
   149646     FUNCTION_TABLE_viii[$24 & 1023]($25, $ch, 1);
   149647     label = 152;
   149648     break;
   149649    case 29:
   149650     $26 = HEAP32[$m_defaultHandler50 >> 2] | 0;
   149651     $tobool51 = ($26 | 0) == 0;
   149652     if ($tobool51) {
   149653       label = 152;
   149654       break;
   149655     } else {
   149656       label = 30;
   149657       break;
   149658     }
   149659    case 30:
   149660     $27 = HEAP32[$s_addr >> 2] | 0;
   149661     $28 = HEAP32[$next >> 2] | 0;
   149662     _reportDefault($parser, $enc, $27, $28);
   149663     label = 152;
   149664     break;
   149665    case 31:
   149666     $29 = HEAP32[$s_addr >> 2] | 0;
   149667     $30 = HEAP32[$minBytesPerChar >> 2] | 0;
   149668     $add_ptr57 = $29 + $30 | 0;
   149669     $31 = HEAP32[$next >> 2] | 0;
   149670     $idx_neg59 = -$30 | 0;
   149671     $add_ptr60 = $31 + $idx_neg59 | 0;
   149672     $call61 = _poolStoreString($pool, $enc, $add_ptr57, $add_ptr60) | 0;
   149673     $tobool62 = ($call61 | 0) == 0;
   149674     if ($tobool62) {
   149675       $retval_0 = 1;
   149676       label = 154;
   149677       break;
   149678     } else {
   149679       label = 32;
   149680       break;
   149681     }
   149682    case 32:
   149683     $call65 = _lookup($parser, $generalEntities, $call61, 0) | 0;
   149684     $32 = $call65;
   149685     $33 = HEAP32[$start >> 2] | 0;
   149686     HEAP32[$ptr >> 2] = $33;
   149687     $34 = HEAP8[$hasParamEntityRefs] | 0;
   149688     $tobool68 = $34 << 24 >> 24 == 0;
   149689     if ($tobool68) {
   149690       label = 34;
   149691       break;
   149692     } else {
   149693       label = 33;
   149694       break;
   149695     }
   149696    case 33:
   149697     $35 = HEAP8[$standalone] | 0;
   149698     $tobool70 = $35 << 24 >> 24 == 0;
   149699     if ($tobool70) {
   149700       label = 36;
   149701       break;
   149702     } else {
   149703       label = 34;
   149704       break;
   149705     }
   149706    case 34:
   149707     $tobool72 = ($call65 | 0) == 0;
   149708     if ($tobool72) {
   149709       $retval_0 = 11;
   149710       label = 154;
   149711       break;
   149712     } else {
   149713       label = 35;
   149714       break;
   149715     }
   149716    case 35:
   149717     $is_internal = $32 + 34 | 0;
   149718     $36 = HEAP8[$is_internal] | 0;
   149719     $tobool75 = $36 << 24 >> 24 == 0;
   149720     if ($tobool75) {
   149721       $retval_0 = 24;
   149722       label = 154;
   149723       break;
   149724     } else {
   149725       label = 41;
   149726       break;
   149727     }
   149728    case 36:
   149729     $tobool80 = ($call65 | 0) == 0;
   149730     if ($tobool80) {
   149731       label = 37;
   149732       break;
   149733     } else {
   149734       label = 41;
   149735       break;
   149736     }
   149737    case 37:
   149738     $37 = HEAP32[$m_skippedEntityHandler >> 2] | 0;
   149739     $tobool82 = ($37 | 0) == 0;
   149740     if ($tobool82) {
   149741       label = 39;
   149742       break;
   149743     } else {
   149744       label = 38;
   149745       break;
   149746     }
   149747    case 38:
   149748     $38 = HEAP32[$m_handlerArg85 >> 2] | 0;
   149749     FUNCTION_TABLE_viii[$37 & 1023]($38, $call61, 0);
   149750     label = 152;
   149751     break;
   149752    case 39:
   149753     $39 = HEAP32[$m_defaultHandler87 >> 2] | 0;
   149754     $tobool88 = ($39 | 0) == 0;
   149755     if ($tobool88) {
   149756       label = 152;
   149757       break;
   149758     } else {
   149759       label = 40;
   149760       break;
   149761     }
   149762    case 40:
   149763     $40 = HEAP32[$s_addr >> 2] | 0;
   149764     $41 = HEAP32[$next >> 2] | 0;
   149765     _reportDefault($parser, $enc, $40, $41);
   149766     label = 152;
   149767     break;
   149768    case 41:
   149769     $open = $call65 + 32 | 0;
   149770     $42 = $open;
   149771     $43 = HEAP8[$42] | 0;
   149772     $tobool94 = $43 << 24 >> 24 == 0;
   149773     if ($tobool94) {
   149774       label = 42;
   149775       break;
   149776     } else {
   149777       $retval_0 = 12;
   149778       label = 154;
   149779       break;
   149780     }
   149781    case 42:
   149782     $44 = $call65 + 28 | 0;
   149783     $45 = HEAP32[$44 >> 2] | 0;
   149784     $tobool97 = ($45 | 0) == 0;
   149785     if ($tobool97) {
   149786       label = 43;
   149787       break;
   149788     } else {
   149789       $retval_0 = 15;
   149790       label = 154;
   149791       break;
   149792     }
   149793    case 43:
   149794     $46 = $call65 + 4 | 0;
   149795     $47 = HEAP32[$46 >> 2] | 0;
   149796     $tobool100 = ($47 | 0) == 0;
   149797     if ($tobool100) {
   149798       label = 50;
   149799       break;
   149800     } else {
   149801       label = 44;
   149802       break;
   149803     }
   149804    case 44:
   149805     $48 = HEAP8[$m_defaultExpandInternalEntities] | 0;
   149806     $tobool102 = $48 << 24 >> 24 == 0;
   149807     if ($tobool102) {
   149808       label = 45;
   149809       break;
   149810     } else {
   149811       label = 49;
   149812       break;
   149813     }
   149814    case 45:
   149815     $49 = HEAP32[$m_skippedEntityHandler104 >> 2] | 0;
   149816     $tobool105 = ($49 | 0) == 0;
   149817     if ($tobool105) {
   149818       label = 47;
   149819       break;
   149820     } else {
   149821       label = 46;
   149822       break;
   149823     }
   149824    case 46:
   149825     $50 = HEAP32[$m_handlerArg108 >> 2] | 0;
   149826     $name109 = $call65 | 0;
   149827     $51 = HEAP32[$name109 >> 2] | 0;
   149828     FUNCTION_TABLE_viii[$49 & 1023]($50, $51, 0);
   149829     label = 152;
   149830     break;
   149831    case 47:
   149832     $52 = HEAP32[$m_defaultHandler111 >> 2] | 0;
   149833     $tobool112 = ($52 | 0) == 0;
   149834     if ($tobool112) {
   149835       label = 152;
   149836       break;
   149837     } else {
   149838       label = 48;
   149839       break;
   149840     }
   149841    case 48:
   149842     $53 = HEAP32[$s_addr >> 2] | 0;
   149843     $54 = HEAP32[$next >> 2] | 0;
   149844     _reportDefault($parser, $enc, $53, $54);
   149845     label = 152;
   149846     break;
   149847    case 49:
   149848     $call117 = _processInternalEntity($parser, $32) | 0;
   149849     $cmp118 = ($call117 | 0) == 0;
   149850     if ($cmp118) {
   149851       label = 152;
   149852       break;
   149853     } else {
   149854       $retval_0 = $call117;
   149855       label = 154;
   149856       break;
   149857     }
   149858    case 50:
   149859     $55 = HEAP32[$m_externalEntityRefHandler >> 2] | 0;
   149860     $tobool123 = ($55 | 0) == 0;
   149861     if ($tobool123) {
   149862       label = 54;
   149863       break;
   149864     } else {
   149865       label = 51;
   149866       break;
   149867     }
   149868    case 51:
   149869     HEAP8[$42] = 1;
   149870     $call126 = _getContext($parser) | 0;
   149871     HEAP8[$42] = 0;
   149872     $tobool128 = ($call126 | 0) == 0;
   149873     if ($tobool128) {
   149874       $retval_0 = 1;
   149875       label = 154;
   149876       break;
   149877     } else {
   149878       label = 52;
   149879       break;
   149880     }
   149881    case 52:
   149882     $56 = HEAP32[$m_externalEntityRefHandler >> 2] | 0;
   149883     $57 = HEAP32[$m_externalEntityRefHandlerArg >> 2] | 0;
   149884     $58 = $call65 + 20 | 0;
   149885     $59 = HEAP32[$58 >> 2] | 0;
   149886     $60 = $call65 + 16 | 0;
   149887     $61 = HEAP32[$60 >> 2] | 0;
   149888     $62 = $call65 + 24 | 0;
   149889     $63 = HEAP32[$62 >> 2] | 0;
   149890     $call132 = FUNCTION_TABLE_iiiiii[$56 & 1023]($57, $call126, $59, $61, $63) | 0;
   149891     $tobool133 = ($call132 | 0) == 0;
   149892     if ($tobool133) {
   149893       $retval_0 = 21;
   149894       label = 154;
   149895       break;
   149896     } else {
   149897       label = 53;
   149898       break;
   149899     }
   149900    case 53:
   149901     $64 = HEAP32[$start136 >> 2] | 0;
   149902     HEAP32[$ptr138 >> 2] = $64;
   149903     label = 152;
   149904     break;
   149905    case 54:
   149906     $65 = HEAP32[$m_defaultHandler140 >> 2] | 0;
   149907     $tobool141 = ($65 | 0) == 0;
   149908     if ($tobool141) {
   149909       label = 152;
   149910       break;
   149911     } else {
   149912       label = 55;
   149913       break;
   149914     }
   149915    case 55:
   149916     $66 = HEAP32[$s_addr >> 2] | 0;
   149917     $67 = HEAP32[$next >> 2] | 0;
   149918     _reportDefault($parser, $enc, $66, $67);
   149919     label = 152;
   149920     break;
   149921    case 56:
   149922     $68 = HEAP32[$m_freeTagList >> 2] | 0;
   149923     $tobool148 = ($68 | 0) == 0;
   149924     if ($tobool148) {
   149925       label = 58;
   149926       break;
   149927     } else {
   149928       label = 57;
   149929       break;
   149930     }
   149931    case 57:
   149932     $parent = $68 | 0;
   149933     $69 = HEAP32[$parent >> 2] | 0;
   149934     HEAP32[$m_freeTagList >> 2] = $69;
   149935     $tag_0 = $68;
   149936     label = 62;
   149937     break;
   149938    case 58:
   149939     $70 = HEAP32[$malloc_fcn >> 2] | 0;
   149940     $call154 = FUNCTION_TABLE_ii[$70 & 1023](48) | 0;
   149941     $71 = $call154;
   149942     $tobool155 = ($call154 | 0) == 0;
   149943     if ($tobool155) {
   149944       $retval_0 = 1;
   149945       label = 154;
   149946       break;
   149947     } else {
   149948       label = 59;
   149949       break;
   149950     }
   149951    case 59:
   149952     $72 = HEAP32[$malloc_fcn >> 2] | 0;
   149953     $call160 = FUNCTION_TABLE_ii[$72 & 1023](32) | 0;
   149954     $buf = $call154 + 36 | 0;
   149955     $73 = $buf;
   149956     HEAP32[$73 >> 2] = $call160;
   149957     $tobool162 = ($call160 | 0) == 0;
   149958     if ($tobool162) {
   149959       label = 60;
   149960       break;
   149961     } else {
   149962       label = 61;
   149963       break;
   149964     }
   149965    case 60:
   149966     $free_fcn = $parser + 20 | 0;
   149967     $74 = HEAP32[$free_fcn >> 2] | 0;
   149968     FUNCTION_TABLE_vi[$74 & 1023]($call154);
   149969     $retval_0 = 1;
   149970     label = 154;
   149971     break;
   149972    case 61:
   149973     $add_ptr167 = $call160 + 32 | 0;
   149974     $bufEnd = $call154 + 40 | 0;
   149975     $75 = $bufEnd;
   149976     HEAP32[$75 >> 2] = $add_ptr167;
   149977     $tag_0 = $71;
   149978     label = 62;
   149979     break;
   149980    case 62:
   149981     $bindings = $tag_0 + 44 | 0;
   149982     HEAP32[$bindings >> 2] = 0;
   149983     $76 = HEAP32[$m_tagStack >> 2] | 0;
   149984     $parent169 = $tag_0 | 0;
   149985     HEAP32[$parent169 >> 2] = $76;
   149986     HEAP32[$m_tagStack >> 2] = $tag_0;
   149987     $name171 = $tag_0 + 12 | 0;
   149988     $localPart = $tag_0 + 16 | 0;
   149989     HEAP32[$localPart >> 2] = 0;
   149990     $prefix = $tag_0 + 20 | 0;
   149991     HEAP32[$prefix >> 2] = 0;
   149992     $77 = HEAP32[$s_addr >> 2] | 0;
   149993     $78 = HEAP32[$minBytesPerChar173 >> 2] | 0;
   149994     $add_ptr174 = $77 + $78 | 0;
   149995     $rawName = $tag_0 + 4 | 0;
   149996     HEAP32[$rawName >> 2] = $add_ptr174;
   149997     $79 = HEAP32[$nameLength >> 2] | 0;
   149998     $call176 = FUNCTION_TABLE_iii[$79 & 1023]($enc, $add_ptr174) | 0;
   149999     $rawNameLength = $tag_0 + 8 | 0;
   150000     HEAP32[$rawNameLength >> 2] = $call176;
   150001     $80 = HEAP32[$m_tagLevel177 >> 2] | 0;
   150002     $inc = $80 + 1 | 0;
   150003     HEAP32[$m_tagLevel177 >> 2] = $inc;
   150004     $81 = HEAP32[$rawName >> 2] | 0;
   150005     $82 = HEAP32[$rawNameLength >> 2] | 0;
   150006     $add_ptr180 = $81 + $82 | 0;
   150007     HEAP32[$fromPtr >> 2] = $81;
   150008     $buf182 = $tag_0 + 36 | 0;
   150009     $83 = HEAP32[$buf182 >> 2] | 0;
   150010     $bufEnd184 = $tag_0 + 40 | 0;
   150011     $storemerge = $83;
   150012     label = 63;
   150013     break;
   150014    case 63:
   150015     HEAP32[$toPtr >> 2] = $storemerge;
   150016     $84 = HEAP32[$utf8Convert >> 2] | 0;
   150017     $85 = HEAP32[$bufEnd184 >> 2] | 0;
   150018     $add_ptr185 = $85 - 1 | 0;
   150019     FUNCTION_TABLE_viiiii[$84 & 1023]($enc, $fromPtr, $add_ptr180, $toPtr, $add_ptr185);
   150020     $86 = HEAP32[$toPtr >> 2] | 0;
   150021     $87 = HEAP32[$buf182 >> 2] | 0;
   150022     $sub_ptr_lhs_cast = $86;
   150023     $sub_ptr_rhs_cast = $87;
   150024     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   150025     $88 = HEAP32[$fromPtr >> 2] | 0;
   150026     $cmp187 = ($88 | 0) == ($add_ptr180 | 0);
   150027     if ($cmp187) {
   150028       label = 64;
   150029       break;
   150030     } else {
   150031       label = 65;
   150032       break;
   150033     }
   150034    case 64:
   150035     $strLen = $tag_0 + 24 | 0;
   150036     HEAP32[$strLen >> 2] = $sub_ptr_sub;
   150037     $89 = HEAP32[$buf182 >> 2] | 0;
   150038     $str = $name171 | 0;
   150039     HEAP32[$str >> 2] = $89;
   150040     $90 = HEAP32[$toPtr >> 2] | 0;
   150041     HEAP8[$90] = 0;
   150042     $91 = HEAP32[$s_addr >> 2] | 0;
   150043     $call212 = _storeAtts($parser, $enc, $91, $name171, $bindings) | 0;
   150044     $tobool213 = ($call212 | 0) == 0;
   150045     if ($tobool213) {
   150046       label = 67;
   150047       break;
   150048     } else {
   150049       $retval_0 = $call212;
   150050       label = 154;
   150051       break;
   150052     }
   150053    case 65:
   150054     $92 = HEAP32[$bufEnd184 >> 2] | 0;
   150055     $sub_ptr_lhs_cast194 = $92;
   150056     $sub_ptr_sub196 = $sub_ptr_lhs_cast194 - $sub_ptr_rhs_cast | 0;
   150057     $shl = $sub_ptr_sub196 << 1;
   150058     $93 = HEAP32[$realloc_fcn >> 2] | 0;
   150059     $call199 = FUNCTION_TABLE_iii[$93 & 1023]($87, $shl) | 0;
   150060     $cmp200 = ($call199 | 0) == 0;
   150061     if ($cmp200) {
   150062       $retval_0 = 1;
   150063       label = 154;
   150064       break;
   150065     } else {
   150066       label = 66;
   150067       break;
   150068     }
   150069    case 66:
   150070     HEAP32[$buf182 >> 2] = $call199;
   150071     $add_ptr205 = $call199 + $shl | 0;
   150072     HEAP32[$bufEnd184 >> 2] = $add_ptr205;
   150073     $add_ptr207 = $call199 + $sub_ptr_sub | 0;
   150074     $storemerge = $add_ptr207;
   150075     label = 63;
   150076     break;
   150077    case 67:
   150078     $94 = HEAP32[$m_startElementHandler >> 2] | 0;
   150079     $tobool216 = ($94 | 0) == 0;
   150080     if ($tobool216) {
   150081       label = 69;
   150082       break;
   150083     } else {
   150084       label = 68;
   150085       break;
   150086     }
   150087    case 68:
   150088     $95 = HEAP32[$m_handlerArg219 >> 2] | 0;
   150089     $96 = HEAP32[$str >> 2] | 0;
   150090     $97 = HEAP32[$m_atts >> 2] | 0;
   150091     $98 = $97 | 0;
   150092     FUNCTION_TABLE_viii[$94 & 1023]($95, $96, $98);
   150093     label = 71;
   150094     break;
   150095    case 69:
   150096     $99 = HEAP32[$m_defaultHandler223 >> 2] | 0;
   150097     $tobool224 = ($99 | 0) == 0;
   150098     if ($tobool224) {
   150099       label = 71;
   150100       break;
   150101     } else {
   150102       label = 70;
   150103       break;
   150104     }
   150105    case 70:
   150106     $100 = HEAP32[$s_addr >> 2] | 0;
   150107     $101 = HEAP32[$next >> 2] | 0;
   150108     _reportDefault($parser, $enc, $100, $101);
   150109     label = 71;
   150110     break;
   150111    case 71:
   150112     _poolClear($m_tempPool228);
   150113     label = 152;
   150114     break;
   150115    case 72:
   150116     $102 = HEAP32[$s_addr >> 2] | 0;
   150117     $103 = HEAP32[$minBytesPerChar231 >> 2] | 0;
   150118     $add_ptr232 = $102 + $103 | 0;
   150119     HEAP32[$bindings234 >> 2] = 0;
   150120     $104 = HEAP32[$nameLength237 >> 2] | 0;
   150121     $call238 = FUNCTION_TABLE_iii[$104 & 1023]($enc, $add_ptr232) | 0;
   150122     $add_ptr232_sum = $call238 + $103 | 0;
   150123     $add_ptr239 = $102 + $add_ptr232_sum | 0;
   150124     $call240 = _poolStoreString($m_tempPool236, $enc, $add_ptr232, $add_ptr239) | 0;
   150125     HEAP32[$str241 >> 2] = $call240;
   150126     $tobool243 = ($call240 | 0) == 0;
   150127     if ($tobool243) {
   150128       $retval_0 = 1;
   150129       label = 154;
   150130       break;
   150131     } else {
   150132       label = 73;
   150133       break;
   150134     }
   150135    case 73:
   150136     $105 = HEAP32[$ptr247 >> 2] | 0;
   150137     HEAP32[$start249 >> 2] = $105;
   150138     $106 = HEAP32[$s_addr >> 2] | 0;
   150139     $call250 = _storeAtts($parser, $enc, $106, $name235, $bindings234) | 0;
   150140     $tobool251 = ($call250 | 0) == 0;
   150141     if ($tobool251) {
   150142       label = 74;
   150143       break;
   150144     } else {
   150145       $retval_0 = $call250;
   150146       label = 154;
   150147       break;
   150148     }
   150149    case 74:
   150150     $107 = HEAP32[$ptr247 >> 2] | 0;
   150151     HEAP32[$start249 >> 2] = $107;
   150152     $108 = HEAP32[$m_startElementHandler258 >> 2] | 0;
   150153     $tobool259 = ($108 | 0) == 0;
   150154     if ($tobool259) {
   150155       $noElmHandlers_0 = 1;
   150156       label = 76;
   150157       break;
   150158     } else {
   150159       label = 75;
   150160       break;
   150161     }
   150162    case 75:
   150163     $109 = HEAP32[$m_handlerArg262 >> 2] | 0;
   150164     $110 = HEAP32[$str241 >> 2] | 0;
   150165     $111 = HEAP32[$m_atts264 >> 2] | 0;
   150166     $112 = $111 | 0;
   150167     FUNCTION_TABLE_viii[$108 & 1023]($109, $110, $112);
   150168     $noElmHandlers_0 = 0;
   150169     label = 76;
   150170     break;
   150171    case 76:
   150172     $113 = HEAP32[$m_endElementHandler >> 2] | 0;
   150173     $tobool266 = ($113 | 0) == 0;
   150174     if ($tobool266) {
   150175       label = 80;
   150176       break;
   150177     } else {
   150178       label = 77;
   150179       break;
   150180     }
   150181    case 77:
   150182     $114 = HEAP32[$m_startElementHandler258 >> 2] | 0;
   150183     $tobool269 = ($114 | 0) == 0;
   150184     if ($tobool269) {
   150185       label = 79;
   150186       break;
   150187     } else {
   150188       label = 78;
   150189       break;
   150190     }
   150191    case 78:
   150192     $115 = HEAP32[$eventEndPP_0 >> 2] | 0;
   150193     HEAP32[$eventPP_0 >> 2] = $115;
   150194     label = 79;
   150195     break;
   150196    case 79:
   150197     $116 = HEAP32[$m_endElementHandler >> 2] | 0;
   150198     $117 = HEAP32[$m_handlerArg273 >> 2] | 0;
   150199     $118 = HEAP32[$str241 >> 2] | 0;
   150200     FUNCTION_TABLE_vii[$116 & 1023]($117, $118);
   150201     label = 83;
   150202     break;
   150203    case 80:
   150204     $tobool277 = $noElmHandlers_0 << 24 >> 24 == 0;
   150205     if ($tobool277) {
   150206       label = 83;
   150207       break;
   150208     } else {
   150209       label = 81;
   150210       break;
   150211     }
   150212    case 81:
   150213     $119 = HEAP32[$m_defaultHandler278 >> 2] | 0;
   150214     $tobool279 = ($119 | 0) == 0;
   150215     if ($tobool279) {
   150216       label = 83;
   150217       break;
   150218     } else {
   150219       label = 82;
   150220       break;
   150221     }
   150222    case 82:
   150223     $120 = HEAP32[$s_addr >> 2] | 0;
   150224     $121 = HEAP32[$next >> 2] | 0;
   150225     _reportDefault($parser, $enc, $120, $121);
   150226     label = 83;
   150227     break;
   150228    case 83:
   150229     _poolClear($m_tempPool236);
   150230     $122 = HEAP32[$bindings234 >> 2] | 0;
   150231     $tobool283334 = ($122 | 0) == 0;
   150232     if ($tobool283334) {
   150233       label = 89;
   150234       break;
   150235     } else {
   150236       label = 84;
   150237       break;
   150238     }
   150239    case 84:
   150240     $bindings234_promoted = HEAP32[$bindings234 >> 2] | 0;
   150241     $124 = $122;
   150242     $123 = $bindings234_promoted;
   150243     label = 85;
   150244     break;
   150245    case 85:
   150246     $125 = HEAP32[$m_endNamespaceDeclHandler >> 2] | 0;
   150247     $tobool284 = ($125 | 0) == 0;
   150248     if ($tobool284) {
   150249       label = 87;
   150250       break;
   150251     } else {
   150252       label = 86;
   150253       break;
   150254     }
   150255    case 86:
   150256     $126 = HEAP32[$m_handlerArg287 >> 2] | 0;
   150257     $prefix288 = $124 | 0;
   150258     $127 = HEAP32[$prefix288 >> 2] | 0;
   150259     $name289 = $127 | 0;
   150260     $128 = HEAP32[$name289 >> 2] | 0;
   150261     FUNCTION_TABLE_vii[$125 & 1023]($126, $128);
   150262     label = 87;
   150263     break;
   150264    case 87:
   150265     $nextTagBinding = $123 + 4 | 0;
   150266     $129 = HEAP32[$nextTagBinding >> 2] | 0;
   150267     $130 = HEAP32[$m_freeBindingList >> 2] | 0;
   150268     $nextTagBinding291 = $124 + 4 | 0;
   150269     HEAP32[$nextTagBinding291 >> 2] = $130;
   150270     HEAP32[$m_freeBindingList >> 2] = $124;
   150271     $prevPrefixBinding = $124 + 8 | 0;
   150272     $131 = HEAP32[$prevPrefixBinding >> 2] | 0;
   150273     $prefix293 = $124 | 0;
   150274     $132 = HEAP32[$prefix293 >> 2] | 0;
   150275     $binding = $132 + 4 | 0;
   150276     HEAP32[$binding >> 2] = $131;
   150277     $tobool283 = ($129 | 0) == 0;
   150278     if ($tobool283) {
   150279       label = 88;
   150280       break;
   150281     } else {
   150282       $124 = $129;
   150283       $123 = $129;
   150284       label = 85;
   150285       break;
   150286     }
   150287    case 88:
   150288     HEAP32[$bindings234 >> 2] = $129;
   150289     label = 89;
   150290     break;
   150291    case 89:
   150292     $133 = HEAP32[$m_tagLevel294 >> 2] | 0;
   150293     $cmp295 = ($133 | 0) == 0;
   150294     if ($cmp295) {
   150295       label = 90;
   150296       break;
   150297     } else {
   150298       label = 152;
   150299       break;
   150300     }
   150301    case 90:
   150302     $134 = HEAP32[$next >> 2] | 0;
   150303     $call298 = _epilogProcessor($parser, $134, $end, $nextPtr) | 0;
   150304     $retval_0 = $call298;
   150305     label = 154;
   150306     break;
   150307    case 91:
   150308     $135 = HEAP32[$m_tagLevel301 >> 2] | 0;
   150309     $cmp302 = ($135 | 0) == ($startTagLevel | 0);
   150310     if ($cmp302) {
   150311       $retval_0 = 13;
   150312       label = 154;
   150313       break;
   150314     } else {
   150315       label = 92;
   150316       break;
   150317     }
   150318    case 92:
   150319     $136 = HEAP32[$m_tagStack308 >> 2] | 0;
   150320     $parent309 = $136 | 0;
   150321     $137 = HEAP32[$parent309 >> 2] | 0;
   150322     HEAP32[$m_tagStack308 >> 2] = $137;
   150323     $138 = HEAP32[$m_freeTagList311 >> 2] | 0;
   150324     HEAP32[$parent309 >> 2] = $138;
   150325     HEAP32[$m_freeTagList311 >> 2] = $136;
   150326     $139 = HEAP32[$s_addr >> 2] | 0;
   150327     $140 = HEAP32[$minBytesPerChar314 >> 2] | 0;
   150328     $mul = $140 << 1;
   150329     $add_ptr315 = $139 + $mul | 0;
   150330     $141 = HEAP32[$nameLength316 >> 2] | 0;
   150331     $call317 = FUNCTION_TABLE_iii[$141 & 1023]($enc, $add_ptr315) | 0;
   150332     $rawNameLength318 = $136 + 8 | 0;
   150333     $142 = HEAP32[$rawNameLength318 >> 2] | 0;
   150334     $cmp319 = ($call317 | 0) == ($142 | 0);
   150335     if ($cmp319) {
   150336       label = 93;
   150337       break;
   150338     } else {
   150339       label = 94;
   150340       break;
   150341     }
   150342    case 93:
   150343     $rawName322 = $136 + 4 | 0;
   150344     $143 = HEAP32[$rawName322 >> 2] | 0;
   150345     $call323 = _memcmp($143 | 0, $add_ptr315 | 0, $call317 | 0) | 0;
   150346     $cmp324 = ($call323 | 0) == 0;
   150347     if ($cmp324) {
   150348       label = 95;
   150349       break;
   150350     } else {
   150351       label = 94;
   150352       break;
   150353     }
   150354    case 94:
   150355     HEAP32[$eventPP_0 >> 2] = $add_ptr315;
   150356     $retval_0 = 7;
   150357     label = 154;
   150358     break;
   150359    case 95:
   150360     $144 = HEAP32[$m_tagLevel301 >> 2] | 0;
   150361     $dec = $144 - 1 | 0;
   150362     HEAP32[$m_tagLevel301 >> 2] = $dec;
   150363     $145 = HEAP32[$m_endElementHandler329 >> 2] | 0;
   150364     $tobool330 = ($145 | 0) == 0;
   150365     if ($tobool330) {
   150366       label = 104;
   150367       break;
   150368     } else {
   150369       label = 96;
   150370       break;
   150371     }
   150372    case 96:
   150373     $name334 = $136 + 12 | 0;
   150374     $localPart335 = $136 + 16 | 0;
   150375     $146 = HEAP32[$localPart335 >> 2] | 0;
   150376     $147 = HEAP8[$m_ns] | 0;
   150377     $tobool337 = $147 << 24 >> 24 == 0;
   150378     $tobool339 = ($146 | 0) == 0;
   150379     $or_cond = $tobool337 | $tobool339;
   150380     if ($or_cond) {
   150381       label = 103;
   150382       break;
   150383     } else {
   150384       label = 97;
   150385       break;
   150386     }
   150387    case 97:
   150388     $str342 = $name334 | 0;
   150389     $148 = HEAP32[$str342 >> 2] | 0;
   150390     $uriLen = $136 + 28 | 0;
   150391     $149 = HEAP32[$uriLen >> 2] | 0;
   150392     $add_ptr344 = $148 + $149 | 0;
   150393     $150 = HEAP8[$146] | 0;
   150394     $tobool346325 = $150 << 24 >> 24 == 0;
   150395     if ($tobool346325) {
   150396       $uri_0_lcssa = $add_ptr344;
   150397       label = 99;
   150398       break;
   150399     } else {
   150400       $localPart332_0326 = $146;
   150401       $uri_0327 = $add_ptr344;
   150402       $151 = $150;
   150403       label = 98;
   150404       break;
   150405     }
   150406    case 98:
   150407     $incdec_ptr = $localPart332_0326 + 1 | 0;
   150408     $incdec_ptr348 = $uri_0327 + 1 | 0;
   150409     HEAP8[$uri_0327] = $151;
   150410     $152 = HEAP8[$incdec_ptr] | 0;
   150411     $tobool346 = $152 << 24 >> 24 == 0;
   150412     if ($tobool346) {
   150413       $uri_0_lcssa = $incdec_ptr348;
   150414       label = 99;
   150415       break;
   150416     } else {
   150417       $localPart332_0326 = $incdec_ptr;
   150418       $uri_0327 = $incdec_ptr348;
   150419       $151 = $152;
   150420       label = 98;
   150421       break;
   150422     }
   150423    case 99:
   150424     $prefix351 = $136 + 20 | 0;
   150425     $153 = HEAP32[$prefix351 >> 2] | 0;
   150426     $154 = HEAP8[$m_ns_triplets] | 0;
   150427     $tobool353 = $154 << 24 >> 24 == 0;
   150428     $tobool355 = ($153 | 0) == 0;
   150429     $or_cond323 = $tobool353 | $tobool355;
   150430     if ($or_cond323) {
   150431       $uri_2 = $uri_0_lcssa;
   150432       label = 102;
   150433       break;
   150434     } else {
   150435       label = 100;
   150436       break;
   150437     }
   150438    case 100:
   150439     $155 = HEAP8[$m_namespaceSeparator] | 0;
   150440     HEAP8[$uri_0_lcssa] = $155;
   150441     $uri_1328 = $uri_0_lcssa + 1 | 0;
   150442     $156 = HEAP8[$153] | 0;
   150443     $tobool359329 = $156 << 24 >> 24 == 0;
   150444     if ($tobool359329) {
   150445       $uri_2 = $uri_1328;
   150446       label = 102;
   150447       break;
   150448     } else {
   150449       $prefix333_0330 = $153;
   150450       $uri_1331 = $uri_1328;
   150451       $157 = $156;
   150452       label = 101;
   150453       break;
   150454     }
   150455    case 101:
   150456     $incdec_ptr361 = $prefix333_0330 + 1 | 0;
   150457     HEAP8[$uri_1331] = $157;
   150458     $uri_1 = $uri_1331 + 1 | 0;
   150459     $158 = HEAP8[$incdec_ptr361] | 0;
   150460     $tobool359 = $158 << 24 >> 24 == 0;
   150461     if ($tobool359) {
   150462       $uri_2 = $uri_1;
   150463       label = 102;
   150464       break;
   150465     } else {
   150466       $prefix333_0330 = $incdec_ptr361;
   150467       $uri_1331 = $uri_1;
   150468       $157 = $158;
   150469       label = 101;
   150470       break;
   150471     }
   150472    case 102:
   150473     HEAP8[$uri_2] = 0;
   150474     label = 103;
   150475     break;
   150476    case 103:
   150477     $159 = HEAP32[$m_endElementHandler329 >> 2] | 0;
   150478     $160 = HEAP32[$m_handlerArg367 >> 2] | 0;
   150479     $str369 = $name334 | 0;
   150480     $161 = HEAP32[$str369 >> 2] | 0;
   150481     FUNCTION_TABLE_vii[$159 & 1023]($160, $161);
   150482     label = 106;
   150483     break;
   150484    case 104:
   150485     $162 = HEAP32[$m_defaultHandler371 >> 2] | 0;
   150486     $tobool372 = ($162 | 0) == 0;
   150487     if ($tobool372) {
   150488       label = 106;
   150489       break;
   150490     } else {
   150491       label = 105;
   150492       break;
   150493     }
   150494    case 105:
   150495     $163 = HEAP32[$s_addr >> 2] | 0;
   150496     $164 = HEAP32[$next >> 2] | 0;
   150497     _reportDefault($parser, $enc, $163, $164);
   150498     label = 106;
   150499     break;
   150500    case 106:
   150501     $bindings377 = $136 + 44 | 0;
   150502     $165 = HEAP32[$bindings377 >> 2] | 0;
   150503     $tobool378333 = ($165 | 0) == 0;
   150504     if ($tobool378333) {
   150505       label = 110;
   150506       break;
   150507     } else {
   150508       $166 = $165;
   150509       label = 107;
   150510       break;
   150511     }
   150512    case 107:
   150513     $167 = HEAP32[$m_endNamespaceDeclHandler382 >> 2] | 0;
   150514     $tobool383 = ($167 | 0) == 0;
   150515     if ($tobool383) {
   150516       label = 109;
   150517       break;
   150518     } else {
   150519       label = 108;
   150520       break;
   150521     }
   150522    case 108:
   150523     $168 = HEAP32[$m_handlerArg386 >> 2] | 0;
   150524     $prefix387 = $166 | 0;
   150525     $169 = HEAP32[$prefix387 >> 2] | 0;
   150526     $name388 = $169 | 0;
   150527     $170 = HEAP32[$name388 >> 2] | 0;
   150528     FUNCTION_TABLE_vii[$167 & 1023]($168, $170);
   150529     label = 109;
   150530     break;
   150531    case 109:
   150532     $171 = HEAP32[$bindings377 >> 2] | 0;
   150533     $nextTagBinding391 = $171 + 4 | 0;
   150534     $172 = HEAP32[$nextTagBinding391 >> 2] | 0;
   150535     HEAP32[$bindings377 >> 2] = $172;
   150536     $173 = HEAP32[$m_freeBindingList393 >> 2] | 0;
   150537     $nextTagBinding394 = $166 + 4 | 0;
   150538     HEAP32[$nextTagBinding394 >> 2] = $173;
   150539     HEAP32[$m_freeBindingList393 >> 2] = $166;
   150540     $prevPrefixBinding396 = $166 + 8 | 0;
   150541     $174 = HEAP32[$prevPrefixBinding396 >> 2] | 0;
   150542     $prefix397 = $166 | 0;
   150543     $175 = HEAP32[$prefix397 >> 2] | 0;
   150544     $binding398 = $175 + 4 | 0;
   150545     HEAP32[$binding398 >> 2] = $174;
   150546     $176 = HEAP32[$bindings377 >> 2] | 0;
   150547     $tobool378 = ($176 | 0) == 0;
   150548     if ($tobool378) {
   150549       label = 110;
   150550       break;
   150551     } else {
   150552       $166 = $176;
   150553       label = 107;
   150554       break;
   150555     }
   150556    case 110:
   150557     $177 = HEAP32[$m_tagLevel301 >> 2] | 0;
   150558     $cmp401 = ($177 | 0) == 0;
   150559     if ($cmp401) {
   150560       label = 111;
   150561       break;
   150562     } else {
   150563       label = 152;
   150564       break;
   150565     }
   150566    case 111:
   150567     $178 = HEAP32[$next >> 2] | 0;
   150568     $call404 = _epilogProcessor($parser, $178, $end, $nextPtr) | 0;
   150569     $retval_0 = $call404;
   150570     label = 154;
   150571     break;
   150572    case 112:
   150573     $179 = HEAP32[$charRefNumber >> 2] | 0;
   150574     $180 = HEAP32[$s_addr >> 2] | 0;
   150575     $call408 = FUNCTION_TABLE_iii[$179 & 1023]($enc, $180) | 0;
   150576     $cmp409 = ($call408 | 0) < 0;
   150577     if ($cmp409) {
   150578       $retval_0 = 14;
   150579       label = 154;
   150580       break;
   150581     } else {
   150582       label = 113;
   150583       break;
   150584     }
   150585    case 113:
   150586     $181 = HEAP32[$m_characterDataHandler413 >> 2] | 0;
   150587     $tobool414 = ($181 | 0) == 0;
   150588     if ($tobool414) {
   150589       label = 115;
   150590       break;
   150591     } else {
   150592       label = 114;
   150593       break;
   150594     }
   150595    case 114:
   150596     $182 = HEAP32[$m_handlerArg418 >> 2] | 0;
   150597     $call420 = _XmlUtf8Encode($call408, $arraydecay) | 0;
   150598     FUNCTION_TABLE_viii[$181 & 1023]($182, $arraydecay, $call420);
   150599     label = 152;
   150600     break;
   150601    case 115:
   150602     $183 = HEAP32[$m_defaultHandler422 >> 2] | 0;
   150603     $tobool423 = ($183 | 0) == 0;
   150604     if ($tobool423) {
   150605       label = 152;
   150606       break;
   150607     } else {
   150608       label = 116;
   150609       break;
   150610     }
   150611    case 116:
   150612     $184 = HEAP32[$s_addr >> 2] | 0;
   150613     $185 = HEAP32[$next >> 2] | 0;
   150614     _reportDefault($parser, $enc, $184, $185);
   150615     label = 152;
   150616     break;
   150617    case 117:
   150618     $186 = HEAP32[$m_characterDataHandler429 >> 2] | 0;
   150619     $tobool430 = ($186 | 0) == 0;
   150620     if ($tobool430) {
   150621       label = 119;
   150622       break;
   150623     } else {
   150624       label = 118;
   150625       break;
   150626     }
   150627    case 118:
   150628     HEAP8[$c432] = 10;
   150629     $187 = HEAP32[$m_characterDataHandler429 >> 2] | 0;
   150630     $188 = HEAP32[$m_handlerArg434 >> 2] | 0;
   150631     FUNCTION_TABLE_viii[$187 & 1023]($188, $c432, 1);
   150632     label = 152;
   150633     break;
   150634    case 119:
   150635     $189 = HEAP32[$m_defaultHandler436 >> 2] | 0;
   150636     $tobool437 = ($189 | 0) == 0;
   150637     if ($tobool437) {
   150638       label = 152;
   150639       break;
   150640     } else {
   150641       label = 120;
   150642       break;
   150643     }
   150644    case 120:
   150645     $190 = HEAP32[$s_addr >> 2] | 0;
   150646     $191 = HEAP32[$next >> 2] | 0;
   150647     _reportDefault($parser, $enc, $190, $191);
   150648     label = 152;
   150649     break;
   150650    case 121:
   150651     $192 = HEAP32[$m_startCdataSectionHandler >> 2] | 0;
   150652     $tobool443 = ($192 | 0) == 0;
   150653     if ($tobool443) {
   150654       label = 123;
   150655       break;
   150656     } else {
   150657       label = 122;
   150658       break;
   150659     }
   150660    case 122:
   150661     $193 = HEAP32[$m_handlerArg446 >> 2] | 0;
   150662     FUNCTION_TABLE_vi[$192 & 1023]($193);
   150663     label = 125;
   150664     break;
   150665    case 123:
   150666     $194 = HEAP32[$m_defaultHandler448 >> 2] | 0;
   150667     $tobool449 = ($194 | 0) == 0;
   150668     if ($tobool449) {
   150669       label = 125;
   150670       break;
   150671     } else {
   150672       label = 124;
   150673       break;
   150674     }
   150675    case 124:
   150676     $195 = HEAP32[$s_addr >> 2] | 0;
   150677     $196 = HEAP32[$next >> 2] | 0;
   150678     _reportDefault($parser, $enc, $195, $196);
   150679     label = 125;
   150680     break;
   150681    case 125:
   150682     $call453 = _doCdataSection($parser, $enc, $next, $end, $nextPtr, $haveMore) | 0;
   150683     $cmp454 = ($call453 | 0) == 0;
   150684     if ($cmp454) {
   150685       label = 126;
   150686       break;
   150687     } else {
   150688       $retval_0 = $call453;
   150689       label = 154;
   150690       break;
   150691     }
   150692    case 126:
   150693     $197 = HEAP32[$next >> 2] | 0;
   150694     $tobool458 = ($197 | 0) == 0;
   150695     if ($tobool458) {
   150696       label = 127;
   150697       break;
   150698     } else {
   150699       label = 152;
   150700       break;
   150701     }
   150702    case 127:
   150703     $m_processor = $parser + 264 | 0;
   150704     HEAP32[$m_processor >> 2] = 80;
   150705     $retval_0 = $call453;
   150706     label = 154;
   150707     break;
   150708    case 128:
   150709     $tobool463 = $haveMore << 24 >> 24 == 0;
   150710     if ($tobool463) {
   150711       label = 130;
   150712       break;
   150713     } else {
   150714       label = 129;
   150715       break;
   150716     }
   150717    case 129:
   150718     $198 = HEAP32[$s_addr >> 2] | 0;
   150719     HEAP32[$nextPtr >> 2] = $198;
   150720     $retval_0 = 0;
   150721     label = 154;
   150722     break;
   150723    case 130:
   150724     $m_characterDataHandler466 = $parser + 60 | 0;
   150725     $199 = HEAP32[$m_characterDataHandler466 >> 2] | 0;
   150726     $tobool467 = ($199 | 0) == 0;
   150727     if ($tobool467) {
   150728       label = 134;
   150729       break;
   150730     } else {
   150731       label = 131;
   150732       break;
   150733     }
   150734    case 131:
   150735     $isUtf8 = $enc + 68 | 0;
   150736     $200 = HEAP8[$isUtf8] | 0;
   150737     $tobool469 = $200 << 24 >> 24 == 0;
   150738     if ($tobool469) {
   150739       label = 132;
   150740       break;
   150741     } else {
   150742       label = 133;
   150743       break;
   150744     }
   150745    case 132:
   150746     $m_dataBuf = $parser + 44 | 0;
   150747     $201 = HEAP32[$m_dataBuf >> 2] | 0;
   150748     HEAP32[$dataPtr >> 2] = $201;
   150749     $utf8Convert471 = $enc + 56 | 0;
   150750     $202 = HEAP32[$utf8Convert471 >> 2] | 0;
   150751     $m_dataBufEnd = $parser + 48 | 0;
   150752     $203 = HEAP32[$m_dataBufEnd >> 2] | 0;
   150753     FUNCTION_TABLE_viiiii[$202 & 1023]($enc, $s_addr, $end, $dataPtr, $203);
   150754     $204 = HEAP32[$m_characterDataHandler466 >> 2] | 0;
   150755     $m_handlerArg473 = $parser + 4 | 0;
   150756     $205 = HEAP32[$m_handlerArg473 >> 2] | 0;
   150757     $206 = HEAP32[$m_dataBuf >> 2] | 0;
   150758     $207 = HEAP32[$dataPtr >> 2] | 0;
   150759     $sub_ptr_lhs_cast476 = $207;
   150760     $sub_ptr_rhs_cast477 = $206;
   150761     $sub_ptr_sub478 = $sub_ptr_lhs_cast476 - $sub_ptr_rhs_cast477 | 0;
   150762     FUNCTION_TABLE_viii[$204 & 1023]($205, $206, $sub_ptr_sub478);
   150763     label = 136;
   150764     break;
   150765    case 133:
   150766     $m_handlerArg481 = $parser + 4 | 0;
   150767     $208 = HEAP32[$m_handlerArg481 >> 2] | 0;
   150768     $209 = HEAP32[$s_addr >> 2] | 0;
   150769     $sub_ptr_lhs_cast482 = $end;
   150770     $sub_ptr_rhs_cast483 = $209;
   150771     $sub_ptr_sub484 = $sub_ptr_lhs_cast482 - $sub_ptr_rhs_cast483 | 0;
   150772     FUNCTION_TABLE_viii[$199 & 1023]($208, $209, $sub_ptr_sub484);
   150773     label = 136;
   150774     break;
   150775    case 134:
   150776     $m_defaultHandler487 = $parser + 80 | 0;
   150777     $210 = HEAP32[$m_defaultHandler487 >> 2] | 0;
   150778     $tobool488 = ($210 | 0) == 0;
   150779     if ($tobool488) {
   150780       label = 136;
   150781       break;
   150782     } else {
   150783       label = 135;
   150784       break;
   150785     }
   150786    case 135:
   150787     $211 = HEAP32[$s_addr >> 2] | 0;
   150788     _reportDefault($parser, $enc, $211, $end);
   150789     label = 136;
   150790     break;
   150791    case 136:
   150792     $cmp492 = ($startTagLevel | 0) == 0;
   150793     if ($cmp492) {
   150794       label = 137;
   150795       break;
   150796     } else {
   150797       label = 138;
   150798       break;
   150799     }
   150800    case 137:
   150801     HEAP32[$eventPP_0 >> 2] = $end;
   150802     $retval_0 = 3;
   150803     label = 154;
   150804     break;
   150805    case 138:
   150806     $m_tagLevel496 = $parser + 296 | 0;
   150807     $212 = HEAP32[$m_tagLevel496 >> 2] | 0;
   150808     $cmp497 = ($212 | 0) == ($startTagLevel | 0);
   150809     if ($cmp497) {
   150810       label = 140;
   150811       break;
   150812     } else {
   150813       label = 139;
   150814       break;
   150815     }
   150816    case 139:
   150817     HEAP32[$eventPP_0 >> 2] = $end;
   150818     $retval_0 = 13;
   150819     label = 154;
   150820     break;
   150821    case 140:
   150822     HEAP32[$nextPtr >> 2] = $end;
   150823     $retval_0 = 0;
   150824     label = 154;
   150825     break;
   150826    case 141:
   150827     $213 = HEAP32[$m_characterDataHandler502 >> 2] | 0;
   150828     $tobool503 = ($213 | 0) == 0;
   150829     if ($tobool503) {
   150830       label = 146;
   150831       break;
   150832     } else {
   150833       label = 142;
   150834       break;
   150835     }
   150836    case 142:
   150837     $214 = HEAP8[$isUtf8505] | 0;
   150838     $tobool506 = $214 << 24 >> 24 == 0;
   150839     if ($tobool506) {
   150840       label = 143;
   150841       break;
   150842     } else {
   150843       label = 145;
   150844       break;
   150845     }
   150846    case 143:
   150847     $215 = HEAP32[$m_dataBuf510 >> 2] | 0;
   150848     HEAP32[$dataPtr509 >> 2] = $215;
   150849     $216 = HEAP32[$utf8Convert511 >> 2] | 0;
   150850     $217 = HEAP32[$next >> 2] | 0;
   150851     $218 = HEAP32[$m_dataBufEnd512 >> 2] | 0;
   150852     FUNCTION_TABLE_viiiii[$216 & 1023]($enc, $s_addr, $217, $dataPtr509, $218);
   150853     $219 = HEAP32[$s_addr >> 2] | 0;
   150854     HEAP32[$eventEndPP_0 >> 2] = $219;
   150855     $220 = HEAP32[$m_handlerArg513 >> 2] | 0;
   150856     $221 = HEAP32[$m_dataBuf510 >> 2] | 0;
   150857     $222 = HEAP32[$dataPtr509 >> 2] | 0;
   150858     $sub_ptr_lhs_cast516 = $222;
   150859     $sub_ptr_rhs_cast517 = $221;
   150860     $sub_ptr_sub518 = $sub_ptr_lhs_cast516 - $sub_ptr_rhs_cast517 | 0;
   150861     FUNCTION_TABLE_viii[$213 & 1023]($220, $221, $sub_ptr_sub518);
   150862     $223 = HEAP32[$s_addr >> 2] | 0;
   150863     $224 = HEAP32[$next >> 2] | 0;
   150864     $cmp519 = ($223 | 0) == ($224 | 0);
   150865     if ($cmp519) {
   150866       label = 152;
   150867       break;
   150868     } else {
   150869       label = 144;
   150870       break;
   150871     }
   150872    case 144:
   150873     HEAP32[$eventPP_0 >> 2] = $223;
   150874     label = 143;
   150875     break;
   150876    case 145:
   150877     $225 = HEAP32[$m_handlerArg525 >> 2] | 0;
   150878     $226 = HEAP32[$s_addr >> 2] | 0;
   150879     $227 = HEAP32[$next >> 2] | 0;
   150880     $sub_ptr_lhs_cast526 = $227;
   150881     $sub_ptr_rhs_cast527 = $226;
   150882     $sub_ptr_sub528 = $sub_ptr_lhs_cast526 - $sub_ptr_rhs_cast527 | 0;
   150883     FUNCTION_TABLE_viii[$213 & 1023]($225, $226, $sub_ptr_sub528);
   150884     label = 152;
   150885     break;
   150886    case 146:
   150887     $228 = HEAP32[$m_defaultHandler531 >> 2] | 0;
   150888     $tobool532 = ($228 | 0) == 0;
   150889     if ($tobool532) {
   150890       label = 152;
   150891       break;
   150892     } else {
   150893       label = 147;
   150894       break;
   150895     }
   150896    case 147:
   150897     $229 = HEAP32[$s_addr >> 2] | 0;
   150898     $230 = HEAP32[$next >> 2] | 0;
   150899     _reportDefault($parser, $enc, $229, $230);
   150900     label = 152;
   150901     break;
   150902    case 148:
   150903     $231 = HEAP32[$s_addr >> 2] | 0;
   150904     $232 = HEAP32[$next >> 2] | 0;
   150905     $call537 = _reportProcessingInstruction($parser, $enc, $231, $232) | 0;
   150906     $tobool538 = ($call537 | 0) == 0;
   150907     if ($tobool538) {
   150908       $retval_0 = 1;
   150909       label = 154;
   150910       break;
   150911     } else {
   150912       label = 152;
   150913       break;
   150914     }
   150915    case 149:
   150916     $233 = HEAP32[$s_addr >> 2] | 0;
   150917     $234 = HEAP32[$next >> 2] | 0;
   150918     $call542 = _reportComment($parser, $enc, $233, $234) | 0;
   150919     $tobool543 = ($call542 | 0) == 0;
   150920     if ($tobool543) {
   150921       $retval_0 = 1;
   150922       label = 154;
   150923       break;
   150924     } else {
   150925       label = 152;
   150926       break;
   150927     }
   150928    case 150:
   150929     $235 = HEAP32[$m_defaultHandler546 >> 2] | 0;
   150930     $tobool547 = ($235 | 0) == 0;
   150931     if ($tobool547) {
   150932       label = 152;
   150933       break;
   150934     } else {
   150935       label = 151;
   150936       break;
   150937     }
   150938    case 151:
   150939     $236 = HEAP32[$s_addr >> 2] | 0;
   150940     $237 = HEAP32[$next >> 2] | 0;
   150941     _reportDefault($parser, $enc, $236, $237);
   150942     label = 152;
   150943     break;
   150944    case 152:
   150945     $238 = HEAP32[$next >> 2] | 0;
   150946     HEAP32[$s_addr >> 2] = $238;
   150947     HEAP32[$eventPP_0 >> 2] = $238;
   150948     $239 = HEAP32[$parsing >> 2] | 0;
   150949     if (($239 | 0) == 3) {
   150950       label = 153;
   150951       break;
   150952     } else if (($239 | 0) == 2) {
   150953       $retval_0 = 35;
   150954       label = 154;
   150955       break;
   150956     } else {
   150957       label = 6;
   150958       break;
   150959     }
   150960    case 153:
   150961     $240 = HEAP32[$next >> 2] | 0;
   150962     HEAP32[$nextPtr >> 2] = $240;
   150963     $retval_0 = 0;
   150964     label = 154;
   150965     break;
   150966    case 154:
   150967     STACKTOP = __stackBase__;
   150968     return $retval_0 | 0;
   150969   }
   150970   return 0;
   150971 }
   150972 function _storeRawNames($parser) {
   150973   $parser = $parser | 0;
   150974   var $m_tagStack = 0, $realloc_fcn = 0, $tag_033 = 0, $tobool34 = 0, $tag_035 = 0, $strLen = 0, $0 = 0, $add = 0, $buf = 0, $1 = 0, $add_ptr = 0, $rawName = 0, $2 = 0, $cmp = 0, $rawNameLength = 0, $3 = 0, $add2 = 0, $bufEnd = 0, $4 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp4 = 0, $5 = 0, $call = 0, $cmp7 = 0, $str = 0, $6 = 0, $7 = 0, $cmp12 = 0, $localPart = 0, $8 = 0, $tobool18 = 0, $9 = 0, $sub_ptr_lhs_cast23 = 0, $sub_ptr_rhs_cast24 = 0, $sub_ptr_sub25 = 0, $add_ptr26 = 0, $add_ptr31 = 0, $add_ptr33 = 0, $rawNameBuf_0 = 0, $10 = 0, $11 = 0, $parent = 0, $tag_0 = 0, $tobool = 0, $retval_0 = 0, label = 0;
   150975   label = 2;
   150976   while (1) switch (label | 0) {
   150977    case 2:
   150978     $m_tagStack = $parser + 348 | 0;
   150979     $realloc_fcn = $parser + 16 | 0;
   150980     $tag_033 = HEAP32[$m_tagStack >> 2] | 0;
   150981     $tobool34 = ($tag_033 | 0) == 0;
   150982     if ($tobool34) {
   150983       $retval_0 = 1;
   150984       label = 12;
   150985       break;
   150986     } else {
   150987       $tag_035 = $tag_033;
   150988       label = 3;
   150989       break;
   150990     }
   150991    case 3:
   150992     $strLen = $tag_035 + 24 | 0;
   150993     $0 = HEAP32[$strLen >> 2] | 0;
   150994     $add = $0 + 1 | 0;
   150995     $buf = $tag_035 + 36 | 0;
   150996     $1 = HEAP32[$buf >> 2] | 0;
   150997     $add_ptr = $1 + $add | 0;
   150998     $rawName = $tag_035 + 4 | 0;
   150999     $2 = HEAP32[$rawName >> 2] | 0;
   151000     $cmp = ($2 | 0) == ($add_ptr | 0);
   151001     if ($cmp) {
   151002       $retval_0 = 1;
   151003       label = 12;
   151004       break;
   151005     } else {
   151006       label = 4;
   151007       break;
   151008     }
   151009    case 4:
   151010     $rawNameLength = $tag_035 + 8 | 0;
   151011     $3 = HEAP32[$rawNameLength >> 2] | 0;
   151012     $add2 = $3 + $add | 0;
   151013     $bufEnd = $tag_035 + 40 | 0;
   151014     $4 = HEAP32[$bufEnd >> 2] | 0;
   151015     $sub_ptr_lhs_cast = $4;
   151016     $sub_ptr_rhs_cast = $1;
   151017     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   151018     $cmp4 = ($add2 | 0) > ($sub_ptr_sub | 0);
   151019     if ($cmp4) {
   151020       label = 5;
   151021       break;
   151022     } else {
   151023       $rawNameBuf_0 = $add_ptr;
   151024       label = 11;
   151025       break;
   151026     }
   151027    case 5:
   151028     $5 = HEAP32[$realloc_fcn >> 2] | 0;
   151029     $call = FUNCTION_TABLE_iii[$5 & 1023]($1, $add2) | 0;
   151030     $cmp7 = ($call | 0) == 0;
   151031     if ($cmp7) {
   151032       $retval_0 = 0;
   151033       label = 12;
   151034       break;
   151035     } else {
   151036       label = 6;
   151037       break;
   151038     }
   151039    case 6:
   151040     $str = $tag_035 + 12 | 0;
   151041     $6 = HEAP32[$str >> 2] | 0;
   151042     $7 = HEAP32[$buf >> 2] | 0;
   151043     $cmp12 = ($6 | 0) == ($7 | 0);
   151044     if ($cmp12) {
   151045       label = 7;
   151046       break;
   151047     } else {
   151048       label = 8;
   151049       break;
   151050     }
   151051    case 7:
   151052     HEAP32[$str >> 2] = $call;
   151053     label = 8;
   151054     break;
   151055    case 8:
   151056     $localPart = $tag_035 + 16 | 0;
   151057     $8 = HEAP32[$localPart >> 2] | 0;
   151058     $tobool18 = ($8 | 0) == 0;
   151059     if ($tobool18) {
   151060       label = 10;
   151061       break;
   151062     } else {
   151063       label = 9;
   151064       break;
   151065     }
   151066    case 9:
   151067     $9 = HEAP32[$buf >> 2] | 0;
   151068     $sub_ptr_lhs_cast23 = $8;
   151069     $sub_ptr_rhs_cast24 = $9;
   151070     $sub_ptr_sub25 = $sub_ptr_lhs_cast23 - $sub_ptr_rhs_cast24 | 0;
   151071     $add_ptr26 = $call + $sub_ptr_sub25 | 0;
   151072     HEAP32[$localPart >> 2] = $add_ptr26;
   151073     label = 10;
   151074     break;
   151075    case 10:
   151076     HEAP32[$buf >> 2] = $call;
   151077     $add_ptr31 = $call + $add2 | 0;
   151078     HEAP32[$bufEnd >> 2] = $add_ptr31;
   151079     $add_ptr33 = $call + $add | 0;
   151080     $rawNameBuf_0 = $add_ptr33;
   151081     label = 11;
   151082     break;
   151083    case 11:
   151084     $10 = HEAP32[$rawName >> 2] | 0;
   151085     $11 = HEAP32[$rawNameLength >> 2] | 0;
   151086     _memcpy($rawNameBuf_0 | 0, $10 | 0, $11);
   151087     HEAP32[$rawName >> 2] = $rawNameBuf_0;
   151088     $parent = $tag_035 | 0;
   151089     $tag_0 = HEAP32[$parent >> 2] | 0;
   151090     $tobool = ($tag_0 | 0) == 0;
   151091     if ($tobool) {
   151092       $retval_0 = 1;
   151093       label = 12;
   151094       break;
   151095     } else {
   151096       $tag_035 = $tag_0;
   151097       label = 3;
   151098       break;
   151099     }
   151100    case 12:
   151101     return $retval_0 | 0;
   151102   }
   151103   return 0;
   151104 }
   151105 function _poolStoreString($pool, $enc, $ptr, $end) {
   151106   $pool = $pool | 0;
   151107   $enc = $enc | 0;
   151108   $ptr = $ptr | 0;
   151109   $end = $end | 0;
   151110   var $call = 0, $tobool = 0, $ptr1 = 0, $0 = 0, $end2 = 0, $1 = 0, $cmp = 0, $call3 = 0, $tobool4 = 0, $2 = 0, $incdec_ptr = 0, $start = 0, $3 = 0, $retval_0 = 0, label = 0;
   151111   label = 2;
   151112   while (1) switch (label | 0) {
   151113    case 2:
   151114     $call = _poolAppend($pool, $enc, $ptr, $end) | 0;
   151115     $tobool = ($call | 0) == 0;
   151116     if ($tobool) {
   151117       $retval_0 = 0;
   151118       label = 6;
   151119       break;
   151120     } else {
   151121       label = 3;
   151122       break;
   151123     }
   151124    case 3:
   151125     $ptr1 = $pool + 12 | 0;
   151126     $0 = HEAP32[$ptr1 >> 2] | 0;
   151127     $end2 = $pool + 8 | 0;
   151128     $1 = HEAP32[$end2 >> 2] | 0;
   151129     $cmp = ($0 | 0) == ($1 | 0);
   151130     if ($cmp) {
   151131       label = 4;
   151132       break;
   151133     } else {
   151134       label = 5;
   151135       break;
   151136     }
   151137    case 4:
   151138     $call3 = _poolGrow($pool) | 0;
   151139     $tobool4 = $call3 << 24 >> 24 == 0;
   151140     if ($tobool4) {
   151141       $retval_0 = 0;
   151142       label = 6;
   151143       break;
   151144     } else {
   151145       label = 5;
   151146       break;
   151147     }
   151148    case 5:
   151149     $2 = HEAP32[$ptr1 >> 2] | 0;
   151150     $incdec_ptr = $2 + 1 | 0;
   151151     HEAP32[$ptr1 >> 2] = $incdec_ptr;
   151152     HEAP8[$2] = 0;
   151153     $start = $pool + 16 | 0;
   151154     $3 = HEAP32[$start >> 2] | 0;
   151155     $retval_0 = $3;
   151156     label = 6;
   151157     break;
   151158    case 6:
   151159     return $retval_0 | 0;
   151160   }
   151161   return 0;
   151162 }
   151163 function _processInternalEntity($parser, $entity) {
   151164   $parser = $parser | 0;
   151165   $entity = $entity | 0;
   151166   var $next = 0, $m_freeInternalEntities = 0, $0 = 0, $tobool = 0, $next2 = 0, $1 = 0, $malloc_fcn = 0, $2 = 0, $call = 0, $3 = 0, $tobool4 = 0, $openEntity_0 = 0, $open = 0, $processed = 0, $m_openInternalEntities = 0, $4 = 0, $next7 = 0, $entity9 = 0, $m_tagLevel = 0, $5 = 0, $startTagLevel = 0, $betweenDecl10 = 0, $internalEventPtr = 0, $internalEventEndPtr = 0, $textPtr = 0, $6 = 0, $textLen = 0, $7 = 0, $add_ptr = 0, $8 = 0, $m_internalEncoding = 0, $9 = 0, $call13 = 0, $cmp = 0, $10 = 0, $cmp15 = 0, $parsing = 0, $11 = 0, $cmp16 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $m_processor = 0, $12 = 0, $13 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   151167   __stackBase__ = STACKTOP;
   151168   STACKTOP = STACKTOP + 8 | 0;
   151169   label = 2;
   151170   while (1) switch (label | 0) {
   151171    case 2:
   151172     $next = __stackBase__ | 0;
   151173     $m_freeInternalEntities = $parser + 288 | 0;
   151174     $0 = HEAP32[$m_freeInternalEntities >> 2] | 0;
   151175     $tobool = ($0 | 0) == 0;
   151176     if ($tobool) {
   151177       label = 4;
   151178       break;
   151179     } else {
   151180       label = 3;
   151181       break;
   151182     }
   151183    case 3:
   151184     $next2 = $0 + 8 | 0;
   151185     $1 = HEAP32[$next2 >> 2] | 0;
   151186     HEAP32[$m_freeInternalEntities >> 2] = $1;
   151187     $openEntity_0 = $0;
   151188     label = 5;
   151189     break;
   151190    case 4:
   151191     $malloc_fcn = $parser + 12 | 0;
   151192     $2 = HEAP32[$malloc_fcn >> 2] | 0;
   151193     $call = FUNCTION_TABLE_ii[$2 & 1023](24) | 0;
   151194     $3 = $call;
   151195     $tobool4 = ($call | 0) == 0;
   151196     if ($tobool4) {
   151197       $retval_0 = 1;
   151198       label = 10;
   151199       break;
   151200     } else {
   151201       $openEntity_0 = $3;
   151202       label = 5;
   151203       break;
   151204     }
   151205    case 5:
   151206     $open = $entity + 32 | 0;
   151207     HEAP8[$open] = 1;
   151208     $processed = $entity + 12 | 0;
   151209     HEAP32[$processed >> 2] = 0;
   151210     $m_openInternalEntities = $parser + 284 | 0;
   151211     $4 = HEAP32[$m_openInternalEntities >> 2] | 0;
   151212     $next7 = $openEntity_0 + 8 | 0;
   151213     HEAP32[$next7 >> 2] = $4;
   151214     HEAP32[$m_openInternalEntities >> 2] = $openEntity_0;
   151215     $entity9 = $openEntity_0 + 12 | 0;
   151216     HEAP32[$entity9 >> 2] = $entity;
   151217     $m_tagLevel = $parser + 296 | 0;
   151218     $5 = HEAP32[$m_tagLevel >> 2] | 0;
   151219     $startTagLevel = $openEntity_0 + 16 | 0;
   151220     HEAP32[$startTagLevel >> 2] = $5;
   151221     $betweenDecl10 = $openEntity_0 + 20 | 0;
   151222     HEAP8[$betweenDecl10] = 0;
   151223     $internalEventPtr = $openEntity_0 | 0;
   151224     HEAP32[$internalEventPtr >> 2] = 0;
   151225     $internalEventEndPtr = $openEntity_0 + 4 | 0;
   151226     HEAP32[$internalEventEndPtr >> 2] = 0;
   151227     $textPtr = $entity + 4 | 0;
   151228     $6 = HEAP32[$textPtr >> 2] | 0;
   151229     $textLen = $entity + 8 | 0;
   151230     $7 = HEAP32[$textLen >> 2] | 0;
   151231     $add_ptr = $6 + $7 | 0;
   151232     $8 = HEAP32[$m_tagLevel >> 2] | 0;
   151233     $m_internalEncoding = $parser + 224 | 0;
   151234     $9 = HEAP32[$m_internalEncoding >> 2] | 0;
   151235     $call13 = _doContent($parser, $8, $9, $6, $add_ptr, $next, 0) | 0;
   151236     $cmp = ($call13 | 0) == 0;
   151237     if ($cmp) {
   151238       label = 6;
   151239       break;
   151240     } else {
   151241       $retval_0 = $call13;
   151242       label = 10;
   151243       break;
   151244     }
   151245    case 6:
   151246     $10 = HEAP32[$next >> 2] | 0;
   151247     $cmp15 = ($add_ptr | 0) == ($10 | 0);
   151248     if ($cmp15) {
   151249       label = 9;
   151250       break;
   151251     } else {
   151252       label = 7;
   151253       break;
   151254     }
   151255    case 7:
   151256     $parsing = $parser + 464 | 0;
   151257     $11 = HEAP32[$parsing >> 2] | 0;
   151258     $cmp16 = ($11 | 0) == 3;
   151259     if ($cmp16) {
   151260       label = 8;
   151261       break;
   151262     } else {
   151263       label = 9;
   151264       break;
   151265     }
   151266    case 8:
   151267     $sub_ptr_lhs_cast = $10;
   151268     $sub_ptr_rhs_cast = $6;
   151269     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   151270     HEAP32[$processed >> 2] = $sub_ptr_sub;
   151271     $m_processor = $parser + 264 | 0;
   151272     HEAP32[$m_processor >> 2] = 680;
   151273     $retval_0 = $call13;
   151274     label = 10;
   151275     break;
   151276    case 9:
   151277     HEAP8[$open] = 0;
   151278     $12 = HEAP32[$next7 >> 2] | 0;
   151279     HEAP32[$m_openInternalEntities >> 2] = $12;
   151280     $13 = HEAP32[$m_freeInternalEntities >> 2] | 0;
   151281     HEAP32[$next7 >> 2] = $13;
   151282     HEAP32[$m_freeInternalEntities >> 2] = $openEntity_0;
   151283     $retval_0 = $call13;
   151284     label = 10;
   151285     break;
   151286    case 10:
   151287     STACKTOP = __stackBase__;
   151288     return $retval_0 | 0;
   151289   }
   151290   return 0;
   151291 }
   151292 function _getContext($parser) {
   151293   $parser = $parser | 0;
   151294   var $iter = 0, $m_dtd = 0, $0 = 0, $binding = 0, $1 = 0, $tobool = 0, $m_tempPool = 0, $ptr = 0, $2 = 0, $end = 0, $3 = 0, $cmp = 0, $call = 0, $tobool3 = 0, $4 = 0, $incdec_ptr = 0, $5 = 0, $uriLen = 0, $6 = 0, $m_namespaceSeparator = 0, $7 = 0, $not_tobool9 = 0, $dec = 0, $len_0 = 0, $cmp1281 = 0, $i_082 = 0, $8 = 0, $9 = 0, $cmp17 = 0, $call20 = 0, $tobool21 = 0, $10 = 0, $uri = 0, $11 = 0, $arrayidx = 0, $12 = 0, $13 = 0, $incdec_ptr28 = 0, $inc = 0, $cmp12 = 0, $needSep_0 = 0, $prefixes = 0, $m_tempPool65 = 0, $ptr66 = 0, $end68 = 0, $m_namespaceSeparator104 = 0, $ptr46 = 0, $end48 = 0, $m_tempPool45 = 0, $needSep_1_ph = 0, $call35 = 0, $tobool36 = 0, $binding39 = 0, $14 = 0, $15 = 0, $tobool40 = 0, $tobool43 = 0, $16 = 0, $17 = 0, $cmp49 = 0, $call53 = 0, $tobool54 = 0, $18 = 0, $incdec_ptr59 = 0, $name = 0, $19 = 0, $20 = 0, $tobool6368 = 0, $21 = 0, $22 = 0, $cmp6969 = 0, $cmp6971 = 0, $s_070 = 0, $call73 = 0, $tobool74 = 0, $23 = 0, $24 = 0, $incdec_ptr79 = 0, $incdec_ptr83 = 0, $25 = 0, $tobool63 = 0, $26 = 0, $27 = 0, $cmp69 = 0, $cmp69_lcssa = 0, $call93 = 0, $tobool94 = 0, $28 = 0, $incdec_ptr99 = 0, $29 = 0, $uriLen103 = 0, $30 = 0, $31 = 0, $not_tobool105 = 0, $dec107 = 0, $len34_0 = 0, $cmp11076 = 0, $i33_077 = 0, $32 = 0, $33 = 0, $cmp117 = 0, $call121 = 0, $tobool122 = 0, $34 = 0, $uri126 = 0, $35 = 0, $arrayidx127 = 0, $36 = 0, $37 = 0, $incdec_ptr130 = 0, $inc134 = 0, $cmp110 = 0, $generalEntities = 0, $ptr171 = 0, $end173 = 0, $m_tempPool170 = 0, $ptr150 = 0, $end152 = 0, $m_tempPool149 = 0, $needSep_2_ph = 0, $call139 = 0, $tobool140 = 0, $open = 0, $38 = 0, $39 = 0, $tobool143 = 0, $tobool147 = 0, $40 = 0, $41 = 0, $cmp153 = 0, $call157 = 0, $tobool158 = 0, $42 = 0, $incdec_ptr163 = 0, $name166 = 0, $43 = 0, $44 = 0, $tobool16859 = 0, $s138_060 = 0, $45 = 0, $46 = 0, $cmp174 = 0, $call178 = 0, $tobool179 = 0, $47 = 0, $48 = 0, $incdec_ptr184 = 0, $incdec_ptr188 = 0, $49 = 0, $tobool168 = 0, $ptr192 = 0, $50 = 0, $end194 = 0, $51 = 0, $cmp195 = 0, $m_tempPool191 = 0, $call199 = 0, $tobool200 = 0, $52 = 0, $incdec_ptr205 = 0, $start = 0, $53 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   151295   __stackBase__ = STACKTOP;
   151296   STACKTOP = STACKTOP + 8 | 0;
   151297   label = 2;
   151298   while (1) switch (label | 0) {
   151299    case 2:
   151300     $iter = __stackBase__ | 0;
   151301     $m_dtd = $parser + 340 | 0;
   151302     $0 = HEAP32[$m_dtd >> 2] | 0;
   151303     $binding = $0 + 136 | 0;
   151304     $1 = HEAP32[$binding >> 2] | 0;
   151305     $tobool = ($1 | 0) == 0;
   151306     if ($tobool) {
   151307       $needSep_0 = 0;
   151308       label = 9;
   151309       break;
   151310     } else {
   151311       label = 3;
   151312       break;
   151313     }
   151314    case 3:
   151315     $m_tempPool = $parser + 400 | 0;
   151316     $ptr = $parser + 412 | 0;
   151317     $2 = HEAP32[$ptr >> 2] | 0;
   151318     $end = $parser + 408 | 0;
   151319     $3 = HEAP32[$end >> 2] | 0;
   151320     $cmp = ($2 | 0) == ($3 | 0);
   151321     if ($cmp) {
   151322       label = 4;
   151323       break;
   151324     } else {
   151325       label = 5;
   151326       break;
   151327     }
   151328    case 4:
   151329     $call = _poolGrow($m_tempPool) | 0;
   151330     $tobool3 = $call << 24 >> 24 == 0;
   151331     if ($tobool3) {
   151332       $retval_0 = 0;
   151333       label = 42;
   151334       break;
   151335     } else {
   151336       label = 5;
   151337       break;
   151338     }
   151339    case 5:
   151340     $4 = HEAP32[$ptr >> 2] | 0;
   151341     $incdec_ptr = $4 + 1 | 0;
   151342     HEAP32[$ptr >> 2] = $incdec_ptr;
   151343     HEAP8[$4] = 61;
   151344     $5 = HEAP32[$binding >> 2] | 0;
   151345     $uriLen = $5 + 20 | 0;
   151346     $6 = HEAP32[$uriLen >> 2] | 0;
   151347     $m_namespaceSeparator = $parser + 456 | 0;
   151348     $7 = HEAP8[$m_namespaceSeparator] | 0;
   151349     $not_tobool9 = $7 << 24 >> 24 != 0;
   151350     $dec = $not_tobool9 << 31 >> 31;
   151351     $len_0 = $dec + $6 | 0;
   151352     $cmp1281 = ($len_0 | 0) > 0;
   151353     if ($cmp1281) {
   151354       $i_082 = 0;
   151355       label = 6;
   151356       break;
   151357     } else {
   151358       $needSep_0 = 1;
   151359       label = 9;
   151360       break;
   151361     }
   151362    case 6:
   151363     $8 = HEAP32[$ptr >> 2] | 0;
   151364     $9 = HEAP32[$end >> 2] | 0;
   151365     $cmp17 = ($8 | 0) == ($9 | 0);
   151366     if ($cmp17) {
   151367       label = 7;
   151368       break;
   151369     } else {
   151370       label = 8;
   151371       break;
   151372     }
   151373    case 7:
   151374     $call20 = _poolGrow($m_tempPool) | 0;
   151375     $tobool21 = $call20 << 24 >> 24 == 0;
   151376     if ($tobool21) {
   151377       $retval_0 = 0;
   151378       label = 42;
   151379       break;
   151380     } else {
   151381       label = 8;
   151382       break;
   151383     }
   151384    case 8:
   151385     $10 = HEAP32[$binding >> 2] | 0;
   151386     $uri = $10 + 16 | 0;
   151387     $11 = HEAP32[$uri >> 2] | 0;
   151388     $arrayidx = $11 + $i_082 | 0;
   151389     $12 = HEAP8[$arrayidx] | 0;
   151390     $13 = HEAP32[$ptr >> 2] | 0;
   151391     $incdec_ptr28 = $13 + 1 | 0;
   151392     HEAP32[$ptr >> 2] = $incdec_ptr28;
   151393     HEAP8[$13] = $12;
   151394     $inc = $i_082 + 1 | 0;
   151395     $cmp12 = ($inc | 0) < ($len_0 | 0);
   151396     if ($cmp12) {
   151397       $i_082 = $inc;
   151398       label = 6;
   151399       break;
   151400     } else {
   151401       $needSep_0 = 1;
   151402       label = 9;
   151403       break;
   151404     }
   151405    case 9:
   151406     $prefixes = $0 + 60 | 0;
   151407     _hashTableIterInit($iter, $prefixes);
   151408     $m_tempPool65 = $parser + 400 | 0;
   151409     $ptr66 = $parser + 412 | 0;
   151410     $end68 = $parser + 408 | 0;
   151411     $m_namespaceSeparator104 = $parser + 456 | 0;
   151412     $ptr46 = $parser + 412 | 0;
   151413     $end48 = $parser + 408 | 0;
   151414     $m_tempPool45 = $parser + 400 | 0;
   151415     $needSep_1_ph = $needSep_0;
   151416     label = 10;
   151417     break;
   151418    case 10:
   151419     label = 11;
   151420     break;
   151421    case 11:
   151422     $call35 = _hashTableIterNext($iter) | 0;
   151423     $tobool36 = ($call35 | 0) == 0;
   151424     if ($tobool36) {
   151425       label = 27;
   151426       break;
   151427     } else {
   151428       label = 12;
   151429       break;
   151430     }
   151431    case 12:
   151432     $binding39 = $call35 + 4 | 0;
   151433     $14 = $binding39;
   151434     $15 = HEAP32[$14 >> 2] | 0;
   151435     $tobool40 = ($15 | 0) == 0;
   151436     if ($tobool40) {
   151437       label = 11;
   151438       break;
   151439     } else {
   151440       label = 13;
   151441       break;
   151442     }
   151443    case 13:
   151444     $tobool43 = $needSep_1_ph << 24 >> 24 == 0;
   151445     if ($tobool43) {
   151446       label = 17;
   151447       break;
   151448     } else {
   151449       label = 14;
   151450       break;
   151451     }
   151452    case 14:
   151453     $16 = HEAP32[$ptr46 >> 2] | 0;
   151454     $17 = HEAP32[$end48 >> 2] | 0;
   151455     $cmp49 = ($16 | 0) == ($17 | 0);
   151456     if ($cmp49) {
   151457       label = 15;
   151458       break;
   151459     } else {
   151460       label = 16;
   151461       break;
   151462     }
   151463    case 15:
   151464     $call53 = _poolGrow($m_tempPool45) | 0;
   151465     $tobool54 = $call53 << 24 >> 24 == 0;
   151466     if ($tobool54) {
   151467       $retval_0 = 0;
   151468       label = 42;
   151469       break;
   151470     } else {
   151471       label = 16;
   151472       break;
   151473     }
   151474    case 16:
   151475     $18 = HEAP32[$ptr46 >> 2] | 0;
   151476     $incdec_ptr59 = $18 + 1 | 0;
   151477     HEAP32[$ptr46 >> 2] = $incdec_ptr59;
   151478     HEAP8[$18] = 12;
   151479     label = 17;
   151480     break;
   151481    case 17:
   151482     $name = $call35 | 0;
   151483     $19 = HEAP32[$name >> 2] | 0;
   151484     $20 = HEAP8[$19] | 0;
   151485     $tobool6368 = $20 << 24 >> 24 == 0;
   151486     $21 = HEAP32[$ptr66 >> 2] | 0;
   151487     $22 = HEAP32[$end68 >> 2] | 0;
   151488     $cmp6969 = ($21 | 0) == ($22 | 0);
   151489     if ($tobool6368) {
   151490       $cmp69_lcssa = $cmp6969;
   151491       label = 21;
   151492       break;
   151493     } else {
   151494       $s_070 = $19;
   151495       $cmp6971 = $cmp6969;
   151496       label = 18;
   151497       break;
   151498     }
   151499    case 18:
   151500     if ($cmp6971) {
   151501       label = 19;
   151502       break;
   151503     } else {
   151504       label = 20;
   151505       break;
   151506     }
   151507    case 19:
   151508     $call73 = _poolGrow($m_tempPool65) | 0;
   151509     $tobool74 = $call73 << 24 >> 24 == 0;
   151510     if ($tobool74) {
   151511       $retval_0 = 0;
   151512       label = 42;
   151513       break;
   151514     } else {
   151515       label = 20;
   151516       break;
   151517     }
   151518    case 20:
   151519     $23 = HEAP8[$s_070] | 0;
   151520     $24 = HEAP32[$ptr66 >> 2] | 0;
   151521     $incdec_ptr79 = $24 + 1 | 0;
   151522     HEAP32[$ptr66 >> 2] = $incdec_ptr79;
   151523     HEAP8[$24] = $23;
   151524     $incdec_ptr83 = $s_070 + 1 | 0;
   151525     $25 = HEAP8[$incdec_ptr83] | 0;
   151526     $tobool63 = $25 << 24 >> 24 == 0;
   151527     $26 = HEAP32[$ptr66 >> 2] | 0;
   151528     $27 = HEAP32[$end68 >> 2] | 0;
   151529     $cmp69 = ($26 | 0) == ($27 | 0);
   151530     if ($tobool63) {
   151531       $cmp69_lcssa = $cmp69;
   151532       label = 21;
   151533       break;
   151534     } else {
   151535       $s_070 = $incdec_ptr83;
   151536       $cmp6971 = $cmp69;
   151537       label = 18;
   151538       break;
   151539     }
   151540    case 21:
   151541     if ($cmp69_lcssa) {
   151542       label = 22;
   151543       break;
   151544     } else {
   151545       label = 23;
   151546       break;
   151547     }
   151548    case 22:
   151549     $call93 = _poolGrow($m_tempPool65) | 0;
   151550     $tobool94 = $call93 << 24 >> 24 == 0;
   151551     if ($tobool94) {
   151552       $retval_0 = 0;
   151553       label = 42;
   151554       break;
   151555     } else {
   151556       label = 23;
   151557       break;
   151558     }
   151559    case 23:
   151560     $28 = HEAP32[$ptr66 >> 2] | 0;
   151561     $incdec_ptr99 = $28 + 1 | 0;
   151562     HEAP32[$ptr66 >> 2] = $incdec_ptr99;
   151563     HEAP8[$28] = 61;
   151564     $29 = HEAP32[$14 >> 2] | 0;
   151565     $uriLen103 = $29 + 20 | 0;
   151566     $30 = HEAP32[$uriLen103 >> 2] | 0;
   151567     $31 = HEAP8[$m_namespaceSeparator104] | 0;
   151568     $not_tobool105 = $31 << 24 >> 24 != 0;
   151569     $dec107 = $not_tobool105 << 31 >> 31;
   151570     $len34_0 = $dec107 + $30 | 0;
   151571     $cmp11076 = ($len34_0 | 0) > 0;
   151572     if ($cmp11076) {
   151573       $i33_077 = 0;
   151574       label = 24;
   151575       break;
   151576     } else {
   151577       $needSep_1_ph = 1;
   151578       label = 10;
   151579       break;
   151580     }
   151581    case 24:
   151582     $32 = HEAP32[$ptr66 >> 2] | 0;
   151583     $33 = HEAP32[$end68 >> 2] | 0;
   151584     $cmp117 = ($32 | 0) == ($33 | 0);
   151585     if ($cmp117) {
   151586       label = 25;
   151587       break;
   151588     } else {
   151589       label = 26;
   151590       break;
   151591     }
   151592    case 25:
   151593     $call121 = _poolGrow($m_tempPool65) | 0;
   151594     $tobool122 = $call121 << 24 >> 24 == 0;
   151595     if ($tobool122) {
   151596       $retval_0 = 0;
   151597       label = 42;
   151598       break;
   151599     } else {
   151600       label = 26;
   151601       break;
   151602     }
   151603    case 26:
   151604     $34 = HEAP32[$14 >> 2] | 0;
   151605     $uri126 = $34 + 16 | 0;
   151606     $35 = HEAP32[$uri126 >> 2] | 0;
   151607     $arrayidx127 = $35 + $i33_077 | 0;
   151608     $36 = HEAP8[$arrayidx127] | 0;
   151609     $37 = HEAP32[$ptr66 >> 2] | 0;
   151610     $incdec_ptr130 = $37 + 1 | 0;
   151611     HEAP32[$ptr66 >> 2] = $incdec_ptr130;
   151612     HEAP8[$37] = $36;
   151613     $inc134 = $i33_077 + 1 | 0;
   151614     $cmp110 = ($inc134 | 0) < ($len34_0 | 0);
   151615     if ($cmp110) {
   151616       $i33_077 = $inc134;
   151617       label = 24;
   151618       break;
   151619     } else {
   151620       $needSep_1_ph = 1;
   151621       label = 10;
   151622       break;
   151623     }
   151624    case 27:
   151625     $generalEntities = $0 | 0;
   151626     _hashTableIterInit($iter, $generalEntities);
   151627     $ptr171 = $parser + 412 | 0;
   151628     $end173 = $parser + 408 | 0;
   151629     $m_tempPool170 = $parser + 400 | 0;
   151630     $ptr150 = $parser + 412 | 0;
   151631     $end152 = $parser + 408 | 0;
   151632     $m_tempPool149 = $parser + 400 | 0;
   151633     $needSep_2_ph = $needSep_1_ph;
   151634     label = 28;
   151635     break;
   151636    case 28:
   151637     label = 29;
   151638     break;
   151639    case 29:
   151640     $call139 = _hashTableIterNext($iter) | 0;
   151641     $tobool140 = ($call139 | 0) == 0;
   151642     if ($tobool140) {
   151643       label = 39;
   151644       break;
   151645     } else {
   151646       label = 30;
   151647       break;
   151648     }
   151649    case 30:
   151650     $open = $call139 + 32 | 0;
   151651     $38 = $open;
   151652     $39 = HEAP8[$38] | 0;
   151653     $tobool143 = $39 << 24 >> 24 == 0;
   151654     if ($tobool143) {
   151655       label = 29;
   151656       break;
   151657     } else {
   151658       label = 31;
   151659       break;
   151660     }
   151661    case 31:
   151662     $tobool147 = $needSep_2_ph << 24 >> 24 == 0;
   151663     if ($tobool147) {
   151664       label = 35;
   151665       break;
   151666     } else {
   151667       label = 32;
   151668       break;
   151669     }
   151670    case 32:
   151671     $40 = HEAP32[$ptr150 >> 2] | 0;
   151672     $41 = HEAP32[$end152 >> 2] | 0;
   151673     $cmp153 = ($40 | 0) == ($41 | 0);
   151674     if ($cmp153) {
   151675       label = 33;
   151676       break;
   151677     } else {
   151678       label = 34;
   151679       break;
   151680     }
   151681    case 33:
   151682     $call157 = _poolGrow($m_tempPool149) | 0;
   151683     $tobool158 = $call157 << 24 >> 24 == 0;
   151684     if ($tobool158) {
   151685       $retval_0 = 0;
   151686       label = 42;
   151687       break;
   151688     } else {
   151689       label = 34;
   151690       break;
   151691     }
   151692    case 34:
   151693     $42 = HEAP32[$ptr150 >> 2] | 0;
   151694     $incdec_ptr163 = $42 + 1 | 0;
   151695     HEAP32[$ptr150 >> 2] = $incdec_ptr163;
   151696     HEAP8[$42] = 12;
   151697     label = 35;
   151698     break;
   151699    case 35:
   151700     $name166 = $call139 | 0;
   151701     $43 = HEAP32[$name166 >> 2] | 0;
   151702     $44 = HEAP8[$43] | 0;
   151703     $tobool16859 = $44 << 24 >> 24 == 0;
   151704     if ($tobool16859) {
   151705       $needSep_2_ph = 1;
   151706       label = 28;
   151707       break;
   151708     } else {
   151709       $s138_060 = $43;
   151710       label = 36;
   151711       break;
   151712     }
   151713    case 36:
   151714     $45 = HEAP32[$ptr171 >> 2] | 0;
   151715     $46 = HEAP32[$end173 >> 2] | 0;
   151716     $cmp174 = ($45 | 0) == ($46 | 0);
   151717     if ($cmp174) {
   151718       label = 37;
   151719       break;
   151720     } else {
   151721       label = 38;
   151722       break;
   151723     }
   151724    case 37:
   151725     $call178 = _poolGrow($m_tempPool170) | 0;
   151726     $tobool179 = $call178 << 24 >> 24 == 0;
   151727     if ($tobool179) {
   151728       $retval_0 = 0;
   151729       label = 42;
   151730       break;
   151731     } else {
   151732       label = 38;
   151733       break;
   151734     }
   151735    case 38:
   151736     $47 = HEAP8[$s138_060] | 0;
   151737     $48 = HEAP32[$ptr171 >> 2] | 0;
   151738     $incdec_ptr184 = $48 + 1 | 0;
   151739     HEAP32[$ptr171 >> 2] = $incdec_ptr184;
   151740     HEAP8[$48] = $47;
   151741     $incdec_ptr188 = $s138_060 + 1 | 0;
   151742     $49 = HEAP8[$incdec_ptr188] | 0;
   151743     $tobool168 = $49 << 24 >> 24 == 0;
   151744     if ($tobool168) {
   151745       $needSep_2_ph = 1;
   151746       label = 28;
   151747       break;
   151748     } else {
   151749       $s138_060 = $incdec_ptr188;
   151750       label = 36;
   151751       break;
   151752     }
   151753    case 39:
   151754     $ptr192 = $parser + 412 | 0;
   151755     $50 = HEAP32[$ptr192 >> 2] | 0;
   151756     $end194 = $parser + 408 | 0;
   151757     $51 = HEAP32[$end194 >> 2] | 0;
   151758     $cmp195 = ($50 | 0) == ($51 | 0);
   151759     if ($cmp195) {
   151760       label = 40;
   151761       break;
   151762     } else {
   151763       label = 41;
   151764       break;
   151765     }
   151766    case 40:
   151767     $m_tempPool191 = $parser + 400 | 0;
   151768     $call199 = _poolGrow($m_tempPool191) | 0;
   151769     $tobool200 = $call199 << 24 >> 24 == 0;
   151770     if ($tobool200) {
   151771       $retval_0 = 0;
   151772       label = 42;
   151773       break;
   151774     } else {
   151775       label = 41;
   151776       break;
   151777     }
   151778    case 41:
   151779     $52 = HEAP32[$ptr192 >> 2] | 0;
   151780     $incdec_ptr205 = $52 + 1 | 0;
   151781     HEAP32[$ptr192 >> 2] = $incdec_ptr205;
   151782     HEAP8[$52] = 0;
   151783     $start = $parser + 416 | 0;
   151784     $53 = HEAP32[$start >> 2] | 0;
   151785     $retval_0 = $53;
   151786     label = 42;
   151787     break;
   151788    case 42:
   151789     STACKTOP = __stackBase__;
   151790     return $retval_0 | 0;
   151791   }
   151792   return 0;
   151793 }
   151794 function _storeAtts($parser, $enc, $attStr, $tagNamePtr, $bindingsPtr) {
   151795   $parser = $parser | 0;
   151796   $enc = $enc | 0;
   151797   $attStr = $attStr | 0;
   151798   $tagNamePtr = $tagNamePtr | 0;
   151799   $bindingsPtr = $bindingsPtr | 0;
   151800   var $m_dtd = 0, $0 = 0, $elementTypes = 0, $str = 0, $1 = 0, $call = 0, $2 = 0, $tobool = 0, $pool = 0, $3 = 0, $call2 = 0, $tobool3 = 0, $call6 = 0, $4 = 0, $tobool7 = 0, $m_ns = 0, $5 = 0, $tobool10 = 0, $call11 = 0, $tobool12 = 0, $elementType_0 = 0, $nDefaultAtts16 = 0, $6 = 0, $getAtts = 0, $7 = 0, $m_attsSize = 0, $8 = 0, $m_atts = 0, $9 = 0, $call17 = 0, $add = 0, $10 = 0, $cmp = 0, $add23 = 0, $realloc_fcn = 0, $11 = 0, $12 = 0, $13 = 0, $mul = 0, $call27 = 0, $14 = 0, $cmp28 = 0, $cmp33 = 0, $15 = 0, $call38 = 0, $16 = 0, $17 = 0, $cmp42377 = 0, $nameLength = 0, $m_tempPool = 0, $start = 0, $ptr = 0, $defaultAtts = 0, $m_tempPool102 = 0, $ptr117 = 0, $start119 = 0, $attIndex_0381 = 0, $i_0379 = 0, $nPrefixes_0378 = 0, $18 = 0, $name45 = 0, $19 = 0, $20 = 0, $call48 = 0, $add_ptr = 0, $call49 = 0, $tobool50 = 0, $name53 = 0, $21 = 0, $arrayidx54 = 0, $22 = 0, $tobool55 = 0, $m_encoding = 0, $23 = 0, $cmp57 = 0, $24 = 0, $name62 = 0, $25 = 0, $m_eventPtr = 0, $26 = 0, $inc = 0, $arrayidx68 = 0, $27 = 0, $normalized = 0, $28 = 0, $tobool71 = 0, $maybeTokenized = 0, $29 = 0, $tobool73 = 0, $j_0 = 0, $cmp76 = 0, $30 = 0, $id = 0, $31 = 0, $cmp80 = 0, $inc87 = 0, $isCdata85 = 0, $32 = 0, $isCdata_0 = 0, $33 = 0, $valuePtr = 0, $34 = 0, $valueEnd = 0, $35 = 0, $call93 = 0, $tobool94 = 0, $36 = 0, $arrayidx98 = 0, $37 = 0, $valuePtr105 = 0, $38 = 0, $valueEnd108 = 0, $39 = 0, $call109 = 0, $arrayidx110 = 0, $cmp112 = 0, $40 = 0, $prefix = 0, $41 = 0, $tobool121 = 0, $xmlns = 0, $42 = 0, $tobool123 = 0, $arrayidx127 = 0, $43 = 0, $call128 = 0, $tobool129 = 0, $inc133 = 0, $inc134 = 0, $44 = 0, $arrayidx136 = 0, $inc139 = 0, $nPrefixes_1 = 0, $attIndex_1 = 0, $inc142 = 0, $cmp42 = 0, $attIndex_0_lcssa = 0, $nPrefixes_0_lcssa = 0, $m_nSpecifiedAtts = 0, $idAtt = 0, $45 = 0, $tobool144 = 0, $name147 = 0, $46 = 0, $arrayidx148 = 0, $47 = 0, $tobool150 = 0, $i_1 = 0, $cmp153 = 0, $arrayidx156 = 0, $48 = 0, $49 = 0, $name158 = 0, $50 = 0, $cmp159 = 0, $add164 = 0, $m_idAttIndex = 0, $m_idAttIndex167 = 0, $cmp170362 = 0, $defaultAtts173 = 0, $attIndex_2365 = 0, $i_2364 = 0, $nPrefixes_2363 = 0, $51 = 0, $id175 = 0, $52 = 0, $name176 = 0, $53 = 0, $arrayidx177 = 0, $54 = 0, $tobool178 = 0, $value = 0, $55 = 0, $tobool180 = 0, $prefix183 = 0, $56 = 0, $tobool184 = 0, $xmlns187 = 0, $57 = 0, $tobool188 = 0, $call195 = 0, $tobool196 = 0, $inc203 = 0, $58 = 0, $name205 = 0, $59 = 0, $inc206 = 0, $arrayidx207 = 0, $60 = 0, $inc209 = 0, $arrayidx210 = 0, $61 = 0, $name217 = 0, $62 = 0, $inc218 = 0, $arrayidx219 = 0, $63 = 0, $inc221 = 0, $arrayidx222 = 0, $nPrefixes_3 = 0, $attIndex_3 = 0, $inc226 = 0, $cmp170 = 0, $attIndex_2_lcssa = 0, $nPrefixes_2_lcssa = 0, $arrayidx228 = 0, $tobool229 = 0, $i_4_ph = 0, $cmp465317 = 0, $m_nsAttsVersion = 0, $64 = 0, $m_nsAttsPower = 0, $65 = 0, $conv232 = 0, $shl233 = 0, $shr = 0, $tobool236 = 0, $m_nsAttsPower_promoted = 0, $66 = 0, $inc240 = 0, $conv241 = 0, $shr242 = 0, $tobool243 = 0, $cmp246 = 0, $_inc240 = 0, $conv252 = 0, $realloc_fcn255 = 0, $67 = 0, $m_nsAtts = 0, $68 = 0, $69 = 0, $mul256 = 0, $call257 = 0, $tobool258 = 0, $70 = 0, $nsAttsSize_0307 = 0, $nsAttsSize_0 = 0, $tobool263 = 0, $nsAttsSize_0310_ph = 0, $m_nsAtts270 = 0, $j231_0356 = 0, $dec269 = 0, $71 = 0, $version272 = 0, $cmp266 = 0, $nsAttsSize_0309 = 0, $version_1 = 0, $dec275 = 0, $cmp278351 = 0, $m_hash_secret_salt = 0, $attributeIds = 0, $m_tempPool327 = 0, $ptr328 = 0, $end330 = 0, $sub = 0, $m_nsAtts350 = 0, $m_ns_triplets = 0, $start437 = 0, $m_namespaceSeparator = 0, $start362 = 0, $neg = 0, $shr391 = 0, $ptr302 = 0, $end = 0, $m_tempPool301 = 0, $i_3353 = 0, $nPrefixes_4352 = 0, $arrayidx281 = 0, $72 = 0, $arrayidx282 = 0, $73 = 0, $cmp284 = 0, $74 = 0, $call289 = 0, $prefix290 = 0, $75 = 0, $76 = 0, $binding291 = 0, $77 = 0, $tobool292 = 0, $uriLen = 0, $78 = 0, $cmp296320 = 0, $uri299 = 0, $uriHash_0322 = 0, $j231_1321 = 0, $79 = 0, $arrayidx300 = 0, $80 = 0, $81 = 0, $82 = 0, $cmp304 = 0, $call308 = 0, $tobool309 = 0, $83 = 0, $incdec_ptr = 0, $mul314 = 0, $conv315 = 0, $xor = 0, $inc317 = 0, $84 = 0, $cmp296 = 0, $uriHash_0_lcssa = 0, $s_0 = 0, $incdec_ptr320 = 0, $85 = 0, $cmp322 = 0, $s_1 = 0, $uriHash_1 = 0, $86 = 0, $87 = 0, $88 = 0, $cmp331 = 0, $call335 = 0, $tobool336 = 0, $89 = 0, $90 = 0, $incdec_ptr341 = 0, $mul344 = 0, $conv345 = 0, $xor346 = 0, $incdec_ptr347 = 0, $91 = 0, $tobool348 = 0, $and = 0, $92 = 0, $version352337 = 0, $93 = 0, $cmp353338 = 0, $and386 = 0, $94 = 0, $step_0340 = 0, $j231_2339 = 0, $hash = 0, $95 = 0, $cmp358 = 0, $96 = 0, $uriName = 0, $97 = 0, $98 = 0, $99 = 0, $cmp368328 = 0, $cmp371329 = 0, $or_cond330 = 0, $s2_0332 = 0, $s1_0331 = 0, $incdec_ptr375 = 0, $incdec_ptr376 = 0, $100 = 0, $101 = 0, $cmp368 = 0, $cmp371 = 0, $or_cond = 0, $cmp371_lcssa = 0, $tobool384 = 0, $102 = 0, $conv388 = 0, $sub389 = 0, $shr390 = 0, $and392 = 0, $or = 0, $conv393 = 0, $step_1 = 0, $conv395 = 0, $cmp396 = 0, $sub400 = 0, $sub400_j231_2339 = 0, $j231_2_be = 0, $103 = 0, $version352 = 0, $104 = 0, $cmp353 = 0, $j231_2_lcssa = 0, $105 = 0, $tobool406 = 0, $106 = 0, $107 = 0, $arrayidx410 = 0, $prefix411 = 0, $108 = 0, $name412 = 0, $109 = 0, $s_2 = 0, $110 = 0, $111 = 0, $cmp418 = 0, $call422 = 0, $tobool423 = 0, $112 = 0, $113 = 0, $incdec_ptr428 = 0, $incdec_ptr432 = 0, $114 = 0, $tobool433 = 0, $115 = 0, $116 = 0, $117 = 0, $version445 = 0, $118 = 0, $hash448 = 0, $119 = 0, $uriName451 = 0, $dec452 = 0, $tobool453 = 0, $add455 = 0, $nPrefixes_5 = 0, $add461 = 0, $cmp278 = 0, $binding_0314 = 0, $tobool474315 = 0, $i_4318 = 0, $arrayidx468 = 0, $120 = 0, $arrayidx469 = 0, $add471 = 0, $cmp465 = 0, $binding_0316 = 0, $attId476 = 0, $121 = 0, $name477 = 0, $122 = 0, $arrayidx478 = 0, $nextTagBinding = 0, $binding_0 = 0, $tobool474 = 0, $m_ns481 = 0, $123 = 0, $tobool482 = 0, $prefix485 = 0, $124 = 0, $tobool486 = 0, $binding489 = 0, $125 = 0, $tobool490 = 0, $126 = 0, $localPart_0 = 0, $incdec_ptr495 = 0, $127 = 0, $cmp497 = 0, $binding502 = 0, $128 = 0, $tobool503 = 0, $129 = 0, $localPart_1 = 0, $binding_1 = 0, $m_ns_triplets511 = 0, $130 = 0, $tobool513 = 0, $prefix515 = 0, $131 = 0, $name516 = 0, $132 = 0, $tobool517 = 0, $133 = 0, $name522 = 0, $134 = 0, $prefixLen_0 = 0, $inc520 = 0, $arrayidx523 = 0, $135 = 0, $tobool524 = 0, $prefixLen_1 = 0, $localPart528 = 0, $uriLen529 = 0, $136 = 0, $uriLen530 = 0, $prefix531 = 0, $137 = 0, $name532 = 0, $138 = 0, $prefix533 = 0, $prefixLen534 = 0, $i_5 = 0, $inc536 = 0, $arrayidx537 = 0, $139 = 0, $tobool538 = 0, $140 = 0, $add542 = 0, $add543 = 0, $uriAlloc = 0, $141 = 0, $cmp544 = 0, $malloc_fcn = 0, $142 = 0, $add548 = 0, $call550 = 0, $tobool551 = 0, $uri556 = 0, $143 = 0, $144 = 0, $m_tagStack = 0, $p_0311 = 0, $tobool560312 = 0, $p_0313 = 0, $str563 = 0, $145 = 0, $146 = 0, $cmp565 = 0, $parent = 0, $p_0 = 0, $tobool560 = 0, $free_fcn = 0, $147 = 0, $148 = 0, $uri577 = 0, $149 = 0, $150 = 0, $add_ptr579 = 0, $tobool581 = 0, $add_ptr579_sum = 0, $add_ptr584 = 0, $m_namespaceSeparator585 = 0, $151 = 0, $add_ptr584_sum = 0, $add_ptr586 = 0, $152 = 0, $name588 = 0, $153 = 0, $154 = 0, $retval_0 = 0, label = 0;
   151801   label = 2;
   151802   while (1) switch (label | 0) {
   151803    case 2:
   151804     $m_dtd = $parser + 340 | 0;
   151805     $0 = HEAP32[$m_dtd >> 2] | 0;
   151806     $elementTypes = $0 + 20 | 0;
   151807     $str = $tagNamePtr | 0;
   151808     $1 = HEAP32[$str >> 2] | 0;
   151809     $call = _lookup($parser, $elementTypes, $1, 0) | 0;
   151810     $2 = $call;
   151811     $tobool = ($call | 0) == 0;
   151812     if ($tobool) {
   151813       label = 3;
   151814       break;
   151815     } else {
   151816       $elementType_0 = $2;
   151817       label = 7;
   151818       break;
   151819     }
   151820    case 3:
   151821     $pool = $0 + 80 | 0;
   151822     $3 = HEAP32[$str >> 2] | 0;
   151823     $call2 = _poolCopyString($pool, $3) | 0;
   151824     $tobool3 = ($call2 | 0) == 0;
   151825     if ($tobool3) {
   151826       $retval_0 = 1;
   151827       label = 116;
   151828       break;
   151829     } else {
   151830       label = 4;
   151831       break;
   151832     }
   151833    case 4:
   151834     $call6 = _lookup($parser, $elementTypes, $call2, 24) | 0;
   151835     $4 = $call6;
   151836     $tobool7 = ($call6 | 0) == 0;
   151837     if ($tobool7) {
   151838       $retval_0 = 1;
   151839       label = 116;
   151840       break;
   151841     } else {
   151842       label = 5;
   151843       break;
   151844     }
   151845    case 5:
   151846     $m_ns = $parser + 232 | 0;
   151847     $5 = HEAP8[$m_ns] | 0;
   151848     $tobool10 = $5 << 24 >> 24 == 0;
   151849     if ($tobool10) {
   151850       $elementType_0 = $4;
   151851       label = 7;
   151852       break;
   151853     } else {
   151854       label = 6;
   151855       break;
   151856     }
   151857    case 6:
   151858     $call11 = _setElementTypePrefix($parser, $4) | 0;
   151859     $tobool12 = ($call11 | 0) == 0;
   151860     if ($tobool12) {
   151861       $retval_0 = 1;
   151862       label = 116;
   151863       break;
   151864     } else {
   151865       $elementType_0 = $4;
   151866       label = 7;
   151867       break;
   151868     }
   151869    case 7:
   151870     $nDefaultAtts16 = $elementType_0 + 12 | 0;
   151871     $6 = HEAP32[$nDefaultAtts16 >> 2] | 0;
   151872     $getAtts = $enc + 36 | 0;
   151873     $7 = HEAP32[$getAtts >> 2] | 0;
   151874     $m_attsSize = $parser + 364 | 0;
   151875     $8 = HEAP32[$m_attsSize >> 2] | 0;
   151876     $m_atts = $parser + 376 | 0;
   151877     $9 = HEAP32[$m_atts >> 2] | 0;
   151878     $call17 = FUNCTION_TABLE_iiiii[$7 & 1023]($enc, $attStr, $8, $9) | 0;
   151879     $add = $call17 + $6 | 0;
   151880     $10 = HEAP32[$m_attsSize >> 2] | 0;
   151881     $cmp = ($add | 0) > ($10 | 0);
   151882     if ($cmp) {
   151883       label = 8;
   151884       break;
   151885     } else {
   151886       label = 11;
   151887       break;
   151888     }
   151889    case 8:
   151890     $add23 = $add + 16 | 0;
   151891     HEAP32[$m_attsSize >> 2] = $add23;
   151892     $realloc_fcn = $parser + 16 | 0;
   151893     $11 = HEAP32[$realloc_fcn >> 2] | 0;
   151894     $12 = HEAP32[$m_atts >> 2] | 0;
   151895     $13 = $12;
   151896     $mul = $add23 << 4;
   151897     $call27 = FUNCTION_TABLE_iii[$11 & 1023]($13, $mul) | 0;
   151898     $14 = $call27;
   151899     $cmp28 = ($call27 | 0) == 0;
   151900     if ($cmp28) {
   151901       $retval_0 = 1;
   151902       label = 116;
   151903       break;
   151904     } else {
   151905       label = 9;
   151906       break;
   151907     }
   151908    case 9:
   151909     HEAP32[$m_atts >> 2] = $14;
   151910     $cmp33 = ($call17 | 0) > ($10 | 0);
   151911     if ($cmp33) {
   151912       label = 10;
   151913       break;
   151914     } else {
   151915       label = 11;
   151916       break;
   151917     }
   151918    case 10:
   151919     $15 = HEAP32[$getAtts >> 2] | 0;
   151920     $call38 = FUNCTION_TABLE_iiiii[$15 & 1023]($enc, $attStr, $call17, $14) | 0;
   151921     label = 11;
   151922     break;
   151923    case 11:
   151924     $16 = HEAP32[$m_atts >> 2] | 0;
   151925     $17 = $16 | 0;
   151926     $cmp42377 = ($call17 | 0) > 0;
   151927     if ($cmp42377) {
   151928       label = 12;
   151929       break;
   151930     } else {
   151931       $nPrefixes_0_lcssa = 0;
   151932       $attIndex_0_lcssa = 0;
   151933       label = 32;
   151934       break;
   151935     }
   151936    case 12:
   151937     $nameLength = $enc + 28 | 0;
   151938     $m_tempPool = $parser + 400 | 0;
   151939     $start = $parser + 416 | 0;
   151940     $ptr = $parser + 412 | 0;
   151941     $defaultAtts = $elementType_0 + 20 | 0;
   151942     $m_tempPool102 = $parser + 400 | 0;
   151943     $ptr117 = $parser + 412 | 0;
   151944     $start119 = $parser + 416 | 0;
   151945     $nPrefixes_0378 = 0;
   151946     $i_0379 = 0;
   151947     $attIndex_0381 = 0;
   151948     label = 13;
   151949     break;
   151950    case 13:
   151951     $18 = HEAP32[$m_atts >> 2] | 0;
   151952     $name45 = $18 + ($i_0379 << 4) | 0;
   151953     $19 = HEAP32[$name45 >> 2] | 0;
   151954     $20 = HEAP32[$nameLength >> 2] | 0;
   151955     $call48 = FUNCTION_TABLE_iii[$20 & 1023]($enc, $19) | 0;
   151956     $add_ptr = $19 + $call48 | 0;
   151957     $call49 = _getAttributeId($parser, $enc, $19, $add_ptr) | 0;
   151958     $tobool50 = ($call49 | 0) == 0;
   151959     if ($tobool50) {
   151960       $retval_0 = 1;
   151961       label = 116;
   151962       break;
   151963     } else {
   151964       label = 14;
   151965       break;
   151966     }
   151967    case 14:
   151968     $name53 = $call49 | 0;
   151969     $21 = HEAP32[$name53 >> 2] | 0;
   151970     $arrayidx54 = $21 - 1 | 0;
   151971     $22 = HEAP8[$arrayidx54] | 0;
   151972     $tobool55 = $22 << 24 >> 24 == 0;
   151973     if ($tobool55) {
   151974       label = 17;
   151975       break;
   151976     } else {
   151977       label = 15;
   151978       break;
   151979     }
   151980    case 15:
   151981     $m_encoding = $parser + 144 | 0;
   151982     $23 = HEAP32[$m_encoding >> 2] | 0;
   151983     $cmp57 = ($23 | 0) == ($enc | 0);
   151984     if ($cmp57) {
   151985       label = 16;
   151986       break;
   151987     } else {
   151988       $retval_0 = 8;
   151989       label = 116;
   151990       break;
   151991     }
   151992    case 16:
   151993     $24 = HEAP32[$m_atts >> 2] | 0;
   151994     $name62 = $24 + ($i_0379 << 4) | 0;
   151995     $25 = HEAP32[$name62 >> 2] | 0;
   151996     $m_eventPtr = $parser + 272 | 0;
   151997     HEAP32[$m_eventPtr >> 2] = $25;
   151998     $retval_0 = 8;
   151999     label = 116;
   152000     break;
   152001    case 17:
   152002     HEAP8[$arrayidx54] = 1;
   152003     $26 = HEAP32[$name53 >> 2] | 0;
   152004     $inc = $attIndex_0381 + 1 | 0;
   152005     $arrayidx68 = $17 + ($attIndex_0381 << 2) | 0;
   152006     HEAP32[$arrayidx68 >> 2] = $26;
   152007     $27 = HEAP32[$m_atts >> 2] | 0;
   152008     $normalized = $27 + ($i_0379 << 4) + 12 | 0;
   152009     $28 = HEAP8[$normalized] | 0;
   152010     $tobool71 = $28 << 24 >> 24 == 0;
   152011     if ($tobool71) {
   152012       label = 18;
   152013       break;
   152014     } else {
   152015       label = 24;
   152016       break;
   152017     }
   152018    case 18:
   152019     $maybeTokenized = $call49 + 8 | 0;
   152020     $29 = HEAP8[$maybeTokenized] | 0;
   152021     $tobool73 = $29 << 24 >> 24 == 0;
   152022     if ($tobool73) {
   152023       $isCdata_0 = 1;
   152024       label = 22;
   152025       break;
   152026     } else {
   152027       $j_0 = 0;
   152028       label = 19;
   152029       break;
   152030     }
   152031    case 19:
   152032     $cmp76 = ($j_0 | 0) < ($6 | 0);
   152033     if ($cmp76) {
   152034       label = 20;
   152035       break;
   152036     } else {
   152037       $isCdata_0 = 1;
   152038       label = 22;
   152039       break;
   152040     }
   152041    case 20:
   152042     $30 = HEAP32[$defaultAtts >> 2] | 0;
   152043     $id = $30 + ($j_0 * 12 & -1) | 0;
   152044     $31 = HEAP32[$id >> 2] | 0;
   152045     $cmp80 = ($call49 | 0) == ($31 | 0);
   152046     $inc87 = $j_0 + 1 | 0;
   152047     if ($cmp80) {
   152048       label = 21;
   152049       break;
   152050     } else {
   152051       $j_0 = $inc87;
   152052       label = 19;
   152053       break;
   152054     }
   152055    case 21:
   152056     $isCdata85 = $30 + ($j_0 * 12 & -1) + 4 | 0;
   152057     $32 = HEAP8[$isCdata85] | 0;
   152058     $isCdata_0 = $32;
   152059     label = 22;
   152060     break;
   152061    case 22:
   152062     $33 = HEAP32[$m_atts >> 2] | 0;
   152063     $valuePtr = $33 + ($i_0379 << 4) + 4 | 0;
   152064     $34 = HEAP32[$valuePtr >> 2] | 0;
   152065     $valueEnd = $33 + ($i_0379 << 4) + 8 | 0;
   152066     $35 = HEAP32[$valueEnd >> 2] | 0;
   152067     $call93 = _storeAttributeValue($parser, $enc, $isCdata_0, $34, $35, $m_tempPool) | 0;
   152068     $tobool94 = ($call93 | 0) == 0;
   152069     if ($tobool94) {
   152070       label = 23;
   152071       break;
   152072     } else {
   152073       $retval_0 = $call93;
   152074       label = 116;
   152075       break;
   152076     }
   152077    case 23:
   152078     $36 = HEAP32[$start >> 2] | 0;
   152079     $arrayidx98 = $17 + ($inc << 2) | 0;
   152080     HEAP32[$arrayidx98 >> 2] = $36;
   152081     $37 = HEAP32[$ptr >> 2] | 0;
   152082     HEAP32[$start >> 2] = $37;
   152083     label = 26;
   152084     break;
   152085    case 24:
   152086     $valuePtr105 = $27 + ($i_0379 << 4) + 4 | 0;
   152087     $38 = HEAP32[$valuePtr105 >> 2] | 0;
   152088     $valueEnd108 = $27 + ($i_0379 << 4) + 8 | 0;
   152089     $39 = HEAP32[$valueEnd108 >> 2] | 0;
   152090     $call109 = _poolStoreString($m_tempPool102, $enc, $38, $39) | 0;
   152091     $arrayidx110 = $17 + ($inc << 2) | 0;
   152092     HEAP32[$arrayidx110 >> 2] = $call109;
   152093     $cmp112 = ($call109 | 0) == 0;
   152094     if ($cmp112) {
   152095       $retval_0 = 1;
   152096       label = 116;
   152097       break;
   152098     } else {
   152099       label = 25;
   152100       break;
   152101     }
   152102    case 25:
   152103     $40 = HEAP32[$ptr117 >> 2] | 0;
   152104     HEAP32[$start119 >> 2] = $40;
   152105     label = 26;
   152106     break;
   152107    case 26:
   152108     $prefix = $call49 + 4 | 0;
   152109     $41 = HEAP32[$prefix >> 2] | 0;
   152110     $tobool121 = ($41 | 0) == 0;
   152111     if ($tobool121) {
   152112       label = 30;
   152113       break;
   152114     } else {
   152115       label = 27;
   152116       break;
   152117     }
   152118    case 27:
   152119     $xmlns = $call49 + 9 | 0;
   152120     $42 = HEAP8[$xmlns] | 0;
   152121     $tobool123 = $42 << 24 >> 24 == 0;
   152122     if ($tobool123) {
   152123       label = 29;
   152124       break;
   152125     } else {
   152126       label = 28;
   152127       break;
   152128     }
   152129    case 28:
   152130     $arrayidx127 = $17 + ($inc << 2) | 0;
   152131     $43 = HEAP32[$arrayidx127 >> 2] | 0;
   152132     $call128 = _addBinding($parser, $41, $call49, $43, $bindingsPtr) | 0;
   152133     $tobool129 = ($call128 | 0) == 0;
   152134     if ($tobool129) {
   152135       $attIndex_1 = $attIndex_0381;
   152136       $nPrefixes_1 = $nPrefixes_0378;
   152137       label = 31;
   152138       break;
   152139     } else {
   152140       $retval_0 = $call128;
   152141       label = 116;
   152142       break;
   152143     }
   152144    case 29:
   152145     $inc133 = $attIndex_0381 + 2 | 0;
   152146     $inc134 = $nPrefixes_0378 + 1 | 0;
   152147     $44 = HEAP32[$name53 >> 2] | 0;
   152148     $arrayidx136 = $44 - 1 | 0;
   152149     HEAP8[$arrayidx136] = 2;
   152150     $attIndex_1 = $inc133;
   152151     $nPrefixes_1 = $inc134;
   152152     label = 31;
   152153     break;
   152154    case 30:
   152155     $inc139 = $attIndex_0381 + 2 | 0;
   152156     $attIndex_1 = $inc139;
   152157     $nPrefixes_1 = $nPrefixes_0378;
   152158     label = 31;
   152159     break;
   152160    case 31:
   152161     $inc142 = $i_0379 + 1 | 0;
   152162     $cmp42 = ($inc142 | 0) < ($call17 | 0);
   152163     if ($cmp42) {
   152164       $nPrefixes_0378 = $nPrefixes_1;
   152165       $i_0379 = $inc142;
   152166       $attIndex_0381 = $attIndex_1;
   152167       label = 13;
   152168       break;
   152169     } else {
   152170       $nPrefixes_0_lcssa = $nPrefixes_1;
   152171       $attIndex_0_lcssa = $attIndex_1;
   152172       label = 32;
   152173       break;
   152174     }
   152175    case 32:
   152176     $m_nSpecifiedAtts = $parser + 368 | 0;
   152177     HEAP32[$m_nSpecifiedAtts >> 2] = $attIndex_0_lcssa;
   152178     $idAtt = $elementType_0 + 8 | 0;
   152179     $45 = HEAP32[$idAtt >> 2] | 0;
   152180     $tobool144 = ($45 | 0) == 0;
   152181     if ($tobool144) {
   152182       label = 37;
   152183       break;
   152184     } else {
   152185       label = 33;
   152186       break;
   152187     }
   152188    case 33:
   152189     $name147 = $45 | 0;
   152190     $46 = HEAP32[$name147 >> 2] | 0;
   152191     $arrayidx148 = $46 - 1 | 0;
   152192     $47 = HEAP8[$arrayidx148] | 0;
   152193     $tobool150 = $47 << 24 >> 24 == 0;
   152194     if ($tobool150) {
   152195       label = 37;
   152196       break;
   152197     } else {
   152198       $i_1 = 0;
   152199       label = 34;
   152200       break;
   152201     }
   152202    case 34:
   152203     $cmp153 = ($i_1 | 0) < ($attIndex_0_lcssa | 0);
   152204     if ($cmp153) {
   152205       label = 35;
   152206       break;
   152207     } else {
   152208       label = 38;
   152209       break;
   152210     }
   152211    case 35:
   152212     $arrayidx156 = $17 + ($i_1 << 2) | 0;
   152213     $48 = HEAP32[$arrayidx156 >> 2] | 0;
   152214     $49 = HEAP32[$idAtt >> 2] | 0;
   152215     $name158 = $49 | 0;
   152216     $50 = HEAP32[$name158 >> 2] | 0;
   152217     $cmp159 = ($48 | 0) == ($50 | 0);
   152218     $add164 = $i_1 + 2 | 0;
   152219     if ($cmp159) {
   152220       label = 36;
   152221       break;
   152222     } else {
   152223       $i_1 = $add164;
   152224       label = 34;
   152225       break;
   152226     }
   152227    case 36:
   152228     $m_idAttIndex = $parser + 372 | 0;
   152229     HEAP32[$m_idAttIndex >> 2] = $i_1;
   152230     label = 38;
   152231     break;
   152232    case 37:
   152233     $m_idAttIndex167 = $parser + 372 | 0;
   152234     HEAP32[$m_idAttIndex167 >> 2] = -1;
   152235     label = 38;
   152236     break;
   152237    case 38:
   152238     $cmp170362 = ($6 | 0) > 0;
   152239     if ($cmp170362) {
   152240       label = 39;
   152241       break;
   152242     } else {
   152243       $nPrefixes_2_lcssa = $nPrefixes_0_lcssa;
   152244       $attIndex_2_lcssa = $attIndex_0_lcssa;
   152245       label = 48;
   152246       break;
   152247     }
   152248    case 39:
   152249     $defaultAtts173 = $elementType_0 + 20 | 0;
   152250     $nPrefixes_2363 = $nPrefixes_0_lcssa;
   152251     $i_2364 = 0;
   152252     $attIndex_2365 = $attIndex_0_lcssa;
   152253     label = 40;
   152254     break;
   152255    case 40:
   152256     $51 = HEAP32[$defaultAtts173 >> 2] | 0;
   152257     $id175 = $51 + ($i_2364 * 12 & -1) | 0;
   152258     $52 = HEAP32[$id175 >> 2] | 0;
   152259     $name176 = $52 | 0;
   152260     $53 = HEAP32[$name176 >> 2] | 0;
   152261     $arrayidx177 = $53 - 1 | 0;
   152262     $54 = HEAP8[$arrayidx177] | 0;
   152263     $tobool178 = $54 << 24 >> 24 == 0;
   152264     if ($tobool178) {
   152265       label = 41;
   152266       break;
   152267     } else {
   152268       $attIndex_3 = $attIndex_2365;
   152269       $nPrefixes_3 = $nPrefixes_2363;
   152270       label = 47;
   152271       break;
   152272     }
   152273    case 41:
   152274     $value = $51 + ($i_2364 * 12 & -1) + 8 | 0;
   152275     $55 = HEAP32[$value >> 2] | 0;
   152276     $tobool180 = ($55 | 0) == 0;
   152277     if ($tobool180) {
   152278       $attIndex_3 = $attIndex_2365;
   152279       $nPrefixes_3 = $nPrefixes_2363;
   152280       label = 47;
   152281       break;
   152282     } else {
   152283       label = 42;
   152284       break;
   152285     }
   152286    case 42:
   152287     $prefix183 = $52 + 4 | 0;
   152288     $56 = HEAP32[$prefix183 >> 2] | 0;
   152289     $tobool184 = ($56 | 0) == 0;
   152290     if ($tobool184) {
   152291       label = 46;
   152292       break;
   152293     } else {
   152294       label = 43;
   152295       break;
   152296     }
   152297    case 43:
   152298     $xmlns187 = $52 + 9 | 0;
   152299     $57 = HEAP8[$xmlns187] | 0;
   152300     $tobool188 = $57 << 24 >> 24 == 0;
   152301     if ($tobool188) {
   152302       label = 45;
   152303       break;
   152304     } else {
   152305       label = 44;
   152306       break;
   152307     }
   152308    case 44:
   152309     $call195 = _addBinding($parser, $56, $52, $55, $bindingsPtr) | 0;
   152310     $tobool196 = ($call195 | 0) == 0;
   152311     if ($tobool196) {
   152312       $attIndex_3 = $attIndex_2365;
   152313       $nPrefixes_3 = $nPrefixes_2363;
   152314       label = 47;
   152315       break;
   152316     } else {
   152317       $retval_0 = $call195;
   152318       label = 116;
   152319       break;
   152320     }
   152321    case 45:
   152322     HEAP8[$arrayidx177] = 2;
   152323     $inc203 = $nPrefixes_2363 + 1 | 0;
   152324     $58 = HEAP32[$id175 >> 2] | 0;
   152325     $name205 = $58 | 0;
   152326     $59 = HEAP32[$name205 >> 2] | 0;
   152327     $inc206 = $attIndex_2365 + 1 | 0;
   152328     $arrayidx207 = $17 + ($attIndex_2365 << 2) | 0;
   152329     HEAP32[$arrayidx207 >> 2] = $59;
   152330     $60 = HEAP32[$value >> 2] | 0;
   152331     $inc209 = $attIndex_2365 + 2 | 0;
   152332     $arrayidx210 = $17 + ($inc206 << 2) | 0;
   152333     HEAP32[$arrayidx210 >> 2] = $60;
   152334     $attIndex_3 = $inc209;
   152335     $nPrefixes_3 = $inc203;
   152336     label = 47;
   152337     break;
   152338    case 46:
   152339     HEAP8[$arrayidx177] = 1;
   152340     $61 = HEAP32[$id175 >> 2] | 0;
   152341     $name217 = $61 | 0;
   152342     $62 = HEAP32[$name217 >> 2] | 0;
   152343     $inc218 = $attIndex_2365 + 1 | 0;
   152344     $arrayidx219 = $17 + ($attIndex_2365 << 2) | 0;
   152345     HEAP32[$arrayidx219 >> 2] = $62;
   152346     $63 = HEAP32[$value >> 2] | 0;
   152347     $inc221 = $attIndex_2365 + 2 | 0;
   152348     $arrayidx222 = $17 + ($inc218 << 2) | 0;
   152349     HEAP32[$arrayidx222 >> 2] = $63;
   152350     $attIndex_3 = $inc221;
   152351     $nPrefixes_3 = $nPrefixes_2363;
   152352     label = 47;
   152353     break;
   152354    case 47:
   152355     $inc226 = $i_2364 + 1 | 0;
   152356     $cmp170 = ($inc226 | 0) < ($6 | 0);
   152357     if ($cmp170) {
   152358       $nPrefixes_2363 = $nPrefixes_3;
   152359       $i_2364 = $inc226;
   152360       $attIndex_2365 = $attIndex_3;
   152361       label = 40;
   152362       break;
   152363     } else {
   152364       $nPrefixes_2_lcssa = $nPrefixes_3;
   152365       $attIndex_2_lcssa = $attIndex_3;
   152366       label = 48;
   152367       break;
   152368     }
   152369    case 48:
   152370     $arrayidx228 = $17 + ($attIndex_2_lcssa << 2) | 0;
   152371     HEAP32[$arrayidx228 >> 2] = 0;
   152372     $tobool229 = ($nPrefixes_2_lcssa | 0) == 0;
   152373     if ($tobool229) {
   152374       $i_4_ph = 0;
   152375       label = 49;
   152376       break;
   152377     } else {
   152378       label = 50;
   152379       break;
   152380     }
   152381    case 49:
   152382     $cmp465317 = ($i_4_ph | 0) < ($attIndex_2_lcssa | 0);
   152383     if ($cmp465317) {
   152384       $i_4318 = $i_4_ph;
   152385       label = 91;
   152386       break;
   152387     } else {
   152388       label = 90;
   152389       break;
   152390     }
   152391    case 50:
   152392     $m_nsAttsVersion = $parser + 384 | 0;
   152393     $64 = HEAP32[$m_nsAttsVersion >> 2] | 0;
   152394     $m_nsAttsPower = $parser + 388 | 0;
   152395     $65 = HEAP8[$m_nsAttsPower] | 0;
   152396     $conv232 = $65 & 255;
   152397     $shl233 = $nPrefixes_2_lcssa << 1;
   152398     $shr = $shl233 >> $conv232;
   152399     $tobool236 = ($shr | 0) == 0;
   152400     if ($tobool236) {
   152401       label = 55;
   152402       break;
   152403     } else {
   152404       label = 51;
   152405       break;
   152406     }
   152407    case 51:
   152408     $m_nsAttsPower_promoted = HEAP8[$m_nsAttsPower] | 0;
   152409     $66 = $m_nsAttsPower_promoted;
   152410     label = 52;
   152411     break;
   152412    case 52:
   152413     $inc240 = $66 + 1 & 255;
   152414     $conv241 = $66 & 255;
   152415     $shr242 = $nPrefixes_2_lcssa >> $conv241;
   152416     $tobool243 = ($shr242 | 0) == 0;
   152417     if ($tobool243) {
   152418       label = 53;
   152419       break;
   152420     } else {
   152421       $66 = $inc240;
   152422       label = 52;
   152423       break;
   152424     }
   152425    case 53:
   152426     $cmp246 = ($inc240 & 255) < 3;
   152427     $_inc240 = $cmp246 ? 3 : $inc240;
   152428     HEAP8[$m_nsAttsPower] = $_inc240;
   152429     $conv252 = $_inc240 & 255;
   152430     $realloc_fcn255 = $parser + 16 | 0;
   152431     $67 = HEAP32[$realloc_fcn255 >> 2] | 0;
   152432     $m_nsAtts = $parser + 380 | 0;
   152433     $68 = HEAP32[$m_nsAtts >> 2] | 0;
   152434     $69 = $68;
   152435     $mul256 = 12 << $conv252;
   152436     $call257 = FUNCTION_TABLE_iii[$67 & 1023]($69, $mul256) | 0;
   152437     $tobool258 = ($call257 | 0) == 0;
   152438     if ($tobool258) {
   152439       $retval_0 = 1;
   152440       label = 116;
   152441       break;
   152442     } else {
   152443       label = 54;
   152444       break;
   152445     }
   152446    case 54:
   152447     $70 = $call257;
   152448     HEAP32[$m_nsAtts >> 2] = $70;
   152449     $nsAttsSize_0307 = 1 << $conv252;
   152450     $nsAttsSize_0310_ph = $nsAttsSize_0307;
   152451     label = 56;
   152452     break;
   152453    case 55:
   152454     $nsAttsSize_0 = 1 << $conv232;
   152455     $tobool263 = ($64 | 0) == 0;
   152456     if ($tobool263) {
   152457       $nsAttsSize_0310_ph = $nsAttsSize_0;
   152458       label = 56;
   152459       break;
   152460     } else {
   152461       $version_1 = $64;
   152462       $nsAttsSize_0309 = $nsAttsSize_0;
   152463       label = 58;
   152464       break;
   152465     }
   152466    case 56:
   152467     $m_nsAtts270 = $parser + 380 | 0;
   152468     $j231_0356 = $nsAttsSize_0310_ph;
   152469     label = 57;
   152470     break;
   152471    case 57:
   152472     $dec269 = $j231_0356 - 1 | 0;
   152473     $71 = HEAP32[$m_nsAtts270 >> 2] | 0;
   152474     $version272 = $71 + ($dec269 * 12 & -1) | 0;
   152475     HEAP32[$version272 >> 2] = -1;
   152476     $cmp266 = ($dec269 | 0) == 0;
   152477     if ($cmp266) {
   152478       $version_1 = -1;
   152479       $nsAttsSize_0309 = $nsAttsSize_0310_ph;
   152480       label = 58;
   152481       break;
   152482     } else {
   152483       $j231_0356 = $dec269;
   152484       label = 57;
   152485       break;
   152486     }
   152487    case 58:
   152488     $dec275 = $version_1 - 1 | 0;
   152489     HEAP32[$m_nsAttsVersion >> 2] = $dec275;
   152490     $cmp278351 = ($attIndex_2_lcssa | 0) > 0;
   152491     if ($cmp278351) {
   152492       label = 59;
   152493       break;
   152494     } else {
   152495       $i_4_ph = 0;
   152496       label = 49;
   152497       break;
   152498     }
   152499    case 59:
   152500     $m_hash_secret_salt = $parser + 472 | 0;
   152501     $attributeIds = $0 + 40 | 0;
   152502     $m_tempPool327 = $parser + 400 | 0;
   152503     $ptr328 = $parser + 412 | 0;
   152504     $end330 = $parser + 408 | 0;
   152505     $sub = $nsAttsSize_0309 - 1 | 0;
   152506     $m_nsAtts350 = $parser + 380 | 0;
   152507     $m_ns_triplets = $parser + 233 | 0;
   152508     $start437 = $parser + 416 | 0;
   152509     $m_namespaceSeparator = $parser + 456 | 0;
   152510     $start362 = $parser + 416 | 0;
   152511     $neg = -$nsAttsSize_0309 | 0;
   152512     $shr391 = $sub >>> 2;
   152513     $ptr302 = $parser + 412 | 0;
   152514     $end = $parser + 408 | 0;
   152515     $m_tempPool301 = $parser + 400 | 0;
   152516     $nPrefixes_4352 = $nPrefixes_2_lcssa;
   152517     $i_3353 = 0;
   152518     label = 60;
   152519     break;
   152520    case 60:
   152521     $arrayidx281 = $17 + ($i_3353 << 2) | 0;
   152522     $72 = HEAP32[$arrayidx281 >> 2] | 0;
   152523     $arrayidx282 = $72 - 1 | 0;
   152524     $73 = HEAP8[$arrayidx282] | 0;
   152525     $cmp284 = $73 << 24 >> 24 == 2;
   152526     if ($cmp284) {
   152527       label = 61;
   152528       break;
   152529     } else {
   152530       label = 88;
   152531       break;
   152532     }
   152533    case 61:
   152534     $74 = HEAP32[$m_hash_secret_salt >> 2] | 0;
   152535     HEAP8[$arrayidx282] = 0;
   152536     $call289 = _lookup($parser, $attributeIds, $72, 0) | 0;
   152537     $prefix290 = $call289 + 4 | 0;
   152538     $75 = $prefix290;
   152539     $76 = HEAP32[$75 >> 2] | 0;
   152540     $binding291 = $76 + 4 | 0;
   152541     $77 = HEAP32[$binding291 >> 2] | 0;
   152542     $tobool292 = ($77 | 0) == 0;
   152543     if ($tobool292) {
   152544       $retval_0 = 27;
   152545       label = 116;
   152546       break;
   152547     } else {
   152548       label = 62;
   152549       break;
   152550     }
   152551    case 62:
   152552     $uriLen = $77 + 20 | 0;
   152553     $78 = HEAP32[$uriLen >> 2] | 0;
   152554     $cmp296320 = ($78 | 0) > 0;
   152555     if ($cmp296320) {
   152556       label = 63;
   152557       break;
   152558     } else {
   152559       $uriHash_0_lcssa = $74;
   152560       label = 67;
   152561       break;
   152562     }
   152563    case 63:
   152564     $uri299 = $77 + 16 | 0;
   152565     $j231_1321 = 0;
   152566     $uriHash_0322 = $74;
   152567     label = 64;
   152568     break;
   152569    case 64:
   152570     $79 = HEAP32[$uri299 >> 2] | 0;
   152571     $arrayidx300 = $79 + $j231_1321 | 0;
   152572     $80 = HEAP8[$arrayidx300] | 0;
   152573     $81 = HEAP32[$ptr302 >> 2] | 0;
   152574     $82 = HEAP32[$end >> 2] | 0;
   152575     $cmp304 = ($81 | 0) == ($82 | 0);
   152576     if ($cmp304) {
   152577       label = 65;
   152578       break;
   152579     } else {
   152580       label = 66;
   152581       break;
   152582     }
   152583    case 65:
   152584     $call308 = _poolGrow($m_tempPool301) | 0;
   152585     $tobool309 = $call308 << 24 >> 24 == 0;
   152586     if ($tobool309) {
   152587       $retval_0 = 1;
   152588       label = 116;
   152589       break;
   152590     } else {
   152591       label = 66;
   152592       break;
   152593     }
   152594    case 66:
   152595     $83 = HEAP32[$ptr302 >> 2] | 0;
   152596     $incdec_ptr = $83 + 1 | 0;
   152597     HEAP32[$ptr302 >> 2] = $incdec_ptr;
   152598     HEAP8[$83] = $80;
   152599     $mul314 = $uriHash_0322 * 1000003 & -1;
   152600     $conv315 = $80 & 255;
   152601     $xor = $conv315 ^ $mul314;
   152602     $inc317 = $j231_1321 + 1 | 0;
   152603     $84 = HEAP32[$uriLen >> 2] | 0;
   152604     $cmp296 = ($inc317 | 0) < ($84 | 0);
   152605     if ($cmp296) {
   152606       $j231_1321 = $inc317;
   152607       $uriHash_0322 = $xor;
   152608       label = 64;
   152609       break;
   152610     } else {
   152611       $uriHash_0_lcssa = $xor;
   152612       label = 67;
   152613       break;
   152614     }
   152615    case 67:
   152616     $s_0 = $72;
   152617     label = 68;
   152618     break;
   152619    case 68:
   152620     $incdec_ptr320 = $s_0 + 1 | 0;
   152621     $85 = HEAP8[$s_0] | 0;
   152622     $cmp322 = $85 << 24 >> 24 == 58;
   152623     if ($cmp322) {
   152624       $uriHash_1 = $uriHash_0_lcssa;
   152625       $s_1 = $incdec_ptr320;
   152626       label = 69;
   152627       break;
   152628     } else {
   152629       $s_0 = $incdec_ptr320;
   152630       label = 68;
   152631       break;
   152632     }
   152633    case 69:
   152634     $86 = HEAP8[$s_1] | 0;
   152635     $87 = HEAP32[$ptr328 >> 2] | 0;
   152636     $88 = HEAP32[$end330 >> 2] | 0;
   152637     $cmp331 = ($87 | 0) == ($88 | 0);
   152638     if ($cmp331) {
   152639       label = 70;
   152640       break;
   152641     } else {
   152642       label = 71;
   152643       break;
   152644     }
   152645    case 70:
   152646     $call335 = _poolGrow($m_tempPool327) | 0;
   152647     $tobool336 = $call335 << 24 >> 24 == 0;
   152648     if ($tobool336) {
   152649       $retval_0 = 1;
   152650       label = 116;
   152651       break;
   152652     } else {
   152653       label = 71;
   152654       break;
   152655     }
   152656    case 71:
   152657     $89 = HEAP8[$s_1] | 0;
   152658     $90 = HEAP32[$ptr328 >> 2] | 0;
   152659     $incdec_ptr341 = $90 + 1 | 0;
   152660     HEAP32[$ptr328 >> 2] = $incdec_ptr341;
   152661     HEAP8[$90] = $89;
   152662     $mul344 = $uriHash_1 * 1000003 & -1;
   152663     $conv345 = $86 & 255;
   152664     $xor346 = $conv345 ^ $mul344;
   152665     $incdec_ptr347 = $s_1 + 1 | 0;
   152666     $91 = HEAP8[$s_1] | 0;
   152667     $tobool348 = $91 << 24 >> 24 == 0;
   152668     if ($tobool348) {
   152669       label = 72;
   152670       break;
   152671     } else {
   152672       $uriHash_1 = $xor346;
   152673       $s_1 = $incdec_ptr347;
   152674       label = 69;
   152675       break;
   152676     }
   152677    case 72:
   152678     $and = $xor346 & $sub;
   152679     $92 = HEAP32[$m_nsAtts350 >> 2] | 0;
   152680     $version352337 = $92 + ($and * 12 & -1) | 0;
   152681     $93 = HEAP32[$version352337 >> 2] | 0;
   152682     $cmp353338 = ($93 | 0) == ($dec275 | 0);
   152683     if ($cmp353338) {
   152684       label = 73;
   152685       break;
   152686     } else {
   152687       $j231_2_lcssa = $and;
   152688       label = 81;
   152689       break;
   152690     }
   152691    case 73:
   152692     $and386 = $xor346 & $neg;
   152693     $j231_2339 = $and;
   152694     $step_0340 = 0;
   152695     $94 = $92;
   152696     label = 74;
   152697     break;
   152698    case 74:
   152699     $hash = $94 + ($j231_2339 * 12 & -1) + 4 | 0;
   152700     $95 = HEAP32[$hash >> 2] | 0;
   152701     $cmp358 = ($xor346 | 0) == ($95 | 0);
   152702     if ($cmp358) {
   152703       label = 75;
   152704       break;
   152705     } else {
   152706       label = 78;
   152707       break;
   152708     }
   152709    case 75:
   152710     $96 = HEAP32[$start362 >> 2] | 0;
   152711     $uriName = $94 + ($j231_2339 * 12 & -1) + 8 | 0;
   152712     $97 = HEAP32[$uriName >> 2] | 0;
   152713     $98 = HEAP8[$96] | 0;
   152714     $99 = HEAP8[$97] | 0;
   152715     $cmp368328 = $98 << 24 >> 24 != $99 << 24 >> 24;
   152716     $cmp371329 = $98 << 24 >> 24 == 0;
   152717     $or_cond330 = $cmp368328 | $cmp371329;
   152718     if ($or_cond330) {
   152719       $cmp371_lcssa = $cmp371329;
   152720       label = 77;
   152721       break;
   152722     } else {
   152723       $s1_0331 = $96;
   152724       $s2_0332 = $97;
   152725       label = 76;
   152726       break;
   152727     }
   152728    case 76:
   152729     $incdec_ptr375 = $s1_0331 + 1 | 0;
   152730     $incdec_ptr376 = $s2_0332 + 1 | 0;
   152731     $100 = HEAP8[$incdec_ptr375] | 0;
   152732     $101 = HEAP8[$incdec_ptr376] | 0;
   152733     $cmp368 = $100 << 24 >> 24 != $101 << 24 >> 24;
   152734     $cmp371 = $100 << 24 >> 24 == 0;
   152735     $or_cond = $cmp368 | $cmp371;
   152736     if ($or_cond) {
   152737       $cmp371_lcssa = $cmp371;
   152738       label = 77;
   152739       break;
   152740     } else {
   152741       $s1_0331 = $incdec_ptr375;
   152742       $s2_0332 = $incdec_ptr376;
   152743       label = 76;
   152744       break;
   152745     }
   152746    case 77:
   152747     if ($cmp371_lcssa) {
   152748       $retval_0 = 8;
   152749       label = 116;
   152750       break;
   152751     } else {
   152752       label = 78;
   152753       break;
   152754     }
   152755    case 78:
   152756     $tobool384 = $step_0340 << 24 >> 24 == 0;
   152757     if ($tobool384) {
   152758       label = 79;
   152759       break;
   152760     } else {
   152761       $step_1 = $step_0340;
   152762       label = 80;
   152763       break;
   152764     }
   152765    case 79:
   152766     $102 = HEAP8[$m_nsAttsPower] | 0;
   152767     $conv388 = $102 & 255;
   152768     $sub389 = $conv388 - 1 | 0;
   152769     $shr390 = $and386 >>> ($sub389 >>> 0);
   152770     $and392 = $shr390 & $shr391;
   152771     $or = $and392 | 1;
   152772     $conv393 = $or & 255;
   152773     $step_1 = $conv393;
   152774     label = 80;
   152775     break;
   152776    case 80:
   152777     $conv395 = $step_1 & 255;
   152778     $cmp396 = ($j231_2339 | 0) < ($conv395 | 0);
   152779     $sub400 = $cmp396 ? $nsAttsSize_0309 : 0;
   152780     $sub400_j231_2339 = $j231_2339 + $sub400 | 0;
   152781     $j231_2_be = $sub400_j231_2339 - $conv395 | 0;
   152782     $103 = HEAP32[$m_nsAtts350 >> 2] | 0;
   152783     $version352 = $103 + ($j231_2_be * 12 & -1) | 0;
   152784     $104 = HEAP32[$version352 >> 2] | 0;
   152785     $cmp353 = ($104 | 0) == ($dec275 | 0);
   152786     if ($cmp353) {
   152787       $j231_2339 = $j231_2_be;
   152788       $step_0340 = $step_1;
   152789       $94 = $103;
   152790       label = 74;
   152791       break;
   152792     } else {
   152793       $j231_2_lcssa = $j231_2_be;
   152794       label = 81;
   152795       break;
   152796     }
   152797    case 81:
   152798     $105 = HEAP8[$m_ns_triplets] | 0;
   152799     $tobool406 = $105 << 24 >> 24 == 0;
   152800     if ($tobool406) {
   152801       label = 86;
   152802       break;
   152803     } else {
   152804       label = 82;
   152805       break;
   152806     }
   152807    case 82:
   152808     $106 = HEAP8[$m_namespaceSeparator] | 0;
   152809     $107 = HEAP32[$ptr328 >> 2] | 0;
   152810     $arrayidx410 = $107 - 1 | 0;
   152811     HEAP8[$arrayidx410] = $106;
   152812     $prefix411 = $77 | 0;
   152813     $108 = HEAP32[$prefix411 >> 2] | 0;
   152814     $name412 = $108 | 0;
   152815     $109 = HEAP32[$name412 >> 2] | 0;
   152816     $s_2 = $109;
   152817     label = 83;
   152818     break;
   152819    case 83:
   152820     $110 = HEAP32[$ptr328 >> 2] | 0;
   152821     $111 = HEAP32[$end330 >> 2] | 0;
   152822     $cmp418 = ($110 | 0) == ($111 | 0);
   152823     if ($cmp418) {
   152824       label = 84;
   152825       break;
   152826     } else {
   152827       label = 85;
   152828       break;
   152829     }
   152830    case 84:
   152831     $call422 = _poolGrow($m_tempPool327) | 0;
   152832     $tobool423 = $call422 << 24 >> 24 == 0;
   152833     if ($tobool423) {
   152834       $retval_0 = 1;
   152835       label = 116;
   152836       break;
   152837     } else {
   152838       label = 85;
   152839       break;
   152840     }
   152841    case 85:
   152842     $112 = HEAP8[$s_2] | 0;
   152843     $113 = HEAP32[$ptr328 >> 2] | 0;
   152844     $incdec_ptr428 = $113 + 1 | 0;
   152845     HEAP32[$ptr328 >> 2] = $incdec_ptr428;
   152846     HEAP8[$113] = $112;
   152847     $incdec_ptr432 = $s_2 + 1 | 0;
   152848     $114 = HEAP8[$s_2] | 0;
   152849     $tobool433 = $114 << 24 >> 24 == 0;
   152850     if ($tobool433) {
   152851       label = 86;
   152852       break;
   152853     } else {
   152854       $s_2 = $incdec_ptr432;
   152855       label = 83;
   152856       break;
   152857     }
   152858    case 86:
   152859     $115 = HEAP32[$start437 >> 2] | 0;
   152860     $116 = HEAP32[$ptr328 >> 2] | 0;
   152861     HEAP32[$start437 >> 2] = $116;
   152862     HEAP32[$arrayidx281 >> 2] = $115;
   152863     $117 = HEAP32[$m_nsAtts350 >> 2] | 0;
   152864     $version445 = $117 + ($j231_2_lcssa * 12 & -1) | 0;
   152865     HEAP32[$version445 >> 2] = $dec275;
   152866     $118 = HEAP32[$m_nsAtts350 >> 2] | 0;
   152867     $hash448 = $118 + ($j231_2_lcssa * 12 & -1) + 4 | 0;
   152868     HEAP32[$hash448 >> 2] = $xor346;
   152869     $119 = HEAP32[$m_nsAtts350 >> 2] | 0;
   152870     $uriName451 = $119 + ($j231_2_lcssa * 12 & -1) + 8 | 0;
   152871     HEAP32[$uriName451 >> 2] = $115;
   152872     $dec452 = $nPrefixes_4352 - 1 | 0;
   152873     $tobool453 = ($dec452 | 0) == 0;
   152874     if ($tobool453) {
   152875       label = 87;
   152876       break;
   152877     } else {
   152878       $nPrefixes_5 = $dec452;
   152879       label = 89;
   152880       break;
   152881     }
   152882    case 87:
   152883     $add455 = $i_3353 + 2 | 0;
   152884     $i_4_ph = $add455;
   152885     label = 49;
   152886     break;
   152887    case 88:
   152888     HEAP8[$arrayidx282] = 0;
   152889     $nPrefixes_5 = $nPrefixes_4352;
   152890     label = 89;
   152891     break;
   152892    case 89:
   152893     $add461 = $i_3353 + 2 | 0;
   152894     $cmp278 = ($add461 | 0) < ($attIndex_2_lcssa | 0);
   152895     if ($cmp278) {
   152896       $nPrefixes_4352 = $nPrefixes_5;
   152897       $i_3353 = $add461;
   152898       label = 60;
   152899       break;
   152900     } else {
   152901       $i_4_ph = $add461;
   152902       label = 49;
   152903       break;
   152904     }
   152905    case 90:
   152906     $binding_0314 = HEAP32[$bindingsPtr >> 2] | 0;
   152907     $tobool474315 = ($binding_0314 | 0) == 0;
   152908     if ($tobool474315) {
   152909       label = 93;
   152910       break;
   152911     } else {
   152912       $binding_0316 = $binding_0314;
   152913       label = 92;
   152914       break;
   152915     }
   152916    case 91:
   152917     $arrayidx468 = $17 + ($i_4318 << 2) | 0;
   152918     $120 = HEAP32[$arrayidx468 >> 2] | 0;
   152919     $arrayidx469 = $120 - 1 | 0;
   152920     HEAP8[$arrayidx469] = 0;
   152921     $add471 = $i_4318 + 2 | 0;
   152922     $cmp465 = ($add471 | 0) < ($attIndex_2_lcssa | 0);
   152923     if ($cmp465) {
   152924       $i_4318 = $add471;
   152925       label = 91;
   152926       break;
   152927     } else {
   152928       label = 90;
   152929       break;
   152930     }
   152931    case 92:
   152932     $attId476 = $binding_0316 + 12 | 0;
   152933     $121 = HEAP32[$attId476 >> 2] | 0;
   152934     $name477 = $121 | 0;
   152935     $122 = HEAP32[$name477 >> 2] | 0;
   152936     $arrayidx478 = $122 - 1 | 0;
   152937     HEAP8[$arrayidx478] = 0;
   152938     $nextTagBinding = $binding_0316 + 4 | 0;
   152939     $binding_0 = HEAP32[$nextTagBinding >> 2] | 0;
   152940     $tobool474 = ($binding_0 | 0) == 0;
   152941     if ($tobool474) {
   152942       label = 93;
   152943       break;
   152944     } else {
   152945       $binding_0316 = $binding_0;
   152946       label = 92;
   152947       break;
   152948     }
   152949    case 93:
   152950     $m_ns481 = $parser + 232 | 0;
   152951     $123 = HEAP8[$m_ns481] | 0;
   152952     $tobool482 = $123 << 24 >> 24 == 0;
   152953     if ($tobool482) {
   152954       $retval_0 = 0;
   152955       label = 116;
   152956       break;
   152957     } else {
   152958       label = 94;
   152959       break;
   152960     }
   152961    case 94:
   152962     $prefix485 = $elementType_0 + 4 | 0;
   152963     $124 = HEAP32[$prefix485 >> 2] | 0;
   152964     $tobool486 = ($124 | 0) == 0;
   152965     if ($tobool486) {
   152966       label = 98;
   152967       break;
   152968     } else {
   152969       label = 95;
   152970       break;
   152971     }
   152972    case 95:
   152973     $binding489 = $124 + 4 | 0;
   152974     $125 = HEAP32[$binding489 >> 2] | 0;
   152975     $tobool490 = ($125 | 0) == 0;
   152976     if ($tobool490) {
   152977       $retval_0 = 27;
   152978       label = 116;
   152979       break;
   152980     } else {
   152981       label = 96;
   152982       break;
   152983     }
   152984    case 96:
   152985     $126 = HEAP32[$str >> 2] | 0;
   152986     $localPart_0 = $126;
   152987     label = 97;
   152988     break;
   152989    case 97:
   152990     $incdec_ptr495 = $localPart_0 + 1 | 0;
   152991     $127 = HEAP8[$localPart_0] | 0;
   152992     $cmp497 = $127 << 24 >> 24 == 58;
   152993     if ($cmp497) {
   152994       $binding_1 = $125;
   152995       $localPart_1 = $incdec_ptr495;
   152996       label = 100;
   152997       break;
   152998     } else {
   152999       $localPart_0 = $incdec_ptr495;
   153000       label = 97;
   153001       break;
   153002     }
   153003    case 98:
   153004     $binding502 = $0 + 136 | 0;
   153005     $128 = HEAP32[$binding502 >> 2] | 0;
   153006     $tobool503 = ($128 | 0) == 0;
   153007     if ($tobool503) {
   153008       $retval_0 = 0;
   153009       label = 116;
   153010       break;
   153011     } else {
   153012       label = 99;
   153013       break;
   153014     }
   153015    case 99:
   153016     $129 = HEAP32[$str >> 2] | 0;
   153017     $binding_1 = $128;
   153018     $localPart_1 = $129;
   153019     label = 100;
   153020     break;
   153021    case 100:
   153022     $m_ns_triplets511 = $parser + 233 | 0;
   153023     $130 = HEAP8[$m_ns_triplets511] | 0;
   153024     $tobool513 = $130 << 24 >> 24 == 0;
   153025     if ($tobool513) {
   153026       $prefixLen_1 = 0;
   153027       label = 104;
   153028       break;
   153029     } else {
   153030       label = 101;
   153031       break;
   153032     }
   153033    case 101:
   153034     $prefix515 = $binding_1 | 0;
   153035     $131 = HEAP32[$prefix515 >> 2] | 0;
   153036     $name516 = $131 | 0;
   153037     $132 = HEAP32[$name516 >> 2] | 0;
   153038     $tobool517 = ($132 | 0) == 0;
   153039     if ($tobool517) {
   153040       $prefixLen_1 = 0;
   153041       label = 104;
   153042       break;
   153043     } else {
   153044       label = 102;
   153045       break;
   153046     }
   153047    case 102:
   153048     $133 = HEAP32[$prefix515 >> 2] | 0;
   153049     $name522 = $133 | 0;
   153050     $134 = HEAP32[$name522 >> 2] | 0;
   153051     $prefixLen_0 = 0;
   153052     label = 103;
   153053     break;
   153054    case 103:
   153055     $inc520 = $prefixLen_0 + 1 | 0;
   153056     $arrayidx523 = $134 + $prefixLen_0 | 0;
   153057     $135 = HEAP8[$arrayidx523] | 0;
   153058     $tobool524 = $135 << 24 >> 24 == 0;
   153059     if ($tobool524) {
   153060       $prefixLen_1 = $inc520;
   153061       label = 104;
   153062       break;
   153063     } else {
   153064       $prefixLen_0 = $inc520;
   153065       label = 103;
   153066       break;
   153067     }
   153068    case 104:
   153069     $localPart528 = $tagNamePtr + 4 | 0;
   153070     HEAP32[$localPart528 >> 2] = $localPart_1;
   153071     $uriLen529 = $binding_1 + 20 | 0;
   153072     $136 = HEAP32[$uriLen529 >> 2] | 0;
   153073     $uriLen530 = $tagNamePtr + 16 | 0;
   153074     HEAP32[$uriLen530 >> 2] = $136;
   153075     $prefix531 = $binding_1 | 0;
   153076     $137 = HEAP32[$prefix531 >> 2] | 0;
   153077     $name532 = $137 | 0;
   153078     $138 = HEAP32[$name532 >> 2] | 0;
   153079     $prefix533 = $tagNamePtr + 8 | 0;
   153080     HEAP32[$prefix533 >> 2] = $138;
   153081     $prefixLen534 = $tagNamePtr + 20 | 0;
   153082     HEAP32[$prefixLen534 >> 2] = $prefixLen_1;
   153083     $i_5 = 0;
   153084     label = 105;
   153085     break;
   153086    case 105:
   153087     $inc536 = $i_5 + 1 | 0;
   153088     $arrayidx537 = $localPart_1 + $i_5 | 0;
   153089     $139 = HEAP8[$arrayidx537] | 0;
   153090     $tobool538 = $139 << 24 >> 24 == 0;
   153091     if ($tobool538) {
   153092       label = 106;
   153093       break;
   153094     } else {
   153095       $i_5 = $inc536;
   153096       label = 105;
   153097       break;
   153098     }
   153099    case 106:
   153100     $140 = HEAP32[$uriLen529 >> 2] | 0;
   153101     $add542 = $inc536 + $prefixLen_1 | 0;
   153102     $add543 = $add542 + $140 | 0;
   153103     $uriAlloc = $binding_1 + 24 | 0;
   153104     $141 = HEAP32[$uriAlloc >> 2] | 0;
   153105     $cmp544 = ($add543 | 0) > ($141 | 0);
   153106     if ($cmp544) {
   153107       label = 107;
   153108       break;
   153109     } else {
   153110       label = 113;
   153111       break;
   153112     }
   153113    case 107:
   153114     $malloc_fcn = $parser + 12 | 0;
   153115     $142 = HEAP32[$malloc_fcn >> 2] | 0;
   153116     $add548 = $add543 + 24 | 0;
   153117     $call550 = FUNCTION_TABLE_ii[$142 & 1023]($add548) | 0;
   153118     $tobool551 = ($call550 | 0) == 0;
   153119     if ($tobool551) {
   153120       $retval_0 = 1;
   153121       label = 116;
   153122       break;
   153123     } else {
   153124       label = 108;
   153125       break;
   153126     }
   153127    case 108:
   153128     HEAP32[$uriAlloc >> 2] = $add548;
   153129     $uri556 = $binding_1 + 16 | 0;
   153130     $143 = HEAP32[$uri556 >> 2] | 0;
   153131     $144 = HEAP32[$uriLen529 >> 2] | 0;
   153132     _memcpy($call550 | 0, $143 | 0, $144);
   153133     $m_tagStack = $parser + 348 | 0;
   153134     $p_0311 = HEAP32[$m_tagStack >> 2] | 0;
   153135     $tobool560312 = ($p_0311 | 0) == 0;
   153136     if ($tobool560312) {
   153137       label = 112;
   153138       break;
   153139     } else {
   153140       $p_0313 = $p_0311;
   153141       label = 109;
   153142       break;
   153143     }
   153144    case 109:
   153145     $str563 = $p_0313 + 12 | 0;
   153146     $145 = HEAP32[$str563 >> 2] | 0;
   153147     $146 = HEAP32[$uri556 >> 2] | 0;
   153148     $cmp565 = ($145 | 0) == ($146 | 0);
   153149     if ($cmp565) {
   153150       label = 110;
   153151       break;
   153152     } else {
   153153       label = 111;
   153154       break;
   153155     }
   153156    case 110:
   153157     HEAP32[$str563 >> 2] = $call550;
   153158     label = 111;
   153159     break;
   153160    case 111:
   153161     $parent = $p_0313 | 0;
   153162     $p_0 = HEAP32[$parent >> 2] | 0;
   153163     $tobool560 = ($p_0 | 0) == 0;
   153164     if ($tobool560) {
   153165       label = 112;
   153166       break;
   153167     } else {
   153168       $p_0313 = $p_0;
   153169       label = 109;
   153170       break;
   153171     }
   153172    case 112:
   153173     $free_fcn = $parser + 20 | 0;
   153174     $147 = HEAP32[$free_fcn >> 2] | 0;
   153175     $148 = HEAP32[$uri556 >> 2] | 0;
   153176     FUNCTION_TABLE_vi[$147 & 1023]($148);
   153177     HEAP32[$uri556 >> 2] = $call550;
   153178     label = 113;
   153179     break;
   153180    case 113:
   153181     $uri577 = $binding_1 + 16 | 0;
   153182     $149 = HEAP32[$uri577 >> 2] | 0;
   153183     $150 = HEAP32[$uriLen529 >> 2] | 0;
   153184     $add_ptr579 = $149 + $150 | 0;
   153185     _memcpy($add_ptr579 | 0, $localPart_1 | 0, $inc536);
   153186     $tobool581 = ($prefixLen_1 | 0) == 0;
   153187     if ($tobool581) {
   153188       label = 115;
   153189       break;
   153190     } else {
   153191       label = 114;
   153192       break;
   153193     }
   153194    case 114:
   153195     $add_ptr579_sum = $150 + $i_5 | 0;
   153196     $add_ptr584 = $149 + $add_ptr579_sum | 0;
   153197     $m_namespaceSeparator585 = $parser + 456 | 0;
   153198     $151 = HEAP8[$m_namespaceSeparator585] | 0;
   153199     HEAP8[$add_ptr584] = $151;
   153200     $add_ptr584_sum = $add_ptr579_sum + 1 | 0;
   153201     $add_ptr586 = $149 + $add_ptr584_sum | 0;
   153202     $152 = HEAP32[$prefix531 >> 2] | 0;
   153203     $name588 = $152 | 0;
   153204     $153 = HEAP32[$name588 >> 2] | 0;
   153205     _memcpy($add_ptr586 | 0, $153 | 0, $prefixLen_1);
   153206     label = 115;
   153207     break;
   153208    case 115:
   153209     $154 = HEAP32[$uri577 >> 2] | 0;
   153210     HEAP32[$str >> 2] = $154;
   153211     $retval_0 = 0;
   153212     label = 116;
   153213     break;
   153214    case 116:
   153215     return $retval_0 | 0;
   153216   }
   153217   return 0;
   153218 }
   153219 function _normalizeLines($s) {
   153220   $s = $s | 0;
   153221   var $s_addr_0 = 0, $0 = 0, $incdec_ptr = 0, $s_addr_1 = 0, $p_0 = 0, $1 = 0, $cmp8 = 0, $incdec_ptr12 = 0, $2 = 0, $cmp14 = 0, $incdec_ptr17 = 0, $incdec_ptr17_incdec_ptr12 = 0, $incdec_ptr19 = 0, $s_addr_2 = 0, $p_1 = 0, $3 = 0, $tobool = 0, label = 0;
   153222   label = 2;
   153223   while (1) switch (label | 0) {
   153224    case 2:
   153225     $s_addr_0 = $s;
   153226     label = 3;
   153227     break;
   153228    case 3:
   153229     $0 = HEAP8[$s_addr_0] | 0;
   153230     if (($0 << 24 >> 24 | 0) == 13) {
   153231       $p_0 = $s_addr_0;
   153232       $s_addr_1 = $s_addr_0;
   153233       label = 5;
   153234       break;
   153235     } else if (($0 << 24 >> 24 | 0) == 0) {
   153236       label = 10;
   153237       break;
   153238     } else {
   153239       label = 4;
   153240       break;
   153241     }
   153242    case 4:
   153243     $incdec_ptr = $s_addr_0 + 1 | 0;
   153244     $s_addr_0 = $incdec_ptr;
   153245     label = 3;
   153246     break;
   153247    case 5:
   153248     $1 = HEAP8[$s_addr_1] | 0;
   153249     $cmp8 = $1 << 24 >> 24 == 13;
   153250     if ($cmp8) {
   153251       label = 6;
   153252       break;
   153253     } else {
   153254       label = 7;
   153255       break;
   153256     }
   153257    case 6:
   153258     HEAP8[$p_0] = 10;
   153259     $incdec_ptr12 = $s_addr_1 + 1 | 0;
   153260     $2 = HEAP8[$incdec_ptr12] | 0;
   153261     $cmp14 = $2 << 24 >> 24 == 10;
   153262     $incdec_ptr17 = $s_addr_1 + 2 | 0;
   153263     $incdec_ptr17_incdec_ptr12 = $cmp14 ? $incdec_ptr17 : $incdec_ptr12;
   153264     $s_addr_2 = $incdec_ptr17_incdec_ptr12;
   153265     label = 8;
   153266     break;
   153267    case 7:
   153268     $incdec_ptr19 = $s_addr_1 + 1 | 0;
   153269     HEAP8[$p_0] = $1;
   153270     $s_addr_2 = $incdec_ptr19;
   153271     label = 8;
   153272     break;
   153273    case 8:
   153274     $p_1 = $p_0 + 1 | 0;
   153275     $3 = HEAP8[$s_addr_2] | 0;
   153276     $tobool = $3 << 24 >> 24 == 0;
   153277     if ($tobool) {
   153278       label = 9;
   153279       break;
   153280     } else {
   153281       $p_0 = $p_1;
   153282       $s_addr_1 = $s_addr_2;
   153283       label = 5;
   153284       break;
   153285     }
   153286    case 9:
   153287     HEAP8[$p_1] = 0;
   153288     label = 10;
   153289     break;
   153290    case 10:
   153291     return;
   153292   }
   153293 }
   153294 function _epilogProcessor($parser, $s, $end, $nextPtr) {
   153295   $parser = $parser | 0;
   153296   $s = $s | 0;
   153297   $end = $end | 0;
   153298   $nextPtr = $nextPtr | 0;
   153299   var $next = 0, $m_processor = 0, $m_eventPtr = 0, $m_encoding = 0, $m_eventEndPtr = 0, $m_defaultHandler7 = 0, $parsing39 = 0, $s_addr_0 = 0, $0 = 0, $arrayidx = 0, $1 = 0, $call = 0, $2 = 0, $m_defaultHandler = 0, $3 = 0, $tobool = 0, $4 = 0, $5 = 0, $parsing = 0, $6 = 0, $cmp = 0, $7 = 0, $8 = 0, $tobool8 = 0, $9 = 0, $10 = 0, $11 = 0, $12 = 0, $call14 = 0, $tobool15 = 0, $13 = 0, $14 = 0, $call20 = 0, $tobool21 = 0, $15 = 0, $finalBuffer = 0, $16 = 0, $tobool28 = 0, $finalBuffer33 = 0, $17 = 0, $tobool34 = 0, $18 = 0, $19 = 0, $20 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   153300   __stackBase__ = STACKTOP;
   153301   STACKTOP = STACKTOP + 8 | 0;
   153302   label = 2;
   153303   while (1) switch (label | 0) {
   153304    case 2:
   153305     $next = __stackBase__ | 0;
   153306     $m_processor = $parser + 264 | 0;
   153307     HEAP32[$m_processor >> 2] = 192;
   153308     $m_eventPtr = $parser + 272 | 0;
   153309     HEAP32[$m_eventPtr >> 2] = $s;
   153310     $m_encoding = $parser + 144 | 0;
   153311     $m_eventEndPtr = $parser + 276 | 0;
   153312     $m_defaultHandler7 = $parser + 80 | 0;
   153313     $parsing39 = $parser + 464 | 0;
   153314     $s_addr_0 = $s;
   153315     label = 3;
   153316     break;
   153317    case 3:
   153318     HEAP32[$next >> 2] = 0;
   153319     $0 = HEAP32[$m_encoding >> 2] | 0;
   153320     $arrayidx = $0 | 0;
   153321     $1 = HEAP32[$arrayidx >> 2] | 0;
   153322     $call = FUNCTION_TABLE_iiiii[$1 & 1023]($0, $s_addr_0, $end, $next) | 0;
   153323     $2 = HEAP32[$next >> 2] | 0;
   153324     HEAP32[$m_eventEndPtr >> 2] = $2;
   153325     if (($call | 0) == (-15 | 0)) {
   153326       label = 4;
   153327       break;
   153328     } else if (($call | 0) == (-4 | 0)) {
   153329       label = 7;
   153330       break;
   153331     } else if (($call | 0) == 15) {
   153332       label = 8;
   153333       break;
   153334     } else if (($call | 0) == 11) {
   153335       label = 10;
   153336       break;
   153337     } else if (($call | 0) == 13) {
   153338       label = 11;
   153339       break;
   153340     } else if (($call | 0) == 0) {
   153341       label = 12;
   153342       break;
   153343     } else if (($call | 0) == (-1 | 0)) {
   153344       label = 13;
   153345       break;
   153346     } else if (($call | 0) == (-2 | 0)) {
   153347       label = 15;
   153348       break;
   153349     } else {
   153350       $retval_0 = 9;
   153351       label = 19;
   153352       break;
   153353     }
   153354    case 4:
   153355     $m_defaultHandler = $parser + 80 | 0;
   153356     $3 = HEAP32[$m_defaultHandler >> 2] | 0;
   153357     $tobool = ($3 | 0) == 0;
   153358     if ($tobool) {
   153359       label = 6;
   153360       break;
   153361     } else {
   153362       label = 5;
   153363       break;
   153364     }
   153365    case 5:
   153366     $4 = HEAP32[$m_encoding >> 2] | 0;
   153367     $5 = HEAP32[$next >> 2] | 0;
   153368     _reportDefault($parser, $4, $s_addr_0, $5);
   153369     $parsing = $parser + 464 | 0;
   153370     $6 = HEAP32[$parsing >> 2] | 0;
   153371     $cmp = ($6 | 0) == 2;
   153372     if ($cmp) {
   153373       $retval_0 = 35;
   153374       label = 19;
   153375       break;
   153376     } else {
   153377       label = 6;
   153378       break;
   153379     }
   153380    case 6:
   153381     $7 = HEAP32[$next >> 2] | 0;
   153382     HEAP32[$nextPtr >> 2] = $7;
   153383     $retval_0 = 0;
   153384     label = 19;
   153385     break;
   153386    case 7:
   153387     HEAP32[$nextPtr >> 2] = $s_addr_0;
   153388     $retval_0 = 0;
   153389     label = 19;
   153390     break;
   153391    case 8:
   153392     $8 = HEAP32[$m_defaultHandler7 >> 2] | 0;
   153393     $tobool8 = ($8 | 0) == 0;
   153394     if ($tobool8) {
   153395       label = 17;
   153396       break;
   153397     } else {
   153398       label = 9;
   153399       break;
   153400     }
   153401    case 9:
   153402     $9 = HEAP32[$m_encoding >> 2] | 0;
   153403     $10 = HEAP32[$next >> 2] | 0;
   153404     _reportDefault($parser, $9, $s_addr_0, $10);
   153405     label = 17;
   153406     break;
   153407    case 10:
   153408     $11 = HEAP32[$m_encoding >> 2] | 0;
   153409     $12 = HEAP32[$next >> 2] | 0;
   153410     $call14 = _reportProcessingInstruction($parser, $11, $s_addr_0, $12) | 0;
   153411     $tobool15 = ($call14 | 0) == 0;
   153412     if ($tobool15) {
   153413       $retval_0 = 1;
   153414       label = 19;
   153415       break;
   153416     } else {
   153417       label = 17;
   153418       break;
   153419     }
   153420    case 11:
   153421     $13 = HEAP32[$m_encoding >> 2] | 0;
   153422     $14 = HEAP32[$next >> 2] | 0;
   153423     $call20 = _reportComment($parser, $13, $s_addr_0, $14) | 0;
   153424     $tobool21 = ($call20 | 0) == 0;
   153425     if ($tobool21) {
   153426       $retval_0 = 1;
   153427       label = 19;
   153428       break;
   153429     } else {
   153430       label = 17;
   153431       break;
   153432     }
   153433    case 12:
   153434     $15 = HEAP32[$next >> 2] | 0;
   153435     HEAP32[$m_eventPtr >> 2] = $15;
   153436     $retval_0 = 4;
   153437     label = 19;
   153438     break;
   153439    case 13:
   153440     $finalBuffer = $parser + 468 | 0;
   153441     $16 = HEAP8[$finalBuffer] | 0;
   153442     $tobool28 = $16 << 24 >> 24 == 0;
   153443     if ($tobool28) {
   153444       label = 14;
   153445       break;
   153446     } else {
   153447       $retval_0 = 5;
   153448       label = 19;
   153449       break;
   153450     }
   153451    case 14:
   153452     HEAP32[$nextPtr >> 2] = $s_addr_0;
   153453     $retval_0 = 0;
   153454     label = 19;
   153455     break;
   153456    case 15:
   153457     $finalBuffer33 = $parser + 468 | 0;
   153458     $17 = HEAP8[$finalBuffer33] | 0;
   153459     $tobool34 = $17 << 24 >> 24 == 0;
   153460     if ($tobool34) {
   153461       label = 16;
   153462       break;
   153463     } else {
   153464       $retval_0 = 6;
   153465       label = 19;
   153466       break;
   153467     }
   153468    case 16:
   153469     HEAP32[$nextPtr >> 2] = $s_addr_0;
   153470     $retval_0 = 0;
   153471     label = 19;
   153472     break;
   153473    case 17:
   153474     $18 = HEAP32[$next >> 2] | 0;
   153475     HEAP32[$m_eventPtr >> 2] = $18;
   153476     $19 = HEAP32[$parsing39 >> 2] | 0;
   153477     if (($19 | 0) == 3) {
   153478       label = 18;
   153479       break;
   153480     } else if (($19 | 0) == 2) {
   153481       $retval_0 = 35;
   153482       label = 19;
   153483       break;
   153484     } else {
   153485       $s_addr_0 = $18;
   153486       label = 3;
   153487       break;
   153488     }
   153489    case 18:
   153490     $20 = HEAP32[$next >> 2] | 0;
   153491     HEAP32[$nextPtr >> 2] = $20;
   153492     $retval_0 = 0;
   153493     label = 19;
   153494     break;
   153495    case 19:
   153496     STACKTOP = __stackBase__;
   153497     return $retval_0 | 0;
   153498   }
   153499   return 0;
   153500 }
   153501 function _doCdataSection($parser, $enc, $startPtr, $end, $nextPtr, $haveMore) {
   153502   $parser = $parser | 0;
   153503   $enc = $enc | 0;
   153504   $startPtr = $startPtr | 0;
   153505   $end = $end | 0;
   153506   $nextPtr = $nextPtr | 0;
   153507   $haveMore = $haveMore | 0;
   153508   var $s = 0, $next = 0, $c = 0, $dataPtr = 0, $0 = 0, $m_encoding = 0, $1 = 0, $cmp = 0, $m_eventPtr = 0, $m_eventEndPtr = 0, $m_openInternalEntities = 0, $2 = 0, $internalEventPtr = 0, $internalEventEndPtr = 0, $eventEndPP_0 = 0, $eventPP_0 = 0, $3 = 0, $arrayidx = 0, $m_characterDataHandler = 0, $m_defaultHandler18 = 0, $m_handlerArg16 = 0, $parsing58 = 0, $m_characterDataHandler24 = 0, $m_defaultHandler43 = 0, $isUtf8 = 0, $m_handlerArg37 = 0, $m_dataBuf = 0, $utf8Convert = 0, $m_dataBufEnd = 0, $m_handlerArg30 = 0, $4 = 0, $5 = 0, $call = 0, $6 = 0, $m_endCdataSectionHandler = 0, $7 = 0, $tobool = 0, $m_handlerArg = 0, $8 = 0, $m_defaultHandler = 0, $9 = 0, $tobool5 = 0, $10 = 0, $11 = 0, $12 = 0, $13 = 0, $parsing = 0, $14 = 0, $cmp9 = 0, $_ = 0, $15 = 0, $tobool13 = 0, $16 = 0, $17 = 0, $18 = 0, $tobool19 = 0, $19 = 0, $20 = 0, $21 = 0, $tobool25 = 0, $22 = 0, $tobool27 = 0, $23 = 0, $24 = 0, $25 = 0, $26 = 0, $27 = 0, $28 = 0, $29 = 0, $30 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $31 = 0, $32 = 0, $cmp33 = 0, $33 = 0, $34 = 0, $35 = 0, $sub_ptr_lhs_cast38 = 0, $sub_ptr_rhs_cast39 = 0, $sub_ptr_sub40 = 0, $36 = 0, $tobool44 = 0, $37 = 0, $38 = 0, $39 = 0, $tobool50 = 0, $40 = 0, $tobool54 = 0, $41 = 0, $42 = 0, $43 = 0, $44 = 0, $45 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   153509   __stackBase__ = STACKTOP;
   153510   STACKTOP = STACKTOP + 32 | 0;
   153511   label = 2;
   153512   while (1) switch (label | 0) {
   153513    case 2:
   153514     $s = __stackBase__ | 0;
   153515     $next = __stackBase__ + 8 | 0;
   153516     $c = __stackBase__ + 16 | 0;
   153517     $dataPtr = __stackBase__ + 24 | 0;
   153518     $0 = HEAP32[$startPtr >> 2] | 0;
   153519     HEAP32[$s >> 2] = $0;
   153520     $m_encoding = $parser + 144 | 0;
   153521     $1 = HEAP32[$m_encoding >> 2] | 0;
   153522     $cmp = ($1 | 0) == ($enc | 0);
   153523     if ($cmp) {
   153524       label = 3;
   153525       break;
   153526     } else {
   153527       label = 4;
   153528       break;
   153529     }
   153530    case 3:
   153531     $m_eventPtr = $parser + 272 | 0;
   153532     HEAP32[$m_eventPtr >> 2] = $0;
   153533     $m_eventEndPtr = $parser + 276 | 0;
   153534     $eventPP_0 = $m_eventPtr;
   153535     $eventEndPP_0 = $m_eventEndPtr;
   153536     label = 5;
   153537     break;
   153538    case 4:
   153539     $m_openInternalEntities = $parser + 284 | 0;
   153540     $2 = HEAP32[$m_openInternalEntities >> 2] | 0;
   153541     $internalEventPtr = $2 | 0;
   153542     $internalEventEndPtr = $2 + 4 | 0;
   153543     $eventPP_0 = $internalEventPtr;
   153544     $eventEndPP_0 = $internalEventEndPtr;
   153545     label = 5;
   153546     break;
   153547    case 5:
   153548     $3 = HEAP32[$s >> 2] | 0;
   153549     HEAP32[$eventPP_0 >> 2] = $3;
   153550     HEAP32[$startPtr >> 2] = 0;
   153551     $arrayidx = $enc + 8 | 0;
   153552     $m_characterDataHandler = $parser + 60 | 0;
   153553     $m_defaultHandler18 = $parser + 80 | 0;
   153554     $m_handlerArg16 = $parser + 4 | 0;
   153555     $parsing58 = $parser + 464 | 0;
   153556     $m_characterDataHandler24 = $parser + 60 | 0;
   153557     $m_defaultHandler43 = $parser + 80 | 0;
   153558     $isUtf8 = $enc + 68 | 0;
   153559     $m_handlerArg37 = $parser + 4 | 0;
   153560     $m_dataBuf = $parser + 44 | 0;
   153561     $utf8Convert = $enc + 56 | 0;
   153562     $m_dataBufEnd = $parser + 48 | 0;
   153563     $m_handlerArg30 = $parser + 4 | 0;
   153564     label = 6;
   153565     break;
   153566    case 6:
   153567     $4 = HEAP32[$arrayidx >> 2] | 0;
   153568     $5 = HEAP32[$s >> 2] | 0;
   153569     $call = FUNCTION_TABLE_iiiii[$4 & 1023]($enc, $5, $end, $next) | 0;
   153570     $6 = HEAP32[$next >> 2] | 0;
   153571     HEAP32[$eventEndPP_0 >> 2] = $6;
   153572     if (($call | 0) == 40) {
   153573       label = 7;
   153574       break;
   153575     } else if (($call | 0) == 7) {
   153576       label = 12;
   153577       break;
   153578     } else if (($call | 0) == 6) {
   153579       label = 16;
   153580       break;
   153581     } else if (($call | 0) == 0) {
   153582       label = 23;
   153583       break;
   153584     } else if (($call | 0) == (-2 | 0)) {
   153585       label = 24;
   153586       break;
   153587     } else if (($call | 0) == (-1 | 0) | ($call | 0) == (-4 | 0)) {
   153588       label = 26;
   153589       break;
   153590     } else {
   153591       label = 28;
   153592       break;
   153593     }
   153594    case 7:
   153595     $m_endCdataSectionHandler = $parser + 76 | 0;
   153596     $7 = HEAP32[$m_endCdataSectionHandler >> 2] | 0;
   153597     $tobool = ($7 | 0) == 0;
   153598     if ($tobool) {
   153599       label = 9;
   153600       break;
   153601     } else {
   153602       label = 8;
   153603       break;
   153604     }
   153605    case 8:
   153606     $m_handlerArg = $parser + 4 | 0;
   153607     $8 = HEAP32[$m_handlerArg >> 2] | 0;
   153608     FUNCTION_TABLE_vi[$7 & 1023]($8);
   153609     label = 11;
   153610     break;
   153611    case 9:
   153612     $m_defaultHandler = $parser + 80 | 0;
   153613     $9 = HEAP32[$m_defaultHandler >> 2] | 0;
   153614     $tobool5 = ($9 | 0) == 0;
   153615     if ($tobool5) {
   153616       label = 11;
   153617       break;
   153618     } else {
   153619       label = 10;
   153620       break;
   153621     }
   153622    case 10:
   153623     $10 = HEAP32[$s >> 2] | 0;
   153624     $11 = HEAP32[$next >> 2] | 0;
   153625     _reportDefault($parser, $enc, $10, $11);
   153626     label = 11;
   153627     break;
   153628    case 11:
   153629     $12 = HEAP32[$next >> 2] | 0;
   153630     HEAP32[$startPtr >> 2] = $12;
   153631     $13 = HEAP32[$next >> 2] | 0;
   153632     HEAP32[$nextPtr >> 2] = $13;
   153633     $parsing = $parser + 464 | 0;
   153634     $14 = HEAP32[$parsing >> 2] | 0;
   153635     $cmp9 = ($14 | 0) == 2;
   153636     $_ = $cmp9 ? 35 : 0;
   153637     $retval_0 = $_;
   153638     label = 31;
   153639     break;
   153640    case 12:
   153641     $15 = HEAP32[$m_characterDataHandler >> 2] | 0;
   153642     $tobool13 = ($15 | 0) == 0;
   153643     if ($tobool13) {
   153644       label = 14;
   153645       break;
   153646     } else {
   153647       label = 13;
   153648       break;
   153649     }
   153650    case 13:
   153651     HEAP8[$c] = 10;
   153652     $16 = HEAP32[$m_characterDataHandler >> 2] | 0;
   153653     $17 = HEAP32[$m_handlerArg16 >> 2] | 0;
   153654     FUNCTION_TABLE_viii[$16 & 1023]($17, $c, 1);
   153655     label = 29;
   153656     break;
   153657    case 14:
   153658     $18 = HEAP32[$m_defaultHandler18 >> 2] | 0;
   153659     $tobool19 = ($18 | 0) == 0;
   153660     if ($tobool19) {
   153661       label = 29;
   153662       break;
   153663     } else {
   153664       label = 15;
   153665       break;
   153666     }
   153667    case 15:
   153668     $19 = HEAP32[$s >> 2] | 0;
   153669     $20 = HEAP32[$next >> 2] | 0;
   153670     _reportDefault($parser, $enc, $19, $20);
   153671     label = 29;
   153672     break;
   153673    case 16:
   153674     $21 = HEAP32[$m_characterDataHandler24 >> 2] | 0;
   153675     $tobool25 = ($21 | 0) == 0;
   153676     if ($tobool25) {
   153677       label = 21;
   153678       break;
   153679     } else {
   153680       label = 17;
   153681       break;
   153682     }
   153683    case 17:
   153684     $22 = HEAP8[$isUtf8] | 0;
   153685     $tobool27 = $22 << 24 >> 24 == 0;
   153686     if ($tobool27) {
   153687       label = 18;
   153688       break;
   153689     } else {
   153690       label = 20;
   153691       break;
   153692     }
   153693    case 18:
   153694     $23 = HEAP32[$m_dataBuf >> 2] | 0;
   153695     HEAP32[$dataPtr >> 2] = $23;
   153696     $24 = HEAP32[$utf8Convert >> 2] | 0;
   153697     $25 = HEAP32[$next >> 2] | 0;
   153698     $26 = HEAP32[$m_dataBufEnd >> 2] | 0;
   153699     FUNCTION_TABLE_viiiii[$24 & 1023]($enc, $s, $25, $dataPtr, $26);
   153700     $27 = HEAP32[$next >> 2] | 0;
   153701     HEAP32[$eventEndPP_0 >> 2] = $27;
   153702     $28 = HEAP32[$m_handlerArg30 >> 2] | 0;
   153703     $29 = HEAP32[$m_dataBuf >> 2] | 0;
   153704     $30 = HEAP32[$dataPtr >> 2] | 0;
   153705     $sub_ptr_lhs_cast = $30;
   153706     $sub_ptr_rhs_cast = $29;
   153707     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   153708     FUNCTION_TABLE_viii[$21 & 1023]($28, $29, $sub_ptr_sub);
   153709     $31 = HEAP32[$s >> 2] | 0;
   153710     $32 = HEAP32[$next >> 2] | 0;
   153711     $cmp33 = ($31 | 0) == ($32 | 0);
   153712     if ($cmp33) {
   153713       label = 29;
   153714       break;
   153715     } else {
   153716       label = 19;
   153717       break;
   153718     }
   153719    case 19:
   153720     HEAP32[$eventPP_0 >> 2] = $31;
   153721     label = 18;
   153722     break;
   153723    case 20:
   153724     $33 = HEAP32[$m_handlerArg37 >> 2] | 0;
   153725     $34 = HEAP32[$s >> 2] | 0;
   153726     $35 = HEAP32[$next >> 2] | 0;
   153727     $sub_ptr_lhs_cast38 = $35;
   153728     $sub_ptr_rhs_cast39 = $34;
   153729     $sub_ptr_sub40 = $sub_ptr_lhs_cast38 - $sub_ptr_rhs_cast39 | 0;
   153730     FUNCTION_TABLE_viii[$21 & 1023]($33, $34, $sub_ptr_sub40);
   153731     label = 29;
   153732     break;
   153733    case 21:
   153734     $36 = HEAP32[$m_defaultHandler43 >> 2] | 0;
   153735     $tobool44 = ($36 | 0) == 0;
   153736     if ($tobool44) {
   153737       label = 29;
   153738       break;
   153739     } else {
   153740       label = 22;
   153741       break;
   153742     }
   153743    case 22:
   153744     $37 = HEAP32[$s >> 2] | 0;
   153745     $38 = HEAP32[$next >> 2] | 0;
   153746     _reportDefault($parser, $enc, $37, $38);
   153747     label = 29;
   153748     break;
   153749    case 23:
   153750     $39 = HEAP32[$next >> 2] | 0;
   153751     HEAP32[$eventPP_0 >> 2] = $39;
   153752     $retval_0 = 4;
   153753     label = 31;
   153754     break;
   153755    case 24:
   153756     $tobool50 = $haveMore << 24 >> 24 == 0;
   153757     if ($tobool50) {
   153758       $retval_0 = 6;
   153759       label = 31;
   153760       break;
   153761     } else {
   153762       label = 25;
   153763       break;
   153764     }
   153765    case 25:
   153766     $40 = HEAP32[$s >> 2] | 0;
   153767     HEAP32[$nextPtr >> 2] = $40;
   153768     $retval_0 = 0;
   153769     label = 31;
   153770     break;
   153771    case 26:
   153772     $tobool54 = $haveMore << 24 >> 24 == 0;
   153773     if ($tobool54) {
   153774       $retval_0 = 20;
   153775       label = 31;
   153776       break;
   153777     } else {
   153778       label = 27;
   153779       break;
   153780     }
   153781    case 27:
   153782     $41 = HEAP32[$s >> 2] | 0;
   153783     HEAP32[$nextPtr >> 2] = $41;
   153784     $retval_0 = 0;
   153785     label = 31;
   153786     break;
   153787    case 28:
   153788     $42 = HEAP32[$next >> 2] | 0;
   153789     HEAP32[$eventPP_0 >> 2] = $42;
   153790     $retval_0 = 23;
   153791     label = 31;
   153792     break;
   153793    case 29:
   153794     $43 = HEAP32[$next >> 2] | 0;
   153795     HEAP32[$s >> 2] = $43;
   153796     HEAP32[$eventPP_0 >> 2] = $43;
   153797     $44 = HEAP32[$parsing58 >> 2] | 0;
   153798     if (($44 | 0) == 3) {
   153799       label = 30;
   153800       break;
   153801     } else if (($44 | 0) == 2) {
   153802       $retval_0 = 35;
   153803       label = 31;
   153804       break;
   153805     } else {
   153806       label = 6;
   153807       break;
   153808     }
   153809    case 30:
   153810     $45 = HEAP32[$next >> 2] | 0;
   153811     HEAP32[$nextPtr >> 2] = $45;
   153812     $retval_0 = 0;
   153813     label = 31;
   153814     break;
   153815    case 31:
   153816     STACKTOP = __stackBase__;
   153817     return $retval_0 | 0;
   153818   }
   153819   return 0;
   153820 }
   153821 function _cdataSectionProcessor($parser, $start, $end, $endPtr) {
   153822   $parser = $parser | 0;
   153823   $start = $start | 0;
   153824   $end = $end | 0;
   153825   $endPtr = $endPtr | 0;
   153826   var $start_addr = 0, $m_encoding = 0, $0 = 0, $finalBuffer = 0, $1 = 0, $lnot = 0, $conv = 0, $call = 0, $cmp = 0, $2 = 0, $tobool2 = 0, $or_cond = 0, $m_parentParser = 0, $3 = 0, $tobool4 = 0, $m_processor = 0, $4 = 0, $call6 = 0, $5 = 0, $call8 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   153827   __stackBase__ = STACKTOP;
   153828   STACKTOP = STACKTOP + 8 | 0;
   153829   label = 2;
   153830   while (1) switch (label | 0) {
   153831    case 2:
   153832     $start_addr = __stackBase__ | 0;
   153833     HEAP32[$start_addr >> 2] = $start;
   153834     $m_encoding = $parser + 144 | 0;
   153835     $0 = HEAP32[$m_encoding >> 2] | 0;
   153836     $finalBuffer = $parser + 468 | 0;
   153837     $1 = HEAP8[$finalBuffer] | 0;
   153838     $lnot = $1 << 24 >> 24 == 0;
   153839     $conv = $lnot & 1;
   153840     $call = _doCdataSection($parser, $0, $start_addr, $end, $endPtr, $conv) | 0;
   153841     $cmp = ($call | 0) != 0;
   153842     $2 = HEAP32[$start_addr >> 2] | 0;
   153843     $tobool2 = ($2 | 0) == 0;
   153844     $or_cond = $cmp | $tobool2;
   153845     if ($or_cond) {
   153846       $retval_0 = $call;
   153847       label = 6;
   153848       break;
   153849     } else {
   153850       label = 3;
   153851       break;
   153852     }
   153853    case 3:
   153854     $m_parentParser = $parser + 460 | 0;
   153855     $3 = HEAP32[$m_parentParser >> 2] | 0;
   153856     $tobool4 = ($3 | 0) == 0;
   153857     $m_processor = $parser + 264 | 0;
   153858     if ($tobool4) {
   153859       label = 5;
   153860       break;
   153861     } else {
   153862       label = 4;
   153863       break;
   153864     }
   153865    case 4:
   153866     HEAP32[$m_processor >> 2] = 48;
   153867     $4 = HEAP32[$start_addr >> 2] | 0;
   153868     $call6 = _externalEntityContentProcessor($parser, $4, $end, $endPtr) | 0;
   153869     $retval_0 = $call6;
   153870     label = 6;
   153871     break;
   153872    case 5:
   153873     HEAP32[$m_processor >> 2] = 122;
   153874     $5 = HEAP32[$start_addr >> 2] | 0;
   153875     $call8 = _contentProcessor($parser, $5, $end, $endPtr) | 0;
   153876     $retval_0 = $call8;
   153877     label = 6;
   153878     break;
   153879    case 6:
   153880     STACKTOP = __stackBase__;
   153881     return $retval_0 | 0;
   153882   }
   153883   return 0;
   153884 }
   153885 function _reportProcessingInstruction($parser, $enc, $start, $end) {
   153886   $parser = $parser | 0;
   153887   $enc = $enc | 0;
   153888   $start = $start | 0;
   153889   $end = $end | 0;
   153890   var $m_processingInstructionHandler = 0, $0 = 0, $tobool = 0, $m_defaultHandler = 0, $1 = 0, $tobool1 = 0, $minBytesPerChar = 0, $2 = 0, $mul = 0, $add_ptr = 0, $nameLength = 0, $3 = 0, $call = 0, $add_ptr_sum = 0, $add_ptr4 = 0, $m_tempPool = 0, $call5 = 0, $tobool6 = 0, $ptr = 0, $4 = 0, $start11 = 0, $skipS = 0, $5 = 0, $call13 = 0, $6 = 0, $mul15 = 0, $idx_neg = 0, $add_ptr16 = 0, $call17 = 0, $tobool18 = 0, $7 = 0, $m_handlerArg = 0, $8 = 0, $retval_0 = 0, label = 0;
   153891   label = 2;
   153892   while (1) switch (label | 0) {
   153893    case 2:
   153894     $m_processingInstructionHandler = $parser + 64 | 0;
   153895     $0 = HEAP32[$m_processingInstructionHandler >> 2] | 0;
   153896     $tobool = ($0 | 0) == 0;
   153897     if ($tobool) {
   153898       label = 3;
   153899       break;
   153900     } else {
   153901       label = 5;
   153902       break;
   153903     }
   153904    case 3:
   153905     $m_defaultHandler = $parser + 80 | 0;
   153906     $1 = HEAP32[$m_defaultHandler >> 2] | 0;
   153907     $tobool1 = ($1 | 0) == 0;
   153908     if ($tobool1) {
   153909       $retval_0 = 1;
   153910       label = 8;
   153911       break;
   153912     } else {
   153913       label = 4;
   153914       break;
   153915     }
   153916    case 4:
   153917     _reportDefault($parser, $enc, $start, $end);
   153918     $retval_0 = 1;
   153919     label = 8;
   153920     break;
   153921    case 5:
   153922     $minBytesPerChar = $enc + 64 | 0;
   153923     $2 = HEAP32[$minBytesPerChar >> 2] | 0;
   153924     $mul = $2 << 1;
   153925     $add_ptr = $start + $mul | 0;
   153926     $nameLength = $enc + 28 | 0;
   153927     $3 = HEAP32[$nameLength >> 2] | 0;
   153928     $call = FUNCTION_TABLE_iii[$3 & 1023]($enc, $add_ptr) | 0;
   153929     $add_ptr_sum = $call + $mul | 0;
   153930     $add_ptr4 = $start + $add_ptr_sum | 0;
   153931     $m_tempPool = $parser + 400 | 0;
   153932     $call5 = _poolStoreString($m_tempPool, $enc, $add_ptr, $add_ptr4) | 0;
   153933     $tobool6 = ($call5 | 0) == 0;
   153934     if ($tobool6) {
   153935       $retval_0 = 0;
   153936       label = 8;
   153937       break;
   153938     } else {
   153939       label = 6;
   153940       break;
   153941     }
   153942    case 6:
   153943     $ptr = $parser + 412 | 0;
   153944     $4 = HEAP32[$ptr >> 2] | 0;
   153945     $start11 = $parser + 416 | 0;
   153946     HEAP32[$start11 >> 2] = $4;
   153947     $skipS = $enc + 32 | 0;
   153948     $5 = HEAP32[$skipS >> 2] | 0;
   153949     $call13 = FUNCTION_TABLE_iii[$5 & 1023]($enc, $add_ptr4) | 0;
   153950     $6 = HEAP32[$minBytesPerChar >> 2] | 0;
   153951     $mul15 = $6 << 1;
   153952     $idx_neg = -$mul15 | 0;
   153953     $add_ptr16 = $end + $idx_neg | 0;
   153954     $call17 = _poolStoreString($m_tempPool, $enc, $call13, $add_ptr16) | 0;
   153955     $tobool18 = ($call17 | 0) == 0;
   153956     if ($tobool18) {
   153957       $retval_0 = 0;
   153958       label = 8;
   153959       break;
   153960     } else {
   153961       label = 7;
   153962       break;
   153963     }
   153964    case 7:
   153965     _normalizeLines($call17);
   153966     $7 = HEAP32[$m_processingInstructionHandler >> 2] | 0;
   153967     $m_handlerArg = $parser + 4 | 0;
   153968     $8 = HEAP32[$m_handlerArg >> 2] | 0;
   153969     FUNCTION_TABLE_viii[$7 & 1023]($8, $call5, $call17);
   153970     _poolClear($m_tempPool);
   153971     $retval_0 = 1;
   153972     label = 8;
   153973     break;
   153974    case 8:
   153975     return $retval_0 | 0;
   153976   }
   153977   return 0;
   153978 }
   153979 function _reportComment($parser, $enc, $start, $end) {
   153980   $parser = $parser | 0;
   153981   $enc = $enc | 0;
   153982   $start = $start | 0;
   153983   $end = $end | 0;
   153984   var $m_commentHandler = 0, $0 = 0, $tobool = 0, $m_defaultHandler = 0, $1 = 0, $tobool1 = 0, $m_tempPool = 0, $minBytesPerChar = 0, $2 = 0, $mul = 0, $add_ptr = 0, $3 = 0, $add_ptr6 = 0, $call = 0, $tobool7 = 0, $4 = 0, $m_handlerArg = 0, $5 = 0, $retval_0 = 0, label = 0;
   153985   label = 2;
   153986   while (1) switch (label | 0) {
   153987    case 2:
   153988     $m_commentHandler = $parser + 68 | 0;
   153989     $0 = HEAP32[$m_commentHandler >> 2] | 0;
   153990     $tobool = ($0 | 0) == 0;
   153991     if ($tobool) {
   153992       label = 3;
   153993       break;
   153994     } else {
   153995       label = 5;
   153996       break;
   153997     }
   153998    case 3:
   153999     $m_defaultHandler = $parser + 80 | 0;
   154000     $1 = HEAP32[$m_defaultHandler >> 2] | 0;
   154001     $tobool1 = ($1 | 0) == 0;
   154002     if ($tobool1) {
   154003       $retval_0 = 1;
   154004       label = 7;
   154005       break;
   154006     } else {
   154007       label = 4;
   154008       break;
   154009     }
   154010    case 4:
   154011     _reportDefault($parser, $enc, $start, $end);
   154012     $retval_0 = 1;
   154013     label = 7;
   154014     break;
   154015    case 5:
   154016     $m_tempPool = $parser + 400 | 0;
   154017     $minBytesPerChar = $enc + 64 | 0;
   154018     $2 = HEAP32[$minBytesPerChar >> 2] | 0;
   154019     $mul = $2 << 2;
   154020     $add_ptr = $start + $mul | 0;
   154021     $3 = $2 * -3 & -1;
   154022     $add_ptr6 = $end + $3 | 0;
   154023     $call = _poolStoreString($m_tempPool, $enc, $add_ptr, $add_ptr6) | 0;
   154024     $tobool7 = ($call | 0) == 0;
   154025     if ($tobool7) {
   154026       $retval_0 = 0;
   154027       label = 7;
   154028       break;
   154029     } else {
   154030       label = 6;
   154031       break;
   154032     }
   154033    case 6:
   154034     _normalizeLines($call);
   154035     $4 = HEAP32[$m_commentHandler >> 2] | 0;
   154036     $m_handlerArg = $parser + 4 | 0;
   154037     $5 = HEAP32[$m_handlerArg >> 2] | 0;
   154038     FUNCTION_TABLE_vii[$4 & 1023]($5, $call);
   154039     _poolClear($m_tempPool);
   154040     $retval_0 = 1;
   154041     label = 7;
   154042     break;
   154043    case 7:
   154044     return $retval_0 | 0;
   154045   }
   154046   return 0;
   154047 }
   154048 function _contentProcessor($parser, $start, $end, $endPtr) {
   154049   $parser = $parser | 0;
   154050   $start = $start | 0;
   154051   $end = $end | 0;
   154052   $endPtr = $endPtr | 0;
   154053   var $m_encoding = 0, $0 = 0, $finalBuffer = 0, $1 = 0, $lnot = 0, $conv = 0, $call = 0, $cmp = 0, $call2 = 0, $tobool3 = 0, $retval_0 = 0, label = 0;
   154054   label = 2;
   154055   while (1) switch (label | 0) {
   154056    case 2:
   154057     $m_encoding = $parser + 144 | 0;
   154058     $0 = HEAP32[$m_encoding >> 2] | 0;
   154059     $finalBuffer = $parser + 468 | 0;
   154060     $1 = HEAP8[$finalBuffer] | 0;
   154061     $lnot = $1 << 24 >> 24 == 0;
   154062     $conv = $lnot & 1;
   154063     $call = _doContent($parser, 0, $0, $start, $end, $endPtr, $conv) | 0;
   154064     $cmp = ($call | 0) == 0;
   154065     if ($cmp) {
   154066       label = 3;
   154067       break;
   154068     } else {
   154069       label = 4;
   154070       break;
   154071     }
   154072    case 3:
   154073     $call2 = _storeRawNames($parser) | 0;
   154074     $tobool3 = $call2 << 24 >> 24 == 0;
   154075     if ($tobool3) {
   154076       $retval_0 = 1;
   154077       label = 5;
   154078       break;
   154079     } else {
   154080       label = 4;
   154081       break;
   154082     }
   154083    case 4:
   154084     $retval_0 = $call;
   154085     label = 5;
   154086     break;
   154087    case 5:
   154088     return $retval_0 | 0;
   154089   }
   154090   return 0;
   154091 }
   154092 function _setElementTypePrefix($parser, $elementType) {
   154093   $parser = $parser | 0;
   154094   $elementType = $elementType | 0;
   154095   var $m_dtd = 0, $0 = 0, $name1 = 0, $1 = 0, $pool = 0, $ptr = 0, $end = 0, $prefixes = 0, $start = 0, $prefix54 = 0, $name_0 = 0, $2 = 0, $3 = 0, $cmp525 = 0, $4 = 0, $5 = 0, $cmp926 = 0, $cmp928 = 0, $s_027 = 0, $call = 0, $tobool12 = 0, $6 = 0, $7 = 0, $incdec_ptr = 0, $incdec_ptr16 = 0, $cmp5 = 0, $8 = 0, $9 = 0, $cmp9 = 0, $cmp9_lcssa = 0, $call25 = 0, $tobool26 = 0, $10 = 0, $incdec_ptr31 = 0, $11 = 0, $call35 = 0, $12 = 0, $tobool36 = 0, $name39 = 0, $13 = 0, $14 = 0, $cmp42 = 0, $15 = 0, $incdec_ptr57 = 0, $retval_0 = 0, label = 0;
   154096   label = 2;
   154097   while (1) switch (label | 0) {
   154098    case 2:
   154099     $m_dtd = $parser + 340 | 0;
   154100     $0 = HEAP32[$m_dtd >> 2] | 0;
   154101     $name1 = $elementType | 0;
   154102     $1 = HEAP32[$name1 >> 2] | 0;
   154103     $pool = $0 + 80 | 0;
   154104     $ptr = $0 + 92 | 0;
   154105     $end = $0 + 88 | 0;
   154106     $prefixes = $0 + 60 | 0;
   154107     $start = $0 + 96 | 0;
   154108     $prefix54 = $elementType + 4 | 0;
   154109     $name_0 = $1;
   154110     label = 3;
   154111     break;
   154112    case 3:
   154113     $2 = HEAP8[$name_0] | 0;
   154114     if (($2 << 24 >> 24 | 0) == 58) {
   154115       label = 4;
   154116       break;
   154117     } else if (($2 << 24 >> 24 | 0) == 0) {
   154118       $retval_0 = 1;
   154119       label = 16;
   154120       break;
   154121     } else {
   154122       label = 15;
   154123       break;
   154124     }
   154125    case 4:
   154126     $3 = HEAP32[$name1 >> 2] | 0;
   154127     $cmp525 = ($3 | 0) == ($name_0 | 0);
   154128     $4 = HEAP32[$ptr >> 2] | 0;
   154129     $5 = HEAP32[$end >> 2] | 0;
   154130     $cmp926 = ($4 | 0) == ($5 | 0);
   154131     if ($cmp525) {
   154132       $cmp9_lcssa = $cmp926;
   154133       label = 8;
   154134       break;
   154135     } else {
   154136       $s_027 = $3;
   154137       $cmp928 = $cmp926;
   154138       label = 5;
   154139       break;
   154140     }
   154141    case 5:
   154142     if ($cmp928) {
   154143       label = 6;
   154144       break;
   154145     } else {
   154146       label = 7;
   154147       break;
   154148     }
   154149    case 6:
   154150     $call = _poolGrow($pool) | 0;
   154151     $tobool12 = $call << 24 >> 24 == 0;
   154152     if ($tobool12) {
   154153       $retval_0 = 0;
   154154       label = 16;
   154155       break;
   154156     } else {
   154157       label = 7;
   154158       break;
   154159     }
   154160    case 7:
   154161     $6 = HEAP8[$s_027] | 0;
   154162     $7 = HEAP32[$ptr >> 2] | 0;
   154163     $incdec_ptr = $7 + 1 | 0;
   154164     HEAP32[$ptr >> 2] = $incdec_ptr;
   154165     HEAP8[$7] = $6;
   154166     $incdec_ptr16 = $s_027 + 1 | 0;
   154167     $cmp5 = ($incdec_ptr16 | 0) == ($name_0 | 0);
   154168     $8 = HEAP32[$ptr >> 2] | 0;
   154169     $9 = HEAP32[$end >> 2] | 0;
   154170     $cmp9 = ($8 | 0) == ($9 | 0);
   154171     if ($cmp5) {
   154172       $cmp9_lcssa = $cmp9;
   154173       label = 8;
   154174       break;
   154175     } else {
   154176       $s_027 = $incdec_ptr16;
   154177       $cmp928 = $cmp9;
   154178       label = 5;
   154179       break;
   154180     }
   154181    case 8:
   154182     if ($cmp9_lcssa) {
   154183       label = 9;
   154184       break;
   154185     } else {
   154186       label = 10;
   154187       break;
   154188     }
   154189    case 9:
   154190     $call25 = _poolGrow($pool) | 0;
   154191     $tobool26 = $call25 << 24 >> 24 == 0;
   154192     if ($tobool26) {
   154193       $retval_0 = 0;
   154194       label = 16;
   154195       break;
   154196     } else {
   154197       label = 10;
   154198       break;
   154199     }
   154200    case 10:
   154201     $10 = HEAP32[$ptr >> 2] | 0;
   154202     $incdec_ptr31 = $10 + 1 | 0;
   154203     HEAP32[$ptr >> 2] = $incdec_ptr31;
   154204     HEAP8[$10] = 0;
   154205     $11 = HEAP32[$start >> 2] | 0;
   154206     $call35 = _lookup($parser, $prefixes, $11, 8) | 0;
   154207     $12 = $call35;
   154208     $tobool36 = ($call35 | 0) == 0;
   154209     if ($tobool36) {
   154210       $retval_0 = 0;
   154211       label = 16;
   154212       break;
   154213     } else {
   154214       label = 11;
   154215       break;
   154216     }
   154217    case 11:
   154218     $name39 = $call35 | 0;
   154219     $13 = HEAP32[$name39 >> 2] | 0;
   154220     $14 = HEAP32[$start >> 2] | 0;
   154221     $cmp42 = ($13 | 0) == ($14 | 0);
   154222     if ($cmp42) {
   154223       label = 12;
   154224       break;
   154225     } else {
   154226       label = 13;
   154227       break;
   154228     }
   154229    case 12:
   154230     $15 = HEAP32[$ptr >> 2] | 0;
   154231     HEAP32[$start >> 2] = $15;
   154232     label = 14;
   154233     break;
   154234    case 13:
   154235     HEAP32[$ptr >> 2] = $14;
   154236     label = 14;
   154237     break;
   154238    case 14:
   154239     HEAP32[$prefix54 >> 2] = $12;
   154240     label = 15;
   154241     break;
   154242    case 15:
   154243     $incdec_ptr57 = $name_0 + 1 | 0;
   154244     $name_0 = $incdec_ptr57;
   154245     label = 3;
   154246     break;
   154247    case 16:
   154248     return $retval_0 | 0;
   154249   }
   154250   return 0;
   154251 }
   154252 function _getAttributeId($parser, $enc, $start, $end) {
   154253   $parser = $parser | 0;
   154254   $enc = $enc | 0;
   154255   $start = $start | 0;
   154256   $end = $end | 0;
   154257   var $m_dtd = 0, $0 = 0, $pool = 0, $ptr = 0, $1 = 0, $end2 = 0, $2 = 0, $cmp = 0, $call = 0, $tobool = 0, $3 = 0, $incdec_ptr = 0, $call7 = 0, $tobool8 = 0, $incdec_ptr11 = 0, $attributeIds = 0, $call12 = 0, $4 = 0, $tobool13 = 0, $name16 = 0, $5 = 0, $cmp17 = 0, $start20 = 0, $6 = 0, $7 = 0, $start26 = 0, $m_ns = 0, $8 = 0, $tobool27 = 0, $9 = 0, $cmp30 = 0, $arrayidx33 = 0, $10 = 0, $cmp35 = 0, $arrayidx38 = 0, $11 = 0, $cmp40 = 0, $arrayidx43 = 0, $12 = 0, $cmp45 = 0, $arrayidx48 = 0, $13 = 0, $cmp50 = 0, $arrayidx53 = 0, $14 = 0, $defaultPrefix = 0, $15 = 0, $defaultPrefix_c = 0, $prefixes = 0, $add_ptr = 0, $call68 = 0, $16 = 0, $_c55 = 0, $xmlns = 0, $i_0 = 0, $incdec_ptr11_sum = 0, $arrayidx72 = 0, $17 = 0, $cmp8057 = 0, $18 = 0, $19 = 0, $cmp8758 = 0, $cmp8760 = 0, $j_059 = 0, $call91 = 0, $tobool92 = 0, $incdec_ptr11_sum54 = 0, $arrayidx95 = 0, $20 = 0, $21 = 0, $incdec_ptr98 = 0, $inc = 0, $cmp80 = 0, $22 = 0, $23 = 0, $cmp87 = 0, $cmp87_lcssa = 0, $call109 = 0, $tobool110 = 0, $24 = 0, $incdec_ptr115 = 0, $prefixes118 = 0, $25 = 0, $call121 = 0, $26 = 0, $_c = 0, $name124 = 0, $27 = 0, $28 = 0, $cmp127 = 0, $29 = 0, $inc142 = 0, $retval_0 = 0, label = 0;
   154258   label = 2;
   154259   while (1) switch (label | 0) {
   154260    case 2:
   154261     $m_dtd = $parser + 340 | 0;
   154262     $0 = HEAP32[$m_dtd >> 2] | 0;
   154263     $pool = $0 + 80 | 0;
   154264     $ptr = $0 + 92 | 0;
   154265     $1 = HEAP32[$ptr >> 2] | 0;
   154266     $end2 = $0 + 88 | 0;
   154267     $2 = HEAP32[$end2 >> 2] | 0;
   154268     $cmp = ($1 | 0) == ($2 | 0);
   154269     if ($cmp) {
   154270       label = 3;
   154271       break;
   154272     } else {
   154273       label = 4;
   154274       break;
   154275     }
   154276    case 3:
   154277     $call = _poolGrow($pool) | 0;
   154278     $tobool = $call << 24 >> 24 == 0;
   154279     if ($tobool) {
   154280       $retval_0 = 0;
   154281       label = 29;
   154282       break;
   154283     } else {
   154284       label = 4;
   154285       break;
   154286     }
   154287    case 4:
   154288     $3 = HEAP32[$ptr >> 2] | 0;
   154289     $incdec_ptr = $3 + 1 | 0;
   154290     HEAP32[$ptr >> 2] = $incdec_ptr;
   154291     HEAP8[$3] = 0;
   154292     $call7 = _poolStoreString($pool, $enc, $start, $end) | 0;
   154293     $tobool8 = ($call7 | 0) == 0;
   154294     if ($tobool8) {
   154295       $retval_0 = 0;
   154296       label = 29;
   154297       break;
   154298     } else {
   154299       label = 5;
   154300       break;
   154301     }
   154302    case 5:
   154303     $incdec_ptr11 = $call7 + 1 | 0;
   154304     $attributeIds = $0 + 40 | 0;
   154305     $call12 = _lookup($parser, $attributeIds, $incdec_ptr11, 12) | 0;
   154306     $4 = $call12;
   154307     $tobool13 = ($call12 | 0) == 0;
   154308     if ($tobool13) {
   154309       $retval_0 = 0;
   154310       label = 29;
   154311       break;
   154312     } else {
   154313       label = 6;
   154314       break;
   154315     }
   154316    case 6:
   154317     $name16 = $call12 | 0;
   154318     $5 = HEAP32[$name16 >> 2] | 0;
   154319     $cmp17 = ($5 | 0) == ($incdec_ptr11 | 0);
   154320     if ($cmp17) {
   154321       label = 8;
   154322       break;
   154323     } else {
   154324       label = 7;
   154325       break;
   154326     }
   154327    case 7:
   154328     $start20 = $0 + 96 | 0;
   154329     $6 = HEAP32[$start20 >> 2] | 0;
   154330     HEAP32[$ptr >> 2] = $6;
   154331     $retval_0 = $4;
   154332     label = 29;
   154333     break;
   154334    case 8:
   154335     $7 = HEAP32[$ptr >> 2] | 0;
   154336     $start26 = $0 + 96 | 0;
   154337     HEAP32[$start26 >> 2] = $7;
   154338     $m_ns = $parser + 232 | 0;
   154339     $8 = HEAP8[$m_ns] | 0;
   154340     $tobool27 = $8 << 24 >> 24 == 0;
   154341     if ($tobool27) {
   154342       $retval_0 = $4;
   154343       label = 29;
   154344       break;
   154345     } else {
   154346       label = 9;
   154347       break;
   154348     }
   154349    case 9:
   154350     $9 = HEAP8[$incdec_ptr11] | 0;
   154351     $cmp30 = $9 << 24 >> 24 == 120;
   154352     if ($cmp30) {
   154353       label = 10;
   154354       break;
   154355     } else {
   154356       $i_0 = 0;
   154357       label = 18;
   154358       break;
   154359     }
   154360    case 10:
   154361     $arrayidx33 = $call7 + 2 | 0;
   154362     $10 = HEAP8[$arrayidx33] | 0;
   154363     $cmp35 = $10 << 24 >> 24 == 109;
   154364     if ($cmp35) {
   154365       label = 11;
   154366       break;
   154367     } else {
   154368       $i_0 = 0;
   154369       label = 18;
   154370       break;
   154371     }
   154372    case 11:
   154373     $arrayidx38 = $call7 + 3 | 0;
   154374     $11 = HEAP8[$arrayidx38] | 0;
   154375     $cmp40 = $11 << 24 >> 24 == 108;
   154376     if ($cmp40) {
   154377       label = 12;
   154378       break;
   154379     } else {
   154380       $i_0 = 0;
   154381       label = 18;
   154382       break;
   154383     }
   154384    case 12:
   154385     $arrayidx43 = $call7 + 4 | 0;
   154386     $12 = HEAP8[$arrayidx43] | 0;
   154387     $cmp45 = $12 << 24 >> 24 == 110;
   154388     if ($cmp45) {
   154389       label = 13;
   154390       break;
   154391     } else {
   154392       $i_0 = 0;
   154393       label = 18;
   154394       break;
   154395     }
   154396    case 13:
   154397     $arrayidx48 = $call7 + 5 | 0;
   154398     $13 = HEAP8[$arrayidx48] | 0;
   154399     $cmp50 = $13 << 24 >> 24 == 115;
   154400     if ($cmp50) {
   154401       label = 14;
   154402       break;
   154403     } else {
   154404       $i_0 = 0;
   154405       label = 18;
   154406       break;
   154407     }
   154408    case 14:
   154409     $arrayidx53 = $call7 + 6 | 0;
   154410     $14 = HEAP8[$arrayidx53] | 0;
   154411     if (($14 << 24 >> 24 | 0) == 0) {
   154412       label = 15;
   154413       break;
   154414     } else if (($14 << 24 >> 24 | 0) == 58) {
   154415       label = 16;
   154416       break;
   154417     } else {
   154418       $i_0 = 0;
   154419       label = 18;
   154420       break;
   154421     }
   154422    case 15:
   154423     $defaultPrefix = $0 + 132 | 0;
   154424     $15 = $call12 + 4 | 0;
   154425     $defaultPrefix_c = $defaultPrefix;
   154426     HEAP32[$15 >> 2] = $defaultPrefix_c;
   154427     label = 17;
   154428     break;
   154429    case 16:
   154430     $prefixes = $0 + 60 | 0;
   154431     $add_ptr = $call7 + 7 | 0;
   154432     $call68 = _lookup($parser, $prefixes, $add_ptr, 8) | 0;
   154433     $16 = $call12 + 4 | 0;
   154434     $_c55 = $call68;
   154435     HEAP32[$16 >> 2] = $_c55;
   154436     label = 17;
   154437     break;
   154438    case 17:
   154439     $xmlns = $4 + 9 | 0;
   154440     HEAP8[$xmlns] = 1;
   154441     $retval_0 = $4;
   154442     label = 29;
   154443     break;
   154444    case 18:
   154445     $incdec_ptr11_sum = $i_0 + 1 | 0;
   154446     $arrayidx72 = $call7 + $incdec_ptr11_sum | 0;
   154447     $17 = HEAP8[$arrayidx72] | 0;
   154448     if (($17 << 24 >> 24 | 0) == 58) {
   154449       label = 19;
   154450       break;
   154451     } else if (($17 << 24 >> 24 | 0) == 0) {
   154452       $retval_0 = $4;
   154453       label = 29;
   154454       break;
   154455     } else {
   154456       label = 28;
   154457       break;
   154458     }
   154459    case 19:
   154460     $cmp8057 = ($i_0 | 0) > 0;
   154461     $18 = HEAP32[$ptr >> 2] | 0;
   154462     $19 = HEAP32[$end2 >> 2] | 0;
   154463     $cmp8758 = ($18 | 0) == ($19 | 0);
   154464     if ($cmp8057) {
   154465       $j_059 = 0;
   154466       $cmp8760 = $cmp8758;
   154467       label = 20;
   154468       break;
   154469     } else {
   154470       $cmp87_lcssa = $cmp8758;
   154471       label = 23;
   154472       break;
   154473     }
   154474    case 20:
   154475     if ($cmp8760) {
   154476       label = 21;
   154477       break;
   154478     } else {
   154479       label = 22;
   154480       break;
   154481     }
   154482    case 21:
   154483     $call91 = _poolGrow($pool) | 0;
   154484     $tobool92 = $call91 << 24 >> 24 == 0;
   154485     if ($tobool92) {
   154486       $retval_0 = 0;
   154487       label = 29;
   154488       break;
   154489     } else {
   154490       label = 22;
   154491       break;
   154492     }
   154493    case 22:
   154494     $incdec_ptr11_sum54 = $j_059 + 1 | 0;
   154495     $arrayidx95 = $call7 + $incdec_ptr11_sum54 | 0;
   154496     $20 = HEAP8[$arrayidx95] | 0;
   154497     $21 = HEAP32[$ptr >> 2] | 0;
   154498     $incdec_ptr98 = $21 + 1 | 0;
   154499     HEAP32[$ptr >> 2] = $incdec_ptr98;
   154500     HEAP8[$21] = $20;
   154501     $inc = $j_059 + 1 | 0;
   154502     $cmp80 = ($inc | 0) < ($i_0 | 0);
   154503     $22 = HEAP32[$ptr >> 2] | 0;
   154504     $23 = HEAP32[$end2 >> 2] | 0;
   154505     $cmp87 = ($22 | 0) == ($23 | 0);
   154506     if ($cmp80) {
   154507       $j_059 = $inc;
   154508       $cmp8760 = $cmp87;
   154509       label = 20;
   154510       break;
   154511     } else {
   154512       $cmp87_lcssa = $cmp87;
   154513       label = 23;
   154514       break;
   154515     }
   154516    case 23:
   154517     if ($cmp87_lcssa) {
   154518       label = 24;
   154519       break;
   154520     } else {
   154521       label = 25;
   154522       break;
   154523     }
   154524    case 24:
   154525     $call109 = _poolGrow($pool) | 0;
   154526     $tobool110 = $call109 << 24 >> 24 == 0;
   154527     if ($tobool110) {
   154528       $retval_0 = 0;
   154529       label = 29;
   154530       break;
   154531     } else {
   154532       label = 25;
   154533       break;
   154534     }
   154535    case 25:
   154536     $24 = HEAP32[$ptr >> 2] | 0;
   154537     $incdec_ptr115 = $24 + 1 | 0;
   154538     HEAP32[$ptr >> 2] = $incdec_ptr115;
   154539     HEAP8[$24] = 0;
   154540     $prefixes118 = $0 + 60 | 0;
   154541     $25 = HEAP32[$start26 >> 2] | 0;
   154542     $call121 = _lookup($parser, $prefixes118, $25, 8) | 0;
   154543     $26 = $call12 + 4 | 0;
   154544     $_c = $call121;
   154545     HEAP32[$26 >> 2] = $_c;
   154546     $name124 = $call121 | 0;
   154547     $27 = HEAP32[$name124 >> 2] | 0;
   154548     $28 = HEAP32[$start26 >> 2] | 0;
   154549     $cmp127 = ($27 | 0) == ($28 | 0);
   154550     if ($cmp127) {
   154551       label = 26;
   154552       break;
   154553     } else {
   154554       label = 27;
   154555       break;
   154556     }
   154557    case 26:
   154558     $29 = HEAP32[$ptr >> 2] | 0;
   154559     HEAP32[$start26 >> 2] = $29;
   154560     $retval_0 = $4;
   154561     label = 29;
   154562     break;
   154563    case 27:
   154564     HEAP32[$ptr >> 2] = $28;
   154565     $retval_0 = $4;
   154566     label = 29;
   154567     break;
   154568    case 28:
   154569     $inc142 = $i_0 + 1 | 0;
   154570     $i_0 = $inc142;
   154571     label = 18;
   154572     break;
   154573    case 29:
   154574     return $retval_0 | 0;
   154575   }
   154576   return 0;
   154577 }
   154578 function _storeAttributeValue($parser, $enc, $isCdata, $ptr, $end, $pool) {
   154579   $parser = $parser | 0;
   154580   $enc = $enc | 0;
   154581   $isCdata = $isCdata | 0;
   154582   $ptr = $ptr | 0;
   154583   $end = $end | 0;
   154584   $pool = $pool | 0;
   154585   var $call = 0, $tobool = 0, $tobool1 = 0, $ptr2 = 0, $0 = 0, $start = 0, $1 = 0, $tobool3 = 0, $arrayidx = 0, $2 = 0, $cmp = 0, $ptr10 = 0, $3 = 0, $end11 = 0, $4 = 0, $cmp12 = 0, $call15 = 0, $tobool16 = 0, $5 = 0, $incdec_ptr18 = 0, $retval_0 = 0, label = 0;
   154586   label = 2;
   154587   while (1) switch (label | 0) {
   154588    case 2:
   154589     $call = _appendAttributeValue($parser, $enc, $isCdata, $ptr, $end, $pool) | 0;
   154590     $tobool = ($call | 0) == 0;
   154591     if ($tobool) {
   154592       label = 3;
   154593       break;
   154594     } else {
   154595       $retval_0 = $call;
   154596       label = 10;
   154597       break;
   154598     }
   154599    case 3:
   154600     $tobool1 = $isCdata << 24 >> 24 == 0;
   154601     if ($tobool1) {
   154602       label = 4;
   154603       break;
   154604     } else {
   154605       label = 7;
   154606       break;
   154607     }
   154608    case 4:
   154609     $ptr2 = $pool + 12 | 0;
   154610     $0 = HEAP32[$ptr2 >> 2] | 0;
   154611     $start = $pool + 16 | 0;
   154612     $1 = HEAP32[$start >> 2] | 0;
   154613     $tobool3 = ($0 | 0) == ($1 | 0);
   154614     if ($tobool3) {
   154615       label = 7;
   154616       break;
   154617     } else {
   154618       label = 5;
   154619       break;
   154620     }
   154621    case 5:
   154622     $arrayidx = $0 - 1 | 0;
   154623     $2 = HEAP8[$arrayidx] | 0;
   154624     $cmp = $2 << 24 >> 24 == 32;
   154625     if ($cmp) {
   154626       label = 6;
   154627       break;
   154628     } else {
   154629       label = 7;
   154630       break;
   154631     }
   154632    case 6:
   154633     HEAP32[$ptr2 >> 2] = $arrayidx;
   154634     label = 7;
   154635     break;
   154636    case 7:
   154637     $ptr10 = $pool + 12 | 0;
   154638     $3 = HEAP32[$ptr10 >> 2] | 0;
   154639     $end11 = $pool + 8 | 0;
   154640     $4 = HEAP32[$end11 >> 2] | 0;
   154641     $cmp12 = ($3 | 0) == ($4 | 0);
   154642     if ($cmp12) {
   154643       label = 8;
   154644       break;
   154645     } else {
   154646       label = 9;
   154647       break;
   154648     }
   154649    case 8:
   154650     $call15 = _poolGrow($pool) | 0;
   154651     $tobool16 = $call15 << 24 >> 24 == 0;
   154652     if ($tobool16) {
   154653       $retval_0 = 1;
   154654       label = 10;
   154655       break;
   154656     } else {
   154657       label = 9;
   154658       break;
   154659     }
   154660    case 9:
   154661     $5 = HEAP32[$ptr10 >> 2] | 0;
   154662     $incdec_ptr18 = $5 + 1 | 0;
   154663     HEAP32[$ptr10 >> 2] = $incdec_ptr18;
   154664     HEAP8[$5] = 0;
   154665     $retval_0 = 0;
   154666     label = 10;
   154667     break;
   154668    case 10:
   154669     return $retval_0 | 0;
   154670   }
   154671   return 0;
   154672 }
   154673 function _appendAttributeValue($parser, $enc, $isCdata, $ptr, $end, $pool) {
   154674   $parser = $parser | 0;
   154675   $enc = $enc | 0;
   154676   $isCdata = $isCdata | 0;
   154677   $ptr = $ptr | 0;
   154678   $end = $end | 0;
   154679   $pool = $pool | 0;
   154680   var $next = 0, $buf = 0, $m_dtd = 0, $0 = 0, $arrayidx = 0, $charRefNumber = 0, $tobool = 0, $ptr20 = 0, $start = 0, $arraydecay = 0, $ptr41 = 0, $end42 = 0, $minBytesPerChar = 0, $tobool59 = 0, $ptr61 = 0, $start62 = 0, $ptr76 = 0, $end77 = 0, $predefinedEntityName = 0, $minBytesPerChar90 = 0, $m_temp2Pool = 0, $generalEntities = 0, $start123 = 0, $ptr125 = 0, $pool126 = 0, $cmp127 = 0, $standalone = 0, $hasParamEntityRefs = 0, $m_openInternalEntities = 0, $m_internalEncoding = 0, $hasParamEntityRefs139 = 0, $standalone141 = 0, $ptr98 = 0, $end99 = 0, $ptr_addr_0 = 0, $1 = 0, $call = 0, $m_encoding = 0, $2 = 0, $cmp = 0, $3 = 0, $m_eventPtr = 0, $m_encoding3 = 0, $4 = 0, $cmp4 = 0, $m_eventPtr6 = 0, $5 = 0, $call9 = 0, $cmp10 = 0, $m_encoding12 = 0, $6 = 0, $cmp13 = 0, $m_eventPtr15 = 0, $cmp18 = 0, $or_cond = 0, $7 = 0, $8 = 0, $cmp21 = 0, $arrayidx23 = 0, $9 = 0, $cmp24 = 0, $call28 = 0, $tobool29 = 0, $cmp39103 = 0, $m_encoding31 = 0, $10 = 0, $cmp32 = 0, $m_eventPtr35 = 0, $i_0104 = 0, $11 = 0, $12 = 0, $cmp43 = 0, $call46 = 0, $tobool47 = 0, $arrayidx48 = 0, $13 = 0, $14 = 0, $incdec_ptr = 0, $inc = 0, $cmp39 = 0, $15 = 0, $call53 = 0, $tobool54 = 0, $16 = 0, $add_ptr = 0, $17 = 0, $18 = 0, $cmp66 = 0, $arrayidx70 = 0, $19 = 0, $cmp72 = 0, $20 = 0, $21 = 0, $cmp78 = 0, $call81 = 0, $tobool82 = 0, $22 = 0, $incdec_ptr86 = 0, $23 = 0, $24 = 0, $add_ptr91 = 0, $25 = 0, $idx_neg = 0, $add_ptr93 = 0, $call94 = 0, $conv95 = 0, $tobool96 = 0, $26 = 0, $27 = 0, $cmp100 = 0, $call103 = 0, $tobool104 = 0, $28 = 0, $incdec_ptr108 = 0, $29 = 0, $add_ptr113 = 0, $30 = 0, $idx_neg115 = 0, $add_ptr116 = 0, $call117 = 0, $tobool118 = 0, $call121 = 0, $31 = 0, $32 = 0, $33 = 0, $tobool131 = 0, $34 = 0, $tobool133 = 0, $35 = 0, $tobool135 = 0, $tobool133_sink = 0, $36 = 0, $conv138 = 0, $37 = 0, $tobool140 = 0, $tobool148101 = 0, $38 = 0, $tobool143 = 0, $phitmp = 0, $checkEntityDecl_0 = 0, $tobool146 = 0, $tobool148 = 0, $is_internal = 0, $39 = 0, $tobool151 = 0, $open = 0, $40 = 0, $41 = 0, $tobool160 = 0, $m_encoding162 = 0, $42 = 0, $cmp163 = 0, $m_eventPtr166 = 0, $43 = 0, $44 = 0, $tobool169 = 0, $m_encoding171 = 0, $45 = 0, $cmp172 = 0, $m_eventPtr175 = 0, $46 = 0, $47 = 0, $tobool178 = 0, $m_encoding180 = 0, $48 = 0, $cmp181 = 0, $m_eventPtr184 = 0, $textLen = 0, $49 = 0, $50 = 0, $add_ptr188 = 0, $51 = 0, $52 = 0, $call191 = 0, $tobool193 = 0, $m_encoding197 = 0, $53 = 0, $cmp198 = 0, $m_eventPtr201 = 0, $54 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   154681   __stackBase__ = STACKTOP;
   154682   STACKTOP = STACKTOP + 16 | 0;
   154683   label = 2;
   154684   while (1) switch (label | 0) {
   154685    case 2:
   154686     $next = __stackBase__ | 0;
   154687     $buf = __stackBase__ + 8 | 0;
   154688     $m_dtd = $parser + 340 | 0;
   154689     $0 = HEAP32[$m_dtd >> 2] | 0;
   154690     $arrayidx = $enc + 12 | 0;
   154691     $charRefNumber = $enc + 40 | 0;
   154692     $tobool = $isCdata << 24 >> 24 == 0;
   154693     $ptr20 = $pool + 12 | 0;
   154694     $start = $pool + 16 | 0;
   154695     $arraydecay = $buf | 0;
   154696     $ptr41 = $pool + 12 | 0;
   154697     $end42 = $pool + 8 | 0;
   154698     $minBytesPerChar = $enc + 64 | 0;
   154699     $tobool59 = $isCdata << 24 >> 24 == 0;
   154700     $ptr61 = $pool + 12 | 0;
   154701     $start62 = $pool + 16 | 0;
   154702     $ptr76 = $pool + 12 | 0;
   154703     $end77 = $pool + 8 | 0;
   154704     $predefinedEntityName = $enc + 44 | 0;
   154705     $minBytesPerChar90 = $enc + 64 | 0;
   154706     $m_temp2Pool = $parser + 424 | 0;
   154707     $generalEntities = $0 | 0;
   154708     $start123 = $parser + 440 | 0;
   154709     $ptr125 = $parser + 436 | 0;
   154710     $pool126 = $0 + 80 | 0;
   154711     $cmp127 = ($pool126 | 0) == ($pool | 0);
   154712     $standalone = $0 + 130 | 0;
   154713     $hasParamEntityRefs = $0 + 129 | 0;
   154714     $m_openInternalEntities = $parser + 284 | 0;
   154715     $m_internalEncoding = $parser + 224 | 0;
   154716     $hasParamEntityRefs139 = $0 + 129 | 0;
   154717     $standalone141 = $0 + 130 | 0;
   154718     $ptr98 = $pool + 12 | 0;
   154719     $end99 = $pool + 8 | 0;
   154720     $ptr_addr_0 = $ptr;
   154721     label = 3;
   154722     break;
   154723    case 3:
   154724     $1 = HEAP32[$arrayidx >> 2] | 0;
   154725     $call = FUNCTION_TABLE_iiiii[$1 & 1023]($enc, $ptr_addr_0, $end, $next) | 0;
   154726     if (($call | 0) == 0) {
   154727       label = 4;
   154728       break;
   154729     } else if (($call | 0) == (-1 | 0)) {
   154730       label = 6;
   154731       break;
   154732     } else if (($call | 0) == 10) {
   154733       label = 8;
   154734       break;
   154735     } else if (($call | 0) == 6) {
   154736       label = 21;
   154737       break;
   154738     } else if (($call | 0) == (-3 | 0)) {
   154739       label = 22;
   154740       break;
   154741     } else if (($call | 0) == 39 | ($call | 0) == 7) {
   154742       label = 23;
   154743       break;
   154744     } else if (($call | 0) == 9) {
   154745       label = 29;
   154746       break;
   154747     } else if (($call | 0) == (-4 | 0)) {
   154748       $retval_0 = 0;
   154749       label = 59;
   154750       break;
   154751     } else {
   154752       label = 56;
   154753       break;
   154754     }
   154755    case 4:
   154756     $m_encoding = $parser + 144 | 0;
   154757     $2 = HEAP32[$m_encoding >> 2] | 0;
   154758     $cmp = ($2 | 0) == ($enc | 0);
   154759     if ($cmp) {
   154760       label = 5;
   154761       break;
   154762     } else {
   154763       $retval_0 = 4;
   154764       label = 59;
   154765       break;
   154766     }
   154767    case 5:
   154768     $3 = HEAP32[$next >> 2] | 0;
   154769     $m_eventPtr = $parser + 272 | 0;
   154770     HEAP32[$m_eventPtr >> 2] = $3;
   154771     $retval_0 = 4;
   154772     label = 59;
   154773     break;
   154774    case 6:
   154775     $m_encoding3 = $parser + 144 | 0;
   154776     $4 = HEAP32[$m_encoding3 >> 2] | 0;
   154777     $cmp4 = ($4 | 0) == ($enc | 0);
   154778     if ($cmp4) {
   154779       label = 7;
   154780       break;
   154781     } else {
   154782       $retval_0 = 4;
   154783       label = 59;
   154784       break;
   154785     }
   154786    case 7:
   154787     $m_eventPtr6 = $parser + 272 | 0;
   154788     HEAP32[$m_eventPtr6 >> 2] = $ptr_addr_0;
   154789     $retval_0 = 4;
   154790     label = 59;
   154791     break;
   154792    case 8:
   154793     $5 = HEAP32[$charRefNumber >> 2] | 0;
   154794     $call9 = FUNCTION_TABLE_iii[$5 & 1023]($enc, $ptr_addr_0) | 0;
   154795     $cmp10 = ($call9 | 0) < 0;
   154796     if ($cmp10) {
   154797       label = 9;
   154798       break;
   154799     } else {
   154800       label = 11;
   154801       break;
   154802     }
   154803    case 9:
   154804     $m_encoding12 = $parser + 144 | 0;
   154805     $6 = HEAP32[$m_encoding12 >> 2] | 0;
   154806     $cmp13 = ($6 | 0) == ($enc | 0);
   154807     if ($cmp13) {
   154808       label = 10;
   154809       break;
   154810     } else {
   154811       $retval_0 = 14;
   154812       label = 59;
   154813       break;
   154814     }
   154815    case 10:
   154816     $m_eventPtr15 = $parser + 272 | 0;
   154817     HEAP32[$m_eventPtr15 >> 2] = $ptr_addr_0;
   154818     $retval_0 = 14;
   154819     label = 59;
   154820     break;
   154821    case 11:
   154822     $cmp18 = ($call9 | 0) == 32;
   154823     $or_cond = $tobool & $cmp18;
   154824     if ($or_cond) {
   154825       label = 12;
   154826       break;
   154827     } else {
   154828       label = 14;
   154829       break;
   154830     }
   154831    case 12:
   154832     $7 = HEAP32[$ptr20 >> 2] | 0;
   154833     $8 = HEAP32[$start >> 2] | 0;
   154834     $cmp21 = ($7 | 0) == ($8 | 0);
   154835     if ($cmp21) {
   154836       label = 58;
   154837       break;
   154838     } else {
   154839       label = 13;
   154840       break;
   154841     }
   154842    case 13:
   154843     $arrayidx23 = $7 - 1 | 0;
   154844     $9 = HEAP8[$arrayidx23] | 0;
   154845     $cmp24 = $9 << 24 >> 24 == 32;
   154846     if ($cmp24) {
   154847       label = 58;
   154848       break;
   154849     } else {
   154850       label = 14;
   154851       break;
   154852     }
   154853    case 14:
   154854     $call28 = _XmlUtf8Encode($call9, $arraydecay) | 0;
   154855     $tobool29 = ($call28 | 0) == 0;
   154856     if ($tobool29) {
   154857       label = 16;
   154858       break;
   154859     } else {
   154860       label = 15;
   154861       break;
   154862     }
   154863    case 15:
   154864     $cmp39103 = ($call28 | 0) > 0;
   154865     if ($cmp39103) {
   154866       $i_0104 = 0;
   154867       label = 18;
   154868       break;
   154869     } else {
   154870       label = 58;
   154871       break;
   154872     }
   154873    case 16:
   154874     $m_encoding31 = $parser + 144 | 0;
   154875     $10 = HEAP32[$m_encoding31 >> 2] | 0;
   154876     $cmp32 = ($10 | 0) == ($enc | 0);
   154877     if ($cmp32) {
   154878       label = 17;
   154879       break;
   154880     } else {
   154881       $retval_0 = 14;
   154882       label = 59;
   154883       break;
   154884     }
   154885    case 17:
   154886     $m_eventPtr35 = $parser + 272 | 0;
   154887     HEAP32[$m_eventPtr35 >> 2] = $ptr_addr_0;
   154888     $retval_0 = 14;
   154889     label = 59;
   154890     break;
   154891    case 18:
   154892     $11 = HEAP32[$ptr41 >> 2] | 0;
   154893     $12 = HEAP32[$end42 >> 2] | 0;
   154894     $cmp43 = ($11 | 0) == ($12 | 0);
   154895     if ($cmp43) {
   154896       label = 19;
   154897       break;
   154898     } else {
   154899       label = 20;
   154900       break;
   154901     }
   154902    case 19:
   154903     $call46 = _poolGrow($pool) | 0;
   154904     $tobool47 = $call46 << 24 >> 24 == 0;
   154905     if ($tobool47) {
   154906       $retval_0 = 1;
   154907       label = 59;
   154908       break;
   154909     } else {
   154910       label = 20;
   154911       break;
   154912     }
   154913    case 20:
   154914     $arrayidx48 = $buf + $i_0104 | 0;
   154915     $13 = HEAP8[$arrayidx48] | 0;
   154916     $14 = HEAP32[$ptr41 >> 2] | 0;
   154917     $incdec_ptr = $14 + 1 | 0;
   154918     HEAP32[$ptr41 >> 2] = $incdec_ptr;
   154919     HEAP8[$14] = $13;
   154920     $inc = $i_0104 + 1 | 0;
   154921     $cmp39 = ($inc | 0) < ($call28 | 0);
   154922     if ($cmp39) {
   154923       $i_0104 = $inc;
   154924       label = 18;
   154925       break;
   154926     } else {
   154927       label = 58;
   154928       break;
   154929     }
   154930    case 21:
   154931     $15 = HEAP32[$next >> 2] | 0;
   154932     $call53 = _poolAppend($pool, $enc, $ptr_addr_0, $15) | 0;
   154933     $tobool54 = ($call53 | 0) == 0;
   154934     if ($tobool54) {
   154935       $retval_0 = 1;
   154936       label = 59;
   154937       break;
   154938     } else {
   154939       label = 58;
   154940       break;
   154941     }
   154942    case 22:
   154943     $16 = HEAP32[$minBytesPerChar >> 2] | 0;
   154944     $add_ptr = $ptr_addr_0 + $16 | 0;
   154945     HEAP32[$next >> 2] = $add_ptr;
   154946     label = 23;
   154947     break;
   154948    case 23:
   154949     if ($tobool59) {
   154950       label = 24;
   154951       break;
   154952     } else {
   154953       label = 26;
   154954       break;
   154955     }
   154956    case 24:
   154957     $17 = HEAP32[$ptr61 >> 2] | 0;
   154958     $18 = HEAP32[$start62 >> 2] | 0;
   154959     $cmp66 = ($17 | 0) == ($18 | 0);
   154960     if ($cmp66) {
   154961       label = 58;
   154962       break;
   154963     } else {
   154964       label = 25;
   154965       break;
   154966     }
   154967    case 25:
   154968     $arrayidx70 = $17 - 1 | 0;
   154969     $19 = HEAP8[$arrayidx70] | 0;
   154970     $cmp72 = $19 << 24 >> 24 == 32;
   154971     if ($cmp72) {
   154972       label = 58;
   154973       break;
   154974     } else {
   154975       label = 26;
   154976       break;
   154977     }
   154978    case 26:
   154979     $20 = HEAP32[$ptr76 >> 2] | 0;
   154980     $21 = HEAP32[$end77 >> 2] | 0;
   154981     $cmp78 = ($20 | 0) == ($21 | 0);
   154982     if ($cmp78) {
   154983       label = 27;
   154984       break;
   154985     } else {
   154986       label = 28;
   154987       break;
   154988     }
   154989    case 27:
   154990     $call81 = _poolGrow($pool) | 0;
   154991     $tobool82 = $call81 << 24 >> 24 == 0;
   154992     if ($tobool82) {
   154993       $retval_0 = 1;
   154994       label = 59;
   154995       break;
   154996     } else {
   154997       label = 28;
   154998       break;
   154999     }
   155000    case 28:
   155001     $22 = HEAP32[$ptr76 >> 2] | 0;
   155002     $incdec_ptr86 = $22 + 1 | 0;
   155003     HEAP32[$ptr76 >> 2] = $incdec_ptr86;
   155004     HEAP8[$22] = 32;
   155005     label = 58;
   155006     break;
   155007    case 29:
   155008     $23 = HEAP32[$predefinedEntityName >> 2] | 0;
   155009     $24 = HEAP32[$minBytesPerChar90 >> 2] | 0;
   155010     $add_ptr91 = $ptr_addr_0 + $24 | 0;
   155011     $25 = HEAP32[$next >> 2] | 0;
   155012     $idx_neg = -$24 | 0;
   155013     $add_ptr93 = $25 + $idx_neg | 0;
   155014     $call94 = FUNCTION_TABLE_iiii[$23 & 1023]($enc, $add_ptr91, $add_ptr93) | 0;
   155015     $conv95 = $call94 & 255;
   155016     $tobool96 = $conv95 << 24 >> 24 == 0;
   155017     if ($tobool96) {
   155018       label = 33;
   155019       break;
   155020     } else {
   155021       label = 30;
   155022       break;
   155023     }
   155024    case 30:
   155025     $26 = HEAP32[$ptr98 >> 2] | 0;
   155026     $27 = HEAP32[$end99 >> 2] | 0;
   155027     $cmp100 = ($26 | 0) == ($27 | 0);
   155028     if ($cmp100) {
   155029       label = 31;
   155030       break;
   155031     } else {
   155032       label = 32;
   155033       break;
   155034     }
   155035    case 31:
   155036     $call103 = _poolGrow($pool) | 0;
   155037     $tobool104 = $call103 << 24 >> 24 == 0;
   155038     if ($tobool104) {
   155039       $retval_0 = 1;
   155040       label = 59;
   155041       break;
   155042     } else {
   155043       label = 32;
   155044       break;
   155045     }
   155046    case 32:
   155047     $28 = HEAP32[$ptr98 >> 2] | 0;
   155048     $incdec_ptr108 = $28 + 1 | 0;
   155049     HEAP32[$ptr98 >> 2] = $incdec_ptr108;
   155050     HEAP8[$28] = $conv95;
   155051     label = 58;
   155052     break;
   155053    case 33:
   155054     $29 = HEAP32[$minBytesPerChar90 >> 2] | 0;
   155055     $add_ptr113 = $ptr_addr_0 + $29 | 0;
   155056     $30 = HEAP32[$next >> 2] | 0;
   155057     $idx_neg115 = -$29 | 0;
   155058     $add_ptr116 = $30 + $idx_neg115 | 0;
   155059     $call117 = _poolStoreString($m_temp2Pool, $enc, $add_ptr113, $add_ptr116) | 0;
   155060     $tobool118 = ($call117 | 0) == 0;
   155061     if ($tobool118) {
   155062       $retval_0 = 1;
   155063       label = 59;
   155064       break;
   155065     } else {
   155066       label = 34;
   155067       break;
   155068     }
   155069    case 34:
   155070     $call121 = _lookup($parser, $generalEntities, $call117, 0) | 0;
   155071     $31 = $call121;
   155072     $32 = HEAP32[$start123 >> 2] | 0;
   155073     HEAP32[$ptr125 >> 2] = $32;
   155074     if ($cmp127) {
   155075       label = 35;
   155076       break;
   155077     } else {
   155078       label = 39;
   155079       break;
   155080     }
   155081    case 35:
   155082     $33 = HEAP8[$standalone] | 0;
   155083     $tobool131 = $33 << 24 >> 24 == 0;
   155084     if ($tobool131) {
   155085       label = 37;
   155086       break;
   155087     } else {
   155088       label = 36;
   155089       break;
   155090     }
   155091    case 36:
   155092     $34 = HEAP32[$m_openInternalEntities >> 2] | 0;
   155093     $tobool133 = ($34 | 0) != 0;
   155094     $tobool133_sink = $tobool133;
   155095     label = 38;
   155096     break;
   155097    case 37:
   155098     $35 = HEAP8[$hasParamEntityRefs] | 0;
   155099     $tobool135 = $35 << 24 >> 24 != 0;
   155100     $tobool133_sink = $tobool135;
   155101     label = 38;
   155102     break;
   155103    case 38:
   155104     $36 = $tobool133_sink & 1;
   155105     $conv138 = $36 ^ 1;
   155106     $checkEntityDecl_0 = $conv138;
   155107     label = 42;
   155108     break;
   155109    case 39:
   155110     $37 = HEAP8[$hasParamEntityRefs139] | 0;
   155111     $tobool140 = $37 << 24 >> 24 == 0;
   155112     if ($tobool140) {
   155113       label = 40;
   155114       break;
   155115     } else {
   155116       label = 41;
   155117       break;
   155118     }
   155119    case 40:
   155120     $tobool148101 = ($call121 | 0) == 0;
   155121     if ($tobool148101) {
   155122       $retval_0 = 11;
   155123       label = 59;
   155124       break;
   155125     } else {
   155126       label = 44;
   155127       break;
   155128     }
   155129    case 41:
   155130     $38 = HEAP8[$standalone141] | 0;
   155131     $tobool143 = $38 << 24 >> 24 != 0;
   155132     $phitmp = $tobool143 & 1;
   155133     $checkEntityDecl_0 = $phitmp;
   155134     label = 42;
   155135     break;
   155136    case 42:
   155137     $tobool146 = $checkEntityDecl_0 << 24 >> 24 == 0;
   155138     $tobool148 = ($call121 | 0) != 0;
   155139     if ($tobool146) {
   155140       label = 45;
   155141       break;
   155142     } else {
   155143       label = 43;
   155144       break;
   155145     }
   155146    case 43:
   155147     if ($tobool148) {
   155148       label = 44;
   155149       break;
   155150     } else {
   155151       $retval_0 = 11;
   155152       label = 59;
   155153       break;
   155154     }
   155155    case 44:
   155156     $is_internal = $31 + 34 | 0;
   155157     $39 = HEAP8[$is_internal] | 0;
   155158     $tobool151 = $39 << 24 >> 24 == 0;
   155159     if ($tobool151) {
   155160       $retval_0 = 24;
   155161       label = 59;
   155162       break;
   155163     } else {
   155164       label = 46;
   155165       break;
   155166     }
   155167    case 45:
   155168     if ($tobool148) {
   155169       label = 46;
   155170       break;
   155171     } else {
   155172       label = 58;
   155173       break;
   155174     }
   155175    case 46:
   155176     $open = $call121 + 32 | 0;
   155177     $40 = $open;
   155178     $41 = HEAP8[$40] | 0;
   155179     $tobool160 = $41 << 24 >> 24 == 0;
   155180     if ($tobool160) {
   155181       label = 49;
   155182       break;
   155183     } else {
   155184       label = 47;
   155185       break;
   155186     }
   155187    case 47:
   155188     $m_encoding162 = $parser + 144 | 0;
   155189     $42 = HEAP32[$m_encoding162 >> 2] | 0;
   155190     $cmp163 = ($42 | 0) == ($enc | 0);
   155191     if ($cmp163) {
   155192       label = 48;
   155193       break;
   155194     } else {
   155195       $retval_0 = 12;
   155196       label = 59;
   155197       break;
   155198     }
   155199    case 48:
   155200     $m_eventPtr166 = $parser + 272 | 0;
   155201     HEAP32[$m_eventPtr166 >> 2] = $ptr_addr_0;
   155202     $retval_0 = 12;
   155203     label = 59;
   155204     break;
   155205    case 49:
   155206     $43 = $call121 + 28 | 0;
   155207     $44 = HEAP32[$43 >> 2] | 0;
   155208     $tobool169 = ($44 | 0) == 0;
   155209     if ($tobool169) {
   155210       label = 52;
   155211       break;
   155212     } else {
   155213       label = 50;
   155214       break;
   155215     }
   155216    case 50:
   155217     $m_encoding171 = $parser + 144 | 0;
   155218     $45 = HEAP32[$m_encoding171 >> 2] | 0;
   155219     $cmp172 = ($45 | 0) == ($enc | 0);
   155220     if ($cmp172) {
   155221       label = 51;
   155222       break;
   155223     } else {
   155224       $retval_0 = 15;
   155225       label = 59;
   155226       break;
   155227     }
   155228    case 51:
   155229     $m_eventPtr175 = $parser + 272 | 0;
   155230     HEAP32[$m_eventPtr175 >> 2] = $ptr_addr_0;
   155231     $retval_0 = 15;
   155232     label = 59;
   155233     break;
   155234    case 52:
   155235     $46 = $call121 + 4 | 0;
   155236     $47 = HEAP32[$46 >> 2] | 0;
   155237     $tobool178 = ($47 | 0) == 0;
   155238     if ($tobool178) {
   155239       label = 53;
   155240       break;
   155241     } else {
   155242       label = 55;
   155243       break;
   155244     }
   155245    case 53:
   155246     $m_encoding180 = $parser + 144 | 0;
   155247     $48 = HEAP32[$m_encoding180 >> 2] | 0;
   155248     $cmp181 = ($48 | 0) == ($enc | 0);
   155249     if ($cmp181) {
   155250       label = 54;
   155251       break;
   155252     } else {
   155253       $retval_0 = 16;
   155254       label = 59;
   155255       break;
   155256     }
   155257    case 54:
   155258     $m_eventPtr184 = $parser + 272 | 0;
   155259     HEAP32[$m_eventPtr184 >> 2] = $ptr_addr_0;
   155260     $retval_0 = 16;
   155261     label = 59;
   155262     break;
   155263    case 55:
   155264     $textLen = $call121 + 8 | 0;
   155265     $49 = $textLen;
   155266     $50 = HEAP32[$49 >> 2] | 0;
   155267     $add_ptr188 = $47 + $50 | 0;
   155268     HEAP8[$40] = 1;
   155269     $51 = HEAP32[$m_internalEncoding >> 2] | 0;
   155270     $52 = HEAP32[$46 >> 2] | 0;
   155271     $call191 = _appendAttributeValue($parser, $51, $isCdata, $52, $add_ptr188, $pool) | 0;
   155272     HEAP8[$40] = 0;
   155273     $tobool193 = ($call191 | 0) == 0;
   155274     if ($tobool193) {
   155275       label = 58;
   155276       break;
   155277     } else {
   155278       $retval_0 = $call191;
   155279       label = 59;
   155280       break;
   155281     }
   155282    case 56:
   155283     $m_encoding197 = $parser + 144 | 0;
   155284     $53 = HEAP32[$m_encoding197 >> 2] | 0;
   155285     $cmp198 = ($53 | 0) == ($enc | 0);
   155286     if ($cmp198) {
   155287       label = 57;
   155288       break;
   155289     } else {
   155290       $retval_0 = 23;
   155291       label = 59;
   155292       break;
   155293     }
   155294    case 57:
   155295     $m_eventPtr201 = $parser + 272 | 0;
   155296     HEAP32[$m_eventPtr201 >> 2] = $ptr_addr_0;
   155297     $retval_0 = 23;
   155298     label = 59;
   155299     break;
   155300    case 58:
   155301     $54 = HEAP32[$next >> 2] | 0;
   155302     $ptr_addr_0 = $54;
   155303     label = 3;
   155304     break;
   155305    case 59:
   155306     STACKTOP = __stackBase__;
   155307     return $retval_0 | 0;
   155308   }
   155309   return 0;
   155310 }
   155311 function _poolAppend($pool, $enc, $ptr, $end) {
   155312   $pool = $pool | 0;
   155313   $enc = $enc | 0;
   155314   $ptr = $ptr | 0;
   155315   $end = $end | 0;
   155316   var $ptr_addr = 0, $ptr1 = 0, $0 = 0, $tobool = 0, $call = 0, $tobool2 = 0, $utf8Convert = 0, $end4 = 0, $1 = 0, $2 = 0, $3 = 0, $cmp = 0, $call7 = 0, $tobool8 = 0, $start = 0, $4 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   155317   __stackBase__ = STACKTOP;
   155318   STACKTOP = STACKTOP + 8 | 0;
   155319   label = 2;
   155320   while (1) switch (label | 0) {
   155321    case 2:
   155322     $ptr_addr = __stackBase__ | 0;
   155323     HEAP32[$ptr_addr >> 2] = $ptr;
   155324     $ptr1 = $pool + 12 | 0;
   155325     $0 = HEAP32[$ptr1 >> 2] | 0;
   155326     $tobool = ($0 | 0) == 0;
   155327     if ($tobool) {
   155328       label = 3;
   155329       break;
   155330     } else {
   155331       label = 4;
   155332       break;
   155333     }
   155334    case 3:
   155335     $call = _poolGrow($pool) | 0;
   155336     $tobool2 = $call << 24 >> 24 == 0;
   155337     if ($tobool2) {
   155338       $retval_0 = 0;
   155339       label = 8;
   155340       break;
   155341     } else {
   155342       label = 4;
   155343       break;
   155344     }
   155345    case 4:
   155346     $utf8Convert = $enc + 56 | 0;
   155347     $end4 = $pool + 8 | 0;
   155348     label = 5;
   155349     break;
   155350    case 5:
   155351     $1 = HEAP32[$utf8Convert >> 2] | 0;
   155352     $2 = HEAP32[$end4 >> 2] | 0;
   155353     FUNCTION_TABLE_viiiii[$1 & 1023]($enc, $ptr_addr, $end, $ptr1, $2);
   155354     $3 = HEAP32[$ptr_addr >> 2] | 0;
   155355     $cmp = ($3 | 0) == ($end | 0);
   155356     if ($cmp) {
   155357       label = 7;
   155358       break;
   155359     } else {
   155360       label = 6;
   155361       break;
   155362     }
   155363    case 6:
   155364     $call7 = _poolGrow($pool) | 0;
   155365     $tobool8 = $call7 << 24 >> 24 == 0;
   155366     if ($tobool8) {
   155367       $retval_0 = 0;
   155368       label = 8;
   155369       break;
   155370     } else {
   155371       label = 5;
   155372       break;
   155373     }
   155374    case 7:
   155375     $start = $pool + 16 | 0;
   155376     $4 = HEAP32[$start >> 2] | 0;
   155377     $retval_0 = $4;
   155378     label = 8;
   155379     break;
   155380    case 8:
   155381     STACKTOP = __stackBase__;
   155382     return $retval_0 | 0;
   155383   }
   155384   return 0;
   155385 }
   155386 function _internalEntityProcessor($parser, $s, $end, $nextPtr) {
   155387   $parser = $parser | 0;
   155388   $s = $s | 0;
   155389   $end = $end | 0;
   155390   $nextPtr = $nextPtr | 0;
   155391   var $next = 0, $m_openInternalEntities = 0, $0 = 0, $tobool = 0, $entity1 = 0, $1 = 0, $textPtr = 0, $2 = 0, $processed = 0, $3 = 0, $add_ptr = 0, $textLen = 0, $4 = 0, $add_ptr3 = 0, $startTagLevel = 0, $5 = 0, $m_internalEncoding = 0, $6 = 0, $call = 0, $cmp = 0, $7 = 0, $cmp5 = 0, $parsing = 0, $8 = 0, $cmp6 = 0, $9 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $open = 0, $next11 = 0, $10 = 0, $m_freeInternalEntities = 0, $11 = 0, $m_processor = 0, $m_parentParser = 0, $12 = 0, $tobool17 = 0, $cond = 0, $m_encoding = 0, $13 = 0, $finalBuffer = 0, $14 = 0, $lnot = 0, $conv = 0, $call20 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   155392   __stackBase__ = STACKTOP;
   155393   STACKTOP = STACKTOP + 8 | 0;
   155394   label = 2;
   155395   while (1) switch (label | 0) {
   155396    case 2:
   155397     $next = __stackBase__ | 0;
   155398     $m_openInternalEntities = $parser + 284 | 0;
   155399     $0 = HEAP32[$m_openInternalEntities >> 2] | 0;
   155400     $tobool = ($0 | 0) == 0;
   155401     if ($tobool) {
   155402       $retval_0 = 23;
   155403       label = 8;
   155404       break;
   155405     } else {
   155406       label = 3;
   155407       break;
   155408     }
   155409    case 3:
   155410     $entity1 = $0 + 12 | 0;
   155411     $1 = HEAP32[$entity1 >> 2] | 0;
   155412     $textPtr = $1 + 4 | 0;
   155413     $2 = HEAP32[$textPtr >> 2] | 0;
   155414     $processed = $1 + 12 | 0;
   155415     $3 = HEAP32[$processed >> 2] | 0;
   155416     $add_ptr = $2 + $3 | 0;
   155417     $textLen = $1 + 8 | 0;
   155418     $4 = HEAP32[$textLen >> 2] | 0;
   155419     $add_ptr3 = $2 + $4 | 0;
   155420     $startTagLevel = $0 + 16 | 0;
   155421     $5 = HEAP32[$startTagLevel >> 2] | 0;
   155422     $m_internalEncoding = $parser + 224 | 0;
   155423     $6 = HEAP32[$m_internalEncoding >> 2] | 0;
   155424     $call = _doContent($parser, $5, $6, $add_ptr, $add_ptr3, $next, 0) | 0;
   155425     $cmp = ($call | 0) == 0;
   155426     if ($cmp) {
   155427       label = 4;
   155428       break;
   155429     } else {
   155430       $retval_0 = $call;
   155431       label = 8;
   155432       break;
   155433     }
   155434    case 4:
   155435     $7 = HEAP32[$next >> 2] | 0;
   155436     $cmp5 = ($add_ptr3 | 0) == ($7 | 0);
   155437     if ($cmp5) {
   155438       label = 7;
   155439       break;
   155440     } else {
   155441       label = 5;
   155442       break;
   155443     }
   155444    case 5:
   155445     $parsing = $parser + 464 | 0;
   155446     $8 = HEAP32[$parsing >> 2] | 0;
   155447     $cmp6 = ($8 | 0) == 3;
   155448     if ($cmp6) {
   155449       label = 6;
   155450       break;
   155451     } else {
   155452       label = 7;
   155453       break;
   155454     }
   155455    case 6:
   155456     $9 = HEAP32[$textPtr >> 2] | 0;
   155457     $sub_ptr_lhs_cast = $7;
   155458     $sub_ptr_rhs_cast = $9;
   155459     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   155460     HEAP32[$processed >> 2] = $sub_ptr_sub;
   155461     $retval_0 = $call;
   155462     label = 8;
   155463     break;
   155464    case 7:
   155465     $open = $1 + 32 | 0;
   155466     HEAP8[$open] = 0;
   155467     $next11 = $0 + 8 | 0;
   155468     $10 = HEAP32[$next11 >> 2] | 0;
   155469     HEAP32[$m_openInternalEntities >> 2] = $10;
   155470     $m_freeInternalEntities = $parser + 288 | 0;
   155471     $11 = HEAP32[$m_freeInternalEntities >> 2] | 0;
   155472     HEAP32[$next11 >> 2] = $11;
   155473     HEAP32[$m_freeInternalEntities >> 2] = $0;
   155474     $m_processor = $parser + 264 | 0;
   155475     HEAP32[$m_processor >> 2] = 122;
   155476     $m_parentParser = $parser + 460 | 0;
   155477     $12 = HEAP32[$m_parentParser >> 2] | 0;
   155478     $tobool17 = ($12 | 0) != 0;
   155479     $cond = $tobool17 & 1;
   155480     $m_encoding = $parser + 144 | 0;
   155481     $13 = HEAP32[$m_encoding >> 2] | 0;
   155482     $finalBuffer = $parser + 468 | 0;
   155483     $14 = HEAP8[$finalBuffer] | 0;
   155484     $lnot = $14 << 24 >> 24 == 0;
   155485     $conv = $lnot & 1;
   155486     $call20 = _doContent($parser, $cond, $13, $s, $end, $nextPtr, $conv) | 0;
   155487     $retval_0 = $call20;
   155488     label = 8;
   155489     break;
   155490    case 8:
   155491     STACKTOP = __stackBase__;
   155492     return $retval_0 | 0;
   155493   }
   155494   return 0;
   155495 }
   155496 function _handleUnknownEncoding($parser, $encodingName) {
   155497   $parser = $parser | 0;
   155498   $encodingName = $encodingName | 0;
   155499   var $info = 0, $m_unknownEncodingHandler = 0, $0 = 0, $tobool = 0, $info15 = 0, $convert = 0, $data = 0, $release = 0, $1 = 0, $m_unknownEncodingHandlerData = 0, $2 = 0, $call = 0, $tobool2 = 0, $malloc_fcn = 0, $3 = 0, $call5 = 0, $m_unknownEncodingMem = 0, $tobool7 = 0, $4 = 0, $tobool10 = 0, $5 = 0, $arraydecay = 0, $6 = 0, $7 = 0, $call20 = 0, $tobool21 = 0, $8 = 0, $m_unknownEncodingData = 0, $9 = 0, $m_unknownEncodingRelease = 0, $m_encoding = 0, $10 = 0, $cmp28 = 0, $11 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   155500   __stackBase__ = STACKTOP;
   155501   STACKTOP = STACKTOP + 1040 | 0;
   155502   label = 2;
   155503   while (1) switch (label | 0) {
   155504    case 2:
   155505     $info = __stackBase__ | 0;
   155506     $m_unknownEncodingHandler = $parser + 124 | 0;
   155507     $0 = HEAP32[$m_unknownEncodingHandler >> 2] | 0;
   155508     $tobool = ($0 | 0) == 0;
   155509     if ($tobool) {
   155510       $retval_0 = 18;
   155511       label = 11;
   155512       break;
   155513     } else {
   155514       label = 3;
   155515       break;
   155516     }
   155517    case 3:
   155518     $info15 = $info;
   155519     _memset($info15 | 0, -1 | 0, 1024);
   155520     $convert = $info + 1028 | 0;
   155521     HEAP32[$convert >> 2] = 0;
   155522     $data = $info + 1024 | 0;
   155523     HEAP32[$data >> 2] = 0;
   155524     $release = $info + 1032 | 0;
   155525     HEAP32[$release >> 2] = 0;
   155526     $1 = HEAP32[$m_unknownEncodingHandler >> 2] | 0;
   155527     $m_unknownEncodingHandlerData = $parser + 244 | 0;
   155528     $2 = HEAP32[$m_unknownEncodingHandlerData >> 2] | 0;
   155529     $call = FUNCTION_TABLE_iiii[$1 & 1023]($2, $encodingName, $info) | 0;
   155530     $tobool2 = ($call | 0) == 0;
   155531     if ($tobool2) {
   155532       label = 9;
   155533       break;
   155534     } else {
   155535       label = 4;
   155536       break;
   155537     }
   155538    case 4:
   155539     $malloc_fcn = $parser + 12 | 0;
   155540     $3 = HEAP32[$malloc_fcn >> 2] | 0;
   155541     $call5 = FUNCTION_TABLE_ii[$3 & 1023](1908) | 0;
   155542     $m_unknownEncodingMem = $parser + 236 | 0;
   155543     HEAP32[$m_unknownEncodingMem >> 2] = $call5;
   155544     $tobool7 = ($call5 | 0) == 0;
   155545     if ($tobool7) {
   155546       label = 5;
   155547       break;
   155548     } else {
   155549       label = 7;
   155550       break;
   155551     }
   155552    case 5:
   155553     $4 = HEAP32[$release >> 2] | 0;
   155554     $tobool10 = ($4 | 0) == 0;
   155555     if ($tobool10) {
   155556       $retval_0 = 1;
   155557       label = 11;
   155558       break;
   155559     } else {
   155560       label = 6;
   155561       break;
   155562     }
   155563    case 6:
   155564     $5 = HEAP32[$data >> 2] | 0;
   155565     FUNCTION_TABLE_vi[$4 & 1023]($5);
   155566     $retval_0 = 1;
   155567     label = 11;
   155568     break;
   155569    case 7:
   155570     $arraydecay = $info | 0;
   155571     $6 = HEAP32[$convert >> 2] | 0;
   155572     $7 = HEAP32[$data >> 2] | 0;
   155573     $call20 = _XmlInitUnknownEncoding($call5, $arraydecay, $6, $7) | 0;
   155574     $tobool21 = ($call20 | 0) == 0;
   155575     if ($tobool21) {
   155576       label = 9;
   155577       break;
   155578     } else {
   155579       label = 8;
   155580       break;
   155581     }
   155582    case 8:
   155583     $8 = HEAP32[$data >> 2] | 0;
   155584     $m_unknownEncodingData = $parser + 240 | 0;
   155585     HEAP32[$m_unknownEncodingData >> 2] = $8;
   155586     $9 = HEAP32[$release >> 2] | 0;
   155587     $m_unknownEncodingRelease = $parser + 248 | 0;
   155588     HEAP32[$m_unknownEncodingRelease >> 2] = $9;
   155589     $m_encoding = $parser + 144 | 0;
   155590     HEAP32[$m_encoding >> 2] = $call20;
   155591     $retval_0 = 0;
   155592     label = 11;
   155593     break;
   155594    case 9:
   155595     $10 = HEAP32[$release >> 2] | 0;
   155596     $cmp28 = ($10 | 0) == 0;
   155597     if ($cmp28) {
   155598       $retval_0 = 18;
   155599       label = 11;
   155600       break;
   155601     } else {
   155602       label = 10;
   155603       break;
   155604     }
   155605    case 10:
   155606     $11 = HEAP32[$data >> 2] | 0;
   155607     FUNCTION_TABLE_vi[$10 & 1023]($11);
   155608     $retval_0 = 18;
   155609     label = 11;
   155610     break;
   155611    case 11:
   155612     STACKTOP = __stackBase__;
   155613     return $retval_0 | 0;
   155614   }
   155615   return 0;
   155616 }
   155617 function _generate_hash_secret_salt() {
   155618   _srand(((_time(0) | 0) >>> 0) % 4294967295 | 0);
   155619   return _rand() | 0;
   155620 }
   155621 function _prologInitProcessor($parser, $s, $end, $nextPtr) {
   155622   $parser = $parser | 0;
   155623   $s = $s | 0;
   155624   $end = $end | 0;
   155625   $nextPtr = $nextPtr | 0;
   155626   var $call = 0, $cmp = 0, $m_processor = 0, $call1 = 0, $retval_0 = 0, label = 0;
   155627   label = 2;
   155628   while (1) switch (label | 0) {
   155629    case 2:
   155630     $call = _initializeEncoding($parser) | 0;
   155631     $cmp = ($call | 0) == 0;
   155632     if ($cmp) {
   155633       label = 3;
   155634       break;
   155635     } else {
   155636       $retval_0 = $call;
   155637       label = 4;
   155638       break;
   155639     }
   155640    case 3:
   155641     $m_processor = $parser + 264 | 0;
   155642     HEAP32[$m_processor >> 2] = 106;
   155643     $call1 = _prologProcessor($parser, $s, $end, $nextPtr) | 0;
   155644     $retval_0 = $call1;
   155645     label = 4;
   155646     break;
   155647    case 4:
   155648     return $retval_0 | 0;
   155649   }
   155650   return 0;
   155651 }
   155652 function _prologProcessor($parser, $s, $end, $nextPtr) {
   155653   $parser = $parser | 0;
   155654   $s = $s | 0;
   155655   $end = $end | 0;
   155656   $nextPtr = $nextPtr | 0;
   155657   var $next = 0, $m_encoding = 0, $0 = 0, $call = 0, $call3 = 0, __stackBase__ = 0;
   155658   __stackBase__ = STACKTOP;
   155659   STACKTOP = STACKTOP + 8 | 0;
   155660   $next = __stackBase__ | 0;
   155661   HEAP32[$next >> 2] = $s;
   155662   $m_encoding = $parser + 144 | 0;
   155663   $0 = HEAP32[$m_encoding >> 2] | 0;
   155664   $call = FUNCTION_TABLE_iiiii[HEAP32[$0 >> 2] & 1023]($0, $s, $end, $next) | 0;
   155665   $call3 = _doProlog($parser, HEAP32[$m_encoding >> 2] | 0, $s, $end, $call, HEAP32[$next >> 2] | 0, $nextPtr, (HEAP8[$parser + 468 | 0] | 0) == 0 & 1) | 0;
   155666   STACKTOP = __stackBase__;
   155667   return $call3 | 0;
   155668 }
   155669 function _doProlog($parser, $enc, $s, $end, $tok, $next, $nextPtr, $haveMore) {
   155670   $parser = $parser | 0;
   155671   $enc = $enc | 0;
   155672   $s = $s | 0;
   155673   $end = $end | 0;
   155674   $tok = $tok | 0;
   155675   $next = $next | 0;
   155676   $nextPtr = $nextPtr | 0;
   155677   $haveMore = $haveMore | 0;
   155678   var $next_addr = 0, $m_dtd = 0, $0 = 0, $m_encoding = 0, $1 = 0, $cmp = 0, $m_eventPtr = 0, $m_eventEndPtr = 0, $m_openInternalEntities = 0, $2 = 0, $internalEventPtr = 0, $internalEventEndPtr = 0, $eventEndPP_0_ph = 0, $eventPP_0_ph = 0, $tobool = 0, $m_prologState = 0, $handler = 0, $m_defaultHandler = 0, $parsing = 0, $m_startDoctypeDeclHandler = 0, $m_doctypeSysid = 0, $m_tempPool = 0, $m_doctypeName = 0, $ptr = 0, $start = 0, $m_doctypePubid = 0, $m_startDoctypeDeclHandler34 = 0, $m_handlerArg = 0, $m_doctypeName38 = 0, $m_doctypeSysid39 = 0, $m_doctypePubid40 = 0, $m_tempPool42 = 0, $hasParamEntityRefs = 0, $m_startDoctypeDeclHandler45 = 0, $m_tempPool52 = 0, $ptr60 = 0, $start62 = 0, $m_doctypePubid63 = 0, $keepProcessing = 0, $m_declEntity = 0, $pool = 0, $ptr87 = 0, $start89 = 0, $m_entityDeclHandler = 0, $m_doctypeName95 = 0, $m_endDoctypeDeclHandler = 0, $m_handlerArg108 = 0, $m_startDoctypeDeclHandler98 = 0, $m_handlerArg99 = 0, $m_doctypeSysid101 = 0, $m_doctypePubid102 = 0, $m_tempPool103 = 0, $m_declElementType = 0, $keepProcessing142 = 0, $m_attlistDeclHandler = 0, $m_declAttributeId = 0, $m_declAttributeIsCdata = 0, $m_declAttributeType = 0, $m_declAttributeIsId = 0, $m_declAttributeIsCdata125 = 0, $m_declAttributeType126 = 0, $m_declAttributeIsId128 = 0, $m_declAttributeType129 = 0, $m_declAttributeType131 = 0, $m_declAttributeType133 = 0, $m_declAttributeType135 = 0, $m_declAttributeType137 = 0, $m_declAttributeType139 = 0, $m_declAttributeType141 = 0, $keepProcessing150 = 0, $m_attlistDeclHandler154 = 0, $m_declAttributeType157 = 0, $m_tempPool164 = 0, $start175 = 0, $keepProcessing179 = 0, $m_declElementType182 = 0, $m_declAttributeId183 = 0, $m_declAttributeIsCdata184 = 0, $m_declAttributeIsId185 = 0, $m_attlistDeclHandler190 = 0, $m_declAttributeType193 = 0, $m_handlerArg249 = 0, $m_tempPool256 = 0, $m_tempPool210 = 0, $ptr211 = 0, $end213 = 0, $start241 = 0, $keepProcessing260 = 0, $m_declAttributeIsCdata264 = 0, $pool270 = 0, $start276 = 0, $ptr278 = 0, $m_declElementType281 = 0, $m_declAttributeId282 = 0, $m_attlistDeclHandler288 = 0, $m_declAttributeType291 = 0, $m_handlerArg352 = 0, $m_tempPool360 = 0, $m_tempPool310 = 0, $ptr311 = 0, $end313 = 0, $start344 = 0, $keepProcessing364 = 0, $m_declEntity374 = 0, $start377 = 0, $ptr406 = 0, $ptr380 = 0, $m_entityDeclHandler388 = 0, $m_handlerArg392 = 0, $m_curBase = 0, $hasParamEntityRefs414 = 0, $m_startDoctypeDeclHandler415 = 0, $standalone = 0, $m_notStandaloneHandler = 0, $m_handlerArg441 = 0, $m_tempPool418 = 0, $m_doctypeSysid425 = 0, $ptr432 = 0, $start434 = 0, $keepProcessing447 = 0, $m_declEntity451 = 0, $pool454 = 0, $m_curBase467 = 0, $ptr470 = 0, $start472 = 0, $m_entityDeclHandler473 = 0, $keepProcessing479 = 0, $m_declEntity483 = 0, $m_entityDeclHandler486 = 0, $m_handlerArg490 = 0, $keepProcessing504 = 0, $m_declEntity508 = 0, $pool511 = 0, $ptr520 = 0, $start522 = 0, $m_unparsedEntityDeclHandler = 0, $m_entityDeclHandler538 = 0, $m_handlerArg542 = 0, $m_handlerArg526 = 0, $keepProcessing562 = 0, $start608 = 0, $ptr610 = 0, $m_declEntity611 = 0, $pool566 = 0, $generalEntities = 0, $m_declEntity572 = 0, $ptr589 = 0, $start591 = 0, $m_parentParser = 0, $m_openInternalEntities597 = 0, $m_entityDeclHandler601 = 0, $start583 = 0, $ptr585 = 0, $m_declEntity560 = 0, $m_declEntity614 = 0, $m_declNotationPublicId = 0, $m_declNotationName = 0, $m_notationDeclHandler = 0, $m_tempPool618 = 0, $ptr626 = 0, $start628 = 0, $m_declNotationName636 = 0, $m_tempPool640 = 0, $m_declNotationPublicId650 = 0, $ptr652 = 0, $start654 = 0, $m_declNotationName657 = 0, $m_tempPool680 = 0, $m_notationDeclHandler660 = 0, $m_tempPool664 = 0, $m_handlerArg675 = 0, $m_curBase677 = 0, $m_declNotationPublicId678 = 0, $m_declNotationPublicId682 = 0, $m_tempPool694 = 0, $m_notationDeclHandler685 = 0, $m_handlerArg689 = 0, $m_declNotationName690 = 0, $m_curBase691 = 0, $level = 0, $m_groupSize = 0, $m_groupConnector742 = 0, $in_eldecl = 0, $scaffLevel = 0, $scaffIndex751 = 0, $scaffold = 0, $m_elementDeclHandler = 0, $malloc_fcn = 0, $m_groupConnector733 = 0, $realloc_fcn = 0, $m_groupConnector = 0, $scaffIndex = 0, $level761 = 0, $m_groupConnector762 = 0, $in_eldecl773 = 0, $m_elementDeclHandler777 = 0, $level783 = 0, $m_groupConnector784 = 0, $in_eldecl791 = 0, $scaffLevel801 = 0, $scaffIndex803 = 0, $scaffold805 = 0, $m_elementDeclHandler818 = 0, $standalone828 = 0, $m_notStandaloneHandler831 = 0, $m_handlerArg835 = 0, $m_elementDeclHandler841 = 0, $m_declElementType845 = 0, $scaffLevel850 = 0, $scaffCount = 0, $in_eldecl851 = 0, $in_eldecl854 = 0, $m_elementDeclHandler857 = 0, $malloc_fcn861 = 0, $m_handlerArg873 = 0, $m_declElementType874 = 0, $in_eldecl880 = 0, $scaffLevel883 = 0, $scaffIndex885 = 0, $scaffold887 = 0, $m_elementDeclHandler890 = 0, $in_eldecl899 = 0, $scaffold917 = 0, $contentStringLen = 0, $m_elementDeclHandler935 = 0, $in_eldecl944 = 0, $m_elementDeclHandler947 = 0, $scaffLevel951 = 0, $scaffIndex953 = 0, $scaffold955 = 0, $contentStringLen974 = 0, $m_handlerArg969 = 0, $m_declElementType970 = 0, $m_startDoctypeDeclHandler991 = 0, $keepProcessing996 = 0, $m_entityDeclHandler1000 = 0, $m_notationDeclHandler1005 = 0, $keepProcessing1010 = 0, $m_attlistDeclHandler1014 = 0, $m_elementDeclHandler1019 = 0, $tok_addr_0 = 0, $s_addr_0 = 0, $enc_addr_0 = 0, $3 = 0, $cmp2 = 0, $cmp4 = 0, $or_cond = 0, $4 = 0, $sub = 0, $sub12 = 0, $tok_addr_1 = 0, $5 = 0, $6 = 0, $call = 0, $7 = 0, $call16 = 0, $cmp17 = 0, $8 = 0, $9 = 0, $tobool23 = 0, $10 = 0, $call25 = 0, $tobool27 = 0, $11 = 0, $handleDefault_0 = 0, $12 = 0, $tobool35 = 0, $13 = 0, $14 = 0, $15 = 0, $16 = 0, $17 = 0, $tobool46 = 0, $isPublicId = 0, $18 = 0, $19 = 0, $call48 = 0, $tobool49 = 0, $minBytesPerChar = 0, $20 = 0, $add_ptr = 0, $21 = 0, $idx_neg = 0, $add_ptr54 = 0, $call55 = 0, $tobool56 = 0, $22 = 0, $isPublicId66 = 0, $23 = 0, $24 = 0, $call67 = 0, $tobool68 = 0, $handleDefault_1 = 0, $25 = 0, $tobool72 = 0, $26 = 0, $tobool74 = 0, $minBytesPerChar76 = 0, $27 = 0, $add_ptr77 = 0, $28 = 0, $idx_neg79 = 0, $add_ptr80 = 0, $call81 = 0, $tobool82 = 0, $29 = 0, $publicId = 0, $30 = 0, $31 = 0, $tobool90 = 0, $handleDefault_1_ = 0, $32 = 0, $tobool96 = 0, $33 = 0, $34 = 0, $35 = 0, $36 = 0, $handleDefault_2 = 0, $37 = 0, $tobool105 = 0, $38 = 0, $m_processor = 0, $call111 = 0, $39 = 0, $call113 = 0, $tobool115 = 0, $40 = 0, $call119 = 0, $tobool121 = 0, $41 = 0, $tobool144 = 0, $42 = 0, $tobool146 = 0, $_ = 0, $43 = 0, $tobool152 = 0, $44 = 0, $tobool155 = 0, $45 = 0, $tobool158 = 0, $cmp161 = 0, $cond = 0, $prefix_0 = 0, $call165 = 0, $tobool166 = 0, $46 = 0, $call170 = 0, $tobool171 = 0, $47 = 0, $48 = 0, $tobool180 = 0, $49 = 0, $50 = 0, $51 = 0, $52 = 0, $call186 = 0, $tobool187 = 0, $53 = 0, $tobool191 = 0, $54 = 0, $tobool194 = 0, $55 = 0, $arrayidx = 0, $56 = 0, $cmp207 = 0, $57 = 0, $58 = 0, $cmp214 = 0, $call218 = 0, $tobool219 = 0, $59 = 0, $incdec_ptr = 0, $60 = 0, $61 = 0, $cmp227 = 0, $call231 = 0, $tobool232 = 0, $62 = 0, $incdec_ptr237 = 0, $63 = 0, $64 = 0, $65 = 0, $66 = 0, $67 = 0, $name = 0, $68 = 0, $69 = 0, $name252 = 0, $70 = 0, $71 = 0, $cmp254 = 0, $conv255 = 0, $72 = 0, $tobool261 = 0, $73 = 0, $minBytesPerChar265 = 0, $74 = 0, $add_ptr266 = 0, $75 = 0, $idx_neg268 = 0, $add_ptr269 = 0, $call271 = 0, $tobool272 = 0, $76 = 0, $77 = 0, $78 = 0, $79 = 0, $80 = 0, $call284 = 0, $tobool285 = 0, $81 = 0, $tobool289 = 0, $82 = 0, $tobool292 = 0, $83 = 0, $arrayidx305 = 0, $84 = 0, $cmp307 = 0, $85 = 0, $86 = 0, $cmp314 = 0, $call318 = 0, $tobool319 = 0, $87 = 0, $incdec_ptr324 = 0, $88 = 0, $89 = 0, $cmp330 = 0, $call334 = 0, $tobool335 = 0, $90 = 0, $incdec_ptr340 = 0, $91 = 0, $92 = 0, $93 = 0, $94 = 0, $95 = 0, $name354 = 0, $96 = 0, $97 = 0, $name356 = 0, $98 = 0, $99 = 0, $cmp358 = 0, $conv359 = 0, $100 = 0, $tobool365 = 0, $minBytesPerChar368 = 0, $101 = 0, $add_ptr369 = 0, $102 = 0, $idx_neg371 = 0, $add_ptr372 = 0, $call373 = 0, $103 = 0, $tobool375 = 0, $104 = 0, $textPtr = 0, $105 = 0, $106 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $107 = 0, $textLen = 0, $108 = 0, $109 = 0, $tobool389 = 0, $110 = 0, $111 = 0, $112 = 0, $name394 = 0, $113 = 0, $is_param = 0, $114 = 0, $conv396 = 0, $textPtr398 = 0, $115 = 0, $textLen400 = 0, $116 = 0, $117 = 0, $handleDefault_3 = 0, $cmp408 = 0, $118 = 0, $tobool416 = 0, $minBytesPerChar419 = 0, $119 = 0, $add_ptr420 = 0, $120 = 0, $idx_neg422 = 0, $add_ptr423 = 0, $call424 = 0, $cmp427 = 0, $121 = 0, $handleDefault_4 = 0, $122 = 0, $tobool436 = 0, $123 = 0, $tobool438 = 0, $124 = 0, $call442 = 0, $tobool443 = 0, $125 = 0, $tobool449 = 0, $126 = 0, $tobool452 = 0, $minBytesPerChar455 = 0, $127 = 0, $add_ptr456 = 0, $128 = 0, $idx_neg458 = 0, $add_ptr459 = 0, $call460 = 0, $129 = 0, $systemId = 0, $130 = 0, $systemId463 = 0, $131 = 0, $tobool464 = 0, $132 = 0, $base = 0, $133 = 0, $134 = 0, $tobool474 = 0, $_515 = 0, $135 = 0, $tobool481 = 0, $136 = 0, $tobool484 = 0, $137 = 0, $tobool487 = 0, $138 = 0, $139 = 0, $140 = 0, $name492 = 0, $141 = 0, $is_param494 = 0, $142 = 0, $conv495 = 0, $base497 = 0, $143 = 0, $systemId499 = 0, $144 = 0, $publicId501 = 0, $145 = 0, $146 = 0, $tobool506 = 0, $147 = 0, $tobool509 = 0, $148 = 0, $call512 = 0, $149 = 0, $notation = 0, $150 = 0, $notation515 = 0, $151 = 0, $tobool516 = 0, $152 = 0, $153 = 0, $tobool523 = 0, $154 = 0, $155 = 0, $156 = 0, $name528 = 0, $157 = 0, $base530 = 0, $158 = 0, $systemId532 = 0, $159 = 0, $publicId534 = 0, $160 = 0, $notation536 = 0, $161 = 0, $162 = 0, $tobool539 = 0, $163 = 0, $164 = 0, $165 = 0, $name544 = 0, $166 = 0, $base546 = 0, $167 = 0, $systemId548 = 0, $168 = 0, $publicId550 = 0, $169 = 0, $notation552 = 0, $170 = 0, $predefinedEntityName = 0, $171 = 0, $172 = 0, $call557 = 0, $tobool558 = 0, $173 = 0, $tobool563 = 0, $174 = 0, $call567 = 0, $tobool568 = 0, $call571 = 0, $175 = 0, $tobool574 = 0, $name578 = 0, $176 = 0, $cmp579 = 0, $177 = 0, $178 = 0, $179 = 0, $publicId593 = 0, $180 = 0, $is_param595 = 0, $181 = 0, $tobool596 = 0, $182 = 0, $phitmp = 0, $phitmp514 = 0, $183 = 0, $184 = 0, $is_internal = 0, $185 = 0, $tobool602 = 0, $_516 = 0, $186 = 0, $187 = 0, $tobool616 = 0, $188 = 0, $call619 = 0, $tobool622 = 0, $189 = 0, $isPublicId631 = 0, $190 = 0, $191 = 0, $call632 = 0, $tobool633 = 0, $192 = 0, $tobool637 = 0, $minBytesPerChar641 = 0, $193 = 0, $add_ptr642 = 0, $194 = 0, $idx_neg644 = 0, $add_ptr645 = 0, $call646 = 0, $tobool647 = 0, $195 = 0, $196 = 0, $tobool658 = 0, $197 = 0, $tobool661 = 0, $minBytesPerChar665 = 0, $198 = 0, $add_ptr666 = 0, $199 = 0, $idx_neg668 = 0, $add_ptr669 = 0, $call670 = 0, $tobool671 = 0, $200 = 0, $201 = 0, $202 = 0, $203 = 0, $204 = 0, $handleDefault_5 = 0, $205 = 0, $tobool683 = 0, $206 = 0, $tobool686 = 0, $207 = 0, $208 = 0, $209 = 0, $210 = 0, $211 = 0, $handleDefault_6 = 0, $212 = 0, $213 = 0, $cmp701 = 0, $tobool705 = 0, $214 = 0, $215 = 0, $mul = 0, $call708 = 0, $cmp709 = 0, $216 = 0, $tobool714 = 0, $217 = 0, $218 = 0, $219 = 0, $mul721 = 0, $call722 = 0, $cmp723 = 0, $220 = 0, $221 = 0, $call732 = 0, $tobool735 = 0, $222 = 0, $223 = 0, $arrayidx743 = 0, $224 = 0, $tobool744 = 0, $call746 = 0, $cmp747 = 0, $225 = 0, $226 = 0, $arrayidx752 = 0, $227 = 0, $inc = 0, $228 = 0, $type = 0, $229 = 0, $tobool755 = 0, $_517 = 0, $230 = 0, $231 = 0, $arrayidx763 = 0, $232 = 0, $cmp765 = 0, $233 = 0, $tobool775 = 0, $234 = 0, $tobool778 = 0, $_518 = 0, $235 = 0, $236 = 0, $arrayidx785 = 0, $237 = 0, $cmp787 = 0, $238 = 0, $tobool793 = 0, $tobool799 = 0, $or_cond519 = 0, $239 = 0, $sub802 = 0, $240 = 0, $arrayidx804 = 0, $241 = 0, $242 = 0, $type807 = 0, $243 = 0, $cmp808 = 0, $244 = 0, $tobool819 = 0, $_520 = 0, $handleDefault_7 = 0, $245 = 0, $246 = 0, $arrayidx826 = 0, $247 = 0, $tobool829 = 0, $248 = 0, $tobool832 = 0, $249 = 0, $call836 = 0, $tobool837 = 0, $250 = 0, $tobool842 = 0, $251 = 0, $call844 = 0, $tobool847 = 0, $252 = 0, $tobool855 = 0, $253 = 0, $tobool858 = 0, $254 = 0, $call862 = 0, $tobool863 = 0, $255 = 0, $quant866 = 0, $cmp868 = 0, $cond870 = 0, $type871 = 0, $256 = 0, $257 = 0, $258 = 0, $name875 = 0, $259 = 0, $handleDefault_8 = 0, $260 = 0, $tobool881 = 0, $261 = 0, $sub884 = 0, $262 = 0, $arrayidx886 = 0, $263 = 0, $264 = 0, $type889 = 0, $265 = 0, $tobool891 = 0, $_521 = 0, $quant_0 = 0, $266 = 0, $tobool900 = 0, $cmp903 = 0, $267 = 0, $minBytesPerChar907 = 0, $268 = 0, $idx_neg908 = 0, $add_ptr909 = 0, $cond910 = 0, $call912 = 0, $cmp913 = 0, $269 = 0, $type919 = 0, $270 = 0, $quant922 = 0, $call923 = 0, $tobool924 = 0, $name927 = 0, $271 = 0, $272 = 0, $name930 = 0, $nameLen_0 = 0, $inc932 = 0, $arrayidx933 = 0, $273 = 0, $tobool934 = 0, $274 = 0, $add = 0, $275 = 0, $tobool936 = 0, $_522 = 0, $quant_1 = 0, $276 = 0, $tobool945 = 0, $277 = 0, $tobool948 = 0, $_523 = 0, $278 = 0, $dec = 0, $279 = 0, $arrayidx954 = 0, $280 = 0, $281 = 0, $quant957 = 0, $282 = 0, $cmp959 = 0, $call964 = 0, $tobool965 = 0, $283 = 0, $284 = 0, $285 = 0, $name971 = 0, $286 = 0, $287 = 0, $call978 = 0, $tobool979 = 0, $288 = 0, $call983 = 0, $tobool984 = 0, $not_cond1 = 0, $_524 = 0, $289 = 0, $tobool992 = 0, $_525 = 0, $290 = 0, $tobool998 = 0, $291 = 0, $tobool1001 = 0, $_526 = 0, $292 = 0, $tobool1006 = 0, $_527 = 0, $293 = 0, $tobool1012 = 0, $294 = 0, $tobool1015 = 0, $_528 = 0, $295 = 0, $tobool1020 = 0, $_529 = 0, $handleDefault_10 = 0, $tobool1025 = 0, $296 = 0, $tobool1027 = 0, $297 = 0, $enc_addr_1532 = 0, $298 = 0, $299 = 0, $300 = 0, $arrayidx1033 = 0, $301 = 0, $call1034 = 0, $retval_0_ph = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   155679   __stackBase__ = STACKTOP;
   155680   STACKTOP = STACKTOP + 8 | 0;
   155681   label = 2;
   155682   while (1) switch (label | 0) {
   155683    case 2:
   155684     $next_addr = __stackBase__ | 0;
   155685     HEAP32[$next_addr >> 2] = $next;
   155686     $m_dtd = $parser + 340 | 0;
   155687     $0 = HEAP32[$m_dtd >> 2] | 0;
   155688     $m_encoding = $parser + 144 | 0;
   155689     $1 = HEAP32[$m_encoding >> 2] | 0;
   155690     $cmp = ($1 | 0) == ($enc | 0);
   155691     if ($cmp) {
   155692       label = 3;
   155693       break;
   155694     } else {
   155695       label = 4;
   155696       break;
   155697     }
   155698    case 3:
   155699     $m_eventPtr = $parser + 272 | 0;
   155700     $m_eventEndPtr = $parser + 276 | 0;
   155701     $eventPP_0_ph = $m_eventPtr;
   155702     $eventEndPP_0_ph = $m_eventEndPtr;
   155703     label = 5;
   155704     break;
   155705    case 4:
   155706     $m_openInternalEntities = $parser + 284 | 0;
   155707     $2 = HEAP32[$m_openInternalEntities >> 2] | 0;
   155708     $internalEventPtr = $2 | 0;
   155709     $internalEventEndPtr = $2 + 4 | 0;
   155710     $eventPP_0_ph = $internalEventPtr;
   155711     $eventEndPP_0_ph = $internalEventEndPtr;
   155712     label = 5;
   155713     break;
   155714    case 5:
   155715     $tobool = $haveMore << 24 >> 24 == 0;
   155716     $m_prologState = $parser + 252 | 0;
   155717     $handler = $m_prologState | 0;
   155718     $m_defaultHandler = $parser + 80 | 0;
   155719     $parsing = $parser + 464 | 0;
   155720     $m_startDoctypeDeclHandler = $parser + 84 | 0;
   155721     $m_doctypeSysid = $parser + 308 | 0;
   155722     $m_tempPool = $parser + 400 | 0;
   155723     $m_doctypeName = $parser + 304 | 0;
   155724     $ptr = $parser + 412 | 0;
   155725     $start = $parser + 416 | 0;
   155726     $m_doctypePubid = $parser + 312 | 0;
   155727     $m_startDoctypeDeclHandler34 = $parser + 84 | 0;
   155728     $m_handlerArg = $parser + 4 | 0;
   155729     $m_doctypeName38 = $parser + 304 | 0;
   155730     $m_doctypeSysid39 = $parser + 308 | 0;
   155731     $m_doctypePubid40 = $parser + 312 | 0;
   155732     $m_tempPool42 = $parser + 400 | 0;
   155733     $hasParamEntityRefs = $0 + 129 | 0;
   155734     $m_startDoctypeDeclHandler45 = $parser + 84 | 0;
   155735     $m_tempPool52 = $parser + 400 | 0;
   155736     $ptr60 = $parser + 412 | 0;
   155737     $start62 = $parser + 416 | 0;
   155738     $m_doctypePubid63 = $parser + 312 | 0;
   155739     $keepProcessing = $0 + 128 | 0;
   155740     $m_declEntity = $parser + 300 | 0;
   155741     $pool = $0 + 80 | 0;
   155742     $ptr87 = $0 + 92 | 0;
   155743     $start89 = $0 + 96 | 0;
   155744     $m_entityDeclHandler = $parser + 136 | 0;
   155745     $m_doctypeName95 = $parser + 304 | 0;
   155746     $m_endDoctypeDeclHandler = $parser + 88 | 0;
   155747     $m_handlerArg108 = $parser + 4 | 0;
   155748     $m_startDoctypeDeclHandler98 = $parser + 84 | 0;
   155749     $m_handlerArg99 = $parser + 4 | 0;
   155750     $m_doctypeSysid101 = $parser + 308 | 0;
   155751     $m_doctypePubid102 = $parser + 312 | 0;
   155752     $m_tempPool103 = $parser + 400 | 0;
   155753     $m_declElementType = $parser + 328 | 0;
   155754     $keepProcessing142 = $0 + 128 | 0;
   155755     $m_attlistDeclHandler = $parser + 132 | 0;
   155756     $m_declAttributeId = $parser + 332 | 0;
   155757     $m_declAttributeIsCdata = $parser + 336 | 0;
   155758     $m_declAttributeType = $parser + 316 | 0;
   155759     $m_declAttributeIsId = $parser + 337 | 0;
   155760     $m_declAttributeIsCdata125 = $parser + 336 | 0;
   155761     $m_declAttributeType126 = $parser + 316 | 0;
   155762     $m_declAttributeIsId128 = $parser + 337 | 0;
   155763     $m_declAttributeType129 = $parser + 316 | 0;
   155764     $m_declAttributeType131 = $parser + 316 | 0;
   155765     $m_declAttributeType133 = $parser + 316 | 0;
   155766     $m_declAttributeType135 = $parser + 316 | 0;
   155767     $m_declAttributeType137 = $parser + 316 | 0;
   155768     $m_declAttributeType139 = $parser + 316 | 0;
   155769     $m_declAttributeType141 = $parser + 316 | 0;
   155770     $keepProcessing150 = $0 + 128 | 0;
   155771     $m_attlistDeclHandler154 = $parser + 132 | 0;
   155772     $m_declAttributeType157 = $parser + 316 | 0;
   155773     $m_tempPool164 = $parser + 400 | 0;
   155774     $start175 = $parser + 416 | 0;
   155775     $keepProcessing179 = $0 + 128 | 0;
   155776     $m_declElementType182 = $parser + 328 | 0;
   155777     $m_declAttributeId183 = $parser + 332 | 0;
   155778     $m_declAttributeIsCdata184 = $parser + 336 | 0;
   155779     $m_declAttributeIsId185 = $parser + 337 | 0;
   155780     $m_attlistDeclHandler190 = $parser + 132 | 0;
   155781     $m_declAttributeType193 = $parser + 316 | 0;
   155782     $m_handlerArg249 = $parser + 4 | 0;
   155783     $m_tempPool256 = $parser + 400 | 0;
   155784     $m_tempPool210 = $parser + 400 | 0;
   155785     $ptr211 = $parser + 412 | 0;
   155786     $end213 = $parser + 408 | 0;
   155787     $start241 = $parser + 416 | 0;
   155788     $keepProcessing260 = $0 + 128 | 0;
   155789     $m_declAttributeIsCdata264 = $parser + 336 | 0;
   155790     $pool270 = $0 + 80 | 0;
   155791     $start276 = $0 + 96 | 0;
   155792     $ptr278 = $0 + 92 | 0;
   155793     $m_declElementType281 = $parser + 328 | 0;
   155794     $m_declAttributeId282 = $parser + 332 | 0;
   155795     $m_attlistDeclHandler288 = $parser + 132 | 0;
   155796     $m_declAttributeType291 = $parser + 316 | 0;
   155797     $m_handlerArg352 = $parser + 4 | 0;
   155798     $m_tempPool360 = $parser + 400 | 0;
   155799     $m_tempPool310 = $parser + 400 | 0;
   155800     $ptr311 = $parser + 412 | 0;
   155801     $end313 = $parser + 408 | 0;
   155802     $start344 = $parser + 416 | 0;
   155803     $keepProcessing364 = $0 + 128 | 0;
   155804     $m_declEntity374 = $parser + 300 | 0;
   155805     $start377 = $0 + 120 | 0;
   155806     $ptr406 = $0 + 116 | 0;
   155807     $ptr380 = $0 + 116 | 0;
   155808     $m_entityDeclHandler388 = $parser + 136 | 0;
   155809     $m_handlerArg392 = $parser + 4 | 0;
   155810     $m_curBase = $parser + 344 | 0;
   155811     $hasParamEntityRefs414 = $0 + 129 | 0;
   155812     $m_startDoctypeDeclHandler415 = $parser + 84 | 0;
   155813     $standalone = $0 + 130 | 0;
   155814     $m_notStandaloneHandler = $parser + 108 | 0;
   155815     $m_handlerArg441 = $parser + 4 | 0;
   155816     $m_tempPool418 = $parser + 400 | 0;
   155817     $m_doctypeSysid425 = $parser + 308 | 0;
   155818     $ptr432 = $parser + 412 | 0;
   155819     $start434 = $parser + 416 | 0;
   155820     $keepProcessing447 = $0 + 128 | 0;
   155821     $m_declEntity451 = $parser + 300 | 0;
   155822     $pool454 = $0 + 80 | 0;
   155823     $m_curBase467 = $parser + 344 | 0;
   155824     $ptr470 = $0 + 92 | 0;
   155825     $start472 = $0 + 96 | 0;
   155826     $m_entityDeclHandler473 = $parser + 136 | 0;
   155827     $keepProcessing479 = $0 + 128 | 0;
   155828     $m_declEntity483 = $parser + 300 | 0;
   155829     $m_entityDeclHandler486 = $parser + 136 | 0;
   155830     $m_handlerArg490 = $parser + 4 | 0;
   155831     $keepProcessing504 = $0 + 128 | 0;
   155832     $m_declEntity508 = $parser + 300 | 0;
   155833     $pool511 = $0 + 80 | 0;
   155834     $ptr520 = $0 + 92 | 0;
   155835     $start522 = $0 + 96 | 0;
   155836     $m_unparsedEntityDeclHandler = $parser + 92 | 0;
   155837     $m_entityDeclHandler538 = $parser + 136 | 0;
   155838     $m_handlerArg542 = $parser + 4 | 0;
   155839     $m_handlerArg526 = $parser + 4 | 0;
   155840     $keepProcessing562 = $0 + 128 | 0;
   155841     $start608 = $0 + 96 | 0;
   155842     $ptr610 = $0 + 92 | 0;
   155843     $m_declEntity611 = $parser + 300 | 0;
   155844     $pool566 = $0 + 80 | 0;
   155845     $generalEntities = $0 | 0;
   155846     $m_declEntity572 = $parser + 300 | 0;
   155847     $ptr589 = $0 + 92 | 0;
   155848     $start591 = $0 + 96 | 0;
   155849     $m_parentParser = $parser + 460 | 0;
   155850     $m_openInternalEntities597 = $parser + 284 | 0;
   155851     $m_entityDeclHandler601 = $parser + 136 | 0;
   155852     $start583 = $0 + 96 | 0;
   155853     $ptr585 = $0 + 92 | 0;
   155854     $m_declEntity560 = $parser + 300 | 0;
   155855     $m_declEntity614 = $parser + 300 | 0;
   155856     $m_declNotationPublicId = $parser + 324 | 0;
   155857     $m_declNotationName = $parser + 320 | 0;
   155858     $m_notationDeclHandler = $parser + 96 | 0;
   155859     $m_tempPool618 = $parser + 400 | 0;
   155860     $ptr626 = $parser + 412 | 0;
   155861     $start628 = $parser + 416 | 0;
   155862     $m_declNotationName636 = $parser + 320 | 0;
   155863     $m_tempPool640 = $parser + 400 | 0;
   155864     $m_declNotationPublicId650 = $parser + 324 | 0;
   155865     $ptr652 = $parser + 412 | 0;
   155866     $start654 = $parser + 416 | 0;
   155867     $m_declNotationName657 = $parser + 320 | 0;
   155868     $m_tempPool680 = $parser + 400 | 0;
   155869     $m_notationDeclHandler660 = $parser + 96 | 0;
   155870     $m_tempPool664 = $parser + 400 | 0;
   155871     $m_handlerArg675 = $parser + 4 | 0;
   155872     $m_curBase677 = $parser + 344 | 0;
   155873     $m_declNotationPublicId678 = $parser + 324 | 0;
   155874     $m_declNotationPublicId682 = $parser + 324 | 0;
   155875     $m_tempPool694 = $parser + 400 | 0;
   155876     $m_notationDeclHandler685 = $parser + 96 | 0;
   155877     $m_handlerArg689 = $parser + 4 | 0;
   155878     $m_declNotationName690 = $parser + 320 | 0;
   155879     $m_curBase691 = $parser + 344 | 0;
   155880     $level = $parser + 256 | 0;
   155881     $m_groupSize = $parser + 452 | 0;
   155882     $m_groupConnector742 = $parser + 448 | 0;
   155883     $in_eldecl = $0 + 140 | 0;
   155884     $scaffLevel = $0 + 160 | 0;
   155885     $scaffIndex751 = $0 + 164 | 0;
   155886     $scaffold = $0 + 144 | 0;
   155887     $m_elementDeclHandler = $parser + 128 | 0;
   155888     $malloc_fcn = $parser + 12 | 0;
   155889     $m_groupConnector733 = $parser + 448 | 0;
   155890     $realloc_fcn = $parser + 16 | 0;
   155891     $m_groupConnector = $parser + 448 | 0;
   155892     $scaffIndex = $0 + 164 | 0;
   155893     $level761 = $parser + 256 | 0;
   155894     $m_groupConnector762 = $parser + 448 | 0;
   155895     $in_eldecl773 = $0 + 140 | 0;
   155896     $m_elementDeclHandler777 = $parser + 128 | 0;
   155897     $level783 = $parser + 256 | 0;
   155898     $m_groupConnector784 = $parser + 448 | 0;
   155899     $in_eldecl791 = $0 + 140 | 0;
   155900     $scaffLevel801 = $0 + 160 | 0;
   155901     $scaffIndex803 = $0 + 164 | 0;
   155902     $scaffold805 = $0 + 144 | 0;
   155903     $m_elementDeclHandler818 = $parser + 128 | 0;
   155904     $standalone828 = $0 + 130 | 0;
   155905     $m_notStandaloneHandler831 = $parser + 108 | 0;
   155906     $m_handlerArg835 = $parser + 4 | 0;
   155907     $m_elementDeclHandler841 = $parser + 128 | 0;
   155908     $m_declElementType845 = $parser + 328 | 0;
   155909     $scaffLevel850 = $0 + 160 | 0;
   155910     $scaffCount = $0 + 156 | 0;
   155911     $in_eldecl851 = $0 + 140 | 0;
   155912     $in_eldecl854 = $0 + 140 | 0;
   155913     $m_elementDeclHandler857 = $parser + 128 | 0;
   155914     $malloc_fcn861 = $parser + 12 | 0;
   155915     $m_handlerArg873 = $parser + 4 | 0;
   155916     $m_declElementType874 = $parser + 328 | 0;
   155917     $in_eldecl880 = $0 + 140 | 0;
   155918     $scaffLevel883 = $0 + 160 | 0;
   155919     $scaffIndex885 = $0 + 164 | 0;
   155920     $scaffold887 = $0 + 144 | 0;
   155921     $m_elementDeclHandler890 = $parser + 128 | 0;
   155922     $in_eldecl899 = $0 + 140 | 0;
   155923     $scaffold917 = $0 + 144 | 0;
   155924     $contentStringLen = $0 + 148 | 0;
   155925     $m_elementDeclHandler935 = $parser + 128 | 0;
   155926     $in_eldecl944 = $0 + 140 | 0;
   155927     $m_elementDeclHandler947 = $parser + 128 | 0;
   155928     $scaffLevel951 = $0 + 160 | 0;
   155929     $scaffIndex953 = $0 + 164 | 0;
   155930     $scaffold955 = $0 + 144 | 0;
   155931     $contentStringLen974 = $0 + 148 | 0;
   155932     $m_handlerArg969 = $parser + 4 | 0;
   155933     $m_declElementType970 = $parser + 328 | 0;
   155934     $m_startDoctypeDeclHandler991 = $parser + 84 | 0;
   155935     $keepProcessing996 = $0 + 128 | 0;
   155936     $m_entityDeclHandler1000 = $parser + 136 | 0;
   155937     $m_notationDeclHandler1005 = $parser + 96 | 0;
   155938     $keepProcessing1010 = $0 + 128 | 0;
   155939     $m_attlistDeclHandler1014 = $parser + 132 | 0;
   155940     $m_elementDeclHandler1019 = $parser + 128 | 0;
   155941     $enc_addr_0 = $enc;
   155942     $s_addr_0 = $s;
   155943     $tok_addr_0 = $tok;
   155944     label = 6;
   155945     break;
   155946    case 6:
   155947     HEAP32[$eventPP_0_ph >> 2] = $s_addr_0;
   155948     $3 = HEAP32[$next_addr >> 2] | 0;
   155949     HEAP32[$eventEndPP_0_ph >> 2] = $3;
   155950     $cmp2 = ($tok_addr_0 | 0) < 1;
   155951     if ($cmp2) {
   155952       label = 7;
   155953       break;
   155954     } else {
   155955       $tok_addr_1 = $tok_addr_0;
   155956       label = 14;
   155957       break;
   155958     }
   155959    case 7:
   155960     $cmp4 = ($tok_addr_0 | 0) == 0;
   155961     $or_cond = $tobool | $cmp4;
   155962     if ($or_cond) {
   155963       label = 9;
   155964       break;
   155965     } else {
   155966       label = 8;
   155967       break;
   155968     }
   155969    case 8:
   155970     HEAP32[$nextPtr >> 2] = $s_addr_0;
   155971     $retval_0 = 0;
   155972     label = 208;
   155973     break;
   155974    case 9:
   155975     if (($tok_addr_0 | 0) == 0) {
   155976       label = 10;
   155977       break;
   155978     } else if (($tok_addr_0 | 0) == (-15 | 0)) {
   155979       label = 11;
   155980       break;
   155981     } else if (($tok_addr_0 | 0) == (-4 | 0)) {
   155982       label = 12;
   155983       break;
   155984     } else if (($tok_addr_0 | 0) == (-1 | 0)) {
   155985       $retval_0_ph = 5;
   155986       label = 207;
   155987       break;
   155988     } else if (($tok_addr_0 | 0) == (-2 | 0)) {
   155989       $retval_0 = 6;
   155990       label = 208;
   155991       break;
   155992     } else {
   155993       label = 13;
   155994       break;
   155995     }
   155996    case 10:
   155997     $4 = HEAP32[$next_addr >> 2] | 0;
   155998     HEAP32[$eventPP_0_ph >> 2] = $4;
   155999     $retval_0 = 4;
   156000     label = 208;
   156001     break;
   156002    case 11:
   156003     $sub = -$tok_addr_0 | 0;
   156004     $tok_addr_1 = $sub;
   156005     label = 14;
   156006     break;
   156007    case 12:
   156008     $retval_0 = 3;
   156009     label = 208;
   156010     break;
   156011    case 13:
   156012     $sub12 = -$tok_addr_0 | 0;
   156013     HEAP32[$next_addr >> 2] = $end;
   156014     $tok_addr_1 = $sub12;
   156015     label = 14;
   156016     break;
   156017    case 14:
   156018     $5 = HEAP32[$handler >> 2] | 0;
   156019     $6 = HEAP32[$next_addr >> 2] | 0;
   156020     $call = FUNCTION_TABLE_iiiiii[$5 & 1023]($m_prologState, $tok_addr_1, $s_addr_0, $6, $enc_addr_0) | 0;
   156021     if (($call | 0) == 1) {
   156022       label = 15;
   156023       break;
   156024     } else if (($call | 0) == 4) {
   156025       label = 17;
   156026       break;
   156027     } else if (($call | 0) == 7) {
   156028       label = 21;
   156029       break;
   156030     } else if (($call | 0) == 6) {
   156031       label = 23;
   156032       break;
   156033     } else if (($call | 0) == 14) {
   156034       label = 27;
   156035       break;
   156036     } else if (($call | 0) == 8) {
   156037       label = 32;
   156038       break;
   156039     } else if (($call | 0) == 2) {
   156040       label = 36;
   156041       break;
   156042     } else if (($call | 0) == 34) {
   156043       label = 37;
   156044       break;
   156045     } else if (($call | 0) == 22) {
   156046       label = 38;
   156047       break;
   156048     } else if (($call | 0) == 23) {
   156049       label = 40;
   156050       break;
   156051     } else if (($call | 0) == 24) {
   156052       label = 41;
   156053       break;
   156054     } else if (($call | 0) == 25) {
   156055       label = 42;
   156056       break;
   156057     } else if (($call | 0) == 26) {
   156058       label = 43;
   156059       break;
   156060     } else if (($call | 0) == 27) {
   156061       label = 44;
   156062       break;
   156063     } else if (($call | 0) == 28) {
   156064       label = 45;
   156065       break;
   156066     } else if (($call | 0) == 29) {
   156067       label = 46;
   156068       break;
   156069     } else if (($call | 0) == 30) {
   156070       label = 47;
   156071       break;
   156072     } else if (($call | 0) == 31 | ($call | 0) == 32) {
   156073       label = 50;
   156074       break;
   156075     } else if (($call | 0) == 35 | ($call | 0) == 36) {
   156076       label = 57;
   156077       break;
   156078     } else if (($call | 0) == 37 | ($call | 0) == 38) {
   156079       label = 69;
   156080       break;
   156081     } else if (($call | 0) == 12) {
   156082       label = 82;
   156083       break;
   156084     } else if (($call | 0) == 5) {
   156085       label = 88;
   156086       break;
   156087     } else if (($call | 0) == 13) {
   156088       label = 94;
   156089       break;
   156090     } else if (($call | 0) == 15) {
   156091       label = 98;
   156092       break;
   156093     } else if (($call | 0) == 16) {
   156094       label = 102;
   156095       break;
   156096     } else if (($call | 0) == 9) {
   156097       label = 109;
   156098       break;
   156099     } else if (($call | 0) == 10) {
   156100       label = 120;
   156101       break;
   156102     } else if (($call | 0) == 18) {
   156103       label = 121;
   156104       break;
   156105     } else if (($call | 0) == 21) {
   156106       label = 124;
   156107       break;
   156108     } else if (($call | 0) == 19) {
   156109       label = 128;
   156110       break;
   156111     } else if (($call | 0) == 20) {
   156112       label = 133;
   156113       break;
   156114     } else if (($call | 0) == (-1 | 0)) {
   156115       label = 137;
   156116       break;
   156117     } else if (($call | 0) == 44) {
   156118       label = 140;
   156119       break;
   156120     } else if (($call | 0) == 50) {
   156121       label = 150;
   156122       break;
   156123     } else if (($call | 0) == 49) {
   156124       label = 153;
   156125       break;
   156126     } else if (($call | 0) == 57) {
   156127       label = 158;
   156128       break;
   156129     } else if (($call | 0) == 40) {
   156130       label = 161;
   156131       break;
   156132     } else if (($call | 0) == 41 | ($call | 0) == 42) {
   156133       label = 164;
   156134       break;
   156135     } else if (($call | 0) == 43) {
   156136       label = 169;
   156137       break;
   156138     } else if (($call | 0) == 53) {
   156139       label = 171;
   156140       break;
   156141     } else if (($call | 0) == 52) {
   156142       label = 172;
   156143       break;
   156144     } else if (($call | 0) == 54) {
   156145       label = 173;
   156146       break;
   156147     } else if (($call | 0) == 51) {
   156148       $quant_0 = 0;
   156149       label = 174;
   156150       break;
   156151     } else if (($call | 0) == 47) {
   156152       label = 182;
   156153       break;
   156154     } else if (($call | 0) == 46) {
   156155       label = 183;
   156156       break;
   156157     } else if (($call | 0) == 48) {
   156158       label = 184;
   156159       break;
   156160     } else if (($call | 0) == 45) {
   156161       $quant_1 = 0;
   156162       label = 185;
   156163       break;
   156164     } else if (($call | 0) == 55) {
   156165       label = 191;
   156166       break;
   156167     } else if (($call | 0) == 56) {
   156168       label = 192;
   156169       break;
   156170     } else if (($call | 0) == 0) {
   156171       label = 193;
   156172       break;
   156173     } else if (($call | 0) == 3) {
   156174       label = 194;
   156175       break;
   156176     } else if (($call | 0) == 11) {
   156177       label = 195;
   156178       break;
   156179     } else if (($call | 0) == 17) {
   156180       label = 197;
   156181       break;
   156182     } else if (($call | 0) == 33) {
   156183       label = 198;
   156184       break;
   156185     } else if (($call | 0) == 39) {
   156186       label = 200;
   156187       break;
   156188     } else {
   156189       label = 202;
   156190       break;
   156191     }
   156192    case 15:
   156193     $7 = HEAP32[$next_addr >> 2] | 0;
   156194     $call16 = _processXmlDecl($parser, 0, $s_addr_0, $7) | 0;
   156195     $cmp17 = ($call16 | 0) == 0;
   156196     if ($cmp17) {
   156197       label = 16;
   156198       break;
   156199     } else {
   156200       $retval_0_ph = $call16;
   156201       label = 207;
   156202       break;
   156203     }
   156204    case 16:
   156205     $8 = HEAP32[$m_encoding >> 2] | 0;
   156206     $enc_addr_1532 = $8;
   156207     label = 204;
   156208     break;
   156209    case 17:
   156210     $9 = HEAP32[$m_startDoctypeDeclHandler >> 2] | 0;
   156211     $tobool23 = ($9 | 0) == 0;
   156212     if ($tobool23) {
   156213       $handleDefault_0 = 1;
   156214       label = 20;
   156215       break;
   156216     } else {
   156217       label = 18;
   156218       break;
   156219     }
   156220    case 18:
   156221     $10 = HEAP32[$next_addr >> 2] | 0;
   156222     $call25 = _poolStoreString($m_tempPool, $enc_addr_0, $s_addr_0, $10) | 0;
   156223     HEAP32[$m_doctypeName >> 2] = $call25;
   156224     $tobool27 = ($call25 | 0) == 0;
   156225     if ($tobool27) {
   156226       $retval_0_ph = 1;
   156227       label = 207;
   156228       break;
   156229     } else {
   156230       label = 19;
   156231       break;
   156232     }
   156233    case 19:
   156234     $11 = HEAP32[$ptr >> 2] | 0;
   156235     HEAP32[$start >> 2] = $11;
   156236     HEAP32[$m_doctypePubid >> 2] = 0;
   156237     $handleDefault_0 = 0;
   156238     label = 20;
   156239     break;
   156240    case 20:
   156241     HEAP32[$m_doctypeSysid >> 2] = 0;
   156242     $handleDefault_10 = $handleDefault_0;
   156243     label = 201;
   156244     break;
   156245    case 21:
   156246     $12 = HEAP32[$m_startDoctypeDeclHandler34 >> 2] | 0;
   156247     $tobool35 = ($12 | 0) == 0;
   156248     if ($tobool35) {
   156249       label = 202;
   156250       break;
   156251     } else {
   156252       label = 22;
   156253       break;
   156254     }
   156255    case 22:
   156256     $13 = HEAP32[$m_handlerArg >> 2] | 0;
   156257     $14 = HEAP32[$m_doctypeName38 >> 2] | 0;
   156258     $15 = HEAP32[$m_doctypeSysid39 >> 2] | 0;
   156259     $16 = HEAP32[$m_doctypePubid40 >> 2] | 0;
   156260     FUNCTION_TABLE_viiiii[$12 & 1023]($13, $14, $15, $16, 1);
   156261     HEAP32[$m_doctypeName38 >> 2] = 0;
   156262     _poolClear($m_tempPool42);
   156263     $enc_addr_1532 = $enc_addr_0;
   156264     label = 204;
   156265     break;
   156266    case 23:
   156267     HEAP8[$hasParamEntityRefs] = 1;
   156268     $17 = HEAP32[$m_startDoctypeDeclHandler45 >> 2] | 0;
   156269     $tobool46 = ($17 | 0) == 0;
   156270     if ($tobool46) {
   156271       label = 27;
   156272       break;
   156273     } else {
   156274       label = 24;
   156275       break;
   156276     }
   156277    case 24:
   156278     $isPublicId = $enc_addr_0 + 52 | 0;
   156279     $18 = HEAP32[$isPublicId >> 2] | 0;
   156280     $19 = HEAP32[$next_addr >> 2] | 0;
   156281     $call48 = FUNCTION_TABLE_iiiii[$18 & 1023]($enc_addr_0, $s_addr_0, $19, $eventPP_0_ph) | 0;
   156282     $tobool49 = ($call48 | 0) == 0;
   156283     if ($tobool49) {
   156284       $retval_0_ph = 32;
   156285       label = 207;
   156286       break;
   156287     } else {
   156288       label = 25;
   156289       break;
   156290     }
   156291    case 25:
   156292     $minBytesPerChar = $enc_addr_0 + 64 | 0;
   156293     $20 = HEAP32[$minBytesPerChar >> 2] | 0;
   156294     $add_ptr = $s_addr_0 + $20 | 0;
   156295     $21 = HEAP32[$next_addr >> 2] | 0;
   156296     $idx_neg = -$20 | 0;
   156297     $add_ptr54 = $21 + $idx_neg | 0;
   156298     $call55 = _poolStoreString($m_tempPool52, $enc_addr_0, $add_ptr, $add_ptr54) | 0;
   156299     $tobool56 = ($call55 | 0) == 0;
   156300     if ($tobool56) {
   156301       $retval_0_ph = 1;
   156302       label = 207;
   156303       break;
   156304     } else {
   156305       label = 26;
   156306       break;
   156307     }
   156308    case 26:
   156309     _normalizePublicId($call55);
   156310     $22 = HEAP32[$ptr60 >> 2] | 0;
   156311     HEAP32[$start62 >> 2] = $22;
   156312     HEAP32[$m_doctypePubid63 >> 2] = $call55;
   156313     $handleDefault_1 = 0;
   156314     label = 28;
   156315     break;
   156316    case 27:
   156317     $isPublicId66 = $enc_addr_0 + 52 | 0;
   156318     $23 = HEAP32[$isPublicId66 >> 2] | 0;
   156319     $24 = HEAP32[$next_addr >> 2] | 0;
   156320     $call67 = FUNCTION_TABLE_iiiii[$23 & 1023]($enc_addr_0, $s_addr_0, $24, $eventPP_0_ph) | 0;
   156321     $tobool68 = ($call67 | 0) == 0;
   156322     if ($tobool68) {
   156323       $retval_0_ph = 32;
   156324       label = 207;
   156325       break;
   156326     } else {
   156327       $handleDefault_1 = 1;
   156328       label = 28;
   156329       break;
   156330     }
   156331    case 28:
   156332     $25 = HEAP8[$keepProcessing] | 0;
   156333     $tobool72 = $25 << 24 >> 24 == 0;
   156334     if ($tobool72) {
   156335       $handleDefault_10 = $handleDefault_1;
   156336       label = 201;
   156337       break;
   156338     } else {
   156339       label = 29;
   156340       break;
   156341     }
   156342    case 29:
   156343     $26 = HEAP32[$m_declEntity >> 2] | 0;
   156344     $tobool74 = ($26 | 0) == 0;
   156345     if ($tobool74) {
   156346       $handleDefault_10 = $handleDefault_1;
   156347       label = 201;
   156348       break;
   156349     } else {
   156350       label = 30;
   156351       break;
   156352     }
   156353    case 30:
   156354     $minBytesPerChar76 = $enc_addr_0 + 64 | 0;
   156355     $27 = HEAP32[$minBytesPerChar76 >> 2] | 0;
   156356     $add_ptr77 = $s_addr_0 + $27 | 0;
   156357     $28 = HEAP32[$next_addr >> 2] | 0;
   156358     $idx_neg79 = -$27 | 0;
   156359     $add_ptr80 = $28 + $idx_neg79 | 0;
   156360     $call81 = _poolStoreString($pool, $enc_addr_0, $add_ptr77, $add_ptr80) | 0;
   156361     $tobool82 = ($call81 | 0) == 0;
   156362     if ($tobool82) {
   156363       $retval_0_ph = 1;
   156364       label = 207;
   156365       break;
   156366     } else {
   156367       label = 31;
   156368       break;
   156369     }
   156370    case 31:
   156371     _normalizePublicId($call81);
   156372     $29 = HEAP32[$m_declEntity >> 2] | 0;
   156373     $publicId = $29 + 24 | 0;
   156374     HEAP32[$publicId >> 2] = $call81;
   156375     $30 = HEAP32[$ptr87 >> 2] | 0;
   156376     HEAP32[$start89 >> 2] = $30;
   156377     $31 = HEAP32[$m_entityDeclHandler >> 2] | 0;
   156378     $tobool90 = ($31 | 0) == 0;
   156379     $handleDefault_1_ = $tobool90 ? $handleDefault_1 : 0;
   156380     $handleDefault_10 = $handleDefault_1_;
   156381     label = 201;
   156382     break;
   156383    case 32:
   156384     $32 = HEAP32[$m_doctypeName95 >> 2] | 0;
   156385     $tobool96 = ($32 | 0) == 0;
   156386     if ($tobool96) {
   156387       $handleDefault_2 = 1;
   156388       label = 34;
   156389       break;
   156390     } else {
   156391       label = 33;
   156392       break;
   156393     }
   156394    case 33:
   156395     $33 = HEAP32[$m_startDoctypeDeclHandler98 >> 2] | 0;
   156396     $34 = HEAP32[$m_handlerArg99 >> 2] | 0;
   156397     $35 = HEAP32[$m_doctypeSysid101 >> 2] | 0;
   156398     $36 = HEAP32[$m_doctypePubid102 >> 2] | 0;
   156399     FUNCTION_TABLE_viiiii[$33 & 1023]($34, $32, $35, $36, 0);
   156400     _poolClear($m_tempPool103);
   156401     $handleDefault_2 = 0;
   156402     label = 34;
   156403     break;
   156404    case 34:
   156405     $37 = HEAP32[$m_endDoctypeDeclHandler >> 2] | 0;
   156406     $tobool105 = ($37 | 0) == 0;
   156407     if ($tobool105) {
   156408       $handleDefault_10 = $handleDefault_2;
   156409       label = 201;
   156410       break;
   156411     } else {
   156412       label = 35;
   156413       break;
   156414     }
   156415    case 35:
   156416     $38 = HEAP32[$m_handlerArg108 >> 2] | 0;
   156417     FUNCTION_TABLE_vi[$37 & 1023]($38);
   156418     $enc_addr_1532 = $enc_addr_0;
   156419     label = 204;
   156420     break;
   156421    case 36:
   156422     $m_processor = $parser + 264 | 0;
   156423     HEAP32[$m_processor >> 2] = 122;
   156424     $call111 = _contentProcessor($parser, $s_addr_0, $end, $nextPtr) | 0;
   156425     $retval_0 = $call111;
   156426     label = 208;
   156427     break;
   156428    case 37:
   156429     $39 = HEAP32[$next_addr >> 2] | 0;
   156430     $call113 = _getElementType($parser, $enc_addr_0, $s_addr_0, $39) | 0;
   156431     HEAP32[$m_declElementType >> 2] = $call113;
   156432     $tobool115 = ($call113 | 0) == 0;
   156433     if ($tobool115) {
   156434       $retval_0_ph = 1;
   156435       label = 207;
   156436       break;
   156437     } else {
   156438       label = 48;
   156439       break;
   156440     }
   156441    case 38:
   156442     $40 = HEAP32[$next_addr >> 2] | 0;
   156443     $call119 = _getAttributeId($parser, $enc_addr_0, $s_addr_0, $40) | 0;
   156444     HEAP32[$m_declAttributeId >> 2] = $call119;
   156445     $tobool121 = ($call119 | 0) == 0;
   156446     if ($tobool121) {
   156447       $retval_0_ph = 1;
   156448       label = 207;
   156449       break;
   156450     } else {
   156451       label = 39;
   156452       break;
   156453     }
   156454    case 39:
   156455     HEAP8[$m_declAttributeIsCdata] = 0;
   156456     HEAP32[$m_declAttributeType >> 2] = 0;
   156457     HEAP8[$m_declAttributeIsId] = 0;
   156458     label = 48;
   156459     break;
   156460    case 40:
   156461     HEAP8[$m_declAttributeIsCdata125] = 1;
   156462     HEAP32[$m_declAttributeType126 >> 2] = 20616;
   156463     label = 48;
   156464     break;
   156465    case 41:
   156466     HEAP8[$m_declAttributeIsId128] = 1;
   156467     HEAP32[$m_declAttributeType129 >> 2] = 20584;
   156468     label = 48;
   156469     break;
   156470    case 42:
   156471     HEAP32[$m_declAttributeType131 >> 2] = 20576;
   156472     label = 48;
   156473     break;
   156474    case 43:
   156475     HEAP32[$m_declAttributeType133 >> 2] = 20568;
   156476     label = 48;
   156477     break;
   156478    case 44:
   156479     HEAP32[$m_declAttributeType135 >> 2] = 20592;
   156480     label = 48;
   156481     break;
   156482    case 45:
   156483     HEAP32[$m_declAttributeType137 >> 2] = 20600;
   156484     label = 48;
   156485     break;
   156486    case 46:
   156487     HEAP32[$m_declAttributeType139 >> 2] = 20560;
   156488     label = 48;
   156489     break;
   156490    case 47:
   156491     HEAP32[$m_declAttributeType141 >> 2] = 20544;
   156492     label = 48;
   156493     break;
   156494    case 48:
   156495     $41 = HEAP8[$keepProcessing142] | 0;
   156496     $tobool144 = $41 << 24 >> 24 == 0;
   156497     if ($tobool144) {
   156498       label = 202;
   156499       break;
   156500     } else {
   156501       label = 49;
   156502       break;
   156503     }
   156504    case 49:
   156505     $42 = HEAP32[$m_attlistDeclHandler >> 2] | 0;
   156506     $tobool146 = ($42 | 0) == 0;
   156507     $_ = $tobool146 & 1;
   156508     $handleDefault_10 = $_;
   156509     label = 201;
   156510     break;
   156511    case 50:
   156512     $43 = HEAP8[$keepProcessing150] | 0;
   156513     $tobool152 = $43 << 24 >> 24 == 0;
   156514     if ($tobool152) {
   156515       label = 202;
   156516       break;
   156517     } else {
   156518       label = 51;
   156519       break;
   156520     }
   156521    case 51:
   156522     $44 = HEAP32[$m_attlistDeclHandler154 >> 2] | 0;
   156523     $tobool155 = ($44 | 0) == 0;
   156524     if ($tobool155) {
   156525       label = 202;
   156526       break;
   156527     } else {
   156528       label = 52;
   156529       break;
   156530     }
   156531    case 52:
   156532     $45 = HEAP32[$m_declAttributeType157 >> 2] | 0;
   156533     $tobool158 = ($45 | 0) == 0;
   156534     if ($tobool158) {
   156535       label = 53;
   156536       break;
   156537     } else {
   156538       $prefix_0 = 20536;
   156539       label = 54;
   156540       break;
   156541     }
   156542    case 53:
   156543     $cmp161 = ($call | 0) == 32;
   156544     $cond = $cmp161 ? 20512 : 20528;
   156545     $prefix_0 = $cond;
   156546     label = 54;
   156547     break;
   156548    case 54:
   156549     $call165 = _poolAppendString($m_tempPool164, $prefix_0) | 0;
   156550     $tobool166 = ($call165 | 0) == 0;
   156551     if ($tobool166) {
   156552       $retval_0_ph = 1;
   156553       label = 207;
   156554       break;
   156555     } else {
   156556       label = 55;
   156557       break;
   156558     }
   156559    case 55:
   156560     $46 = HEAP32[$next_addr >> 2] | 0;
   156561     $call170 = _poolAppend($m_tempPool164, $enc_addr_0, $s_addr_0, $46) | 0;
   156562     $tobool171 = ($call170 | 0) == 0;
   156563     if ($tobool171) {
   156564       $retval_0_ph = 1;
   156565       label = 207;
   156566       break;
   156567     } else {
   156568       label = 56;
   156569       break;
   156570     }
   156571    case 56:
   156572     $47 = HEAP32[$start175 >> 2] | 0;
   156573     HEAP32[$m_declAttributeType157 >> 2] = $47;
   156574     $enc_addr_1532 = $enc_addr_0;
   156575     label = 204;
   156576     break;
   156577    case 57:
   156578     $48 = HEAP8[$keepProcessing179] | 0;
   156579     $tobool180 = $48 << 24 >> 24 == 0;
   156580     if ($tobool180) {
   156581       label = 202;
   156582       break;
   156583     } else {
   156584       label = 58;
   156585       break;
   156586     }
   156587    case 58:
   156588     $49 = HEAP32[$m_declElementType182 >> 2] | 0;
   156589     $50 = HEAP32[$m_declAttributeId183 >> 2] | 0;
   156590     $51 = HEAP8[$m_declAttributeIsCdata184] | 0;
   156591     $52 = HEAP8[$m_declAttributeIsId185] | 0;
   156592     $call186 = _defineAttribute($49, $50, $51, $52, 0, $parser) | 0;
   156593     $tobool187 = ($call186 | 0) == 0;
   156594     if ($tobool187) {
   156595       $retval_0_ph = 1;
   156596       label = 207;
   156597       break;
   156598     } else {
   156599       label = 59;
   156600       break;
   156601     }
   156602    case 59:
   156603     $53 = HEAP32[$m_attlistDeclHandler190 >> 2] | 0;
   156604     $tobool191 = ($53 | 0) == 0;
   156605     if ($tobool191) {
   156606       label = 202;
   156607       break;
   156608     } else {
   156609       label = 60;
   156610       break;
   156611     }
   156612    case 60:
   156613     $54 = HEAP32[$m_declAttributeType193 >> 2] | 0;
   156614     $tobool194 = ($54 | 0) == 0;
   156615     if ($tobool194) {
   156616       label = 202;
   156617       break;
   156618     } else {
   156619       label = 61;
   156620       break;
   156621     }
   156622    case 61:
   156623     $55 = HEAP8[$54] | 0;
   156624     if (($55 << 24 >> 24 | 0) == 78) {
   156625       label = 62;
   156626       break;
   156627     } else if (($55 << 24 >> 24 | 0) == 40) {
   156628       label = 63;
   156629       break;
   156630     } else {
   156631       label = 68;
   156632       break;
   156633     }
   156634    case 62:
   156635     $arrayidx = $54 + 1 | 0;
   156636     $56 = HEAP8[$arrayidx] | 0;
   156637     $cmp207 = $56 << 24 >> 24 == 79;
   156638     if ($cmp207) {
   156639       label = 63;
   156640       break;
   156641     } else {
   156642       label = 68;
   156643       break;
   156644     }
   156645    case 63:
   156646     $57 = HEAP32[$ptr211 >> 2] | 0;
   156647     $58 = HEAP32[$end213 >> 2] | 0;
   156648     $cmp214 = ($57 | 0) == ($58 | 0);
   156649     if ($cmp214) {
   156650       label = 64;
   156651       break;
   156652     } else {
   156653       label = 65;
   156654       break;
   156655     }
   156656    case 64:
   156657     $call218 = _poolGrow($m_tempPool210) | 0;
   156658     $tobool219 = $call218 << 24 >> 24 == 0;
   156659     if ($tobool219) {
   156660       $retval_0_ph = 1;
   156661       label = 207;
   156662       break;
   156663     } else {
   156664       label = 65;
   156665       break;
   156666     }
   156667    case 65:
   156668     $59 = HEAP32[$ptr211 >> 2] | 0;
   156669     $incdec_ptr = $59 + 1 | 0;
   156670     HEAP32[$ptr211 >> 2] = $incdec_ptr;
   156671     HEAP8[$59] = 41;
   156672     $60 = HEAP32[$ptr211 >> 2] | 0;
   156673     $61 = HEAP32[$end213 >> 2] | 0;
   156674     $cmp227 = ($60 | 0) == ($61 | 0);
   156675     if ($cmp227) {
   156676       label = 66;
   156677       break;
   156678     } else {
   156679       label = 67;
   156680       break;
   156681     }
   156682    case 66:
   156683     $call231 = _poolGrow($m_tempPool210) | 0;
   156684     $tobool232 = $call231 << 24 >> 24 == 0;
   156685     if ($tobool232) {
   156686       $retval_0_ph = 1;
   156687       label = 207;
   156688       break;
   156689     } else {
   156690       label = 67;
   156691       break;
   156692     }
   156693    case 67:
   156694     $62 = HEAP32[$ptr211 >> 2] | 0;
   156695     $incdec_ptr237 = $62 + 1 | 0;
   156696     HEAP32[$ptr211 >> 2] = $incdec_ptr237;
   156697     HEAP8[$62] = 0;
   156698     $63 = HEAP32[$start241 >> 2] | 0;
   156699     HEAP32[$m_declAttributeType193 >> 2] = $63;
   156700     $64 = HEAP32[$ptr211 >> 2] | 0;
   156701     HEAP32[$start241 >> 2] = $64;
   156702     label = 68;
   156703     break;
   156704    case 68:
   156705     HEAP32[$eventEndPP_0_ph >> 2] = $s_addr_0;
   156706     $65 = HEAP32[$m_attlistDeclHandler190 >> 2] | 0;
   156707     $66 = HEAP32[$m_handlerArg249 >> 2] | 0;
   156708     $67 = HEAP32[$m_declElementType182 >> 2] | 0;
   156709     $name = $67 | 0;
   156710     $68 = HEAP32[$name >> 2] | 0;
   156711     $69 = HEAP32[$m_declAttributeId183 >> 2] | 0;
   156712     $name252 = $69 | 0;
   156713     $70 = HEAP32[$name252 >> 2] | 0;
   156714     $71 = HEAP32[$m_declAttributeType193 >> 2] | 0;
   156715     $cmp254 = ($call | 0) == 36;
   156716     $conv255 = $cmp254 & 1;
   156717     FUNCTION_TABLE_viiiiii[$65 & 1023]($66, $68, $70, $71, 0, $conv255);
   156718     _poolClear($m_tempPool256);
   156719     $enc_addr_1532 = $enc_addr_0;
   156720     label = 204;
   156721     break;
   156722    case 69:
   156723     $72 = HEAP8[$keepProcessing260] | 0;
   156724     $tobool261 = $72 << 24 >> 24 == 0;
   156725     if ($tobool261) {
   156726       label = 202;
   156727       break;
   156728     } else {
   156729       label = 70;
   156730       break;
   156731     }
   156732    case 70:
   156733     $73 = HEAP8[$m_declAttributeIsCdata264] | 0;
   156734     $minBytesPerChar265 = $enc_addr_0 + 64 | 0;
   156735     $74 = HEAP32[$minBytesPerChar265 >> 2] | 0;
   156736     $add_ptr266 = $s_addr_0 + $74 | 0;
   156737     $75 = HEAP32[$next_addr >> 2] | 0;
   156738     $idx_neg268 = -$74 | 0;
   156739     $add_ptr269 = $75 + $idx_neg268 | 0;
   156740     $call271 = _storeAttributeValue($parser, $enc_addr_0, $73, $add_ptr266, $add_ptr269, $pool270) | 0;
   156741     $tobool272 = ($call271 | 0) == 0;
   156742     if ($tobool272) {
   156743       label = 71;
   156744       break;
   156745     } else {
   156746       $retval_0_ph = $call271;
   156747       label = 207;
   156748       break;
   156749     }
   156750    case 71:
   156751     $76 = HEAP32[$start276 >> 2] | 0;
   156752     $77 = HEAP32[$ptr278 >> 2] | 0;
   156753     HEAP32[$start276 >> 2] = $77;
   156754     $78 = HEAP32[$m_declElementType281 >> 2] | 0;
   156755     $79 = HEAP32[$m_declAttributeId282 >> 2] | 0;
   156756     $80 = HEAP8[$m_declAttributeIsCdata264] | 0;
   156757     $call284 = _defineAttribute($78, $79, $80, 0, $76, $parser) | 0;
   156758     $tobool285 = ($call284 | 0) == 0;
   156759     if ($tobool285) {
   156760       $retval_0_ph = 1;
   156761       label = 207;
   156762       break;
   156763     } else {
   156764       label = 72;
   156765       break;
   156766     }
   156767    case 72:
   156768     $81 = HEAP32[$m_attlistDeclHandler288 >> 2] | 0;
   156769     $tobool289 = ($81 | 0) == 0;
   156770     if ($tobool289) {
   156771       label = 202;
   156772       break;
   156773     } else {
   156774       label = 73;
   156775       break;
   156776     }
   156777    case 73:
   156778     $82 = HEAP32[$m_declAttributeType291 >> 2] | 0;
   156779     $tobool292 = ($82 | 0) == 0;
   156780     if ($tobool292) {
   156781       label = 202;
   156782       break;
   156783     } else {
   156784       label = 74;
   156785       break;
   156786     }
   156787    case 74:
   156788     $83 = HEAP8[$82] | 0;
   156789     if (($83 << 24 >> 24 | 0) == 78) {
   156790       label = 75;
   156791       break;
   156792     } else if (($83 << 24 >> 24 | 0) == 40) {
   156793       label = 76;
   156794       break;
   156795     } else {
   156796       label = 81;
   156797       break;
   156798     }
   156799    case 75:
   156800     $arrayidx305 = $82 + 1 | 0;
   156801     $84 = HEAP8[$arrayidx305] | 0;
   156802     $cmp307 = $84 << 24 >> 24 == 79;
   156803     if ($cmp307) {
   156804       label = 76;
   156805       break;
   156806     } else {
   156807       label = 81;
   156808       break;
   156809     }
   156810    case 76:
   156811     $85 = HEAP32[$ptr311 >> 2] | 0;
   156812     $86 = HEAP32[$end313 >> 2] | 0;
   156813     $cmp314 = ($85 | 0) == ($86 | 0);
   156814     if ($cmp314) {
   156815       label = 77;
   156816       break;
   156817     } else {
   156818       label = 78;
   156819       break;
   156820     }
   156821    case 77:
   156822     $call318 = _poolGrow($m_tempPool310) | 0;
   156823     $tobool319 = $call318 << 24 >> 24 == 0;
   156824     if ($tobool319) {
   156825       $retval_0_ph = 1;
   156826       label = 207;
   156827       break;
   156828     } else {
   156829       label = 78;
   156830       break;
   156831     }
   156832    case 78:
   156833     $87 = HEAP32[$ptr311 >> 2] | 0;
   156834     $incdec_ptr324 = $87 + 1 | 0;
   156835     HEAP32[$ptr311 >> 2] = $incdec_ptr324;
   156836     HEAP8[$87] = 41;
   156837     $88 = HEAP32[$ptr311 >> 2] | 0;
   156838     $89 = HEAP32[$end313 >> 2] | 0;
   156839     $cmp330 = ($88 | 0) == ($89 | 0);
   156840     if ($cmp330) {
   156841       label = 79;
   156842       break;
   156843     } else {
   156844       label = 80;
   156845       break;
   156846     }
   156847    case 79:
   156848     $call334 = _poolGrow($m_tempPool310) | 0;
   156849     $tobool335 = $call334 << 24 >> 24 == 0;
   156850     if ($tobool335) {
   156851       $retval_0_ph = 1;
   156852       label = 207;
   156853       break;
   156854     } else {
   156855       label = 80;
   156856       break;
   156857     }
   156858    case 80:
   156859     $90 = HEAP32[$ptr311 >> 2] | 0;
   156860     $incdec_ptr340 = $90 + 1 | 0;
   156861     HEAP32[$ptr311 >> 2] = $incdec_ptr340;
   156862     HEAP8[$90] = 0;
   156863     $91 = HEAP32[$start344 >> 2] | 0;
   156864     HEAP32[$m_declAttributeType291 >> 2] = $91;
   156865     $92 = HEAP32[$ptr311 >> 2] | 0;
   156866     HEAP32[$start344 >> 2] = $92;
   156867     label = 81;
   156868     break;
   156869    case 81:
   156870     HEAP32[$eventEndPP_0_ph >> 2] = $s_addr_0;
   156871     $93 = HEAP32[$m_attlistDeclHandler288 >> 2] | 0;
   156872     $94 = HEAP32[$m_handlerArg352 >> 2] | 0;
   156873     $95 = HEAP32[$m_declElementType281 >> 2] | 0;
   156874     $name354 = $95 | 0;
   156875     $96 = HEAP32[$name354 >> 2] | 0;
   156876     $97 = HEAP32[$m_declAttributeId282 >> 2] | 0;
   156877     $name356 = $97 | 0;
   156878     $98 = HEAP32[$name356 >> 2] | 0;
   156879     $99 = HEAP32[$m_declAttributeType291 >> 2] | 0;
   156880     $cmp358 = ($call | 0) == 38;
   156881     $conv359 = $cmp358 & 1;
   156882     FUNCTION_TABLE_viiiiii[$93 & 1023]($94, $96, $98, $99, $76, $conv359);
   156883     _poolClear($m_tempPool360);
   156884     $enc_addr_1532 = $enc_addr_0;
   156885     label = 204;
   156886     break;
   156887    case 82:
   156888     $100 = HEAP8[$keepProcessing364] | 0;
   156889     $tobool365 = $100 << 24 >> 24 == 0;
   156890     if ($tobool365) {
   156891       label = 202;
   156892       break;
   156893     } else {
   156894       label = 83;
   156895       break;
   156896     }
   156897    case 83:
   156898     $minBytesPerChar368 = $enc_addr_0 + 64 | 0;
   156899     $101 = HEAP32[$minBytesPerChar368 >> 2] | 0;
   156900     $add_ptr369 = $s_addr_0 + $101 | 0;
   156901     $102 = HEAP32[$next_addr >> 2] | 0;
   156902     $idx_neg371 = -$101 | 0;
   156903     $add_ptr372 = $102 + $idx_neg371 | 0;
   156904     $call373 = _storeEntityValue($parser, $enc_addr_0, $add_ptr369, $add_ptr372) | 0;
   156905     $103 = HEAP32[$m_declEntity374 >> 2] | 0;
   156906     $tobool375 = ($103 | 0) == 0;
   156907     $104 = HEAP32[$start377 >> 2] | 0;
   156908     if ($tobool375) {
   156909       label = 86;
   156910       break;
   156911     } else {
   156912       label = 84;
   156913       break;
   156914     }
   156915    case 84:
   156916     $textPtr = $103 + 4 | 0;
   156917     HEAP32[$textPtr >> 2] = $104;
   156918     $105 = HEAP32[$ptr380 >> 2] | 0;
   156919     $106 = HEAP32[$start377 >> 2] | 0;
   156920     $sub_ptr_lhs_cast = $105;
   156921     $sub_ptr_rhs_cast = $106;
   156922     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   156923     $107 = HEAP32[$m_declEntity374 >> 2] | 0;
   156924     $textLen = $107 + 8 | 0;
   156925     HEAP32[$textLen >> 2] = $sub_ptr_sub;
   156926     $108 = HEAP32[$ptr380 >> 2] | 0;
   156927     HEAP32[$start377 >> 2] = $108;
   156928     $109 = HEAP32[$m_entityDeclHandler388 >> 2] | 0;
   156929     $tobool389 = ($109 | 0) == 0;
   156930     if ($tobool389) {
   156931       $handleDefault_3 = 1;
   156932       label = 87;
   156933       break;
   156934     } else {
   156935       label = 85;
   156936       break;
   156937     }
   156938    case 85:
   156939     HEAP32[$eventEndPP_0_ph >> 2] = $s_addr_0;
   156940     $110 = HEAP32[$m_entityDeclHandler388 >> 2] | 0;
   156941     $111 = HEAP32[$m_handlerArg392 >> 2] | 0;
   156942     $112 = HEAP32[$m_declEntity374 >> 2] | 0;
   156943     $name394 = $112 | 0;
   156944     $113 = HEAP32[$name394 >> 2] | 0;
   156945     $is_param = $112 + 33 | 0;
   156946     $114 = HEAP8[$is_param] | 0;
   156947     $conv396 = $114 & 255;
   156948     $textPtr398 = $112 + 4 | 0;
   156949     $115 = HEAP32[$textPtr398 >> 2] | 0;
   156950     $textLen400 = $112 + 8 | 0;
   156951     $116 = HEAP32[$textLen400 >> 2] | 0;
   156952     $117 = HEAP32[$m_curBase >> 2] | 0;
   156953     FUNCTION_TABLE_viiiiiiiii[$110 & 1023]($111, $113, $conv396, $115, $116, $117, 0, 0, 0);
   156954     $handleDefault_3 = 0;
   156955     label = 87;
   156956     break;
   156957    case 86:
   156958     HEAP32[$ptr406 >> 2] = $104;
   156959     $handleDefault_3 = 1;
   156960     label = 87;
   156961     break;
   156962    case 87:
   156963     $cmp408 = ($call373 | 0) == 0;
   156964     if ($cmp408) {
   156965       $handleDefault_10 = $handleDefault_3;
   156966       label = 201;
   156967       break;
   156968     } else {
   156969       $retval_0_ph = $call373;
   156970       label = 207;
   156971       break;
   156972     }
   156973    case 88:
   156974     HEAP8[$hasParamEntityRefs414] = 1;
   156975     $118 = HEAP32[$m_startDoctypeDeclHandler415 >> 2] | 0;
   156976     $tobool416 = ($118 | 0) == 0;
   156977     if ($tobool416) {
   156978       $handleDefault_4 = 1;
   156979       label = 91;
   156980       break;
   156981     } else {
   156982       label = 89;
   156983       break;
   156984     }
   156985    case 89:
   156986     $minBytesPerChar419 = $enc_addr_0 + 64 | 0;
   156987     $119 = HEAP32[$minBytesPerChar419 >> 2] | 0;
   156988     $add_ptr420 = $s_addr_0 + $119 | 0;
   156989     $120 = HEAP32[$next_addr >> 2] | 0;
   156990     $idx_neg422 = -$119 | 0;
   156991     $add_ptr423 = $120 + $idx_neg422 | 0;
   156992     $call424 = _poolStoreString($m_tempPool418, $enc_addr_0, $add_ptr420, $add_ptr423) | 0;
   156993     HEAP32[$m_doctypeSysid425 >> 2] = $call424;
   156994     $cmp427 = ($call424 | 0) == 0;
   156995     if ($cmp427) {
   156996       $retval_0_ph = 1;
   156997       label = 207;
   156998       break;
   156999     } else {
   157000       label = 90;
   157001       break;
   157002     }
   157003    case 90:
   157004     $121 = HEAP32[$ptr432 >> 2] | 0;
   157005     HEAP32[$start434 >> 2] = $121;
   157006     $handleDefault_4 = 0;
   157007     label = 91;
   157008     break;
   157009    case 91:
   157010     $122 = HEAP8[$standalone] | 0;
   157011     $tobool436 = $122 << 24 >> 24 == 0;
   157012     if ($tobool436) {
   157013       label = 92;
   157014       break;
   157015     } else {
   157016       $handleDefault_10 = $handleDefault_4;
   157017       label = 201;
   157018       break;
   157019     }
   157020    case 92:
   157021     $123 = HEAP32[$m_notStandaloneHandler >> 2] | 0;
   157022     $tobool438 = ($123 | 0) == 0;
   157023     if ($tobool438) {
   157024       $handleDefault_10 = $handleDefault_4;
   157025       label = 201;
   157026       break;
   157027     } else {
   157028       label = 93;
   157029       break;
   157030     }
   157031    case 93:
   157032     $124 = HEAP32[$m_handlerArg441 >> 2] | 0;
   157033     $call442 = FUNCTION_TABLE_ii[$123 & 1023]($124) | 0;
   157034     $tobool443 = ($call442 | 0) == 0;
   157035     if ($tobool443) {
   157036       $retval_0_ph = 22;
   157037       label = 207;
   157038       break;
   157039     } else {
   157040       $handleDefault_10 = $handleDefault_4;
   157041       label = 201;
   157042       break;
   157043     }
   157044    case 94:
   157045     $125 = HEAP8[$keepProcessing447] | 0;
   157046     $tobool449 = $125 << 24 >> 24 == 0;
   157047     if ($tobool449) {
   157048       label = 202;
   157049       break;
   157050     } else {
   157051       label = 95;
   157052       break;
   157053     }
   157054    case 95:
   157055     $126 = HEAP32[$m_declEntity451 >> 2] | 0;
   157056     $tobool452 = ($126 | 0) == 0;
   157057     if ($tobool452) {
   157058       label = 202;
   157059       break;
   157060     } else {
   157061       label = 96;
   157062       break;
   157063     }
   157064    case 96:
   157065     $minBytesPerChar455 = $enc_addr_0 + 64 | 0;
   157066     $127 = HEAP32[$minBytesPerChar455 >> 2] | 0;
   157067     $add_ptr456 = $s_addr_0 + $127 | 0;
   157068     $128 = HEAP32[$next_addr >> 2] | 0;
   157069     $idx_neg458 = -$127 | 0;
   157070     $add_ptr459 = $128 + $idx_neg458 | 0;
   157071     $call460 = _poolStoreString($pool454, $enc_addr_0, $add_ptr456, $add_ptr459) | 0;
   157072     $129 = HEAP32[$m_declEntity451 >> 2] | 0;
   157073     $systemId = $129 + 16 | 0;
   157074     HEAP32[$systemId >> 2] = $call460;
   157075     $130 = HEAP32[$m_declEntity451 >> 2] | 0;
   157076     $systemId463 = $130 + 16 | 0;
   157077     $131 = HEAP32[$systemId463 >> 2] | 0;
   157078     $tobool464 = ($131 | 0) == 0;
   157079     if ($tobool464) {
   157080       $retval_0_ph = 1;
   157081       label = 207;
   157082       break;
   157083     } else {
   157084       label = 97;
   157085       break;
   157086     }
   157087    case 97:
   157088     $132 = HEAP32[$m_curBase467 >> 2] | 0;
   157089     $base = $130 + 20 | 0;
   157090     HEAP32[$base >> 2] = $132;
   157091     $133 = HEAP32[$ptr470 >> 2] | 0;
   157092     HEAP32[$start472 >> 2] = $133;
   157093     $134 = HEAP32[$m_entityDeclHandler473 >> 2] | 0;
   157094     $tobool474 = ($134 | 0) == 0;
   157095     $_515 = $tobool474 & 1;
   157096     $handleDefault_10 = $_515;
   157097     label = 201;
   157098     break;
   157099    case 98:
   157100     $135 = HEAP8[$keepProcessing479] | 0;
   157101     $tobool481 = $135 << 24 >> 24 == 0;
   157102     if ($tobool481) {
   157103       label = 202;
   157104       break;
   157105     } else {
   157106       label = 99;
   157107       break;
   157108     }
   157109    case 99:
   157110     $136 = HEAP32[$m_declEntity483 >> 2] | 0;
   157111     $tobool484 = ($136 | 0) == 0;
   157112     if ($tobool484) {
   157113       label = 202;
   157114       break;
   157115     } else {
   157116       label = 100;
   157117       break;
   157118     }
   157119    case 100:
   157120     $137 = HEAP32[$m_entityDeclHandler486 >> 2] | 0;
   157121     $tobool487 = ($137 | 0) == 0;
   157122     if ($tobool487) {
   157123       label = 202;
   157124       break;
   157125     } else {
   157126       label = 101;
   157127       break;
   157128     }
   157129    case 101:
   157130     HEAP32[$eventEndPP_0_ph >> 2] = $s_addr_0;
   157131     $138 = HEAP32[$m_entityDeclHandler486 >> 2] | 0;
   157132     $139 = HEAP32[$m_handlerArg490 >> 2] | 0;
   157133     $140 = HEAP32[$m_declEntity483 >> 2] | 0;
   157134     $name492 = $140 | 0;
   157135     $141 = HEAP32[$name492 >> 2] | 0;
   157136     $is_param494 = $140 + 33 | 0;
   157137     $142 = HEAP8[$is_param494] | 0;
   157138     $conv495 = $142 & 255;
   157139     $base497 = $140 + 20 | 0;
   157140     $143 = HEAP32[$base497 >> 2] | 0;
   157141     $systemId499 = $140 + 16 | 0;
   157142     $144 = HEAP32[$systemId499 >> 2] | 0;
   157143     $publicId501 = $140 + 24 | 0;
   157144     $145 = HEAP32[$publicId501 >> 2] | 0;
   157145     FUNCTION_TABLE_viiiiiiiii[$138 & 1023]($139, $141, $conv495, 0, 0, $143, $144, $145, 0);
   157146     $enc_addr_1532 = $enc_addr_0;
   157147     label = 204;
   157148     break;
   157149    case 102:
   157150     $146 = HEAP8[$keepProcessing504] | 0;
   157151     $tobool506 = $146 << 24 >> 24 == 0;
   157152     if ($tobool506) {
   157153       label = 202;
   157154       break;
   157155     } else {
   157156       label = 103;
   157157       break;
   157158     }
   157159    case 103:
   157160     $147 = HEAP32[$m_declEntity508 >> 2] | 0;
   157161     $tobool509 = ($147 | 0) == 0;
   157162     if ($tobool509) {
   157163       label = 202;
   157164       break;
   157165     } else {
   157166       label = 104;
   157167       break;
   157168     }
   157169    case 104:
   157170     $148 = HEAP32[$next_addr >> 2] | 0;
   157171     $call512 = _poolStoreString($pool511, $enc_addr_0, $s_addr_0, $148) | 0;
   157172     $149 = HEAP32[$m_declEntity508 >> 2] | 0;
   157173     $notation = $149 + 28 | 0;
   157174     HEAP32[$notation >> 2] = $call512;
   157175     $150 = HEAP32[$m_declEntity508 >> 2] | 0;
   157176     $notation515 = $150 + 28 | 0;
   157177     $151 = HEAP32[$notation515 >> 2] | 0;
   157178     $tobool516 = ($151 | 0) == 0;
   157179     if ($tobool516) {
   157180       $retval_0_ph = 1;
   157181       label = 207;
   157182       break;
   157183     } else {
   157184       label = 105;
   157185       break;
   157186     }
   157187    case 105:
   157188     $152 = HEAP32[$ptr520 >> 2] | 0;
   157189     HEAP32[$start522 >> 2] = $152;
   157190     $153 = HEAP32[$m_unparsedEntityDeclHandler >> 2] | 0;
   157191     $tobool523 = ($153 | 0) == 0;
   157192     if ($tobool523) {
   157193       label = 107;
   157194       break;
   157195     } else {
   157196       label = 106;
   157197       break;
   157198     }
   157199    case 106:
   157200     HEAP32[$eventEndPP_0_ph >> 2] = $s_addr_0;
   157201     $154 = HEAP32[$m_unparsedEntityDeclHandler >> 2] | 0;
   157202     $155 = HEAP32[$m_handlerArg526 >> 2] | 0;
   157203     $156 = HEAP32[$m_declEntity508 >> 2] | 0;
   157204     $name528 = $156 | 0;
   157205     $157 = HEAP32[$name528 >> 2] | 0;
   157206     $base530 = $156 + 20 | 0;
   157207     $158 = HEAP32[$base530 >> 2] | 0;
   157208     $systemId532 = $156 + 16 | 0;
   157209     $159 = HEAP32[$systemId532 >> 2] | 0;
   157210     $publicId534 = $156 + 24 | 0;
   157211     $160 = HEAP32[$publicId534 >> 2] | 0;
   157212     $notation536 = $156 + 28 | 0;
   157213     $161 = HEAP32[$notation536 >> 2] | 0;
   157214     FUNCTION_TABLE_viiiiii[$154 & 1023]($155, $157, $158, $159, $160, $161);
   157215     $enc_addr_1532 = $enc_addr_0;
   157216     label = 204;
   157217     break;
   157218    case 107:
   157219     $162 = HEAP32[$m_entityDeclHandler538 >> 2] | 0;
   157220     $tobool539 = ($162 | 0) == 0;
   157221     if ($tobool539) {
   157222       label = 202;
   157223       break;
   157224     } else {
   157225       label = 108;
   157226       break;
   157227     }
   157228    case 108:
   157229     HEAP32[$eventEndPP_0_ph >> 2] = $s_addr_0;
   157230     $163 = HEAP32[$m_entityDeclHandler538 >> 2] | 0;
   157231     $164 = HEAP32[$m_handlerArg542 >> 2] | 0;
   157232     $165 = HEAP32[$m_declEntity508 >> 2] | 0;
   157233     $name544 = $165 | 0;
   157234     $166 = HEAP32[$name544 >> 2] | 0;
   157235     $base546 = $165 + 20 | 0;
   157236     $167 = HEAP32[$base546 >> 2] | 0;
   157237     $systemId548 = $165 + 16 | 0;
   157238     $168 = HEAP32[$systemId548 >> 2] | 0;
   157239     $publicId550 = $165 + 24 | 0;
   157240     $169 = HEAP32[$publicId550 >> 2] | 0;
   157241     $notation552 = $165 + 28 | 0;
   157242     $170 = HEAP32[$notation552 >> 2] | 0;
   157243     FUNCTION_TABLE_viiiiiiiii[$163 & 1023]($164, $166, 0, 0, 0, $167, $168, $169, $170);
   157244     $enc_addr_1532 = $enc_addr_0;
   157245     label = 204;
   157246     break;
   157247    case 109:
   157248     $predefinedEntityName = $enc_addr_0 + 44 | 0;
   157249     $171 = HEAP32[$predefinedEntityName >> 2] | 0;
   157250     $172 = HEAP32[$next_addr >> 2] | 0;
   157251     $call557 = FUNCTION_TABLE_iiii[$171 & 1023]($enc_addr_0, $s_addr_0, $172) | 0;
   157252     $tobool558 = ($call557 | 0) == 0;
   157253     if ($tobool558) {
   157254       label = 111;
   157255       break;
   157256     } else {
   157257       label = 110;
   157258       break;
   157259     }
   157260    case 110:
   157261     HEAP32[$m_declEntity560 >> 2] = 0;
   157262     label = 202;
   157263     break;
   157264    case 111:
   157265     $173 = HEAP8[$keepProcessing562] | 0;
   157266     $tobool563 = $173 << 24 >> 24 == 0;
   157267     if ($tobool563) {
   157268       label = 119;
   157269       break;
   157270     } else {
   157271       label = 112;
   157272       break;
   157273     }
   157274    case 112:
   157275     $174 = HEAP32[$next_addr >> 2] | 0;
   157276     $call567 = _poolStoreString($pool566, $enc_addr_0, $s_addr_0, $174) | 0;
   157277     $tobool568 = ($call567 | 0) == 0;
   157278     if ($tobool568) {
   157279       $retval_0_ph = 1;
   157280       label = 207;
   157281       break;
   157282     } else {
   157283       label = 113;
   157284       break;
   157285     }
   157286    case 113:
   157287     $call571 = _lookup($parser, $generalEntities, $call567, 36) | 0;
   157288     $175 = $call571;
   157289     HEAP32[$m_declEntity572 >> 2] = $175;
   157290     $tobool574 = ($call571 | 0) == 0;
   157291     if ($tobool574) {
   157292       $retval_0_ph = 1;
   157293       label = 207;
   157294       break;
   157295     } else {
   157296       label = 114;
   157297       break;
   157298     }
   157299    case 114:
   157300     $name578 = $call571 | 0;
   157301     $176 = HEAP32[$name578 >> 2] | 0;
   157302     $cmp579 = ($176 | 0) == ($call567 | 0);
   157303     if ($cmp579) {
   157304       label = 116;
   157305       break;
   157306     } else {
   157307       label = 115;
   157308       break;
   157309     }
   157310    case 115:
   157311     $177 = HEAP32[$start583 >> 2] | 0;
   157312     HEAP32[$ptr585 >> 2] = $177;
   157313     HEAP32[$m_declEntity572 >> 2] = 0;
   157314     label = 202;
   157315     break;
   157316    case 116:
   157317     $178 = HEAP32[$ptr589 >> 2] | 0;
   157318     HEAP32[$start591 >> 2] = $178;
   157319     $179 = HEAP32[$m_declEntity572 >> 2] | 0;
   157320     $publicId593 = $179 + 24 | 0;
   157321     HEAP32[$publicId593 >> 2] = 0;
   157322     $180 = HEAP32[$m_declEntity572 >> 2] | 0;
   157323     $is_param595 = $180 + 33 | 0;
   157324     HEAP8[$is_param595] = 0;
   157325     $181 = HEAP32[$m_parentParser >> 2] | 0;
   157326     $tobool596 = ($181 | 0) == 0;
   157327     if ($tobool596) {
   157328       label = 117;
   157329       break;
   157330     } else {
   157331       $183 = 0;
   157332       label = 118;
   157333       break;
   157334     }
   157335    case 117:
   157336     $182 = HEAP32[$m_openInternalEntities597 >> 2] | 0;
   157337     $phitmp = ($182 | 0) == 0;
   157338     $phitmp514 = $phitmp & 1;
   157339     $183 = $phitmp514;
   157340     label = 118;
   157341     break;
   157342    case 118:
   157343     $184 = HEAP32[$m_declEntity572 >> 2] | 0;
   157344     $is_internal = $184 + 34 | 0;
   157345     HEAP8[$is_internal] = $183;
   157346     $185 = HEAP32[$m_entityDeclHandler601 >> 2] | 0;
   157347     $tobool602 = ($185 | 0) == 0;
   157348     $_516 = $tobool602 & 1;
   157349     $handleDefault_10 = $_516;
   157350     label = 201;
   157351     break;
   157352    case 119:
   157353     $186 = HEAP32[$start608 >> 2] | 0;
   157354     HEAP32[$ptr610 >> 2] = $186;
   157355     HEAP32[$m_declEntity611 >> 2] = 0;
   157356     label = 202;
   157357     break;
   157358    case 120:
   157359     HEAP32[$m_declEntity614 >> 2] = 0;
   157360     label = 202;
   157361     break;
   157362    case 121:
   157363     HEAP32[$m_declNotationPublicId >> 2] = 0;
   157364     HEAP32[$m_declNotationName >> 2] = 0;
   157365     $187 = HEAP32[$m_notationDeclHandler >> 2] | 0;
   157366     $tobool616 = ($187 | 0) == 0;
   157367     if ($tobool616) {
   157368       label = 202;
   157369       break;
   157370     } else {
   157371       label = 122;
   157372       break;
   157373     }
   157374    case 122:
   157375     $188 = HEAP32[$next_addr >> 2] | 0;
   157376     $call619 = _poolStoreString($m_tempPool618, $enc_addr_0, $s_addr_0, $188) | 0;
   157377     HEAP32[$m_declNotationName >> 2] = $call619;
   157378     $tobool622 = ($call619 | 0) == 0;
   157379     if ($tobool622) {
   157380       $retval_0_ph = 1;
   157381       label = 207;
   157382       break;
   157383     } else {
   157384       label = 123;
   157385       break;
   157386     }
   157387    case 123:
   157388     $189 = HEAP32[$ptr626 >> 2] | 0;
   157389     HEAP32[$start628 >> 2] = $189;
   157390     $enc_addr_1532 = $enc_addr_0;
   157391     label = 204;
   157392     break;
   157393    case 124:
   157394     $isPublicId631 = $enc_addr_0 + 52 | 0;
   157395     $190 = HEAP32[$isPublicId631 >> 2] | 0;
   157396     $191 = HEAP32[$next_addr >> 2] | 0;
   157397     $call632 = FUNCTION_TABLE_iiiii[$190 & 1023]($enc_addr_0, $s_addr_0, $191, $eventPP_0_ph) | 0;
   157398     $tobool633 = ($call632 | 0) == 0;
   157399     if ($tobool633) {
   157400       $retval_0_ph = 32;
   157401       label = 207;
   157402       break;
   157403     } else {
   157404       label = 125;
   157405       break;
   157406     }
   157407    case 125:
   157408     $192 = HEAP32[$m_declNotationName636 >> 2] | 0;
   157409     $tobool637 = ($192 | 0) == 0;
   157410     if ($tobool637) {
   157411       label = 202;
   157412       break;
   157413     } else {
   157414       label = 126;
   157415       break;
   157416     }
   157417    case 126:
   157418     $minBytesPerChar641 = $enc_addr_0 + 64 | 0;
   157419     $193 = HEAP32[$minBytesPerChar641 >> 2] | 0;
   157420     $add_ptr642 = $s_addr_0 + $193 | 0;
   157421     $194 = HEAP32[$next_addr >> 2] | 0;
   157422     $idx_neg644 = -$193 | 0;
   157423     $add_ptr645 = $194 + $idx_neg644 | 0;
   157424     $call646 = _poolStoreString($m_tempPool640, $enc_addr_0, $add_ptr642, $add_ptr645) | 0;
   157425     $tobool647 = ($call646 | 0) == 0;
   157426     if ($tobool647) {
   157427       $retval_0_ph = 1;
   157428       label = 207;
   157429       break;
   157430     } else {
   157431       label = 127;
   157432       break;
   157433     }
   157434    case 127:
   157435     _normalizePublicId($call646);
   157436     HEAP32[$m_declNotationPublicId650 >> 2] = $call646;
   157437     $195 = HEAP32[$ptr652 >> 2] | 0;
   157438     HEAP32[$start654 >> 2] = $195;
   157439     $enc_addr_1532 = $enc_addr_0;
   157440     label = 204;
   157441     break;
   157442    case 128:
   157443     $196 = HEAP32[$m_declNotationName657 >> 2] | 0;
   157444     $tobool658 = ($196 | 0) == 0;
   157445     if ($tobool658) {
   157446       $handleDefault_5 = 1;
   157447       label = 132;
   157448       break;
   157449     } else {
   157450       label = 129;
   157451       break;
   157452     }
   157453    case 129:
   157454     $197 = HEAP32[$m_notationDeclHandler660 >> 2] | 0;
   157455     $tobool661 = ($197 | 0) == 0;
   157456     if ($tobool661) {
   157457       $handleDefault_5 = 1;
   157458       label = 132;
   157459       break;
   157460     } else {
   157461       label = 130;
   157462       break;
   157463     }
   157464    case 130:
   157465     $minBytesPerChar665 = $enc_addr_0 + 64 | 0;
   157466     $198 = HEAP32[$minBytesPerChar665 >> 2] | 0;
   157467     $add_ptr666 = $s_addr_0 + $198 | 0;
   157468     $199 = HEAP32[$next_addr >> 2] | 0;
   157469     $idx_neg668 = -$198 | 0;
   157470     $add_ptr669 = $199 + $idx_neg668 | 0;
   157471     $call670 = _poolStoreString($m_tempPool664, $enc_addr_0, $add_ptr666, $add_ptr669) | 0;
   157472     $tobool671 = ($call670 | 0) == 0;
   157473     if ($tobool671) {
   157474       $retval_0_ph = 1;
   157475       label = 207;
   157476       break;
   157477     } else {
   157478       label = 131;
   157479       break;
   157480     }
   157481    case 131:
   157482     HEAP32[$eventEndPP_0_ph >> 2] = $s_addr_0;
   157483     $200 = HEAP32[$m_notationDeclHandler660 >> 2] | 0;
   157484     $201 = HEAP32[$m_handlerArg675 >> 2] | 0;
   157485     $202 = HEAP32[$m_declNotationName657 >> 2] | 0;
   157486     $203 = HEAP32[$m_curBase677 >> 2] | 0;
   157487     $204 = HEAP32[$m_declNotationPublicId678 >> 2] | 0;
   157488     FUNCTION_TABLE_viiiii[$200 & 1023]($201, $202, $203, $call670, $204);
   157489     $handleDefault_5 = 0;
   157490     label = 132;
   157491     break;
   157492    case 132:
   157493     _poolClear($m_tempPool680);
   157494     $handleDefault_10 = $handleDefault_5;
   157495     label = 201;
   157496     break;
   157497    case 133:
   157498     $205 = HEAP32[$m_declNotationPublicId682 >> 2] | 0;
   157499     $tobool683 = ($205 | 0) == 0;
   157500     if ($tobool683) {
   157501       $handleDefault_6 = 1;
   157502       label = 136;
   157503       break;
   157504     } else {
   157505       label = 134;
   157506       break;
   157507     }
   157508    case 134:
   157509     $206 = HEAP32[$m_notationDeclHandler685 >> 2] | 0;
   157510     $tobool686 = ($206 | 0) == 0;
   157511     if ($tobool686) {
   157512       $handleDefault_6 = 1;
   157513       label = 136;
   157514       break;
   157515     } else {
   157516       label = 135;
   157517       break;
   157518     }
   157519    case 135:
   157520     HEAP32[$eventEndPP_0_ph >> 2] = $s_addr_0;
   157521     $207 = HEAP32[$m_notationDeclHandler685 >> 2] | 0;
   157522     $208 = HEAP32[$m_handlerArg689 >> 2] | 0;
   157523     $209 = HEAP32[$m_declNotationName690 >> 2] | 0;
   157524     $210 = HEAP32[$m_curBase691 >> 2] | 0;
   157525     $211 = HEAP32[$m_declNotationPublicId682 >> 2] | 0;
   157526     FUNCTION_TABLE_viiiii[$207 & 1023]($208, $209, $210, 0, $211);
   157527     $handleDefault_6 = 0;
   157528     label = 136;
   157529     break;
   157530    case 136:
   157531     _poolClear($m_tempPool694);
   157532     $handleDefault_10 = $handleDefault_6;
   157533     label = 201;
   157534     break;
   157535    case 137:
   157536     if (($tok_addr_1 | 0) == 12) {
   157537       label = 138;
   157538       break;
   157539     } else if (($tok_addr_1 | 0) == 28) {
   157540       $retval_0 = 10;
   157541       label = 208;
   157542       break;
   157543     } else {
   157544       label = 139;
   157545       break;
   157546     }
   157547    case 138:
   157548     $retval_0 = 17;
   157549     label = 208;
   157550     break;
   157551    case 139:
   157552     $retval_0 = 2;
   157553     label = 208;
   157554     break;
   157555    case 140:
   157556     $212 = HEAP32[$level >> 2] | 0;
   157557     $213 = HEAP32[$m_groupSize >> 2] | 0;
   157558     $cmp701 = $212 >>> 0 < $213 >>> 0;
   157559     if ($cmp701) {
   157560       label = 147;
   157561       break;
   157562     } else {
   157563       label = 141;
   157564       break;
   157565     }
   157566    case 141:
   157567     $tobool705 = ($213 | 0) == 0;
   157568     if ($tobool705) {
   157569       label = 146;
   157570       break;
   157571     } else {
   157572       label = 142;
   157573       break;
   157574     }
   157575    case 142:
   157576     $214 = HEAP32[$realloc_fcn >> 2] | 0;
   157577     $215 = HEAP32[$m_groupConnector >> 2] | 0;
   157578     $mul = $213 << 1;
   157579     HEAP32[$m_groupSize >> 2] = $mul;
   157580     $call708 = FUNCTION_TABLE_iii[$214 & 1023]($215, $mul) | 0;
   157581     $cmp709 = ($call708 | 0) == 0;
   157582     if ($cmp709) {
   157583       $retval_0_ph = 1;
   157584       label = 207;
   157585       break;
   157586     } else {
   157587       label = 143;
   157588       break;
   157589     }
   157590    case 143:
   157591     HEAP32[$m_groupConnector >> 2] = $call708;
   157592     $216 = HEAP32[$scaffIndex >> 2] | 0;
   157593     $tobool714 = ($216 | 0) == 0;
   157594     if ($tobool714) {
   157595       label = 147;
   157596       break;
   157597     } else {
   157598       label = 144;
   157599       break;
   157600     }
   157601    case 144:
   157602     $217 = HEAP32[$realloc_fcn >> 2] | 0;
   157603     $218 = $216;
   157604     $219 = HEAP32[$m_groupSize >> 2] | 0;
   157605     $mul721 = $219 << 2;
   157606     $call722 = FUNCTION_TABLE_iii[$217 & 1023]($218, $mul721) | 0;
   157607     $cmp723 = ($call722 | 0) == 0;
   157608     if ($cmp723) {
   157609       $retval_0_ph = 1;
   157610       label = 207;
   157611       break;
   157612     } else {
   157613       label = 145;
   157614       break;
   157615     }
   157616    case 145:
   157617     $220 = $call722;
   157618     HEAP32[$scaffIndex >> 2] = $220;
   157619     label = 147;
   157620     break;
   157621    case 146:
   157622     $221 = HEAP32[$malloc_fcn >> 2] | 0;
   157623     HEAP32[$m_groupSize >> 2] = 32;
   157624     $call732 = FUNCTION_TABLE_ii[$221 & 1023](32) | 0;
   157625     HEAP32[$m_groupConnector733 >> 2] = $call732;
   157626     $tobool735 = ($call732 | 0) == 0;
   157627     if ($tobool735) {
   157628       $retval_0_ph = 1;
   157629       label = 207;
   157630       break;
   157631     } else {
   157632       label = 147;
   157633       break;
   157634     }
   157635    case 147:
   157636     $222 = HEAP32[$level >> 2] | 0;
   157637     $223 = HEAP32[$m_groupConnector742 >> 2] | 0;
   157638     $arrayidx743 = $223 + $222 | 0;
   157639     HEAP8[$arrayidx743] = 0;
   157640     $224 = HEAP8[$in_eldecl] | 0;
   157641     $tobool744 = $224 << 24 >> 24 == 0;
   157642     if ($tobool744) {
   157643       label = 202;
   157644       break;
   157645     } else {
   157646       label = 148;
   157647       break;
   157648     }
   157649    case 148:
   157650     $call746 = _nextScaffoldPart($parser) | 0;
   157651     $cmp747 = ($call746 | 0) < 0;
   157652     if ($cmp747) {
   157653       $retval_0_ph = 1;
   157654       label = 207;
   157655       break;
   157656     } else {
   157657       label = 149;
   157658       break;
   157659     }
   157660    case 149:
   157661     $225 = HEAP32[$scaffLevel >> 2] | 0;
   157662     $226 = HEAP32[$scaffIndex751 >> 2] | 0;
   157663     $arrayidx752 = $226 + ($225 << 2) | 0;
   157664     HEAP32[$arrayidx752 >> 2] = $call746;
   157665     $227 = HEAP32[$scaffLevel >> 2] | 0;
   157666     $inc = $227 + 1 | 0;
   157667     HEAP32[$scaffLevel >> 2] = $inc;
   157668     $228 = HEAP32[$scaffold >> 2] | 0;
   157669     $type = $228 + ($call746 * 28 & -1) | 0;
   157670     HEAP32[$type >> 2] = 6;
   157671     $229 = HEAP32[$m_elementDeclHandler >> 2] | 0;
   157672     $tobool755 = ($229 | 0) == 0;
   157673     $_517 = $tobool755 & 1;
   157674     $handleDefault_10 = $_517;
   157675     label = 201;
   157676     break;
   157677    case 150:
   157678     $230 = HEAP32[$level761 >> 2] | 0;
   157679     $231 = HEAP32[$m_groupConnector762 >> 2] | 0;
   157680     $arrayidx763 = $231 + $230 | 0;
   157681     $232 = HEAP8[$arrayidx763] | 0;
   157682     $cmp765 = $232 << 24 >> 24 == 124;
   157683     if ($cmp765) {
   157684       $retval_0_ph = 2;
   157685       label = 207;
   157686       break;
   157687     } else {
   157688       label = 151;
   157689       break;
   157690     }
   157691    case 151:
   157692     HEAP8[$arrayidx763] = 44;
   157693     $233 = HEAP8[$in_eldecl773] | 0;
   157694     $tobool775 = $233 << 24 >> 24 == 0;
   157695     if ($tobool775) {
   157696       label = 202;
   157697       break;
   157698     } else {
   157699       label = 152;
   157700       break;
   157701     }
   157702    case 152:
   157703     $234 = HEAP32[$m_elementDeclHandler777 >> 2] | 0;
   157704     $tobool778 = ($234 | 0) == 0;
   157705     $_518 = $tobool778 & 1;
   157706     $handleDefault_10 = $_518;
   157707     label = 201;
   157708     break;
   157709    case 153:
   157710     $235 = HEAP32[$level783 >> 2] | 0;
   157711     $236 = HEAP32[$m_groupConnector784 >> 2] | 0;
   157712     $arrayidx785 = $236 + $235 | 0;
   157713     $237 = HEAP8[$arrayidx785] | 0;
   157714     $cmp787 = $237 << 24 >> 24 == 44;
   157715     if ($cmp787) {
   157716       $retval_0_ph = 2;
   157717       label = 207;
   157718       break;
   157719     } else {
   157720       label = 154;
   157721       break;
   157722     }
   157723    case 154:
   157724     $238 = HEAP8[$in_eldecl791] | 0;
   157725     $tobool793 = $238 << 24 >> 24 != 0;
   157726     $tobool799 = $237 << 24 >> 24 == 0;
   157727     $or_cond519 = $tobool793 & $tobool799;
   157728     if ($or_cond519) {
   157729       label = 155;
   157730       break;
   157731     } else {
   157732       $handleDefault_7 = 1;
   157733       label = 157;
   157734       break;
   157735     }
   157736    case 155:
   157737     $239 = HEAP32[$scaffLevel801 >> 2] | 0;
   157738     $sub802 = $239 - 1 | 0;
   157739     $240 = HEAP32[$scaffIndex803 >> 2] | 0;
   157740     $arrayidx804 = $240 + ($sub802 << 2) | 0;
   157741     $241 = HEAP32[$arrayidx804 >> 2] | 0;
   157742     $242 = HEAP32[$scaffold805 >> 2] | 0;
   157743     $type807 = $242 + ($241 * 28 & -1) | 0;
   157744     $243 = HEAP32[$type807 >> 2] | 0;
   157745     $cmp808 = ($243 | 0) == 3;
   157746     if ($cmp808) {
   157747       $handleDefault_7 = 1;
   157748       label = 157;
   157749       break;
   157750     } else {
   157751       label = 156;
   157752       break;
   157753     }
   157754    case 156:
   157755     HEAP32[$type807 >> 2] = 5;
   157756     $244 = HEAP32[$m_elementDeclHandler818 >> 2] | 0;
   157757     $tobool819 = ($244 | 0) == 0;
   157758     $_520 = $tobool819 & 1;
   157759     $handleDefault_7 = $_520;
   157760     label = 157;
   157761     break;
   157762    case 157:
   157763     $245 = HEAP32[$level783 >> 2] | 0;
   157764     $246 = HEAP32[$m_groupConnector784 >> 2] | 0;
   157765     $arrayidx826 = $246 + $245 | 0;
   157766     HEAP8[$arrayidx826] = 124;
   157767     $handleDefault_10 = $handleDefault_7;
   157768     label = 201;
   157769     break;
   157770    case 158:
   157771     $247 = HEAP8[$standalone828] | 0;
   157772     $tobool829 = $247 << 24 >> 24 == 0;
   157773     if ($tobool829) {
   157774       label = 159;
   157775       break;
   157776     } else {
   157777       label = 202;
   157778       break;
   157779     }
   157780    case 159:
   157781     $248 = HEAP32[$m_notStandaloneHandler831 >> 2] | 0;
   157782     $tobool832 = ($248 | 0) == 0;
   157783     if ($tobool832) {
   157784       label = 202;
   157785       break;
   157786     } else {
   157787       label = 160;
   157788       break;
   157789     }
   157790    case 160:
   157791     $249 = HEAP32[$m_handlerArg835 >> 2] | 0;
   157792     $call836 = FUNCTION_TABLE_ii[$248 & 1023]($249) | 0;
   157793     $tobool837 = ($call836 | 0) == 0;
   157794     if ($tobool837) {
   157795       $retval_0_ph = 22;
   157796       label = 207;
   157797       break;
   157798     } else {
   157799       label = 202;
   157800       break;
   157801     }
   157802    case 161:
   157803     $250 = HEAP32[$m_elementDeclHandler841 >> 2] | 0;
   157804     $tobool842 = ($250 | 0) == 0;
   157805     if ($tobool842) {
   157806       label = 202;
   157807       break;
   157808     } else {
   157809       label = 162;
   157810       break;
   157811     }
   157812    case 162:
   157813     $251 = HEAP32[$next_addr >> 2] | 0;
   157814     $call844 = _getElementType($parser, $enc_addr_0, $s_addr_0, $251) | 0;
   157815     HEAP32[$m_declElementType845 >> 2] = $call844;
   157816     $tobool847 = ($call844 | 0) == 0;
   157817     if ($tobool847) {
   157818       $retval_0_ph = 1;
   157819       label = 207;
   157820       break;
   157821     } else {
   157822       label = 163;
   157823       break;
   157824     }
   157825    case 163:
   157826     HEAP32[$scaffLevel850 >> 2] = 0;
   157827     HEAP32[$scaffCount >> 2] = 0;
   157828     HEAP8[$in_eldecl851] = 1;
   157829     $enc_addr_1532 = $enc_addr_0;
   157830     label = 204;
   157831     break;
   157832    case 164:
   157833     $252 = HEAP8[$in_eldecl854] | 0;
   157834     $tobool855 = $252 << 24 >> 24 == 0;
   157835     if ($tobool855) {
   157836       label = 202;
   157837       break;
   157838     } else {
   157839       label = 165;
   157840       break;
   157841     }
   157842    case 165:
   157843     $253 = HEAP32[$m_elementDeclHandler857 >> 2] | 0;
   157844     $tobool858 = ($253 | 0) == 0;
   157845     if ($tobool858) {
   157846       $handleDefault_8 = 1;
   157847       label = 168;
   157848       break;
   157849     } else {
   157850       label = 166;
   157851       break;
   157852     }
   157853    case 166:
   157854     $254 = HEAP32[$malloc_fcn861 >> 2] | 0;
   157855     $call862 = FUNCTION_TABLE_ii[$254 & 1023](20) | 0;
   157856     $tobool863 = ($call862 | 0) == 0;
   157857     if ($tobool863) {
   157858       $retval_0_ph = 1;
   157859       label = 207;
   157860       break;
   157861     } else {
   157862       label = 167;
   157863       break;
   157864     }
   157865    case 167:
   157866     $255 = $call862;
   157867     $quant866 = $call862 + 4 | 0;
   157868     $cmp868 = ($call | 0) == 41;
   157869     $cond870 = $cmp868 ? 2 : 1;
   157870     $type871 = $call862;
   157871     _memset($quant866 | 0, 0, 16);
   157872     HEAP32[$type871 >> 2] = $cond870;
   157873     HEAP32[$eventEndPP_0_ph >> 2] = $s_addr_0;
   157874     $256 = HEAP32[$m_elementDeclHandler857 >> 2] | 0;
   157875     $257 = HEAP32[$m_handlerArg873 >> 2] | 0;
   157876     $258 = HEAP32[$m_declElementType874 >> 2] | 0;
   157877     $name875 = $258 | 0;
   157878     $259 = HEAP32[$name875 >> 2] | 0;
   157879     FUNCTION_TABLE_viii[$256 & 1023]($257, $259, $255);
   157880     $handleDefault_8 = 0;
   157881     label = 168;
   157882     break;
   157883    case 168:
   157884     HEAP8[$in_eldecl854] = 0;
   157885     $handleDefault_10 = $handleDefault_8;
   157886     label = 201;
   157887     break;
   157888    case 169:
   157889     $260 = HEAP8[$in_eldecl880] | 0;
   157890     $tobool881 = $260 << 24 >> 24 == 0;
   157891     if ($tobool881) {
   157892       label = 202;
   157893       break;
   157894     } else {
   157895       label = 170;
   157896       break;
   157897     }
   157898    case 170:
   157899     $261 = HEAP32[$scaffLevel883 >> 2] | 0;
   157900     $sub884 = $261 - 1 | 0;
   157901     $262 = HEAP32[$scaffIndex885 >> 2] | 0;
   157902     $arrayidx886 = $262 + ($sub884 << 2) | 0;
   157903     $263 = HEAP32[$arrayidx886 >> 2] | 0;
   157904     $264 = HEAP32[$scaffold887 >> 2] | 0;
   157905     $type889 = $264 + ($263 * 28 & -1) | 0;
   157906     HEAP32[$type889 >> 2] = 3;
   157907     $265 = HEAP32[$m_elementDeclHandler890 >> 2] | 0;
   157908     $tobool891 = ($265 | 0) == 0;
   157909     $_521 = $tobool891 & 1;
   157910     $handleDefault_10 = $_521;
   157911     label = 201;
   157912     break;
   157913    case 171:
   157914     $quant_0 = 1;
   157915     label = 174;
   157916     break;
   157917    case 172:
   157918     $quant_0 = 2;
   157919     label = 174;
   157920     break;
   157921    case 173:
   157922     $quant_0 = 3;
   157923     label = 174;
   157924     break;
   157925    case 174:
   157926     $266 = HEAP8[$in_eldecl899] | 0;
   157927     $tobool900 = $266 << 24 >> 24 == 0;
   157928     if ($tobool900) {
   157929       label = 202;
   157930       break;
   157931     } else {
   157932       label = 175;
   157933       break;
   157934     }
   157935    case 175:
   157936     $cmp903 = ($quant_0 | 0) == 0;
   157937     $267 = HEAP32[$next_addr >> 2] | 0;
   157938     if ($cmp903) {
   157939       $cond910 = $267;
   157940       label = 177;
   157941       break;
   157942     } else {
   157943       label = 176;
   157944       break;
   157945     }
   157946    case 176:
   157947     $minBytesPerChar907 = $enc_addr_0 + 64 | 0;
   157948     $268 = HEAP32[$minBytesPerChar907 >> 2] | 0;
   157949     $idx_neg908 = -$268 | 0;
   157950     $add_ptr909 = $267 + $idx_neg908 | 0;
   157951     $cond910 = $add_ptr909;
   157952     label = 177;
   157953     break;
   157954    case 177:
   157955     $call912 = _nextScaffoldPart($parser) | 0;
   157956     $cmp913 = ($call912 | 0) < 0;
   157957     if ($cmp913) {
   157958       $retval_0_ph = 1;
   157959       label = 207;
   157960       break;
   157961     } else {
   157962       label = 178;
   157963       break;
   157964     }
   157965    case 178:
   157966     $269 = HEAP32[$scaffold917 >> 2] | 0;
   157967     $type919 = $269 + ($call912 * 28 & -1) | 0;
   157968     HEAP32[$type919 >> 2] = 4;
   157969     $270 = HEAP32[$scaffold917 >> 2] | 0;
   157970     $quant922 = $270 + ($call912 * 28 & -1) + 4 | 0;
   157971     HEAP32[$quant922 >> 2] = $quant_0;
   157972     $call923 = _getElementType($parser, $enc_addr_0, $s_addr_0, $cond910) | 0;
   157973     $tobool924 = ($call923 | 0) == 0;
   157974     if ($tobool924) {
   157975       $retval_0_ph = 1;
   157976       label = 207;
   157977       break;
   157978     } else {
   157979       label = 179;
   157980       break;
   157981     }
   157982    case 179:
   157983     $name927 = $call923 | 0;
   157984     $271 = HEAP32[$name927 >> 2] | 0;
   157985     $272 = HEAP32[$scaffold917 >> 2] | 0;
   157986     $name930 = $272 + ($call912 * 28 & -1) + 8 | 0;
   157987     HEAP32[$name930 >> 2] = $271;
   157988     $nameLen_0 = 0;
   157989     label = 180;
   157990     break;
   157991    case 180:
   157992     $inc932 = $nameLen_0 + 1 | 0;
   157993     $arrayidx933 = $271 + $nameLen_0 | 0;
   157994     $273 = HEAP8[$arrayidx933] | 0;
   157995     $tobool934 = $273 << 24 >> 24 == 0;
   157996     if ($tobool934) {
   157997       label = 181;
   157998       break;
   157999     } else {
   158000       $nameLen_0 = $inc932;
   158001       label = 180;
   158002       break;
   158003     }
   158004    case 181:
   158005     $274 = HEAP32[$contentStringLen >> 2] | 0;
   158006     $add = $274 + $inc932 | 0;
   158007     HEAP32[$contentStringLen >> 2] = $add;
   158008     $275 = HEAP32[$m_elementDeclHandler935 >> 2] | 0;
   158009     $tobool936 = ($275 | 0) == 0;
   158010     $_522 = $tobool936 & 1;
   158011     $handleDefault_10 = $_522;
   158012     label = 201;
   158013     break;
   158014    case 182:
   158015     $quant_1 = 1;
   158016     label = 185;
   158017     break;
   158018    case 183:
   158019     $quant_1 = 2;
   158020     label = 185;
   158021     break;
   158022    case 184:
   158023     $quant_1 = 3;
   158024     label = 185;
   158025     break;
   158026    case 185:
   158027     $276 = HEAP8[$in_eldecl944] | 0;
   158028     $tobool945 = $276 << 24 >> 24 == 0;
   158029     if ($tobool945) {
   158030       label = 202;
   158031       break;
   158032     } else {
   158033       label = 186;
   158034       break;
   158035     }
   158036    case 186:
   158037     $277 = HEAP32[$m_elementDeclHandler947 >> 2] | 0;
   158038     $tobool948 = ($277 | 0) == 0;
   158039     $_523 = $tobool948 & 1;
   158040     $278 = HEAP32[$scaffLevel951 >> 2] | 0;
   158041     $dec = $278 - 1 | 0;
   158042     HEAP32[$scaffLevel951 >> 2] = $dec;
   158043     $279 = HEAP32[$scaffIndex953 >> 2] | 0;
   158044     $arrayidx954 = $279 + ($dec << 2) | 0;
   158045     $280 = HEAP32[$arrayidx954 >> 2] | 0;
   158046     $281 = HEAP32[$scaffold955 >> 2] | 0;
   158047     $quant957 = $281 + ($280 * 28 & -1) + 4 | 0;
   158048     HEAP32[$quant957 >> 2] = $quant_1;
   158049     $282 = HEAP32[$scaffLevel951 >> 2] | 0;
   158050     $cmp959 = ($282 | 0) == 0;
   158051     if ($cmp959) {
   158052       label = 187;
   158053       break;
   158054     } else {
   158055       $handleDefault_10 = $_523;
   158056       label = 201;
   158057       break;
   158058     }
   158059    case 187:
   158060     if ($tobool948) {
   158061       label = 190;
   158062       break;
   158063     } else {
   158064       label = 188;
   158065       break;
   158066     }
   158067    case 188:
   158068     $call964 = _build_model($parser) | 0;
   158069     $tobool965 = ($call964 | 0) == 0;
   158070     if ($tobool965) {
   158071       $retval_0_ph = 1;
   158072       label = 207;
   158073       break;
   158074     } else {
   158075       label = 189;
   158076       break;
   158077     }
   158078    case 189:
   158079     HEAP32[$eventEndPP_0_ph >> 2] = $s_addr_0;
   158080     $283 = HEAP32[$m_elementDeclHandler947 >> 2] | 0;
   158081     $284 = HEAP32[$m_handlerArg969 >> 2] | 0;
   158082     $285 = HEAP32[$m_declElementType970 >> 2] | 0;
   158083     $name971 = $285 | 0;
   158084     $286 = HEAP32[$name971 >> 2] | 0;
   158085     FUNCTION_TABLE_viii[$283 & 1023]($284, $286, $call964);
   158086     label = 190;
   158087     break;
   158088    case 190:
   158089     HEAP8[$in_eldecl944] = 0;
   158090     HEAP32[$contentStringLen974 >> 2] = 0;
   158091     $handleDefault_10 = $_523;
   158092     label = 201;
   158093     break;
   158094    case 191:
   158095     $287 = HEAP32[$next_addr >> 2] | 0;
   158096     $call978 = _reportProcessingInstruction($parser, $enc_addr_0, $s_addr_0, $287) | 0;
   158097     $tobool979 = ($call978 | 0) == 0;
   158098     if ($tobool979) {
   158099       $retval_0_ph = 1;
   158100       label = 207;
   158101       break;
   158102     } else {
   158103       $enc_addr_1532 = $enc_addr_0;
   158104       label = 204;
   158105       break;
   158106     }
   158107    case 192:
   158108     $288 = HEAP32[$next_addr >> 2] | 0;
   158109     $call983 = _reportComment($parser, $enc_addr_0, $s_addr_0, $288) | 0;
   158110     $tobool984 = ($call983 | 0) == 0;
   158111     if ($tobool984) {
   158112       $retval_0_ph = 1;
   158113       label = 207;
   158114       break;
   158115     } else {
   158116       $enc_addr_1532 = $enc_addr_0;
   158117       label = 204;
   158118       break;
   158119     }
   158120    case 193:
   158121     $not_cond1 = ($tok_addr_1 | 0) != 14;
   158122     $_524 = $not_cond1 & 1;
   158123     $handleDefault_10 = $_524;
   158124     label = 201;
   158125     break;
   158126    case 194:
   158127     $289 = HEAP32[$m_startDoctypeDeclHandler991 >> 2] | 0;
   158128     $tobool992 = ($289 | 0) == 0;
   158129     $_525 = $tobool992 & 1;
   158130     $handleDefault_10 = $_525;
   158131     label = 201;
   158132     break;
   158133    case 195:
   158134     $290 = HEAP8[$keepProcessing996] | 0;
   158135     $tobool998 = $290 << 24 >> 24 == 0;
   158136     if ($tobool998) {
   158137       label = 202;
   158138       break;
   158139     } else {
   158140       label = 196;
   158141       break;
   158142     }
   158143    case 196:
   158144     $291 = HEAP32[$m_entityDeclHandler1000 >> 2] | 0;
   158145     $tobool1001 = ($291 | 0) == 0;
   158146     $_526 = $tobool1001 & 1;
   158147     $handleDefault_10 = $_526;
   158148     label = 201;
   158149     break;
   158150    case 197:
   158151     $292 = HEAP32[$m_notationDeclHandler1005 >> 2] | 0;
   158152     $tobool1006 = ($292 | 0) == 0;
   158153     $_527 = $tobool1006 & 1;
   158154     $handleDefault_10 = $_527;
   158155     label = 201;
   158156     break;
   158157    case 198:
   158158     $293 = HEAP8[$keepProcessing1010] | 0;
   158159     $tobool1012 = $293 << 24 >> 24 == 0;
   158160     if ($tobool1012) {
   158161       label = 202;
   158162       break;
   158163     } else {
   158164       label = 199;
   158165       break;
   158166     }
   158167    case 199:
   158168     $294 = HEAP32[$m_attlistDeclHandler1014 >> 2] | 0;
   158169     $tobool1015 = ($294 | 0) == 0;
   158170     $_528 = $tobool1015 & 1;
   158171     $handleDefault_10 = $_528;
   158172     label = 201;
   158173     break;
   158174    case 200:
   158175     $295 = HEAP32[$m_elementDeclHandler1019 >> 2] | 0;
   158176     $tobool1020 = ($295 | 0) == 0;
   158177     $_529 = $tobool1020 & 1;
   158178     $handleDefault_10 = $_529;
   158179     label = 201;
   158180     break;
   158181    case 201:
   158182     $tobool1025 = $handleDefault_10 << 24 >> 24 == 0;
   158183     if ($tobool1025) {
   158184       $enc_addr_1532 = $enc_addr_0;
   158185       label = 204;
   158186       break;
   158187     } else {
   158188       label = 202;
   158189       break;
   158190     }
   158191    case 202:
   158192     $296 = HEAP32[$m_defaultHandler >> 2] | 0;
   158193     $tobool1027 = ($296 | 0) == 0;
   158194     if ($tobool1027) {
   158195       $enc_addr_1532 = $enc_addr_0;
   158196       label = 204;
   158197       break;
   158198     } else {
   158199       label = 203;
   158200       break;
   158201     }
   158202    case 203:
   158203     $297 = HEAP32[$next_addr >> 2] | 0;
   158204     _reportDefault($parser, $enc_addr_0, $s_addr_0, $297);
   158205     $enc_addr_1532 = $enc_addr_0;
   158206     label = 204;
   158207     break;
   158208    case 204:
   158209     $298 = HEAP32[$parsing >> 2] | 0;
   158210     if (($298 | 0) == 3) {
   158211       label = 205;
   158212       break;
   158213     } else if (($298 | 0) == 2) {
   158214       $retval_0_ph = 35;
   158215       label = 207;
   158216       break;
   158217     } else {
   158218       label = 206;
   158219       break;
   158220     }
   158221    case 205:
   158222     $299 = HEAP32[$next_addr >> 2] | 0;
   158223     HEAP32[$nextPtr >> 2] = $299;
   158224     $retval_0 = 0;
   158225     label = 208;
   158226     break;
   158227    case 206:
   158228     $300 = HEAP32[$next_addr >> 2] | 0;
   158229     $arrayidx1033 = $enc_addr_1532 | 0;
   158230     $301 = HEAP32[$arrayidx1033 >> 2] | 0;
   158231     $call1034 = FUNCTION_TABLE_iiiii[$301 & 1023]($enc_addr_1532, $300, $end, $next_addr) | 0;
   158232     $enc_addr_0 = $enc_addr_1532;
   158233     $s_addr_0 = $300;
   158234     $tok_addr_0 = $call1034;
   158235     label = 6;
   158236     break;
   158237    case 207:
   158238     $retval_0 = $retval_0_ph;
   158239     label = 208;
   158240     break;
   158241    case 208:
   158242     STACKTOP = __stackBase__;
   158243     return $retval_0 | 0;
   158244   }
   158245   return 0;
   158246 }
   158247 function _error($state, $tok, $ptr, $end, $enc) {
   158248   $state = $state | 0;
   158249   $tok = $tok | 0;
   158250   $ptr = $ptr | 0;
   158251   $end = $end | 0;
   158252   $enc = $enc | 0;
   158253   return 0;
   158254 }
   158255 function _normalizePublicId($publicId) {
   158256   $publicId = $publicId | 0;
   158257   var $0 = 0, $tobool14 = 0, $1 = 0, $s_016 = 0, $p_015 = 0, $conv = 0, $cmp = 0, $arrayidx = 0, $2 = 0, $cmp3 = 0, $incdec_ptr = 0, $incdec_ptr5 = 0, $p_1 = 0, $incdec_ptr6 = 0, $3 = 0, $tobool = 0, $cmp7 = 0, $arrayidx10 = 0, $4 = 0, $cmp12 = 0, $arrayidx10_p_0 = 0, $p_2 = 0, label = 0;
   158258   label = 2;
   158259   while (1) switch (label | 0) {
   158260    case 2:
   158261     $0 = HEAP8[$publicId] | 0;
   158262     $tobool14 = $0 << 24 >> 24 == 0;
   158263     if ($tobool14) {
   158264       $p_2 = $publicId;
   158265       label = 11;
   158266       break;
   158267     } else {
   158268       $p_015 = $publicId;
   158269       $s_016 = $publicId;
   158270       $1 = $0;
   158271       label = 3;
   158272       break;
   158273     }
   158274    case 3:
   158275     $conv = $1 << 24 >> 24;
   158276     if (($conv | 0) == 32 | ($conv | 0) == 13 | ($conv | 0) == 10) {
   158277       label = 4;
   158278       break;
   158279     } else {
   158280       label = 7;
   158281       break;
   158282     }
   158283    case 4:
   158284     $cmp = ($p_015 | 0) == ($publicId | 0);
   158285     if ($cmp) {
   158286       $p_1 = $p_015;
   158287       label = 8;
   158288       break;
   158289     } else {
   158290       label = 5;
   158291       break;
   158292     }
   158293    case 5:
   158294     $arrayidx = $p_015 - 1 | 0;
   158295     $2 = HEAP8[$arrayidx] | 0;
   158296     $cmp3 = $2 << 24 >> 24 == 32;
   158297     if ($cmp3) {
   158298       $p_1 = $p_015;
   158299       label = 8;
   158300       break;
   158301     } else {
   158302       label = 6;
   158303       break;
   158304     }
   158305    case 6:
   158306     $incdec_ptr = $p_015 + 1 | 0;
   158307     HEAP8[$p_015] = 32;
   158308     $p_1 = $incdec_ptr;
   158309     label = 8;
   158310     break;
   158311    case 7:
   158312     $incdec_ptr5 = $p_015 + 1 | 0;
   158313     HEAP8[$p_015] = $1;
   158314     $p_1 = $incdec_ptr5;
   158315     label = 8;
   158316     break;
   158317    case 8:
   158318     $incdec_ptr6 = $s_016 + 1 | 0;
   158319     $3 = HEAP8[$incdec_ptr6] | 0;
   158320     $tobool = $3 << 24 >> 24 == 0;
   158321     if ($tobool) {
   158322       label = 9;
   158323       break;
   158324     } else {
   158325       $p_015 = $p_1;
   158326       $s_016 = $incdec_ptr6;
   158327       $1 = $3;
   158328       label = 3;
   158329       break;
   158330     }
   158331    case 9:
   158332     $cmp7 = ($p_1 | 0) == ($publicId | 0);
   158333     if ($cmp7) {
   158334       $p_2 = $p_1;
   158335       label = 11;
   158336       break;
   158337     } else {
   158338       label = 10;
   158339       break;
   158340     }
   158341    case 10:
   158342     $arrayidx10 = $p_1 - 1 | 0;
   158343     $4 = HEAP8[$arrayidx10] | 0;
   158344     $cmp12 = $4 << 24 >> 24 == 32;
   158345     $arrayidx10_p_0 = $cmp12 ? $arrayidx10 : $p_1;
   158346     $p_2 = $arrayidx10_p_0;
   158347     label = 11;
   158348     break;
   158349    case 11:
   158350     HEAP8[$p_2] = 0;
   158351     return;
   158352   }
   158353 }
   158354 function _hashTableInit($p, $ms) {
   158355   $p = $p | 0;
   158356   $ms = $ms | 0;
   158357   HEAP8[$p + 4 | 0] = 0;
   158358   HEAP32[$p + 8 >> 2] = 0;
   158359   HEAP32[$p + 12 >> 2] = 0;
   158360   HEAP32[$p >> 2] = 0;
   158361   HEAP32[$p + 16 >> 2] = $ms;
   158362   return;
   158363 }
   158364 function _XmlPrologStateInit($state) {
   158365   $state = $state | 0;
   158366   HEAP32[$state >> 2] = 434;
   158367   return;
   158368 }
   158369 function _common($state) {
   158370   $state = $state | 0;
   158371   HEAP32[$state >> 2] = 134;
   158372   return;
   158373 }
   158374 function _getElementType($parser, $enc, $ptr, $end) {
   158375   $parser = $parser | 0;
   158376   $enc = $enc | 0;
   158377   $ptr = $ptr | 0;
   158378   $end = $end | 0;
   158379   var $m_dtd = 0, $0 = 0, $pool = 0, $call = 0, $tobool = 0, $elementTypes = 0, $call1 = 0, $1 = 0, $tobool2 = 0, $name5 = 0, $2 = 0, $cmp = 0, $start = 0, $3 = 0, $ptr9 = 0, $ptr11 = 0, $4 = 0, $start13 = 0, $call14 = 0, $tobool15 = 0, $retval_0 = 0, label = 0;
   158380   label = 2;
   158381   while (1) switch (label | 0) {
   158382    case 2:
   158383     $m_dtd = $parser + 340 | 0;
   158384     $0 = HEAP32[$m_dtd >> 2] | 0;
   158385     $pool = $0 + 80 | 0;
   158386     $call = _poolStoreString($pool, $enc, $ptr, $end) | 0;
   158387     $tobool = ($call | 0) == 0;
   158388     if ($tobool) {
   158389       $retval_0 = 0;
   158390       label = 8;
   158391       break;
   158392     } else {
   158393       label = 3;
   158394       break;
   158395     }
   158396    case 3:
   158397     $elementTypes = $0 + 20 | 0;
   158398     $call1 = _lookup($parser, $elementTypes, $call, 24) | 0;
   158399     $1 = $call1;
   158400     $tobool2 = ($call1 | 0) == 0;
   158401     if ($tobool2) {
   158402       $retval_0 = 0;
   158403       label = 8;
   158404       break;
   158405     } else {
   158406       label = 4;
   158407       break;
   158408     }
   158409    case 4:
   158410     $name5 = $call1 | 0;
   158411     $2 = HEAP32[$name5 >> 2] | 0;
   158412     $cmp = ($2 | 0) == ($call | 0);
   158413     if ($cmp) {
   158414       label = 6;
   158415       break;
   158416     } else {
   158417       label = 5;
   158418       break;
   158419     }
   158420    case 5:
   158421     $start = $0 + 96 | 0;
   158422     $3 = HEAP32[$start >> 2] | 0;
   158423     $ptr9 = $0 + 92 | 0;
   158424     HEAP32[$ptr9 >> 2] = $3;
   158425     label = 7;
   158426     break;
   158427    case 6:
   158428     $ptr11 = $0 + 92 | 0;
   158429     $4 = HEAP32[$ptr11 >> 2] | 0;
   158430     $start13 = $0 + 96 | 0;
   158431     HEAP32[$start13 >> 2] = $4;
   158432     $call14 = _setElementTypePrefix($parser, $1) | 0;
   158433     $tobool15 = ($call14 | 0) == 0;
   158434     if ($tobool15) {
   158435       $retval_0 = 0;
   158436       label = 8;
   158437       break;
   158438     } else {
   158439       label = 7;
   158440       break;
   158441     }
   158442    case 7:
   158443     $retval_0 = $1;
   158444     label = 8;
   158445     break;
   158446    case 8:
   158447     return $retval_0 | 0;
   158448   }
   158449   return 0;
   158450 }
   158451 function _poolAppendString($pool, $s) {
   158452   $pool = $pool | 0;
   158453   $s = $s | 0;
   158454   var $0 = 0, $tobool6 = 0, $ptr = 0, $end = 0, $s_addr_07 = 0, $1 = 0, $2 = 0, $cmp = 0, $call = 0, $tobool1 = 0, $3 = 0, $4 = 0, $incdec_ptr = 0, $incdec_ptr3 = 0, $5 = 0, $tobool = 0, $start = 0, $6 = 0, $retval_0 = 0, label = 0;
   158455   label = 2;
   158456   while (1) switch (label | 0) {
   158457    case 2:
   158458     $0 = HEAP8[$s] | 0;
   158459     $tobool6 = $0 << 24 >> 24 == 0;
   158460     if ($tobool6) {
   158461       label = 7;
   158462       break;
   158463     } else {
   158464       label = 3;
   158465       break;
   158466     }
   158467    case 3:
   158468     $ptr = $pool + 12 | 0;
   158469     $end = $pool + 8 | 0;
   158470     $s_addr_07 = $s;
   158471     label = 4;
   158472     break;
   158473    case 4:
   158474     $1 = HEAP32[$ptr >> 2] | 0;
   158475     $2 = HEAP32[$end >> 2] | 0;
   158476     $cmp = ($1 | 0) == ($2 | 0);
   158477     if ($cmp) {
   158478       label = 5;
   158479       break;
   158480     } else {
   158481       label = 6;
   158482       break;
   158483     }
   158484    case 5:
   158485     $call = _poolGrow($pool) | 0;
   158486     $tobool1 = $call << 24 >> 24 == 0;
   158487     if ($tobool1) {
   158488       $retval_0 = 0;
   158489       label = 8;
   158490       break;
   158491     } else {
   158492       label = 6;
   158493       break;
   158494     }
   158495    case 6:
   158496     $3 = HEAP8[$s_addr_07] | 0;
   158497     $4 = HEAP32[$ptr >> 2] | 0;
   158498     $incdec_ptr = $4 + 1 | 0;
   158499     HEAP32[$ptr >> 2] = $incdec_ptr;
   158500     HEAP8[$4] = $3;
   158501     $incdec_ptr3 = $s_addr_07 + 1 | 0;
   158502     $5 = HEAP8[$incdec_ptr3] | 0;
   158503     $tobool = $5 << 24 >> 24 == 0;
   158504     if ($tobool) {
   158505       label = 7;
   158506       break;
   158507     } else {
   158508       $s_addr_07 = $incdec_ptr3;
   158509       label = 4;
   158510       break;
   158511     }
   158512    case 7:
   158513     $start = $pool + 16 | 0;
   158514     $6 = HEAP32[$start >> 2] | 0;
   158515     $retval_0 = $6;
   158516     label = 8;
   158517     break;
   158518    case 8:
   158519     return $retval_0 | 0;
   158520   }
   158521   return 0;
   158522 }
   158523 function _defineAttribute($type, $attId, $isCdata, $isId, $value, $parser) {
   158524   $type = $type | 0;
   158525   $attId = $attId | 0;
   158526   $isCdata = $isCdata | 0;
   158527   $isId = $isId | 0;
   158528   $value = $value | 0;
   158529   $parser = $parser | 0;
   158530   var $tobool = 0, $tobool1 = 0, $or_cond = 0, $nDefaultAtts = 0, $0 = 0, $defaultAtts = 0, $i_0 = 0, $cmp = 0, $1 = 0, $id = 0, $2 = 0, $cmp3 = 0, $inc = 0, $idAtt = 0, $3 = 0, $tobool8 = 0, $xmlns = 0, $4 = 0, $tobool10 = 0, $nDefaultAtts15 = 0, $5 = 0, $allocDefaultAtts = 0, $6 = 0, $cmp16 = 0, $cmp20 = 0, $malloc_fcn = 0, $7 = 0, $call = 0, $8 = 0, $defaultAtts25 = 0, $tobool27 = 0, $realloc_fcn = 0, $9 = 0, $defaultAtts33 = 0, $10 = 0, $11 = 0, $mul34 = 0, $call35 = 0, $cmp36 = 0, $12 = 0, $mul31 = 0, $defaultAtts44 = 0, $13 = 0, $14 = 0, $id46 = 0, $value47 = 0, $isCdata48 = 0, $tobool49 = 0, $maybeTokenized = 0, $15 = 0, $add = 0, $retval_0 = 0, label = 0;
   158531   label = 2;
   158532   while (1) switch (label | 0) {
   158533    case 2:
   158534     $tobool = ($value | 0) == 0;
   158535     $tobool1 = $isId << 24 >> 24 == 0;
   158536     $or_cond = $tobool & $tobool1;
   158537     if ($or_cond) {
   158538       label = 10;
   158539       break;
   158540     } else {
   158541       label = 3;
   158542       break;
   158543     }
   158544    case 3:
   158545     $nDefaultAtts = $type + 12 | 0;
   158546     $0 = HEAP32[$nDefaultAtts >> 2] | 0;
   158547     $defaultAtts = $type + 20 | 0;
   158548     $i_0 = 0;
   158549     label = 4;
   158550     break;
   158551    case 4:
   158552     $cmp = ($i_0 | 0) < ($0 | 0);
   158553     if ($cmp) {
   158554       label = 5;
   158555       break;
   158556     } else {
   158557       label = 6;
   158558       break;
   158559     }
   158560    case 5:
   158561     $1 = HEAP32[$defaultAtts >> 2] | 0;
   158562     $id = $1 + ($i_0 * 12 & -1) | 0;
   158563     $2 = HEAP32[$id >> 2] | 0;
   158564     $cmp3 = ($2 | 0) == ($attId | 0);
   158565     $inc = $i_0 + 1 | 0;
   158566     if ($cmp3) {
   158567       $retval_0 = 1;
   158568       label = 18;
   158569       break;
   158570     } else {
   158571       $i_0 = $inc;
   158572       label = 4;
   158573       break;
   158574     }
   158575    case 6:
   158576     if ($tobool1) {
   158577       label = 10;
   158578       break;
   158579     } else {
   158580       label = 7;
   158581       break;
   158582     }
   158583    case 7:
   158584     $idAtt = $type + 8 | 0;
   158585     $3 = HEAP32[$idAtt >> 2] | 0;
   158586     $tobool8 = ($3 | 0) == 0;
   158587     if ($tobool8) {
   158588       label = 8;
   158589       break;
   158590     } else {
   158591       label = 10;
   158592       break;
   158593     }
   158594    case 8:
   158595     $xmlns = $attId + 9 | 0;
   158596     $4 = HEAP8[$xmlns] | 0;
   158597     $tobool10 = $4 << 24 >> 24 == 0;
   158598     if ($tobool10) {
   158599       label = 9;
   158600       break;
   158601     } else {
   158602       label = 10;
   158603       break;
   158604     }
   158605    case 9:
   158606     HEAP32[$idAtt >> 2] = $attId;
   158607     label = 10;
   158608     break;
   158609    case 10:
   158610     $nDefaultAtts15 = $type + 12 | 0;
   158611     $5 = HEAP32[$nDefaultAtts15 >> 2] | 0;
   158612     $allocDefaultAtts = $type + 16 | 0;
   158613     $6 = HEAP32[$allocDefaultAtts >> 2] | 0;
   158614     $cmp16 = ($5 | 0) == ($6 | 0);
   158615     if ($cmp16) {
   158616       label = 11;
   158617       break;
   158618     } else {
   158619       label = 15;
   158620       break;
   158621     }
   158622    case 11:
   158623     $cmp20 = ($6 | 0) == 0;
   158624     if ($cmp20) {
   158625       label = 12;
   158626       break;
   158627     } else {
   158628       label = 13;
   158629       break;
   158630     }
   158631    case 12:
   158632     HEAP32[$allocDefaultAtts >> 2] = 8;
   158633     $malloc_fcn = $parser + 12 | 0;
   158634     $7 = HEAP32[$malloc_fcn >> 2] | 0;
   158635     $call = FUNCTION_TABLE_ii[$7 & 1023](96) | 0;
   158636     $8 = $call;
   158637     $defaultAtts25 = $type + 20 | 0;
   158638     HEAP32[$defaultAtts25 >> 2] = $8;
   158639     $tobool27 = ($call | 0) == 0;
   158640     if ($tobool27) {
   158641       $retval_0 = 0;
   158642       label = 18;
   158643       break;
   158644     } else {
   158645       label = 15;
   158646       break;
   158647     }
   158648    case 13:
   158649     $realloc_fcn = $parser + 16 | 0;
   158650     $9 = HEAP32[$realloc_fcn >> 2] | 0;
   158651     $defaultAtts33 = $type + 20 | 0;
   158652     $10 = HEAP32[$defaultAtts33 >> 2] | 0;
   158653     $11 = $10;
   158654     $mul34 = $6 * 24 & -1;
   158655     $call35 = FUNCTION_TABLE_iii[$9 & 1023]($11, $mul34) | 0;
   158656     $cmp36 = ($call35 | 0) == 0;
   158657     if ($cmp36) {
   158658       $retval_0 = 0;
   158659       label = 18;
   158660       break;
   158661     } else {
   158662       label = 14;
   158663       break;
   158664     }
   158665    case 14:
   158666     $12 = $call35;
   158667     $mul31 = $6 << 1;
   158668     HEAP32[$allocDefaultAtts >> 2] = $mul31;
   158669     HEAP32[$defaultAtts33 >> 2] = $12;
   158670     label = 15;
   158671     break;
   158672    case 15:
   158673     $defaultAtts44 = $type + 20 | 0;
   158674     $13 = HEAP32[$defaultAtts44 >> 2] | 0;
   158675     $14 = HEAP32[$nDefaultAtts15 >> 2] | 0;
   158676     $id46 = $13 + ($14 * 12 & -1) | 0;
   158677     HEAP32[$id46 >> 2] = $attId;
   158678     $value47 = $13 + ($14 * 12 & -1) + 8 | 0;
   158679     HEAP32[$value47 >> 2] = $value;
   158680     $isCdata48 = $13 + ($14 * 12 & -1) + 4 | 0;
   158681     HEAP8[$isCdata48] = $isCdata;
   158682     $tobool49 = $isCdata << 24 >> 24 == 0;
   158683     if ($tobool49) {
   158684       label = 16;
   158685       break;
   158686     } else {
   158687       label = 17;
   158688       break;
   158689     }
   158690    case 16:
   158691     $maybeTokenized = $attId + 8 | 0;
   158692     HEAP8[$maybeTokenized] = 1;
   158693     label = 17;
   158694     break;
   158695    case 17:
   158696     $15 = HEAP32[$nDefaultAtts15 >> 2] | 0;
   158697     $add = $15 + 1 | 0;
   158698     HEAP32[$nDefaultAtts15 >> 2] = $add;
   158699     $retval_0 = 1;
   158700     label = 18;
   158701     break;
   158702    case 18:
   158703     return $retval_0 | 0;
   158704   }
   158705   return 0;
   158706 }
   158707 function _storeEntityValue($parser, $enc, $entityTextPtr, $entityTextEnd) {
   158708   $parser = $parser | 0;
   158709   $enc = $enc | 0;
   158710   $entityTextPtr = $entityTextPtr | 0;
   158711   $entityTextEnd = $entityTextEnd | 0;
   158712   var $next = 0, $buf = 0, $m_dtd = 0, $0 = 0, $entityValuePool = 0, $blocks = 0, $1 = 0, $tobool = 0, $call = 0, $tobool1 = 0, $arrayidx = 0, $minBytesPerChar = 0, $end = 0, $ptr = 0, $charRefNumber = 0, $arraydecay = 0, $end38 = 0, $ptr39 = 0, $entityTextPtr_addr_0 = 0, $2 = 0, $call4 = 0, $m_eventPtr = 0, $3 = 0, $call7 = 0, $tobool8 = 0, $4 = 0, $add_ptr = 0, $5 = 0, $6 = 0, $cmp = 0, $call13 = 0, $tobool14 = 0, $7 = 0, $incdec_ptr = 0, $8 = 0, $call19 = 0, $cmp20 = 0, $m_encoding = 0, $9 = 0, $cmp22 = 0, $m_eventPtr24 = 0, $call27 = 0, $tobool28 = 0, $cmp3745 = 0, $m_encoding30 = 0, $10 = 0, $cmp31 = 0, $m_eventPtr33 = 0, $i_046 = 0, $11 = 0, $12 = 0, $cmp40 = 0, $call42 = 0, $tobool43 = 0, $arrayidx46 = 0, $13 = 0, $14 = 0, $incdec_ptr48 = 0, $inc = 0, $cmp37 = 0, $m_encoding50 = 0, $15 = 0, $cmp51 = 0, $m_eventPtr53 = 0, $m_encoding56 = 0, $16 = 0, $cmp57 = 0, $17 = 0, $m_eventPtr59 = 0, $m_encoding61 = 0, $18 = 0, $cmp62 = 0, $m_eventPtr64 = 0, $19 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   158713   __stackBase__ = STACKTOP;
   158714   STACKTOP = STACKTOP + 16 | 0;
   158715   label = 2;
   158716   while (1) switch (label | 0) {
   158717    case 2:
   158718     $next = __stackBase__ | 0;
   158719     $buf = __stackBase__ + 8 | 0;
   158720     $m_dtd = $parser + 340 | 0;
   158721     $0 = HEAP32[$m_dtd >> 2] | 0;
   158722     $entityValuePool = $0 + 104 | 0;
   158723     $blocks = $entityValuePool | 0;
   158724     $1 = HEAP32[$blocks >> 2] | 0;
   158725     $tobool = ($1 | 0) == 0;
   158726     if ($tobool) {
   158727       label = 3;
   158728       break;
   158729     } else {
   158730       label = 4;
   158731       break;
   158732     }
   158733    case 3:
   158734     $call = _poolGrow($entityValuePool) | 0;
   158735     $tobool1 = $call << 24 >> 24 == 0;
   158736     if ($tobool1) {
   158737       $retval_0 = 1;
   158738       label = 29;
   158739       break;
   158740     } else {
   158741       label = 4;
   158742       break;
   158743     }
   158744    case 4:
   158745     $arrayidx = $enc + 16 | 0;
   158746     $minBytesPerChar = $enc + 64 | 0;
   158747     $end = $0 + 112 | 0;
   158748     $ptr = $0 + 116 | 0;
   158749     $charRefNumber = $enc + 40 | 0;
   158750     $arraydecay = $buf | 0;
   158751     $end38 = $0 + 112 | 0;
   158752     $ptr39 = $0 + 116 | 0;
   158753     $entityTextPtr_addr_0 = $entityTextPtr;
   158754     label = 5;
   158755     break;
   158756    case 5:
   158757     $2 = HEAP32[$arrayidx >> 2] | 0;
   158758     $call4 = FUNCTION_TABLE_iiiii[$2 & 1023]($enc, $entityTextPtr_addr_0, $entityTextEnd, $next) | 0;
   158759     if (($call4 | 0) == 28) {
   158760       label = 6;
   158761       break;
   158762     } else if (($call4 | 0) == 9 | ($call4 | 0) == 6) {
   158763       label = 7;
   158764       break;
   158765     } else if (($call4 | 0) == (-3 | 0)) {
   158766       label = 8;
   158767       break;
   158768     } else if (($call4 | 0) == 7) {
   158769       label = 9;
   158770       break;
   158771     } else if (($call4 | 0) == 10) {
   158772       label = 12;
   158773       break;
   158774     } else if (($call4 | 0) == (-1 | 0)) {
   158775       label = 22;
   158776       break;
   158777     } else if (($call4 | 0) == 0) {
   158778       label = 24;
   158779       break;
   158780     } else if (($call4 | 0) == (-4 | 0)) {
   158781       $retval_0 = 0;
   158782       label = 29;
   158783       break;
   158784     } else {
   158785       label = 26;
   158786       break;
   158787     }
   158788    case 6:
   158789     $m_eventPtr = $parser + 272 | 0;
   158790     HEAP32[$m_eventPtr >> 2] = $entityTextPtr_addr_0;
   158791     $retval_0 = 10;
   158792     label = 29;
   158793     break;
   158794    case 7:
   158795     $3 = HEAP32[$next >> 2] | 0;
   158796     $call7 = _poolAppend($entityValuePool, $enc, $entityTextPtr_addr_0, $3) | 0;
   158797     $tobool8 = ($call7 | 0) == 0;
   158798     if ($tobool8) {
   158799       $retval_0 = 1;
   158800       label = 29;
   158801       break;
   158802     } else {
   158803       label = 28;
   158804       break;
   158805     }
   158806    case 8:
   158807     $4 = HEAP32[$minBytesPerChar >> 2] | 0;
   158808     $add_ptr = $entityTextPtr_addr_0 + $4 | 0;
   158809     HEAP32[$next >> 2] = $add_ptr;
   158810     label = 9;
   158811     break;
   158812    case 9:
   158813     $5 = HEAP32[$end >> 2] | 0;
   158814     $6 = HEAP32[$ptr >> 2] | 0;
   158815     $cmp = ($5 | 0) == ($6 | 0);
   158816     if ($cmp) {
   158817       label = 10;
   158818       break;
   158819     } else {
   158820       label = 11;
   158821       break;
   158822     }
   158823    case 10:
   158824     $call13 = _poolGrow($entityValuePool) | 0;
   158825     $tobool14 = $call13 << 24 >> 24 == 0;
   158826     if ($tobool14) {
   158827       $retval_0 = 1;
   158828       label = 29;
   158829       break;
   158830     } else {
   158831       label = 11;
   158832       break;
   158833     }
   158834    case 11:
   158835     $7 = HEAP32[$ptr >> 2] | 0;
   158836     $incdec_ptr = $7 + 1 | 0;
   158837     HEAP32[$ptr >> 2] = $incdec_ptr;
   158838     HEAP8[$7] = 10;
   158839     label = 28;
   158840     break;
   158841    case 12:
   158842     $8 = HEAP32[$charRefNumber >> 2] | 0;
   158843     $call19 = FUNCTION_TABLE_iii[$8 & 1023]($enc, $entityTextPtr_addr_0) | 0;
   158844     $cmp20 = ($call19 | 0) < 0;
   158845     if ($cmp20) {
   158846       label = 13;
   158847       break;
   158848     } else {
   158849       label = 15;
   158850       break;
   158851     }
   158852    case 13:
   158853     $m_encoding = $parser + 144 | 0;
   158854     $9 = HEAP32[$m_encoding >> 2] | 0;
   158855     $cmp22 = ($9 | 0) == ($enc | 0);
   158856     if ($cmp22) {
   158857       label = 14;
   158858       break;
   158859     } else {
   158860       $retval_0 = 14;
   158861       label = 29;
   158862       break;
   158863     }
   158864    case 14:
   158865     $m_eventPtr24 = $parser + 272 | 0;
   158866     HEAP32[$m_eventPtr24 >> 2] = $entityTextPtr_addr_0;
   158867     $retval_0 = 14;
   158868     label = 29;
   158869     break;
   158870    case 15:
   158871     $call27 = _XmlUtf8Encode($call19, $arraydecay) | 0;
   158872     $tobool28 = ($call27 | 0) == 0;
   158873     if ($tobool28) {
   158874       label = 17;
   158875       break;
   158876     } else {
   158877       label = 16;
   158878       break;
   158879     }
   158880    case 16:
   158881     $cmp3745 = ($call27 | 0) > 0;
   158882     if ($cmp3745) {
   158883       $i_046 = 0;
   158884       label = 19;
   158885       break;
   158886     } else {
   158887       label = 28;
   158888       break;
   158889     }
   158890    case 17:
   158891     $m_encoding30 = $parser + 144 | 0;
   158892     $10 = HEAP32[$m_encoding30 >> 2] | 0;
   158893     $cmp31 = ($10 | 0) == ($enc | 0);
   158894     if ($cmp31) {
   158895       label = 18;
   158896       break;
   158897     } else {
   158898       $retval_0 = 14;
   158899       label = 29;
   158900       break;
   158901     }
   158902    case 18:
   158903     $m_eventPtr33 = $parser + 272 | 0;
   158904     HEAP32[$m_eventPtr33 >> 2] = $entityTextPtr_addr_0;
   158905     $retval_0 = 14;
   158906     label = 29;
   158907     break;
   158908    case 19:
   158909     $11 = HEAP32[$end38 >> 2] | 0;
   158910     $12 = HEAP32[$ptr39 >> 2] | 0;
   158911     $cmp40 = ($11 | 0) == ($12 | 0);
   158912     if ($cmp40) {
   158913       label = 20;
   158914       break;
   158915     } else {
   158916       label = 21;
   158917       break;
   158918     }
   158919    case 20:
   158920     $call42 = _poolGrow($entityValuePool) | 0;
   158921     $tobool43 = $call42 << 24 >> 24 == 0;
   158922     if ($tobool43) {
   158923       $retval_0 = 1;
   158924       label = 29;
   158925       break;
   158926     } else {
   158927       label = 21;
   158928       break;
   158929     }
   158930    case 21:
   158931     $arrayidx46 = $buf + $i_046 | 0;
   158932     $13 = HEAP8[$arrayidx46] | 0;
   158933     $14 = HEAP32[$ptr39 >> 2] | 0;
   158934     $incdec_ptr48 = $14 + 1 | 0;
   158935     HEAP32[$ptr39 >> 2] = $incdec_ptr48;
   158936     HEAP8[$14] = $13;
   158937     $inc = $i_046 + 1 | 0;
   158938     $cmp37 = ($inc | 0) < ($call27 | 0);
   158939     if ($cmp37) {
   158940       $i_046 = $inc;
   158941       label = 19;
   158942       break;
   158943     } else {
   158944       label = 28;
   158945       break;
   158946     }
   158947    case 22:
   158948     $m_encoding50 = $parser + 144 | 0;
   158949     $15 = HEAP32[$m_encoding50 >> 2] | 0;
   158950     $cmp51 = ($15 | 0) == ($enc | 0);
   158951     if ($cmp51) {
   158952       label = 23;
   158953       break;
   158954     } else {
   158955       $retval_0 = 4;
   158956       label = 29;
   158957       break;
   158958     }
   158959    case 23:
   158960     $m_eventPtr53 = $parser + 272 | 0;
   158961     HEAP32[$m_eventPtr53 >> 2] = $entityTextPtr_addr_0;
   158962     $retval_0 = 4;
   158963     label = 29;
   158964     break;
   158965    case 24:
   158966     $m_encoding56 = $parser + 144 | 0;
   158967     $16 = HEAP32[$m_encoding56 >> 2] | 0;
   158968     $cmp57 = ($16 | 0) == ($enc | 0);
   158969     if ($cmp57) {
   158970       label = 25;
   158971       break;
   158972     } else {
   158973       $retval_0 = 4;
   158974       label = 29;
   158975       break;
   158976     }
   158977    case 25:
   158978     $17 = HEAP32[$next >> 2] | 0;
   158979     $m_eventPtr59 = $parser + 272 | 0;
   158980     HEAP32[$m_eventPtr59 >> 2] = $17;
   158981     $retval_0 = 4;
   158982     label = 29;
   158983     break;
   158984    case 26:
   158985     $m_encoding61 = $parser + 144 | 0;
   158986     $18 = HEAP32[$m_encoding61 >> 2] | 0;
   158987     $cmp62 = ($18 | 0) == ($enc | 0);
   158988     if ($cmp62) {
   158989       label = 27;
   158990       break;
   158991     } else {
   158992       $retval_0 = 23;
   158993       label = 29;
   158994       break;
   158995     }
   158996    case 27:
   158997     $m_eventPtr64 = $parser + 272 | 0;
   158998     HEAP32[$m_eventPtr64 >> 2] = $entityTextPtr_addr_0;
   158999     $retval_0 = 23;
   159000     label = 29;
   159001     break;
   159002    case 28:
   159003     $19 = HEAP32[$next >> 2] | 0;
   159004     $entityTextPtr_addr_0 = $19;
   159005     label = 5;
   159006     break;
   159007    case 29:
   159008     STACKTOP = __stackBase__;
   159009     return $retval_0 | 0;
   159010   }
   159011   return 0;
   159012 }
   159013 function _nextScaffoldPart($parser) {
   159014   $parser = $parser | 0;
   159015   var $m_dtd = 0, $0 = 0, $scaffIndex = 0, $1 = 0, $tobool = 0, $malloc_fcn = 0, $2 = 0, $m_groupSize = 0, $3 = 0, $mul = 0, $call = 0, $4 = 0, $tobool3 = 0, $scaffCount = 0, $5 = 0, $scaffSize = 0, $6 = 0, $cmp = 0, $scaffold = 0, $7 = 0, $tobool8 = 0, $realloc_fcn = 0, $8 = 0, $9 = 0, $mul14 = 0, $call15 = 0, $cmp16 = 0, $10 = 0, $mul20 = 0, $malloc_fcn22 = 0, $11 = 0, $call23 = 0, $cmp24 = 0, $storemerge = 0, $temp_0_in = 0, $temp_0 = 0, $12 = 0, $inc = 0, $scaffold32 = 0, $13 = 0, $scaffLevel = 0, $14 = 0, $tobool34 = 0, $sub = 0, $15 = 0, $arrayidx38 = 0, $16 = 0, $lastchild = 0, $17 = 0, $tobool41 = 0, $nextsib = 0, $childcnt = 0, $18 = 0, $tobool47 = 0, $firstchild = 0, $19 = 0, $inc52 = 0, $firstchild57 = 0, $20 = 0, $retval_0 = 0, label = 0;
   159016   label = 2;
   159017   while (1) switch (label | 0) {
   159018    case 2:
   159019     $m_dtd = $parser + 340 | 0;
   159020     $0 = HEAP32[$m_dtd >> 2] | 0;
   159021     $scaffIndex = $0 + 164 | 0;
   159022     $1 = HEAP32[$scaffIndex >> 2] | 0;
   159023     $tobool = ($1 | 0) == 0;
   159024     if ($tobool) {
   159025       label = 3;
   159026       break;
   159027     } else {
   159028       label = 5;
   159029       break;
   159030     }
   159031    case 3:
   159032     $malloc_fcn = $parser + 12 | 0;
   159033     $2 = HEAP32[$malloc_fcn >> 2] | 0;
   159034     $m_groupSize = $parser + 452 | 0;
   159035     $3 = HEAP32[$m_groupSize >> 2] | 0;
   159036     $mul = $3 << 2;
   159037     $call = FUNCTION_TABLE_ii[$2 & 1023]($mul) | 0;
   159038     $4 = $call;
   159039     HEAP32[$scaffIndex >> 2] = $4;
   159040     $tobool3 = ($call | 0) == 0;
   159041     if ($tobool3) {
   159042       $retval_0 = -1;
   159043       label = 18;
   159044       break;
   159045     } else {
   159046       label = 4;
   159047       break;
   159048     }
   159049    case 4:
   159050     HEAP32[$4 >> 2] = 0;
   159051     label = 5;
   159052     break;
   159053    case 5:
   159054     $scaffCount = $0 + 156 | 0;
   159055     $5 = HEAP32[$scaffCount >> 2] | 0;
   159056     $scaffSize = $0 + 152 | 0;
   159057     $6 = HEAP32[$scaffSize >> 2] | 0;
   159058     $cmp = $5 >>> 0 < $6 >>> 0;
   159059     if ($cmp) {
   159060       label = 11;
   159061       break;
   159062     } else {
   159063       label = 6;
   159064       break;
   159065     }
   159066    case 6:
   159067     $scaffold = $0 + 144 | 0;
   159068     $7 = HEAP32[$scaffold >> 2] | 0;
   159069     $tobool8 = ($7 | 0) == 0;
   159070     if ($tobool8) {
   159071       label = 9;
   159072       break;
   159073     } else {
   159074       label = 7;
   159075       break;
   159076     }
   159077    case 7:
   159078     $realloc_fcn = $parser + 16 | 0;
   159079     $8 = HEAP32[$realloc_fcn >> 2] | 0;
   159080     $9 = $7;
   159081     $mul14 = $6 * 56 & -1;
   159082     $call15 = FUNCTION_TABLE_iii[$8 & 1023]($9, $mul14) | 0;
   159083     $cmp16 = ($call15 | 0) == 0;
   159084     if ($cmp16) {
   159085       $retval_0 = -1;
   159086       label = 18;
   159087       break;
   159088     } else {
   159089       label = 8;
   159090       break;
   159091     }
   159092    case 8:
   159093     $10 = HEAP32[$scaffSize >> 2] | 0;
   159094     $mul20 = $10 << 1;
   159095     $temp_0_in = $call15;
   159096     $storemerge = $mul20;
   159097     label = 10;
   159098     break;
   159099    case 9:
   159100     $malloc_fcn22 = $parser + 12 | 0;
   159101     $11 = HEAP32[$malloc_fcn22 >> 2] | 0;
   159102     $call23 = FUNCTION_TABLE_ii[$11 & 1023](896) | 0;
   159103     $cmp24 = ($call23 | 0) == 0;
   159104     if ($cmp24) {
   159105       $retval_0 = -1;
   159106       label = 18;
   159107       break;
   159108     } else {
   159109       $temp_0_in = $call23;
   159110       $storemerge = 32;
   159111       label = 10;
   159112       break;
   159113     }
   159114    case 10:
   159115     $temp_0 = $temp_0_in;
   159116     HEAP32[$scaffSize >> 2] = $storemerge;
   159117     HEAP32[$scaffold >> 2] = $temp_0;
   159118     label = 11;
   159119     break;
   159120    case 11:
   159121     $12 = HEAP32[$scaffCount >> 2] | 0;
   159122     $inc = $12 + 1 | 0;
   159123     HEAP32[$scaffCount >> 2] = $inc;
   159124     $scaffold32 = $0 + 144 | 0;
   159125     $13 = HEAP32[$scaffold32 >> 2] | 0;
   159126     $scaffLevel = $0 + 160 | 0;
   159127     $14 = HEAP32[$scaffLevel >> 2] | 0;
   159128     $tobool34 = ($14 | 0) == 0;
   159129     if ($tobool34) {
   159130       label = 17;
   159131       break;
   159132     } else {
   159133       label = 12;
   159134       break;
   159135     }
   159136    case 12:
   159137     $sub = $14 - 1 | 0;
   159138     $15 = HEAP32[$scaffIndex >> 2] | 0;
   159139     $arrayidx38 = $15 + ($sub << 2) | 0;
   159140     $16 = HEAP32[$arrayidx38 >> 2] | 0;
   159141     $lastchild = $13 + ($16 * 28 & -1) + 16 | 0;
   159142     $17 = HEAP32[$lastchild >> 2] | 0;
   159143     $tobool41 = ($17 | 0) == 0;
   159144     if ($tobool41) {
   159145       label = 14;
   159146       break;
   159147     } else {
   159148       label = 13;
   159149       break;
   159150     }
   159151    case 13:
   159152     $nextsib = $13 + ($17 * 28 & -1) + 24 | 0;
   159153     HEAP32[$nextsib >> 2] = $12;
   159154     label = 14;
   159155     break;
   159156    case 14:
   159157     $childcnt = $13 + ($16 * 28 & -1) + 20 | 0;
   159158     $18 = HEAP32[$childcnt >> 2] | 0;
   159159     $tobool47 = ($18 | 0) == 0;
   159160     if ($tobool47) {
   159161       label = 15;
   159162       break;
   159163     } else {
   159164       label = 16;
   159165       break;
   159166     }
   159167    case 15:
   159168     $firstchild = $13 + ($16 * 28 & -1) + 12 | 0;
   159169     HEAP32[$firstchild >> 2] = $12;
   159170     label = 16;
   159171     break;
   159172    case 16:
   159173     HEAP32[$lastchild >> 2] = $12;
   159174     $19 = HEAP32[$childcnt >> 2] | 0;
   159175     $inc52 = $19 + 1 | 0;
   159176     HEAP32[$childcnt >> 2] = $inc52;
   159177     label = 17;
   159178     break;
   159179    case 17:
   159180     $firstchild57 = $13 + ($12 * 28 & -1) + 12 | 0;
   159181     $20 = $firstchild57;
   159182     _memset($20 | 0, 0, 16);
   159183     $retval_0 = $12;
   159184     label = 18;
   159185     break;
   159186    case 18:
   159187     return $retval_0 | 0;
   159188   }
   159189   return 0;
   159190 }
   159191 function _build_model($parser) {
   159192   $parser = $parser | 0;
   159193   var $cpos = 0, $str = 0, $m_dtd = 0, $0 = 0, $scaffCount = 0, $1 = 0, $mul = 0, $contentStringLen = 0, $2 = 0, $add = 0, $malloc_fcn = 0, $3 = 0, $call = 0, $4 = 0, $tobool = 0, $5 = 0, $arrayidx = 0, $6 = 0, $arrayidx3 = 0, $7 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   159194   __stackBase__ = STACKTOP;
   159195   STACKTOP = STACKTOP + 16 | 0;
   159196   label = 2;
   159197   while (1) switch (label | 0) {
   159198    case 2:
   159199     $cpos = __stackBase__ | 0;
   159200     $str = __stackBase__ + 8 | 0;
   159201     $m_dtd = $parser + 340 | 0;
   159202     $0 = HEAP32[$m_dtd >> 2] | 0;
   159203     $scaffCount = $0 + 156 | 0;
   159204     $1 = HEAP32[$scaffCount >> 2] | 0;
   159205     $mul = $1 * 20 & -1;
   159206     $contentStringLen = $0 + 148 | 0;
   159207     $2 = HEAP32[$contentStringLen >> 2] | 0;
   159208     $add = $mul + $2 | 0;
   159209     $malloc_fcn = $parser + 12 | 0;
   159210     $3 = HEAP32[$malloc_fcn >> 2] | 0;
   159211     $call = FUNCTION_TABLE_ii[$3 & 1023]($add) | 0;
   159212     $4 = $call;
   159213     $tobool = ($call | 0) == 0;
   159214     if ($tobool) {
   159215       $retval_0 = 0;
   159216       label = 4;
   159217       break;
   159218     } else {
   159219       label = 3;
   159220       break;
   159221     }
   159222    case 3:
   159223     $5 = HEAP32[$scaffCount >> 2] | 0;
   159224     $arrayidx = $4 + ($5 * 20 & -1) | 0;
   159225     $6 = $arrayidx;
   159226     HEAP32[$str >> 2] = $6;
   159227     $arrayidx3 = $call + 20 | 0;
   159228     $7 = $arrayidx3;
   159229     HEAP32[$cpos >> 2] = $7;
   159230     _build_node($parser, 0, $4, $cpos, $str);
   159231     $retval_0 = $4;
   159232     label = 4;
   159233     break;
   159234    case 4:
   159235     STACKTOP = __stackBase__;
   159236     return $retval_0 | 0;
   159237   }
   159238   return 0;
   159239 }
   159240 function _build_node($parser, $src_node, $dest, $contpos, $strpos) {
   159241   $parser = $parser | 0;
   159242   $src_node = $src_node | 0;
   159243   $dest = $dest | 0;
   159244   $contpos = $contpos | 0;
   159245   $strpos = $strpos | 0;
   159246   var $m_dtd = 0, $0 = 0, $scaffold = 0, $1 = 0, $type = 0, $2 = 0, $type1 = 0, $3 = 0, $quant = 0, $4 = 0, $quant4 = 0, $5 = 0, $cmp = 0, $6 = 0, $name = 0, $7 = 0, $name8 = 0, $8 = 0, $src_0 = 0, $9 = 0, $10 = 0, $incdec_ptr = 0, $11 = 0, $tobool = 0, $incdec_ptr10 = 0, $numchildren = 0, $children = 0, $12 = 0, $childcnt = 0, $13 = 0, $numchildren13 = 0, $14 = 0, $children14 = 0, $15 = 0, $16 = 0, $add_ptr = 0, $17 = 0, $cmp2032 = 0, $18 = 0, $firstchild = 0, $cn_034_in = 0, $i_033 = 0, $cn_034 = 0, $19 = 0, $arrayidx22 = 0, $inc = 0, $20 = 0, $nextsib = 0, $21 = 0, $cmp20 = 0, $name26 = 0, label = 0;
   159247   label = 2;
   159248   while (1) switch (label | 0) {
   159249    case 2:
   159250     $m_dtd = $parser + 340 | 0;
   159251     $0 = HEAP32[$m_dtd >> 2] | 0;
   159252     $scaffold = $0 + 144 | 0;
   159253     $1 = HEAP32[$scaffold >> 2] | 0;
   159254     $type = $1 + ($src_node * 28 & -1) | 0;
   159255     $2 = HEAP32[$type >> 2] | 0;
   159256     $type1 = $dest | 0;
   159257     HEAP32[$type1 >> 2] = $2;
   159258     $3 = HEAP32[$scaffold >> 2] | 0;
   159259     $quant = $3 + ($src_node * 28 & -1) + 4 | 0;
   159260     $4 = HEAP32[$quant >> 2] | 0;
   159261     $quant4 = $dest + 4 | 0;
   159262     HEAP32[$quant4 >> 2] = $4;
   159263     $5 = HEAP32[$type1 >> 2] | 0;
   159264     $cmp = ($5 | 0) == 4;
   159265     if ($cmp) {
   159266       label = 3;
   159267       break;
   159268     } else {
   159269       label = 6;
   159270       break;
   159271     }
   159272    case 3:
   159273     $6 = HEAP32[$strpos >> 2] | 0;
   159274     $name = $dest + 8 | 0;
   159275     HEAP32[$name >> 2] = $6;
   159276     $7 = HEAP32[$scaffold >> 2] | 0;
   159277     $name8 = $7 + ($src_node * 28 & -1) + 8 | 0;
   159278     $8 = HEAP32[$name8 >> 2] | 0;
   159279     $src_0 = $8;
   159280     label = 4;
   159281     break;
   159282    case 4:
   159283     $9 = HEAP8[$src_0] | 0;
   159284     $10 = HEAP32[$strpos >> 2] | 0;
   159285     $incdec_ptr = $10 + 1 | 0;
   159286     HEAP32[$strpos >> 2] = $incdec_ptr;
   159287     HEAP8[$10] = $9;
   159288     $11 = HEAP8[$src_0] | 0;
   159289     $tobool = $11 << 24 >> 24 == 0;
   159290     $incdec_ptr10 = $src_0 + 1 | 0;
   159291     if ($tobool) {
   159292       label = 5;
   159293       break;
   159294     } else {
   159295       $src_0 = $incdec_ptr10;
   159296       label = 4;
   159297       break;
   159298     }
   159299    case 5:
   159300     $numchildren = $dest + 12 | 0;
   159301     HEAP32[$numchildren >> 2] = 0;
   159302     $children = $dest + 16 | 0;
   159303     HEAP32[$children >> 2] = 0;
   159304     label = 10;
   159305     break;
   159306    case 6:
   159307     $12 = HEAP32[$scaffold >> 2] | 0;
   159308     $childcnt = $12 + ($src_node * 28 & -1) + 20 | 0;
   159309     $13 = HEAP32[$childcnt >> 2] | 0;
   159310     $numchildren13 = $dest + 12 | 0;
   159311     HEAP32[$numchildren13 >> 2] = $13;
   159312     $14 = HEAP32[$contpos >> 2] | 0;
   159313     $children14 = $dest + 16 | 0;
   159314     HEAP32[$children14 >> 2] = $14;
   159315     $15 = HEAP32[$numchildren13 >> 2] | 0;
   159316     $16 = HEAP32[$contpos >> 2] | 0;
   159317     $add_ptr = $16 + ($15 * 20 & -1) | 0;
   159318     HEAP32[$contpos >> 2] = $add_ptr;
   159319     $17 = HEAP32[$numchildren13 >> 2] | 0;
   159320     $cmp2032 = ($17 | 0) == 0;
   159321     if ($cmp2032) {
   159322       label = 9;
   159323       break;
   159324     } else {
   159325       label = 7;
   159326       break;
   159327     }
   159328    case 7:
   159329     $18 = HEAP32[$scaffold >> 2] | 0;
   159330     $firstchild = $18 + ($src_node * 28 & -1) + 12 | 0;
   159331     $i_033 = 0;
   159332     $cn_034_in = $firstchild;
   159333     label = 8;
   159334     break;
   159335    case 8:
   159336     $cn_034 = HEAP32[$cn_034_in >> 2] | 0;
   159337     $19 = HEAP32[$children14 >> 2] | 0;
   159338     $arrayidx22 = $19 + ($i_033 * 20 & -1) | 0;
   159339     _build_node($parser, $cn_034, $arrayidx22, $contpos, $strpos);
   159340     $inc = $i_033 + 1 | 0;
   159341     $20 = HEAP32[$scaffold >> 2] | 0;
   159342     $nextsib = $20 + ($cn_034 * 28 & -1) + 24 | 0;
   159343     $21 = HEAP32[$numchildren13 >> 2] | 0;
   159344     $cmp20 = $inc >>> 0 < $21 >>> 0;
   159345     if ($cmp20) {
   159346       $i_033 = $inc;
   159347       $cn_034_in = $nextsib;
   159348       label = 8;
   159349       break;
   159350     } else {
   159351       label = 9;
   159352       break;
   159353     }
   159354    case 9:
   159355     $name26 = $dest + 8 | 0;
   159356     HEAP32[$name26 >> 2] = 0;
   159357     label = 10;
   159358     break;
   159359    case 10:
   159360     return;
   159361   }
   159362 }
   159363 function _dtdCreate($ms) {
   159364   $ms = $ms | 0;
   159365   var $malloc_fcn = 0, $0 = 0, $call = 0, $1 = 0, $cmp = 0, $pool = 0, $2 = 0, $entityValuePool = 0, $3 = 0, $generalEntities = 0, $elementTypes = 0, $4 = 0, $attributeIds = 0, $5 = 0, $prefixes = 0, $6 = 0, $defaultPrefix = 0, $name = 0, $binding = 0, $7 = 0, $8 = 0, $scaffold = 0, $9 = 0, $10 = 0, $11 = 0, label = 0;
   159366   label = 2;
   159367   while (1) switch (label | 0) {
   159368    case 2:
   159369     $malloc_fcn = $ms | 0;
   159370     $0 = HEAP32[$malloc_fcn >> 2] | 0;
   159371     $call = FUNCTION_TABLE_ii[$0 & 1023](168) | 0;
   159372     $1 = $call;
   159373     $cmp = ($call | 0) == 0;
   159374     if ($cmp) {
   159375       label = 4;
   159376       break;
   159377     } else {
   159378       label = 3;
   159379       break;
   159380     }
   159381    case 3:
   159382     $pool = $call + 80 | 0;
   159383     $2 = $pool;
   159384     _poolInit($2, $ms);
   159385     $entityValuePool = $call + 104 | 0;
   159386     $3 = $entityValuePool;
   159387     _poolInit($3, $ms);
   159388     $generalEntities = $call;
   159389     _hashTableInit($generalEntities, $ms);
   159390     $elementTypes = $call + 20 | 0;
   159391     $4 = $elementTypes;
   159392     _hashTableInit($4, $ms);
   159393     $attributeIds = $call + 40 | 0;
   159394     $5 = $attributeIds;
   159395     _hashTableInit($5, $ms);
   159396     $prefixes = $call + 60 | 0;
   159397     $6 = $prefixes;
   159398     _hashTableInit($6, $ms);
   159399     $defaultPrefix = $call + 132 | 0;
   159400     $name = $defaultPrefix;
   159401     HEAP32[$name >> 2] = 0;
   159402     $binding = $call + 136 | 0;
   159403     $7 = $binding;
   159404     HEAP32[$7 >> 2] = 0;
   159405     $8 = $call + 140 | 0;
   159406     HEAP8[$8] = 0;
   159407     $scaffold = $call + 144 | 0;
   159408     $9 = $call + 128 | 0;
   159409     _memset($scaffold | 0, 0, 24);
   159410     HEAP8[$9] = 1;
   159411     $10 = $call + 129 | 0;
   159412     HEAP8[$10] = 0;
   159413     $11 = $call + 130 | 0;
   159414     HEAP8[$11] = 0;
   159415     label = 4;
   159416     break;
   159417    case 4:
   159418     return $1 | 0;
   159419   }
   159420   return 0;
   159421 }
   159422 function _poolInit($pool, $ms) {
   159423   $pool = $pool | 0;
   159424   $ms = $ms | 0;
   159425   _memset($pool | 0, 0, 20);
   159426   HEAP32[$pool + 20 >> 2] = $ms;
   159427   return;
   159428 }
   159429 function _prolog0($state, $tok, $ptr, $end, $enc) {
   159430   $state = $state | 0;
   159431   $tok = $tok | 0;
   159432   $ptr = $ptr | 0;
   159433   $end = $end | 0;
   159434   $enc = $enc | 0;
   159435   var $handler = 0, $handler2 = 0, $handler4 = 0, $handler6 = 0, $nameMatchesAscii = 0, $0 = 0, $minBytesPerChar = 0, $1 = 0, $mul = 0, $add_ptr = 0, $call = 0, $tobool = 0, $handler9 = 0, $handler11 = 0, $retval_0 = 0, label = 0;
   159436   label = 2;
   159437   while (1) switch (label | 0) {
   159438    case 2:
   159439     if (($tok | 0) == 15) {
   159440       label = 3;
   159441       break;
   159442     } else if (($tok | 0) == 12) {
   159443       label = 4;
   159444       break;
   159445     } else if (($tok | 0) == 11) {
   159446       label = 5;
   159447       break;
   159448     } else if (($tok | 0) == 13) {
   159449       label = 6;
   159450       break;
   159451     } else if (($tok | 0) == 16) {
   159452       label = 7;
   159453       break;
   159454     } else if (($tok | 0) == 29) {
   159455       label = 9;
   159456       break;
   159457     } else if (($tok | 0) == 14) {
   159458       $retval_0 = 0;
   159459       label = 11;
   159460       break;
   159461     } else {
   159462       label = 10;
   159463       break;
   159464     }
   159465    case 3:
   159466     $handler = $state | 0;
   159467     HEAP32[$handler >> 2] = 44;
   159468     $retval_0 = 0;
   159469     label = 11;
   159470     break;
   159471    case 4:
   159472     $handler2 = $state | 0;
   159473     HEAP32[$handler2 >> 2] = 44;
   159474     $retval_0 = 1;
   159475     label = 11;
   159476     break;
   159477    case 5:
   159478     $handler4 = $state | 0;
   159479     HEAP32[$handler4 >> 2] = 44;
   159480     $retval_0 = 55;
   159481     label = 11;
   159482     break;
   159483    case 6:
   159484     $handler6 = $state | 0;
   159485     HEAP32[$handler6 >> 2] = 44;
   159486     $retval_0 = 56;
   159487     label = 11;
   159488     break;
   159489    case 7:
   159490     $nameMatchesAscii = $enc + 24 | 0;
   159491     $0 = HEAP32[$nameMatchesAscii >> 2] | 0;
   159492     $minBytesPerChar = $enc + 64 | 0;
   159493     $1 = HEAP32[$minBytesPerChar >> 2] | 0;
   159494     $mul = $1 << 1;
   159495     $add_ptr = $ptr + $mul | 0;
   159496     $call = FUNCTION_TABLE_iiiii[$0 & 1023]($enc, $add_ptr, $end, 166928) | 0;
   159497     $tobool = ($call | 0) == 0;
   159498     if ($tobool) {
   159499       label = 10;
   159500       break;
   159501     } else {
   159502       label = 8;
   159503       break;
   159504     }
   159505    case 8:
   159506     $handler9 = $state | 0;
   159507     HEAP32[$handler9 >> 2] = 120;
   159508     $retval_0 = 3;
   159509     label = 11;
   159510     break;
   159511    case 9:
   159512     $handler11 = $state | 0;
   159513     HEAP32[$handler11 >> 2] = 134;
   159514     $retval_0 = 2;
   159515     label = 11;
   159516     break;
   159517    case 10:
   159518     _common($state);
   159519     $retval_0 = -1;
   159520     label = 11;
   159521     break;
   159522    case 11:
   159523     return $retval_0 | 0;
   159524   }
   159525   return 0;
   159526 }
   159527 function _prolog1($state, $tok, $ptr, $end, $enc) {
   159528   $state = $state | 0;
   159529   $tok = $tok | 0;
   159530   $ptr = $ptr | 0;
   159531   $end = $end | 0;
   159532   $enc = $enc | 0;
   159533   var $nameMatchesAscii = 0, $0 = 0, $minBytesPerChar = 0, $1 = 0, $mul = 0, $add_ptr = 0, $call = 0, $tobool = 0, $handler = 0, $handler6 = 0, $retval_0 = 0, label = 0;
   159534   label = 2;
   159535   while (1) switch (label | 0) {
   159536    case 2:
   159537     if (($tok | 0) == 11) {
   159538       label = 3;
   159539       break;
   159540     } else if (($tok | 0) == 13) {
   159541       label = 4;
   159542       break;
   159543     } else if (($tok | 0) == 16) {
   159544       label = 5;
   159545       break;
   159546     } else if (($tok | 0) == 29) {
   159547       label = 7;
   159548       break;
   159549     } else if (($tok | 0) == 15 | ($tok | 0) == 14) {
   159550       $retval_0 = 0;
   159551       label = 9;
   159552       break;
   159553     } else {
   159554       label = 8;
   159555       break;
   159556     }
   159557    case 3:
   159558     $retval_0 = 55;
   159559     label = 9;
   159560     break;
   159561    case 4:
   159562     $retval_0 = 56;
   159563     label = 9;
   159564     break;
   159565    case 5:
   159566     $nameMatchesAscii = $enc + 24 | 0;
   159567     $0 = HEAP32[$nameMatchesAscii >> 2] | 0;
   159568     $minBytesPerChar = $enc + 64 | 0;
   159569     $1 = HEAP32[$minBytesPerChar >> 2] | 0;
   159570     $mul = $1 << 1;
   159571     $add_ptr = $ptr + $mul | 0;
   159572     $call = FUNCTION_TABLE_iiiii[$0 & 1023]($enc, $add_ptr, $end, 166928) | 0;
   159573     $tobool = ($call | 0) == 0;
   159574     if ($tobool) {
   159575       label = 8;
   159576       break;
   159577     } else {
   159578       label = 6;
   159579       break;
   159580     }
   159581    case 6:
   159582     $handler = $state | 0;
   159583     HEAP32[$handler >> 2] = 120;
   159584     $retval_0 = 3;
   159585     label = 9;
   159586     break;
   159587    case 7:
   159588     $handler6 = $state | 0;
   159589     HEAP32[$handler6 >> 2] = 134;
   159590     $retval_0 = 2;
   159591     label = 9;
   159592     break;
   159593    case 8:
   159594     _common($state);
   159595     $retval_0 = -1;
   159596     label = 9;
   159597     break;
   159598    case 9:
   159599     return $retval_0 | 0;
   159600   }
   159601   return 0;
   159602 }
   159603 function _doctype0($state, $tok, $ptr, $end, $enc) {
   159604   $state = $state | 0;
   159605   $tok = $tok | 0;
   159606   $ptr = $ptr | 0;
   159607   $end = $end | 0;
   159608   $enc = $enc | 0;
   159609   var $handler = 0, $retval_0 = 0, label = 0;
   159610   label = 2;
   159611   while (1) switch (label | 0) {
   159612    case 2:
   159613     if (($tok | 0) == 18 | ($tok | 0) == 41) {
   159614       label = 3;
   159615       break;
   159616     } else if (($tok | 0) == 15) {
   159617       $retval_0 = 3;
   159618       label = 5;
   159619       break;
   159620     } else {
   159621       label = 4;
   159622       break;
   159623     }
   159624    case 3:
   159625     $handler = $state | 0;
   159626     HEAP32[$handler >> 2] = 546;
   159627     $retval_0 = 4;
   159628     label = 5;
   159629     break;
   159630    case 4:
   159631     _common($state);
   159632     $retval_0 = -1;
   159633     label = 5;
   159634     break;
   159635    case 5:
   159636     return $retval_0 | 0;
   159637   }
   159638   return 0;
   159639 }
   159640 function _doctype1($state, $tok, $ptr, $end, $enc) {
   159641   $state = $state | 0;
   159642   $tok = $tok | 0;
   159643   $ptr = $ptr | 0;
   159644   $end = $end | 0;
   159645   $enc = $enc | 0;
   159646   var $handler = 0, $handler3 = 0, $nameMatchesAscii = 0, $0 = 0, $call = 0, $tobool = 0, $handler5 = 0, $1 = 0, $call7 = 0, $tobool8 = 0, $handler10 = 0, $retval_0 = 0, label = 0;
   159647   label = 2;
   159648   while (1) switch (label | 0) {
   159649    case 2:
   159650     if (($tok | 0) == 25) {
   159651       label = 3;
   159652       break;
   159653     } else if (($tok | 0) == 17) {
   159654       label = 4;
   159655       break;
   159656     } else if (($tok | 0) == 18) {
   159657       label = 5;
   159658       break;
   159659     } else if (($tok | 0) == 15) {
   159660       $retval_0 = 3;
   159661       label = 10;
   159662       break;
   159663     } else {
   159664       label = 9;
   159665       break;
   159666     }
   159667    case 3:
   159668     $handler = $state | 0;
   159669     HEAP32[$handler >> 2] = 148;
   159670     $retval_0 = 7;
   159671     label = 10;
   159672     break;
   159673    case 4:
   159674     $handler3 = $state | 0;
   159675     HEAP32[$handler3 >> 2] = 22;
   159676     $retval_0 = 8;
   159677     label = 10;
   159678     break;
   159679    case 5:
   159680     $nameMatchesAscii = $enc + 24 | 0;
   159681     $0 = HEAP32[$nameMatchesAscii >> 2] | 0;
   159682     $call = FUNCTION_TABLE_iiiii[$0 & 1023]($enc, $ptr, $end, 166744) | 0;
   159683     $tobool = ($call | 0) == 0;
   159684     if ($tobool) {
   159685       label = 7;
   159686       break;
   159687     } else {
   159688       label = 6;
   159689       break;
   159690     }
   159691    case 6:
   159692     $handler5 = $state | 0;
   159693     HEAP32[$handler5 >> 2] = 550;
   159694     $retval_0 = 3;
   159695     label = 10;
   159696     break;
   159697    case 7:
   159698     $1 = HEAP32[$nameMatchesAscii >> 2] | 0;
   159699     $call7 = FUNCTION_TABLE_iiiii[$1 & 1023]($enc, $ptr, $end, 166768) | 0;
   159700     $tobool8 = ($call7 | 0) == 0;
   159701     if ($tobool8) {
   159702       label = 9;
   159703       break;
   159704     } else {
   159705       label = 8;
   159706       break;
   159707     }
   159708    case 8:
   159709     $handler10 = $state | 0;
   159710     HEAP32[$handler10 >> 2] = 256;
   159711     $retval_0 = 3;
   159712     label = 10;
   159713     break;
   159714    case 9:
   159715     _common($state);
   159716     $retval_0 = -1;
   159717     label = 10;
   159718     break;
   159719    case 10:
   159720     return $retval_0 | 0;
   159721   }
   159722   return 0;
   159723 }
   159724 function _internalSubset($state, $tok, $ptr, $end, $enc) {
   159725   $state = $state | 0;
   159726   $tok = $tok | 0;
   159727   $ptr = $ptr | 0;
   159728   $end = $end | 0;
   159729   $enc = $enc | 0;
   159730   var $nameMatchesAscii = 0, $0 = 0, $minBytesPerChar = 0, $1 = 0, $mul = 0, $add_ptr = 0, $call = 0, $tobool = 0, $handler = 0, $2 = 0, $3 = 0, $mul4 = 0, $add_ptr5 = 0, $call6 = 0, $tobool7 = 0, $handler9 = 0, $4 = 0, $5 = 0, $mul13 = 0, $add_ptr14 = 0, $call15 = 0, $tobool16 = 0, $handler18 = 0, $6 = 0, $7 = 0, $mul22 = 0, $add_ptr23 = 0, $call24 = 0, $tobool25 = 0, $handler27 = 0, $handler33 = 0, $retval_0 = 0, label = 0;
   159731   label = 2;
   159732   while (1) switch (label | 0) {
   159733    case 2:
   159734     if (($tok | 0) == 16) {
   159735       label = 3;
   159736       break;
   159737     } else if (($tok | 0) == 11) {
   159738       label = 11;
   159739       break;
   159740     } else if (($tok | 0) == 13) {
   159741       label = 12;
   159742       break;
   159743     } else if (($tok | 0) == 28) {
   159744       label = 13;
   159745       break;
   159746     } else if (($tok | 0) == 26) {
   159747       label = 14;
   159748       break;
   159749     } else if (($tok | 0) == 15 | ($tok | 0) == (-4 | 0)) {
   159750       $retval_0 = 0;
   159751       label = 16;
   159752       break;
   159753     } else {
   159754       label = 15;
   159755       break;
   159756     }
   159757    case 3:
   159758     $nameMatchesAscii = $enc + 24 | 0;
   159759     $0 = HEAP32[$nameMatchesAscii >> 2] | 0;
   159760     $minBytesPerChar = $enc + 64 | 0;
   159761     $1 = HEAP32[$minBytesPerChar >> 2] | 0;
   159762     $mul = $1 << 1;
   159763     $add_ptr = $ptr + $mul | 0;
   159764     $call = FUNCTION_TABLE_iiiii[$0 & 1023]($enc, $add_ptr, $end, 166888) | 0;
   159765     $tobool = ($call | 0) == 0;
   159766     if ($tobool) {
   159767       label = 5;
   159768       break;
   159769     } else {
   159770       label = 4;
   159771       break;
   159772     }
   159773    case 4:
   159774     $handler = $state | 0;
   159775     HEAP32[$handler >> 2] = 326;
   159776     $retval_0 = 11;
   159777     label = 16;
   159778     break;
   159779    case 5:
   159780     $2 = HEAP32[$nameMatchesAscii >> 2] | 0;
   159781     $3 = HEAP32[$minBytesPerChar >> 2] | 0;
   159782     $mul4 = $3 << 1;
   159783     $add_ptr5 = $ptr + $mul4 | 0;
   159784     $call6 = FUNCTION_TABLE_iiiii[$2 & 1023]($enc, $add_ptr5, $end, 166944) | 0;
   159785     $tobool7 = ($call6 | 0) == 0;
   159786     if ($tobool7) {
   159787       label = 7;
   159788       break;
   159789     } else {
   159790       label = 6;
   159791       break;
   159792     }
   159793    case 6:
   159794     $handler9 = $state | 0;
   159795     HEAP32[$handler9 >> 2] = 440;
   159796     $retval_0 = 33;
   159797     label = 16;
   159798     break;
   159799    case 7:
   159800     $4 = HEAP32[$nameMatchesAscii >> 2] | 0;
   159801     $5 = HEAP32[$minBytesPerChar >> 2] | 0;
   159802     $mul13 = $5 << 1;
   159803     $add_ptr14 = $ptr + $mul13 | 0;
   159804     $call15 = FUNCTION_TABLE_iiiii[$4 & 1023]($enc, $add_ptr14, $end, 166920) | 0;
   159805     $tobool16 = ($call15 | 0) == 0;
   159806     if ($tobool16) {
   159807       label = 9;
   159808       break;
   159809     } else {
   159810       label = 8;
   159811       break;
   159812     }
   159813    case 8:
   159814     $handler18 = $state | 0;
   159815     HEAP32[$handler18 >> 2] = 86;
   159816     $retval_0 = 39;
   159817     label = 16;
   159818     break;
   159819    case 9:
   159820     $6 = HEAP32[$nameMatchesAscii >> 2] | 0;
   159821     $7 = HEAP32[$minBytesPerChar >> 2] | 0;
   159822     $mul22 = $7 << 1;
   159823     $add_ptr23 = $ptr + $mul22 | 0;
   159824     $call24 = FUNCTION_TABLE_iiiii[$6 & 1023]($enc, $add_ptr23, $end, 166784) | 0;
   159825     $tobool25 = ($call24 | 0) == 0;
   159826     if ($tobool25) {
   159827       label = 15;
   159828       break;
   159829     } else {
   159830       label = 10;
   159831       break;
   159832     }
   159833    case 10:
   159834     $handler27 = $state | 0;
   159835     HEAP32[$handler27 >> 2] = 366;
   159836     $retval_0 = 17;
   159837     label = 16;
   159838     break;
   159839    case 11:
   159840     $retval_0 = 55;
   159841     label = 16;
   159842     break;
   159843    case 12:
   159844     $retval_0 = 56;
   159845     label = 16;
   159846     break;
   159847    case 13:
   159848     $retval_0 = 57;
   159849     label = 16;
   159850     break;
   159851    case 14:
   159852     $handler33 = $state | 0;
   159853     HEAP32[$handler33 >> 2] = 540;
   159854     $retval_0 = 3;
   159855     label = 16;
   159856     break;
   159857    case 15:
   159858     _common($state);
   159859     $retval_0 = -1;
   159860     label = 16;
   159861     break;
   159862    case 16:
   159863     return $retval_0 | 0;
   159864   }
   159865   return 0;
   159866 }
   159867 function _prolog2($state, $tok, $ptr, $end, $enc) {
   159868   $state = $state | 0;
   159869   $tok = $tok | 0;
   159870   $ptr = $ptr | 0;
   159871   $end = $end | 0;
   159872   $enc = $enc | 0;
   159873   var $handler = 0, $retval_0 = 0, label = 0;
   159874   label = 2;
   159875   while (1) switch (label | 0) {
   159876    case 2:
   159877     if (($tok | 0) == 11) {
   159878       label = 3;
   159879       break;
   159880     } else if (($tok | 0) == 13) {
   159881       label = 4;
   159882       break;
   159883     } else if (($tok | 0) == 29) {
   159884       label = 5;
   159885       break;
   159886     } else if (($tok | 0) == 15) {
   159887       $retval_0 = 0;
   159888       label = 7;
   159889       break;
   159890     } else {
   159891       label = 6;
   159892       break;
   159893     }
   159894    case 3:
   159895     $retval_0 = 55;
   159896     label = 7;
   159897     break;
   159898    case 4:
   159899     $retval_0 = 56;
   159900     label = 7;
   159901     break;
   159902    case 5:
   159903     $handler = $state | 0;
   159904     HEAP32[$handler >> 2] = 134;
   159905     $retval_0 = 2;
   159906     label = 7;
   159907     break;
   159908    case 6:
   159909     _common($state);
   159910     $retval_0 = -1;
   159911     label = 7;
   159912     break;
   159913    case 7:
   159914     return $retval_0 | 0;
   159915   }
   159916   return 0;
   159917 }
   159918 function _doctype3($state, $tok, $ptr, $end, $enc) {
   159919   $state = $state | 0;
   159920   $tok = $tok | 0;
   159921   $ptr = $ptr | 0;
   159922   $end = $end | 0;
   159923   $enc = $enc | 0;
   159924   var $handler = 0, $retval_0 = 0, label = 0;
   159925   label = 2;
   159926   while (1) switch (label | 0) {
   159927    case 2:
   159928     if (($tok | 0) == 27) {
   159929       label = 3;
   159930       break;
   159931     } else if (($tok | 0) == 15) {
   159932       $retval_0 = 3;
   159933       label = 5;
   159934       break;
   159935     } else {
   159936       label = 4;
   159937       break;
   159938     }
   159939    case 3:
   159940     $handler = $state | 0;
   159941     HEAP32[$handler >> 2] = 538;
   159942     $retval_0 = 5;
   159943     label = 5;
   159944     break;
   159945    case 4:
   159946     _common($state);
   159947     $retval_0 = -1;
   159948     label = 5;
   159949     break;
   159950    case 5:
   159951     return $retval_0 | 0;
   159952   }
   159953   return 0;
   159954 }
   159955 function _doctype2($state, $tok, $ptr, $end, $enc) {
   159956   $state = $state | 0;
   159957   $tok = $tok | 0;
   159958   $ptr = $ptr | 0;
   159959   $end = $end | 0;
   159960   $enc = $enc | 0;
   159961   var $handler = 0, $retval_0 = 0, label = 0;
   159962   label = 2;
   159963   while (1) switch (label | 0) {
   159964    case 2:
   159965     if (($tok | 0) == 27) {
   159966       label = 3;
   159967       break;
   159968     } else if (($tok | 0) == 15) {
   159969       $retval_0 = 3;
   159970       label = 5;
   159971       break;
   159972     } else {
   159973       label = 4;
   159974       break;
   159975     }
   159976    case 3:
   159977     $handler = $state | 0;
   159978     HEAP32[$handler >> 2] = 550;
   159979     $retval_0 = 6;
   159980     label = 5;
   159981     break;
   159982    case 4:
   159983     _common($state);
   159984     $retval_0 = -1;
   159985     label = 5;
   159986     break;
   159987    case 5:
   159988     return $retval_0 | 0;
   159989   }
   159990   return 0;
   159991 }
   159992 function _doctype4($state, $tok, $ptr, $end, $enc) {
   159993   $state = $state | 0;
   159994   $tok = $tok | 0;
   159995   $ptr = $ptr | 0;
   159996   $end = $end | 0;
   159997   $enc = $enc | 0;
   159998   var $handler = 0, $handler3 = 0, $retval_0 = 0, label = 0;
   159999   label = 2;
   160000   while (1) switch (label | 0) {
   160001    case 2:
   160002     if (($tok | 0) == 25) {
   160003       label = 3;
   160004       break;
   160005     } else if (($tok | 0) == 17) {
   160006       label = 4;
   160007       break;
   160008     } else if (($tok | 0) == 15) {
   160009       $retval_0 = 3;
   160010       label = 6;
   160011       break;
   160012     } else {
   160013       label = 5;
   160014       break;
   160015     }
   160016    case 3:
   160017     $handler = $state | 0;
   160018     HEAP32[$handler >> 2] = 148;
   160019     $retval_0 = 7;
   160020     label = 6;
   160021     break;
   160022    case 4:
   160023     $handler3 = $state | 0;
   160024     HEAP32[$handler3 >> 2] = 22;
   160025     $retval_0 = 8;
   160026     label = 6;
   160027     break;
   160028    case 5:
   160029     _common($state);
   160030     $retval_0 = -1;
   160031     label = 6;
   160032     break;
   160033    case 6:
   160034     return $retval_0 | 0;
   160035   }
   160036   return 0;
   160037 }
   160038 function _entity0($state, $tok, $ptr, $end, $enc) {
   160039   $state = $state | 0;
   160040   $tok = $tok | 0;
   160041   $ptr = $ptr | 0;
   160042   $end = $end | 0;
   160043   $enc = $enc | 0;
   160044   var $handler = 0, $handler3 = 0, $retval_0 = 0, label = 0;
   160045   label = 2;
   160046   while (1) switch (label | 0) {
   160047    case 2:
   160048     if (($tok | 0) == 22) {
   160049       label = 3;
   160050       break;
   160051     } else if (($tok | 0) == 18) {
   160052       label = 4;
   160053       break;
   160054     } else if (($tok | 0) == 15) {
   160055       $retval_0 = 11;
   160056       label = 6;
   160057       break;
   160058     } else {
   160059       label = 5;
   160060       break;
   160061     }
   160062    case 3:
   160063     $handler = $state | 0;
   160064     HEAP32[$handler >> 2] = 328;
   160065     $retval_0 = 11;
   160066     label = 6;
   160067     break;
   160068    case 4:
   160069     $handler3 = $state | 0;
   160070     HEAP32[$handler3 >> 2] = 442;
   160071     $retval_0 = 9;
   160072     label = 6;
   160073     break;
   160074    case 5:
   160075     _common($state);
   160076     $retval_0 = -1;
   160077     label = 6;
   160078     break;
   160079    case 6:
   160080     return $retval_0 | 0;
   160081   }
   160082   return 0;
   160083 }
   160084 function _attlist0($state, $tok, $ptr, $end, $enc) {
   160085   $state = $state | 0;
   160086   $tok = $tok | 0;
   160087   $ptr = $ptr | 0;
   160088   $end = $end | 0;
   160089   $enc = $enc | 0;
   160090   var $handler = 0, $retval_0 = 0, label = 0;
   160091   label = 2;
   160092   while (1) switch (label | 0) {
   160093    case 2:
   160094     if (($tok | 0) == 18 | ($tok | 0) == 41) {
   160095       label = 3;
   160096       break;
   160097     } else if (($tok | 0) == 15) {
   160098       $retval_0 = 33;
   160099       label = 5;
   160100       break;
   160101     } else {
   160102       label = 4;
   160103       break;
   160104     }
   160105    case 3:
   160106     $handler = $state | 0;
   160107     HEAP32[$handler >> 2] = 518;
   160108     $retval_0 = 34;
   160109     label = 5;
   160110     break;
   160111    case 4:
   160112     _common($state);
   160113     $retval_0 = -1;
   160114     label = 5;
   160115     break;
   160116    case 5:
   160117     return $retval_0 | 0;
   160118   }
   160119   return 0;
   160120 }
   160121 function _element0($state, $tok, $ptr, $end, $enc) {
   160122   $state = $state | 0;
   160123   $tok = $tok | 0;
   160124   $ptr = $ptr | 0;
   160125   $end = $end | 0;
   160126   $enc = $enc | 0;
   160127   var $handler = 0, $retval_0 = 0, label = 0;
   160128   label = 2;
   160129   while (1) switch (label | 0) {
   160130    case 2:
   160131     if (($tok | 0) == 18 | ($tok | 0) == 41) {
   160132       label = 3;
   160133       break;
   160134     } else if (($tok | 0) == 15) {
   160135       $retval_0 = 39;
   160136       label = 5;
   160137       break;
   160138     } else {
   160139       label = 4;
   160140       break;
   160141     }
   160142    case 3:
   160143     $handler = $state | 0;
   160144     HEAP32[$handler >> 2] = 278;
   160145     $retval_0 = 40;
   160146     label = 5;
   160147     break;
   160148    case 4:
   160149     _common($state);
   160150     $retval_0 = -1;
   160151     label = 5;
   160152     break;
   160153    case 5:
   160154     return $retval_0 | 0;
   160155   }
   160156   return 0;
   160157 }
   160158 function _notation0($state, $tok, $ptr, $end, $enc) {
   160159   $state = $state | 0;
   160160   $tok = $tok | 0;
   160161   $ptr = $ptr | 0;
   160162   $end = $end | 0;
   160163   $enc = $enc | 0;
   160164   var $handler = 0, $retval_0 = 0, label = 0;
   160165   label = 2;
   160166   while (1) switch (label | 0) {
   160167    case 2:
   160168     if (($tok | 0) == 18) {
   160169       label = 3;
   160170       break;
   160171     } else if (($tok | 0) == 15) {
   160172       $retval_0 = 17;
   160173       label = 5;
   160174       break;
   160175     } else {
   160176       label = 4;
   160177       break;
   160178     }
   160179    case 3:
   160180     $handler = $state | 0;
   160181     HEAP32[$handler >> 2] = 578;
   160182     $retval_0 = 18;
   160183     label = 5;
   160184     break;
   160185    case 4:
   160186     _common($state);
   160187     $retval_0 = -1;
   160188     label = 5;
   160189     break;
   160190    case 5:
   160191     return $retval_0 | 0;
   160192   }
   160193   return 0;
   160194 }
   160195 function _doctype5($state, $tok, $ptr, $end, $enc) {
   160196   $state = $state | 0;
   160197   $tok = $tok | 0;
   160198   $ptr = $ptr | 0;
   160199   $end = $end | 0;
   160200   $enc = $enc | 0;
   160201   var $handler = 0, $retval_0 = 0, label = 0;
   160202   label = 2;
   160203   while (1) switch (label | 0) {
   160204    case 2:
   160205     if (($tok | 0) == 17) {
   160206       label = 3;
   160207       break;
   160208     } else if (($tok | 0) == 15) {
   160209       $retval_0 = 3;
   160210       label = 5;
   160211       break;
   160212     } else {
   160213       label = 4;
   160214       break;
   160215     }
   160216    case 3:
   160217     $handler = $state | 0;
   160218     HEAP32[$handler >> 2] = 22;
   160219     $retval_0 = 8;
   160220     label = 5;
   160221     break;
   160222    case 4:
   160223     _common($state);
   160224     $retval_0 = -1;
   160225     label = 5;
   160226     break;
   160227    case 5:
   160228     return $retval_0 | 0;
   160229   }
   160230   return 0;
   160231 }
   160232 function _notation1($state, $tok, $ptr, $end, $enc) {
   160233   $state = $state | 0;
   160234   $tok = $tok | 0;
   160235   $ptr = $ptr | 0;
   160236   $end = $end | 0;
   160237   $enc = $enc | 0;
   160238   var $nameMatchesAscii = 0, $0 = 0, $call = 0, $tobool = 0, $handler = 0, $1 = 0, $call3 = 0, $tobool4 = 0, $handler6 = 0, $retval_0 = 0, label = 0;
   160239   label = 2;
   160240   while (1) switch (label | 0) {
   160241    case 2:
   160242     if (($tok | 0) == 18) {
   160243       label = 3;
   160244       break;
   160245     } else if (($tok | 0) == 15) {
   160246       $retval_0 = 17;
   160247       label = 8;
   160248       break;
   160249     } else {
   160250       label = 7;
   160251       break;
   160252     }
   160253    case 3:
   160254     $nameMatchesAscii = $enc + 24 | 0;
   160255     $0 = HEAP32[$nameMatchesAscii >> 2] | 0;
   160256     $call = FUNCTION_TABLE_iiiii[$0 & 1023]($enc, $ptr, $end, 166744) | 0;
   160257     $tobool = ($call | 0) == 0;
   160258     if ($tobool) {
   160259       label = 5;
   160260       break;
   160261     } else {
   160262       label = 4;
   160263       break;
   160264     }
   160265    case 4:
   160266     $handler = $state | 0;
   160267     HEAP32[$handler >> 2] = 596;
   160268     $retval_0 = 17;
   160269     label = 8;
   160270     break;
   160271    case 5:
   160272     $1 = HEAP32[$nameMatchesAscii >> 2] | 0;
   160273     $call3 = FUNCTION_TABLE_iiiii[$1 & 1023]($enc, $ptr, $end, 166768) | 0;
   160274     $tobool4 = ($call3 | 0) == 0;
   160275     if ($tobool4) {
   160276       label = 7;
   160277       break;
   160278     } else {
   160279       label = 6;
   160280       break;
   160281     }
   160282    case 6:
   160283     $handler6 = $state | 0;
   160284     HEAP32[$handler6 >> 2] = 94;
   160285     $retval_0 = 17;
   160286     label = 8;
   160287     break;
   160288    case 7:
   160289     _common($state);
   160290     $retval_0 = -1;
   160291     label = 8;
   160292     break;
   160293    case 8:
   160294     return $retval_0 | 0;
   160295   }
   160296   return 0;
   160297 }
   160298 function _notation3($state, $tok, $ptr, $end, $enc) {
   160299   $state = $state | 0;
   160300   $tok = $tok | 0;
   160301   $ptr = $ptr | 0;
   160302   $end = $end | 0;
   160303   $enc = $enc | 0;
   160304   var $handler = 0, $role_none = 0, $retval_0 = 0, label = 0;
   160305   label = 2;
   160306   while (1) switch (label | 0) {
   160307    case 2:
   160308     if (($tok | 0) == 27) {
   160309       label = 3;
   160310       break;
   160311     } else if (($tok | 0) == 15) {
   160312       $retval_0 = 17;
   160313       label = 5;
   160314       break;
   160315     } else {
   160316       label = 4;
   160317       break;
   160318     }
   160319    case 3:
   160320     $handler = $state | 0;
   160321     HEAP32[$handler >> 2] = 206;
   160322     $role_none = $state + 8 | 0;
   160323     HEAP32[$role_none >> 2] = 17;
   160324     $retval_0 = 19;
   160325     label = 5;
   160326     break;
   160327    case 4:
   160328     _common($state);
   160329     $retval_0 = -1;
   160330     label = 5;
   160331     break;
   160332    case 5:
   160333     return $retval_0 | 0;
   160334   }
   160335   return 0;
   160336 }
   160337 function _notation2($state, $tok, $ptr, $end, $enc) {
   160338   $state = $state | 0;
   160339   $tok = $tok | 0;
   160340   $ptr = $ptr | 0;
   160341   $end = $end | 0;
   160342   $enc = $enc | 0;
   160343   var $handler = 0, $retval_0 = 0, label = 0;
   160344   label = 2;
   160345   while (1) switch (label | 0) {
   160346    case 2:
   160347     if (($tok | 0) == 27) {
   160348       label = 3;
   160349       break;
   160350     } else if (($tok | 0) == 15) {
   160351       $retval_0 = 17;
   160352       label = 5;
   160353       break;
   160354     } else {
   160355       label = 4;
   160356       break;
   160357     }
   160358    case 3:
   160359     $handler = $state | 0;
   160360     HEAP32[$handler >> 2] = 622;
   160361     $retval_0 = 21;
   160362     label = 5;
   160363     break;
   160364    case 4:
   160365     _common($state);
   160366     $retval_0 = -1;
   160367     label = 5;
   160368     break;
   160369    case 5:
   160370     return $retval_0 | 0;
   160371   }
   160372   return 0;
   160373 }
   160374 function _notation4($state, $tok, $ptr, $end, $enc) {
   160375   $state = $state | 0;
   160376   $tok = $tok | 0;
   160377   $ptr = $ptr | 0;
   160378   $end = $end | 0;
   160379   $enc = $enc | 0;
   160380   var $handler = 0, $role_none = 0, $handler3 = 0, $retval_0 = 0, label = 0;
   160381   label = 2;
   160382   while (1) switch (label | 0) {
   160383    case 2:
   160384     if (($tok | 0) == 27) {
   160385       label = 3;
   160386       break;
   160387     } else if (($tok | 0) == 17) {
   160388       label = 4;
   160389       break;
   160390     } else if (($tok | 0) == 15) {
   160391       $retval_0 = 17;
   160392       label = 6;
   160393       break;
   160394     } else {
   160395       label = 5;
   160396       break;
   160397     }
   160398    case 3:
   160399     $handler = $state | 0;
   160400     HEAP32[$handler >> 2] = 206;
   160401     $role_none = $state + 8 | 0;
   160402     HEAP32[$role_none >> 2] = 17;
   160403     $retval_0 = 19;
   160404     label = 6;
   160405     break;
   160406    case 4:
   160407     $handler3 = $state | 0;
   160408     HEAP32[$handler3 >> 2] = 148;
   160409     $retval_0 = 20;
   160410     label = 6;
   160411     break;
   160412    case 5:
   160413     _common($state);
   160414     $retval_0 = -1;
   160415     label = 6;
   160416     break;
   160417    case 6:
   160418     return $retval_0 | 0;
   160419   }
   160420   return 0;
   160421 }
   160422 function _declClose($state, $tok, $ptr, $end, $enc) {
   160423   $state = $state | 0;
   160424   $tok = $tok | 0;
   160425   $ptr = $ptr | 0;
   160426   $end = $end | 0;
   160427   $enc = $enc | 0;
   160428   var $role_none = 0, $0 = 0, $handler = 0, $role_none2 = 0, $1 = 0, $retval_0 = 0, label = 0;
   160429   label = 2;
   160430   while (1) switch (label | 0) {
   160431    case 2:
   160432     if (($tok | 0) == 15) {
   160433       label = 3;
   160434       break;
   160435     } else if (($tok | 0) == 17) {
   160436       label = 4;
   160437       break;
   160438     } else {
   160439       label = 5;
   160440       break;
   160441     }
   160442    case 3:
   160443     $role_none = $state + 8 | 0;
   160444     $0 = HEAP32[$role_none >> 2] | 0;
   160445     $retval_0 = $0;
   160446     label = 6;
   160447     break;
   160448    case 4:
   160449     $handler = $state | 0;
   160450     HEAP32[$handler >> 2] = 148;
   160451     $role_none2 = $state + 8 | 0;
   160452     $1 = HEAP32[$role_none2 >> 2] | 0;
   160453     $retval_0 = $1;
   160454     label = 6;
   160455     break;
   160456    case 5:
   160457     _common($state);
   160458     $retval_0 = -1;
   160459     label = 6;
   160460     break;
   160461    case 6:
   160462     return $retval_0 | 0;
   160463   }
   160464   return 0;
   160465 }
   160466 function _element1($state, $tok, $ptr, $end, $enc) {
   160467   $state = $state | 0;
   160468   $tok = $tok | 0;
   160469   $ptr = $ptr | 0;
   160470   $end = $end | 0;
   160471   $enc = $enc | 0;
   160472   var $nameMatchesAscii = 0, $0 = 0, $call = 0, $tobool = 0, $handler = 0, $role_none = 0, $1 = 0, $call3 = 0, $tobool4 = 0, $handler6 = 0, $role_none7 = 0, $handler10 = 0, $level = 0, $retval_0 = 0, label = 0;
   160473   label = 2;
   160474   while (1) switch (label | 0) {
   160475    case 2:
   160476     if (($tok | 0) == 18) {
   160477       label = 3;
   160478       break;
   160479     } else if (($tok | 0) == 23) {
   160480       label = 7;
   160481       break;
   160482     } else if (($tok | 0) == 15) {
   160483       $retval_0 = 39;
   160484       label = 9;
   160485       break;
   160486     } else {
   160487       label = 8;
   160488       break;
   160489     }
   160490    case 3:
   160491     $nameMatchesAscii = $enc + 24 | 0;
   160492     $0 = HEAP32[$nameMatchesAscii >> 2] | 0;
   160493     $call = FUNCTION_TABLE_iiiii[$0 & 1023]($enc, $ptr, $end, 166912) | 0;
   160494     $tobool = ($call | 0) == 0;
   160495     if ($tobool) {
   160496       label = 5;
   160497       break;
   160498     } else {
   160499       label = 4;
   160500       break;
   160501     }
   160502    case 4:
   160503     $handler = $state | 0;
   160504     HEAP32[$handler >> 2] = 206;
   160505     $role_none = $state + 8 | 0;
   160506     HEAP32[$role_none >> 2] = 39;
   160507     $retval_0 = 42;
   160508     label = 9;
   160509     break;
   160510    case 5:
   160511     $1 = HEAP32[$nameMatchesAscii >> 2] | 0;
   160512     $call3 = FUNCTION_TABLE_iiiii[$1 & 1023]($enc, $ptr, $end, 166952) | 0;
   160513     $tobool4 = ($call3 | 0) == 0;
   160514     if ($tobool4) {
   160515       label = 8;
   160516       break;
   160517     } else {
   160518       label = 6;
   160519       break;
   160520     }
   160521    case 6:
   160522     $handler6 = $state | 0;
   160523     HEAP32[$handler6 >> 2] = 206;
   160524     $role_none7 = $state + 8 | 0;
   160525     HEAP32[$role_none7 >> 2] = 39;
   160526     $retval_0 = 41;
   160527     label = 9;
   160528     break;
   160529    case 7:
   160530     $handler10 = $state | 0;
   160531     HEAP32[$handler10 >> 2] = 272;
   160532     $level = $state + 4 | 0;
   160533     HEAP32[$level >> 2] = 1;
   160534     $retval_0 = 44;
   160535     label = 9;
   160536     break;
   160537    case 8:
   160538     _common($state);
   160539     $retval_0 = -1;
   160540     label = 9;
   160541     break;
   160542    case 9:
   160543     return $retval_0 | 0;
   160544   }
   160545   return 0;
   160546 }
   160547 function _element2($state, $tok, $ptr, $end, $enc) {
   160548   $state = $state | 0;
   160549   $tok = $tok | 0;
   160550   $ptr = $ptr | 0;
   160551   $end = $end | 0;
   160552   $enc = $enc | 0;
   160553   var $nameMatchesAscii = 0, $0 = 0, $minBytesPerChar = 0, $1 = 0, $add_ptr = 0, $call = 0, $tobool = 0, $handler = 0, $level = 0, $handler3 = 0, $handler5 = 0, $handler7 = 0, $handler9 = 0, $handler11 = 0, $retval_0 = 0, label = 0;
   160554   label = 2;
   160555   while (1) switch (label | 0) {
   160556    case 2:
   160557     if (($tok | 0) == 20) {
   160558       label = 3;
   160559       break;
   160560     } else if (($tok | 0) == 23) {
   160561       label = 5;
   160562       break;
   160563     } else if (($tok | 0) == 18 | ($tok | 0) == 41) {
   160564       label = 6;
   160565       break;
   160566     } else if (($tok | 0) == 30) {
   160567       label = 7;
   160568       break;
   160569     } else if (($tok | 0) == 31) {
   160570       label = 8;
   160571       break;
   160572     } else if (($tok | 0) == 32) {
   160573       label = 9;
   160574       break;
   160575     } else if (($tok | 0) == 15) {
   160576       $retval_0 = 39;
   160577       label = 11;
   160578       break;
   160579     } else {
   160580       label = 10;
   160581       break;
   160582     }
   160583    case 3:
   160584     $nameMatchesAscii = $enc + 24 | 0;
   160585     $0 = HEAP32[$nameMatchesAscii >> 2] | 0;
   160586     $minBytesPerChar = $enc + 64 | 0;
   160587     $1 = HEAP32[$minBytesPerChar >> 2] | 0;
   160588     $add_ptr = $ptr + $1 | 0;
   160589     $call = FUNCTION_TABLE_iiiii[$0 & 1023]($enc, $add_ptr, $end, 166776) | 0;
   160590     $tobool = ($call | 0) == 0;
   160591     if ($tobool) {
   160592       label = 10;
   160593       break;
   160594     } else {
   160595       label = 4;
   160596       break;
   160597     }
   160598    case 4:
   160599     $handler = $state | 0;
   160600     HEAP32[$handler >> 2] = 336;
   160601     $retval_0 = 43;
   160602     label = 11;
   160603     break;
   160604    case 5:
   160605     $level = $state + 4 | 0;
   160606     HEAP32[$level >> 2] = 2;
   160607     $handler3 = $state | 0;
   160608     HEAP32[$handler3 >> 2] = 294;
   160609     $retval_0 = 44;
   160610     label = 11;
   160611     break;
   160612    case 6:
   160613     $handler5 = $state | 0;
   160614     HEAP32[$handler5 >> 2] = 302;
   160615     $retval_0 = 51;
   160616     label = 11;
   160617     break;
   160618    case 7:
   160619     $handler7 = $state | 0;
   160620     HEAP32[$handler7 >> 2] = 302;
   160621     $retval_0 = 53;
   160622     label = 11;
   160623     break;
   160624    case 8:
   160625     $handler9 = $state | 0;
   160626     HEAP32[$handler9 >> 2] = 302;
   160627     $retval_0 = 52;
   160628     label = 11;
   160629     break;
   160630    case 9:
   160631     $handler11 = $state | 0;
   160632     HEAP32[$handler11 >> 2] = 302;
   160633     $retval_0 = 54;
   160634     label = 11;
   160635     break;
   160636    case 10:
   160637     _common($state);
   160638     $retval_0 = -1;
   160639     label = 11;
   160640     break;
   160641    case 11:
   160642     return $retval_0 | 0;
   160643   }
   160644   return 0;
   160645 }
   160646 function _element3($state, $tok, $ptr, $end, $enc) {
   160647   $state = $state | 0;
   160648   $tok = $tok | 0;
   160649   $ptr = $ptr | 0;
   160650   $end = $end | 0;
   160651   $enc = $enc | 0;
   160652   var $handler = 0, $role_none = 0, $handler3 = 0, $role_none4 = 0, $handler6 = 0, $retval_0 = 0, label = 0;
   160653   label = 2;
   160654   while (1) switch (label | 0) {
   160655    case 2:
   160656     if (($tok | 0) == 24) {
   160657       label = 3;
   160658       break;
   160659     } else if (($tok | 0) == 36) {
   160660       label = 4;
   160661       break;
   160662     } else if (($tok | 0) == 21) {
   160663       label = 5;
   160664       break;
   160665     } else if (($tok | 0) == 15) {
   160666       $retval_0 = 39;
   160667       label = 7;
   160668       break;
   160669     } else {
   160670       label = 6;
   160671       break;
   160672     }
   160673    case 3:
   160674     $handler = $state | 0;
   160675     HEAP32[$handler >> 2] = 206;
   160676     $role_none = $state + 8 | 0;
   160677     HEAP32[$role_none >> 2] = 39;
   160678     $retval_0 = 45;
   160679     label = 7;
   160680     break;
   160681    case 4:
   160682     $handler3 = $state | 0;
   160683     HEAP32[$handler3 >> 2] = 206;
   160684     $role_none4 = $state + 8 | 0;
   160685     HEAP32[$role_none4 >> 2] = 39;
   160686     $retval_0 = 46;
   160687     label = 7;
   160688     break;
   160689    case 5:
   160690     $handler6 = $state | 0;
   160691     HEAP32[$handler6 >> 2] = 268;
   160692     $retval_0 = 39;
   160693     label = 7;
   160694     break;
   160695    case 6:
   160696     _common($state);
   160697     $retval_0 = -1;
   160698     label = 7;
   160699     break;
   160700    case 7:
   160701     return $retval_0 | 0;
   160702   }
   160703   return 0;
   160704 }
   160705 function _element6($state, $tok, $ptr, $end, $enc) {
   160706   $state = $state | 0;
   160707   $tok = $tok | 0;
   160708   $ptr = $ptr | 0;
   160709   $end = $end | 0;
   160710   $enc = $enc | 0;
   160711   var $level = 0, $0 = 0, $add = 0, $handler = 0, $handler4 = 0, $handler6 = 0, $handler8 = 0, $retval_0 = 0, label = 0;
   160712   label = 2;
   160713   while (1) switch (label | 0) {
   160714    case 2:
   160715     if (($tok | 0) == 23) {
   160716       label = 3;
   160717       break;
   160718     } else if (($tok | 0) == 18 | ($tok | 0) == 41) {
   160719       label = 4;
   160720       break;
   160721     } else if (($tok | 0) == 30) {
   160722       label = 5;
   160723       break;
   160724     } else if (($tok | 0) == 31) {
   160725       label = 6;
   160726       break;
   160727     } else if (($tok | 0) == 32) {
   160728       label = 7;
   160729       break;
   160730     } else if (($tok | 0) == 15) {
   160731       $retval_0 = 39;
   160732       label = 9;
   160733       break;
   160734     } else {
   160735       label = 8;
   160736       break;
   160737     }
   160738    case 3:
   160739     $level = $state + 4 | 0;
   160740     $0 = HEAP32[$level >> 2] | 0;
   160741     $add = $0 + 1 | 0;
   160742     HEAP32[$level >> 2] = $add;
   160743     $retval_0 = 44;
   160744     label = 9;
   160745     break;
   160746    case 4:
   160747     $handler = $state | 0;
   160748     HEAP32[$handler >> 2] = 302;
   160749     $retval_0 = 51;
   160750     label = 9;
   160751     break;
   160752    case 5:
   160753     $handler4 = $state | 0;
   160754     HEAP32[$handler4 >> 2] = 302;
   160755     $retval_0 = 53;
   160756     label = 9;
   160757     break;
   160758    case 6:
   160759     $handler6 = $state | 0;
   160760     HEAP32[$handler6 >> 2] = 302;
   160761     $retval_0 = 52;
   160762     label = 9;
   160763     break;
   160764    case 7:
   160765     $handler8 = $state | 0;
   160766     HEAP32[$handler8 >> 2] = 302;
   160767     $retval_0 = 54;
   160768     label = 9;
   160769     break;
   160770    case 8:
   160771     _common($state);
   160772     $retval_0 = -1;
   160773     label = 9;
   160774     break;
   160775    case 9:
   160776     return $retval_0 | 0;
   160777   }
   160778   return 0;
   160779 }
   160780 function _element7($state, $tok, $ptr, $end, $enc) {
   160781   $state = $state | 0;
   160782   $tok = $tok | 0;
   160783   $ptr = $ptr | 0;
   160784   $end = $end | 0;
   160785   $enc = $enc | 0;
   160786   var $level = 0, $0 = 0, $sub = 0, $cmp = 0, $handler = 0, $role_none = 0, $level4 = 0, $1 = 0, $sub5 = 0, $cmp7 = 0, $handler9 = 0, $role_none10 = 0, $level13 = 0, $2 = 0, $sub14 = 0, $cmp16 = 0, $handler18 = 0, $role_none19 = 0, $level22 = 0, $3 = 0, $sub23 = 0, $cmp25 = 0, $handler27 = 0, $role_none28 = 0, $handler31 = 0, $handler33 = 0, $retval_0 = 0, label = 0;
   160787   label = 2;
   160788   while (1) switch (label | 0) {
   160789    case 2:
   160790     if (($tok | 0) == 24) {
   160791       label = 3;
   160792       break;
   160793     } else if (($tok | 0) == 36) {
   160794       label = 5;
   160795       break;
   160796     } else if (($tok | 0) == 35) {
   160797       label = 7;
   160798       break;
   160799     } else if (($tok | 0) == 37) {
   160800       label = 9;
   160801       break;
   160802     } else if (($tok | 0) == 38) {
   160803       label = 11;
   160804       break;
   160805     } else if (($tok | 0) == 21) {
   160806       label = 12;
   160807       break;
   160808     } else if (($tok | 0) == 15) {
   160809       $retval_0 = 39;
   160810       label = 14;
   160811       break;
   160812     } else {
   160813       label = 13;
   160814       break;
   160815     }
   160816    case 3:
   160817     $level = $state + 4 | 0;
   160818     $0 = HEAP32[$level >> 2] | 0;
   160819     $sub = $0 - 1 | 0;
   160820     HEAP32[$level >> 2] = $sub;
   160821     $cmp = ($sub | 0) == 0;
   160822     if ($cmp) {
   160823       label = 4;
   160824       break;
   160825     } else {
   160826       $retval_0 = 45;
   160827       label = 14;
   160828       break;
   160829     }
   160830    case 4:
   160831     $handler = $state | 0;
   160832     HEAP32[$handler >> 2] = 206;
   160833     $role_none = $state + 8 | 0;
   160834     HEAP32[$role_none >> 2] = 39;
   160835     $retval_0 = 45;
   160836     label = 14;
   160837     break;
   160838    case 5:
   160839     $level4 = $state + 4 | 0;
   160840     $1 = HEAP32[$level4 >> 2] | 0;
   160841     $sub5 = $1 - 1 | 0;
   160842     HEAP32[$level4 >> 2] = $sub5;
   160843     $cmp7 = ($sub5 | 0) == 0;
   160844     if ($cmp7) {
   160845       label = 6;
   160846       break;
   160847     } else {
   160848       $retval_0 = 46;
   160849       label = 14;
   160850       break;
   160851     }
   160852    case 6:
   160853     $handler9 = $state | 0;
   160854     HEAP32[$handler9 >> 2] = 206;
   160855     $role_none10 = $state + 8 | 0;
   160856     HEAP32[$role_none10 >> 2] = 39;
   160857     $retval_0 = 46;
   160858     label = 14;
   160859     break;
   160860    case 7:
   160861     $level13 = $state + 4 | 0;
   160862     $2 = HEAP32[$level13 >> 2] | 0;
   160863     $sub14 = $2 - 1 | 0;
   160864     HEAP32[$level13 >> 2] = $sub14;
   160865     $cmp16 = ($sub14 | 0) == 0;
   160866     if ($cmp16) {
   160867       label = 8;
   160868       break;
   160869     } else {
   160870       $retval_0 = 47;
   160871       label = 14;
   160872       break;
   160873     }
   160874    case 8:
   160875     $handler18 = $state | 0;
   160876     HEAP32[$handler18 >> 2] = 206;
   160877     $role_none19 = $state + 8 | 0;
   160878     HEAP32[$role_none19 >> 2] = 39;
   160879     $retval_0 = 47;
   160880     label = 14;
   160881     break;
   160882    case 9:
   160883     $level22 = $state + 4 | 0;
   160884     $3 = HEAP32[$level22 >> 2] | 0;
   160885     $sub23 = $3 - 1 | 0;
   160886     HEAP32[$level22 >> 2] = $sub23;
   160887     $cmp25 = ($sub23 | 0) == 0;
   160888     if ($cmp25) {
   160889       label = 10;
   160890       break;
   160891     } else {
   160892       $retval_0 = 48;
   160893       label = 14;
   160894       break;
   160895     }
   160896    case 10:
   160897     $handler27 = $state | 0;
   160898     HEAP32[$handler27 >> 2] = 206;
   160899     $role_none28 = $state + 8 | 0;
   160900     HEAP32[$role_none28 >> 2] = 39;
   160901     $retval_0 = 48;
   160902     label = 14;
   160903     break;
   160904    case 11:
   160905     $handler31 = $state | 0;
   160906     HEAP32[$handler31 >> 2] = 294;
   160907     $retval_0 = 50;
   160908     label = 14;
   160909     break;
   160910    case 12:
   160911     $handler33 = $state | 0;
   160912     HEAP32[$handler33 >> 2] = 294;
   160913     $retval_0 = 49;
   160914     label = 14;
   160915     break;
   160916    case 13:
   160917     _common($state);
   160918     $retval_0 = -1;
   160919     label = 14;
   160920     break;
   160921    case 14:
   160922     return $retval_0 | 0;
   160923   }
   160924   return 0;
   160925 }
   160926 function _element4($state, $tok, $ptr, $end, $enc) {
   160927   $state = $state | 0;
   160928   $tok = $tok | 0;
   160929   $ptr = $ptr | 0;
   160930   $end = $end | 0;
   160931   $enc = $enc | 0;
   160932   var $handler = 0, $retval_0 = 0, label = 0;
   160933   label = 2;
   160934   while (1) switch (label | 0) {
   160935    case 2:
   160936     if (($tok | 0) == 18 | ($tok | 0) == 41) {
   160937       label = 3;
   160938       break;
   160939     } else if (($tok | 0) == 15) {
   160940       $retval_0 = 39;
   160941       label = 5;
   160942       break;
   160943     } else {
   160944       label = 4;
   160945       break;
   160946     }
   160947    case 3:
   160948     $handler = $state | 0;
   160949     HEAP32[$handler >> 2] = 270;
   160950     $retval_0 = 51;
   160951     label = 5;
   160952     break;
   160953    case 4:
   160954     _common($state);
   160955     $retval_0 = -1;
   160956     label = 5;
   160957     break;
   160958    case 5:
   160959     return $retval_0 | 0;
   160960   }
   160961   return 0;
   160962 }
   160963 function _element5($state, $tok, $ptr, $end, $enc) {
   160964   $state = $state | 0;
   160965   $tok = $tok | 0;
   160966   $ptr = $ptr | 0;
   160967   $end = $end | 0;
   160968   $enc = $enc | 0;
   160969   var $handler = 0, $role_none = 0, $handler3 = 0, $retval_0 = 0, label = 0;
   160970   label = 2;
   160971   while (1) switch (label | 0) {
   160972    case 2:
   160973     if (($tok | 0) == 36) {
   160974       label = 3;
   160975       break;
   160976     } else if (($tok | 0) == 21) {
   160977       label = 4;
   160978       break;
   160979     } else if (($tok | 0) == 15) {
   160980       $retval_0 = 39;
   160981       label = 6;
   160982       break;
   160983     } else {
   160984       label = 5;
   160985       break;
   160986     }
   160987    case 3:
   160988     $handler = $state | 0;
   160989     HEAP32[$handler >> 2] = 206;
   160990     $role_none = $state + 8 | 0;
   160991     HEAP32[$role_none >> 2] = 39;
   160992     $retval_0 = 46;
   160993     label = 6;
   160994     break;
   160995    case 4:
   160996     $handler3 = $state | 0;
   160997     HEAP32[$handler3 >> 2] = 268;
   160998     $retval_0 = 39;
   160999     label = 6;
   161000     break;
   161001    case 5:
   161002     _common($state);
   161003     $retval_0 = -1;
   161004     label = 6;
   161005     break;
   161006    case 6:
   161007     return $retval_0 | 0;
   161008   }
   161009   return 0;
   161010 }
   161011 function _attlist1($state, $tok, $ptr, $end, $enc) {
   161012   $state = $state | 0;
   161013   $tok = $tok | 0;
   161014   $ptr = $ptr | 0;
   161015   $end = $end | 0;
   161016   $enc = $enc | 0;
   161017   var $handler = 0, $handler3 = 0, $retval_0 = 0, label = 0;
   161018   label = 2;
   161019   while (1) switch (label | 0) {
   161020    case 2:
   161021     if (($tok | 0) == 17) {
   161022       label = 3;
   161023       break;
   161024     } else if (($tok | 0) == 18 | ($tok | 0) == 41) {
   161025       label = 4;
   161026       break;
   161027     } else if (($tok | 0) == 15) {
   161028       $retval_0 = 33;
   161029       label = 6;
   161030       break;
   161031     } else {
   161032       label = 5;
   161033       break;
   161034     }
   161035    case 3:
   161036     $handler = $state | 0;
   161037     HEAP32[$handler >> 2] = 148;
   161038     $retval_0 = 33;
   161039     label = 6;
   161040     break;
   161041    case 4:
   161042     $handler3 = $state | 0;
   161043     HEAP32[$handler3 >> 2] = 318;
   161044     $retval_0 = 22;
   161045     label = 6;
   161046     break;
   161047    case 5:
   161048     _common($state);
   161049     $retval_0 = -1;
   161050     label = 6;
   161051     break;
   161052    case 6:
   161053     return $retval_0 | 0;
   161054   }
   161055   return 0;
   161056 }
   161057 function _attlist2($state, $tok, $ptr, $end, $enc) {
   161058   $state = $state | 0;
   161059   $tok = $tok | 0;
   161060   $ptr = $ptr | 0;
   161061   $end = $end | 0;
   161062   $enc = $enc | 0;
   161063   var $nameMatchesAscii = 0, $i_0 = 0, $cmp = 0, $0 = 0, $arrayidx = 0, $1 = 0, $call = 0, $tobool = 0, $inc = 0, $handler = 0, $add = 0, $call3 = 0, $tobool4 = 0, $handler6 = 0, $handler9 = 0, $retval_0 = 0, label = 0;
   161064   label = 2;
   161065   while (1) switch (label | 0) {
   161066    case 2:
   161067     if (($tok | 0) == 18) {
   161068       label = 3;
   161069       break;
   161070     } else if (($tok | 0) == 23) {
   161071       label = 9;
   161072       break;
   161073     } else if (($tok | 0) == 15) {
   161074       $retval_0 = 33;
   161075       label = 11;
   161076       break;
   161077     } else {
   161078       label = 10;
   161079       break;
   161080     }
   161081    case 3:
   161082     $nameMatchesAscii = $enc + 24 | 0;
   161083     $i_0 = 0;
   161084     label = 4;
   161085     break;
   161086    case 4:
   161087     $cmp = ($i_0 | 0) < 8;
   161088     $0 = HEAP32[$nameMatchesAscii >> 2] | 0;
   161089     if ($cmp) {
   161090       label = 5;
   161091       break;
   161092     } else {
   161093       label = 7;
   161094       break;
   161095     }
   161096    case 5:
   161097     $arrayidx = 85864 + ($i_0 << 2) | 0;
   161098     $1 = HEAP32[$arrayidx >> 2] | 0;
   161099     $call = FUNCTION_TABLE_iiiii[$0 & 1023]($enc, $ptr, $end, $1) | 0;
   161100     $tobool = ($call | 0) == 0;
   161101     $inc = $i_0 + 1 | 0;
   161102     if ($tobool) {
   161103       $i_0 = $inc;
   161104       label = 4;
   161105       break;
   161106     } else {
   161107       label = 6;
   161108       break;
   161109     }
   161110    case 6:
   161111     $handler = $state | 0;
   161112     HEAP32[$handler >> 2] = 378;
   161113     $add = $i_0 + 23 | 0;
   161114     $retval_0 = $add;
   161115     label = 11;
   161116     break;
   161117    case 7:
   161118     $call3 = FUNCTION_TABLE_iiiii[$0 & 1023]($enc, $ptr, $end, 166784) | 0;
   161119     $tobool4 = ($call3 | 0) == 0;
   161120     if ($tobool4) {
   161121       label = 10;
   161122       break;
   161123     } else {
   161124       label = 8;
   161125       break;
   161126     }
   161127    case 8:
   161128     $handler6 = $state | 0;
   161129     HEAP32[$handler6 >> 2] = 394;
   161130     $retval_0 = 33;
   161131     label = 11;
   161132     break;
   161133    case 9:
   161134     $handler9 = $state | 0;
   161135     HEAP32[$handler9 >> 2] = 522;
   161136     $retval_0 = 33;
   161137     label = 11;
   161138     break;
   161139    case 10:
   161140     _common($state);
   161141     $retval_0 = -1;
   161142     label = 11;
   161143     break;
   161144    case 11:
   161145     return $retval_0 | 0;
   161146   }
   161147   return 0;
   161148 }
   161149 function _attlist8($state, $tok, $ptr, $end, $enc) {
   161150   $state = $state | 0;
   161151   $tok = $tok | 0;
   161152   $ptr = $ptr | 0;
   161153   $end = $end | 0;
   161154   $enc = $enc | 0;
   161155   var $nameMatchesAscii = 0, $0 = 0, $minBytesPerChar = 0, $1 = 0, $add_ptr = 0, $call = 0, $tobool = 0, $handler = 0, $2 = 0, $3 = 0, $add_ptr4 = 0, $call5 = 0, $tobool6 = 0, $handler8 = 0, $4 = 0, $5 = 0, $add_ptr12 = 0, $call13 = 0, $tobool14 = 0, $handler16 = 0, $handler19 = 0, $retval_0 = 0, label = 0;
   161156   label = 2;
   161157   while (1) switch (label | 0) {
   161158    case 2:
   161159     if (($tok | 0) == 20) {
   161160       label = 3;
   161161       break;
   161162     } else if (($tok | 0) == 27) {
   161163       label = 9;
   161164       break;
   161165     } else if (($tok | 0) == 15) {
   161166       $retval_0 = 33;
   161167       label = 11;
   161168       break;
   161169     } else {
   161170       label = 10;
   161171       break;
   161172     }
   161173    case 3:
   161174     $nameMatchesAscii = $enc + 24 | 0;
   161175     $0 = HEAP32[$nameMatchesAscii >> 2] | 0;
   161176     $minBytesPerChar = $enc + 64 | 0;
   161177     $1 = HEAP32[$minBytesPerChar >> 2] | 0;
   161178     $add_ptr = $ptr + $1 | 0;
   161179     $call = FUNCTION_TABLE_iiiii[$0 & 1023]($enc, $add_ptr, $end, 166848) | 0;
   161180     $tobool = ($call | 0) == 0;
   161181     if ($tobool) {
   161182       label = 5;
   161183       break;
   161184     } else {
   161185       label = 4;
   161186       break;
   161187     }
   161188    case 4:
   161189     $handler = $state | 0;
   161190     HEAP32[$handler >> 2] = 518;
   161191     $retval_0 = 35;
   161192     label = 11;
   161193     break;
   161194    case 5:
   161195     $2 = HEAP32[$nameMatchesAscii >> 2] | 0;
   161196     $3 = HEAP32[$minBytesPerChar >> 2] | 0;
   161197     $add_ptr4 = $ptr + $3 | 0;
   161198     $call5 = FUNCTION_TABLE_iiiii[$2 & 1023]($enc, $add_ptr4, $end, 166752) | 0;
   161199     $tobool6 = ($call5 | 0) == 0;
   161200     if ($tobool6) {
   161201       label = 7;
   161202       break;
   161203     } else {
   161204       label = 6;
   161205       break;
   161206     }
   161207    case 6:
   161208     $handler8 = $state | 0;
   161209     HEAP32[$handler8 >> 2] = 518;
   161210     $retval_0 = 36;
   161211     label = 11;
   161212     break;
   161213    case 7:
   161214     $4 = HEAP32[$nameMatchesAscii >> 2] | 0;
   161215     $5 = HEAP32[$minBytesPerChar >> 2] | 0;
   161216     $add_ptr12 = $ptr + $5 | 0;
   161217     $call13 = FUNCTION_TABLE_iiiii[$4 & 1023]($enc, $add_ptr12, $end, 166880) | 0;
   161218     $tobool14 = ($call13 | 0) == 0;
   161219     if ($tobool14) {
   161220       label = 10;
   161221       break;
   161222     } else {
   161223       label = 8;
   161224       break;
   161225     }
   161226    case 8:
   161227     $handler16 = $state | 0;
   161228     HEAP32[$handler16 >> 2] = 504;
   161229     $retval_0 = 33;
   161230     label = 11;
   161231     break;
   161232    case 9:
   161233     $handler19 = $state | 0;
   161234     HEAP32[$handler19 >> 2] = 518;
   161235     $retval_0 = 37;
   161236     label = 11;
   161237     break;
   161238    case 10:
   161239     _common($state);
   161240     $retval_0 = -1;
   161241     label = 11;
   161242     break;
   161243    case 11:
   161244     return $retval_0 | 0;
   161245   }
   161246   return 0;
   161247 }
   161248 function _attlist5($state, $tok, $ptr, $end, $enc) {
   161249   $state = $state | 0;
   161250   $tok = $tok | 0;
   161251   $ptr = $ptr | 0;
   161252   $end = $end | 0;
   161253   $enc = $enc | 0;
   161254   var $handler = 0, $retval_0 = 0, label = 0;
   161255   label = 2;
   161256   while (1) switch (label | 0) {
   161257    case 2:
   161258     if (($tok | 0) == 23) {
   161259       label = 3;
   161260       break;
   161261     } else if (($tok | 0) == 15) {
   161262       $retval_0 = 33;
   161263       label = 5;
   161264       break;
   161265     } else {
   161266       label = 4;
   161267       break;
   161268     }
   161269    case 3:
   161270     $handler = $state | 0;
   161271     HEAP32[$handler >> 2] = 166;
   161272     $retval_0 = 33;
   161273     label = 5;
   161274     break;
   161275    case 4:
   161276     _common($state);
   161277     $retval_0 = -1;
   161278     label = 5;
   161279     break;
   161280    case 5:
   161281     return $retval_0 | 0;
   161282   }
   161283   return 0;
   161284 }
   161285 function _attlist3($state, $tok, $ptr, $end, $enc) {
   161286   $state = $state | 0;
   161287   $tok = $tok | 0;
   161288   $ptr = $ptr | 0;
   161289   $end = $end | 0;
   161290   $enc = $enc | 0;
   161291   var $handler = 0, $retval_0 = 0, label = 0;
   161292   label = 2;
   161293   while (1) switch (label | 0) {
   161294    case 2:
   161295     if (($tok | 0) == 19 | ($tok | 0) == 18 | ($tok | 0) == 41) {
   161296       label = 3;
   161297       break;
   161298     } else if (($tok | 0) == 15) {
   161299       $retval_0 = 33;
   161300       label = 5;
   161301       break;
   161302     } else {
   161303       label = 4;
   161304       break;
   161305     }
   161306    case 3:
   161307     $handler = $state | 0;
   161308     HEAP32[$handler >> 2] = 512;
   161309     $retval_0 = 31;
   161310     label = 5;
   161311     break;
   161312    case 4:
   161313     _common($state);
   161314     $retval_0 = -1;
   161315     label = 5;
   161316     break;
   161317    case 5:
   161318     return $retval_0 | 0;
   161319   }
   161320   return 0;
   161321 }
   161322 function _attlist4($state, $tok, $ptr, $end, $enc) {
   161323   $state = $state | 0;
   161324   $tok = $tok | 0;
   161325   $ptr = $ptr | 0;
   161326   $end = $end | 0;
   161327   $enc = $enc | 0;
   161328   var $handler = 0, $handler3 = 0, $retval_0 = 0, label = 0;
   161329   label = 2;
   161330   while (1) switch (label | 0) {
   161331    case 2:
   161332     if (($tok | 0) == 24) {
   161333       label = 3;
   161334       break;
   161335     } else if (($tok | 0) == 21) {
   161336       label = 4;
   161337       break;
   161338     } else if (($tok | 0) == 15) {
   161339       $retval_0 = 33;
   161340       label = 6;
   161341       break;
   161342     } else {
   161343       label = 5;
   161344       break;
   161345     }
   161346    case 3:
   161347     $handler = $state | 0;
   161348     HEAP32[$handler >> 2] = 378;
   161349     $retval_0 = 33;
   161350     label = 6;
   161351     break;
   161352    case 4:
   161353     $handler3 = $state | 0;
   161354     HEAP32[$handler3 >> 2] = 522;
   161355     $retval_0 = 33;
   161356     label = 6;
   161357     break;
   161358    case 5:
   161359     _common($state);
   161360     $retval_0 = -1;
   161361     label = 6;
   161362     break;
   161363    case 6:
   161364     return $retval_0 | 0;
   161365   }
   161366   return 0;
   161367 }
   161368 function _attlist6($state, $tok, $ptr, $end, $enc) {
   161369   $state = $state | 0;
   161370   $tok = $tok | 0;
   161371   $ptr = $ptr | 0;
   161372   $end = $end | 0;
   161373   $enc = $enc | 0;
   161374   var $handler = 0, $retval_0 = 0, label = 0;
   161375   label = 2;
   161376   while (1) switch (label | 0) {
   161377    case 2:
   161378     if (($tok | 0) == 18) {
   161379       label = 3;
   161380       break;
   161381     } else if (($tok | 0) == 15) {
   161382       $retval_0 = 33;
   161383       label = 5;
   161384       break;
   161385     } else {
   161386       label = 4;
   161387       break;
   161388     }
   161389    case 3:
   161390     $handler = $state | 0;
   161391     HEAP32[$handler >> 2] = 412;
   161392     $retval_0 = 32;
   161393     label = 5;
   161394     break;
   161395    case 4:
   161396     _common($state);
   161397     $retval_0 = -1;
   161398     label = 5;
   161399     break;
   161400    case 5:
   161401     return $retval_0 | 0;
   161402   }
   161403   return 0;
   161404 }
   161405 function _XmlUtf8Encode($c, $buf) {
   161406   $c = $c | 0;
   161407   $buf = $buf | 0;
   161408   var $cmp = 0, $cmp1 = 0, $conv = 0, $cmp4 = 0, $shr26 = 0, $or = 0, $conv7 = 0, $and = 0, $or9 = 0, $conv10 = 0, $arrayidx11 = 0, $cmp13 = 0, $shr1624 = 0, $or17 = 0, $conv18 = 0, $shr2025 = 0, $and21 = 0, $or22 = 0, $conv23 = 0, $arrayidx24 = 0, $and25 = 0, $or26 = 0, $conv27 = 0, $arrayidx28 = 0, $cmp30 = 0, $shr3321 = 0, $or34 = 0, $conv35 = 0, $shr3722 = 0, $and38 = 0, $or39 = 0, $conv40 = 0, $arrayidx41 = 0, $shr4223 = 0, $and43 = 0, $or44 = 0, $conv45 = 0, $arrayidx46 = 0, $and47 = 0, $or48 = 0, $conv49 = 0, $arrayidx50 = 0, $retval_0 = 0, label = 0;
   161409   label = 2;
   161410   while (1) switch (label | 0) {
   161411    case 2:
   161412     $cmp = ($c | 0) < 0;
   161413     if ($cmp) {
   161414       $retval_0 = 0;
   161415       label = 11;
   161416       break;
   161417     } else {
   161418       label = 3;
   161419       break;
   161420     }
   161421    case 3:
   161422     $cmp1 = ($c | 0) < 128;
   161423     if ($cmp1) {
   161424       label = 4;
   161425       break;
   161426     } else {
   161427       label = 5;
   161428       break;
   161429     }
   161430    case 4:
   161431     $conv = $c & 255;
   161432     HEAP8[$buf] = $conv;
   161433     $retval_0 = 1;
   161434     label = 11;
   161435     break;
   161436    case 5:
   161437     $cmp4 = ($c | 0) < 2048;
   161438     if ($cmp4) {
   161439       label = 6;
   161440       break;
   161441     } else {
   161442       label = 7;
   161443       break;
   161444     }
   161445    case 6:
   161446     $shr26 = $c >>> 6;
   161447     $or = $shr26 | 192;
   161448     $conv7 = $or & 255;
   161449     HEAP8[$buf] = $conv7;
   161450     $and = $c & 63;
   161451     $or9 = $and | 128;
   161452     $conv10 = $or9 & 255;
   161453     $arrayidx11 = $buf + 1 | 0;
   161454     HEAP8[$arrayidx11] = $conv10;
   161455     $retval_0 = 2;
   161456     label = 11;
   161457     break;
   161458    case 7:
   161459     $cmp13 = ($c | 0) < 65536;
   161460     if ($cmp13) {
   161461       label = 8;
   161462       break;
   161463     } else {
   161464       label = 9;
   161465       break;
   161466     }
   161467    case 8:
   161468     $shr1624 = $c >>> 12;
   161469     $or17 = $shr1624 | 224;
   161470     $conv18 = $or17 & 255;
   161471     HEAP8[$buf] = $conv18;
   161472     $shr2025 = $c >>> 6;
   161473     $and21 = $shr2025 & 63;
   161474     $or22 = $and21 | 128;
   161475     $conv23 = $or22 & 255;
   161476     $arrayidx24 = $buf + 1 | 0;
   161477     HEAP8[$arrayidx24] = $conv23;
   161478     $and25 = $c & 63;
   161479     $or26 = $and25 | 128;
   161480     $conv27 = $or26 & 255;
   161481     $arrayidx28 = $buf + 2 | 0;
   161482     HEAP8[$arrayidx28] = $conv27;
   161483     $retval_0 = 3;
   161484     label = 11;
   161485     break;
   161486    case 9:
   161487     $cmp30 = ($c | 0) < 1114112;
   161488     if ($cmp30) {
   161489       label = 10;
   161490       break;
   161491     } else {
   161492       $retval_0 = 0;
   161493       label = 11;
   161494       break;
   161495     }
   161496    case 10:
   161497     $shr3321 = $c >>> 18;
   161498     $or34 = $shr3321 | 240;
   161499     $conv35 = $or34 & 255;
   161500     HEAP8[$buf] = $conv35;
   161501     $shr3722 = $c >>> 12;
   161502     $and38 = $shr3722 & 63;
   161503     $or39 = $and38 | 128;
   161504     $conv40 = $or39 & 255;
   161505     $arrayidx41 = $buf + 1 | 0;
   161506     HEAP8[$arrayidx41] = $conv40;
   161507     $shr4223 = $c >>> 6;
   161508     $and43 = $shr4223 & 63;
   161509     $or44 = $and43 | 128;
   161510     $conv45 = $or44 & 255;
   161511     $arrayidx46 = $buf + 2 | 0;
   161512     HEAP8[$arrayidx46] = $conv45;
   161513     $and47 = $c & 63;
   161514     $or48 = $and47 | 128;
   161515     $conv49 = $or48 & 255;
   161516     $arrayidx50 = $buf + 3 | 0;
   161517     HEAP8[$arrayidx50] = $conv49;
   161518     $retval_0 = 4;
   161519     label = 11;
   161520     break;
   161521    case 11:
   161522     return $retval_0 | 0;
   161523   }
   161524   return 0;
   161525 }
   161526 function _checkCharRefNumber($result) {
   161527   $result = $result | 0;
   161528   var $shr = 0, $arrayidx = 0, $0 = 0, $cmp = 0, $result_off = 0, $1 = 0, $retval_0 = 0, label = 0;
   161529   label = 2;
   161530   while (1) switch (label | 0) {
   161531    case 2:
   161532     $shr = $result >> 8;
   161533     if (($shr | 0) == 0) {
   161534       label = 3;
   161535       break;
   161536     } else if (($shr | 0) == 255) {
   161537       label = 4;
   161538       break;
   161539     } else if (($shr | 0) == 216 | ($shr | 0) == 217 | ($shr | 0) == 218 | ($shr | 0) == 219 | ($shr | 0) == 220 | ($shr | 0) == 221 | ($shr | 0) == 222 | ($shr | 0) == 223) {
   161540       $retval_0 = -1;
   161541       label = 6;
   161542       break;
   161543     } else {
   161544       label = 5;
   161545       break;
   161546     }
   161547    case 3:
   161548     $arrayidx = $result + 11912 | 0;
   161549     $0 = HEAP8[$arrayidx] | 0;
   161550     $cmp = $0 << 24 >> 24 == 0;
   161551     if ($cmp) {
   161552       $retval_0 = -1;
   161553       label = 6;
   161554       break;
   161555     } else {
   161556       label = 5;
   161557       break;
   161558     }
   161559    case 4:
   161560     $result_off = $result - 65534 | 0;
   161561     $1 = $result_off >>> 0 < 2;
   161562     if ($1) {
   161563       $retval_0 = -1;
   161564       label = 6;
   161565       break;
   161566     } else {
   161567       label = 5;
   161568       break;
   161569     }
   161570    case 5:
   161571     $retval_0 = $result;
   161572     label = 6;
   161573     break;
   161574    case 6:
   161575     return $retval_0 | 0;
   161576   }
   161577   return 0;
   161578 }
   161579 function _attlist7($state, $tok, $ptr, $end, $enc) {
   161580   $state = $state | 0;
   161581   $tok = $tok | 0;
   161582   $ptr = $ptr | 0;
   161583   $end = $end | 0;
   161584   $enc = $enc | 0;
   161585   var $handler = 0, $handler3 = 0, $retval_0 = 0, label = 0;
   161586   label = 2;
   161587   while (1) switch (label | 0) {
   161588    case 2:
   161589     if (($tok | 0) == 24) {
   161590       label = 3;
   161591       break;
   161592     } else if (($tok | 0) == 21) {
   161593       label = 4;
   161594       break;
   161595     } else if (($tok | 0) == 15) {
   161596       $retval_0 = 33;
   161597       label = 6;
   161598       break;
   161599     } else {
   161600       label = 5;
   161601       break;
   161602     }
   161603    case 3:
   161604     $handler = $state | 0;
   161605     HEAP32[$handler >> 2] = 378;
   161606     $retval_0 = 33;
   161607     label = 6;
   161608     break;
   161609    case 4:
   161610     $handler3 = $state | 0;
   161611     HEAP32[$handler3 >> 2] = 166;
   161612     $retval_0 = 33;
   161613     label = 6;
   161614     break;
   161615    case 5:
   161616     _common($state);
   161617     $retval_0 = -1;
   161618     label = 6;
   161619     break;
   161620    case 6:
   161621     return $retval_0 | 0;
   161622   }
   161623   return 0;
   161624 }
   161625 function _attlist9($state, $tok, $ptr, $end, $enc) {
   161626   $state = $state | 0;
   161627   $tok = $tok | 0;
   161628   $ptr = $ptr | 0;
   161629   $end = $end | 0;
   161630   $enc = $enc | 0;
   161631   var $handler = 0, $retval_0 = 0, label = 0;
   161632   label = 2;
   161633   while (1) switch (label | 0) {
   161634    case 2:
   161635     if (($tok | 0) == 27) {
   161636       label = 3;
   161637       break;
   161638     } else if (($tok | 0) == 15) {
   161639       $retval_0 = 33;
   161640       label = 5;
   161641       break;
   161642     } else {
   161643       label = 4;
   161644       break;
   161645     }
   161646    case 3:
   161647     $handler = $state | 0;
   161648     HEAP32[$handler >> 2] = 518;
   161649     $retval_0 = 38;
   161650     label = 5;
   161651     break;
   161652    case 4:
   161653     _common($state);
   161654     $retval_0 = -1;
   161655     label = 5;
   161656     break;
   161657    case 5:
   161658     return $retval_0 | 0;
   161659   }
   161660   return 0;
   161661 }
   161662 function _entity1($state, $tok, $ptr, $end, $enc) {
   161663   $state = $state | 0;
   161664   $tok = $tok | 0;
   161665   $ptr = $ptr | 0;
   161666   $end = $end | 0;
   161667   $enc = $enc | 0;
   161668   var $handler = 0, $retval_0 = 0, label = 0;
   161669   label = 2;
   161670   while (1) switch (label | 0) {
   161671    case 2:
   161672     if (($tok | 0) == 18) {
   161673       label = 3;
   161674       break;
   161675     } else if (($tok | 0) == 15) {
   161676       $retval_0 = 11;
   161677       label = 5;
   161678       break;
   161679     } else {
   161680       label = 4;
   161681       break;
   161682     }
   161683    case 3:
   161684     $handler = $state | 0;
   161685     HEAP32[$handler >> 2] = 624;
   161686     $retval_0 = 10;
   161687     label = 5;
   161688     break;
   161689    case 4:
   161690     _common($state);
   161691     $retval_0 = -1;
   161692     label = 5;
   161693     break;
   161694    case 5:
   161695     return $retval_0 | 0;
   161696   }
   161697   return 0;
   161698 }
   161699 function _entity2($state, $tok, $ptr, $end, $enc) {
   161700   $state = $state | 0;
   161701   $tok = $tok | 0;
   161702   $ptr = $ptr | 0;
   161703   $end = $end | 0;
   161704   $enc = $enc | 0;
   161705   var $nameMatchesAscii = 0, $0 = 0, $call = 0, $tobool = 0, $handler = 0, $1 = 0, $call3 = 0, $tobool4 = 0, $handler6 = 0, $handler9 = 0, $role_none = 0, $retval_0 = 0, label = 0;
   161706   label = 2;
   161707   while (1) switch (label | 0) {
   161708    case 2:
   161709     if (($tok | 0) == 18) {
   161710       label = 3;
   161711       break;
   161712     } else if (($tok | 0) == 27) {
   161713       label = 7;
   161714       break;
   161715     } else if (($tok | 0) == 15) {
   161716       $retval_0 = 11;
   161717       label = 9;
   161718       break;
   161719     } else {
   161720       label = 8;
   161721       break;
   161722     }
   161723    case 3:
   161724     $nameMatchesAscii = $enc + 24 | 0;
   161725     $0 = HEAP32[$nameMatchesAscii >> 2] | 0;
   161726     $call = FUNCTION_TABLE_iiiii[$0 & 1023]($enc, $ptr, $end, 166744) | 0;
   161727     $tobool = ($call | 0) == 0;
   161728     if ($tobool) {
   161729       label = 5;
   161730       break;
   161731     } else {
   161732       label = 4;
   161733       break;
   161734     }
   161735    case 4:
   161736     $handler = $state | 0;
   161737     HEAP32[$handler >> 2] = 334;
   161738     $retval_0 = 11;
   161739     label = 9;
   161740     break;
   161741    case 5:
   161742     $1 = HEAP32[$nameMatchesAscii >> 2] | 0;
   161743     $call3 = FUNCTION_TABLE_iiiii[$1 & 1023]($enc, $ptr, $end, 166768) | 0;
   161744     $tobool4 = ($call3 | 0) == 0;
   161745     if ($tobool4) {
   161746       label = 8;
   161747       break;
   161748     } else {
   161749       label = 6;
   161750       break;
   161751     }
   161752    case 6:
   161753     $handler6 = $state | 0;
   161754     HEAP32[$handler6 >> 2] = 324;
   161755     $retval_0 = 11;
   161756     label = 9;
   161757     break;
   161758    case 7:
   161759     $handler9 = $state | 0;
   161760     HEAP32[$handler9 >> 2] = 206;
   161761     $role_none = $state + 8 | 0;
   161762     HEAP32[$role_none >> 2] = 11;
   161763     $retval_0 = 12;
   161764     label = 9;
   161765     break;
   161766    case 8:
   161767     _common($state);
   161768     $retval_0 = -1;
   161769     label = 9;
   161770     break;
   161771    case 9:
   161772     return $retval_0 | 0;
   161773   }
   161774   return 0;
   161775 }
   161776 function _entity4($state, $tok, $ptr, $end, $enc) {
   161777   $state = $state | 0;
   161778   $tok = $tok | 0;
   161779   $ptr = $ptr | 0;
   161780   $end = $end | 0;
   161781   $enc = $enc | 0;
   161782   var $handler = 0, $retval_0 = 0, label = 0;
   161783   label = 2;
   161784   while (1) switch (label | 0) {
   161785    case 2:
   161786     if (($tok | 0) == 27) {
   161787       label = 3;
   161788       break;
   161789     } else if (($tok | 0) == 15) {
   161790       $retval_0 = 11;
   161791       label = 5;
   161792       break;
   161793     } else {
   161794       label = 4;
   161795       break;
   161796     }
   161797    case 3:
   161798     $handler = $state | 0;
   161799     HEAP32[$handler >> 2] = 530;
   161800     $retval_0 = 13;
   161801     label = 5;
   161802     break;
   161803    case 4:
   161804     _common($state);
   161805     $retval_0 = -1;
   161806     label = 5;
   161807     break;
   161808    case 5:
   161809     return $retval_0 | 0;
   161810   }
   161811   return 0;
   161812 }
   161813 function _entity3($state, $tok, $ptr, $end, $enc) {
   161814   $state = $state | 0;
   161815   $tok = $tok | 0;
   161816   $ptr = $ptr | 0;
   161817   $end = $end | 0;
   161818   $enc = $enc | 0;
   161819   var $handler = 0, $retval_0 = 0, label = 0;
   161820   label = 2;
   161821   while (1) switch (label | 0) {
   161822    case 2:
   161823     if (($tok | 0) == 27) {
   161824       label = 3;
   161825       break;
   161826     } else if (($tok | 0) == 15) {
   161827       $retval_0 = 11;
   161828       label = 5;
   161829       break;
   161830     } else {
   161831       label = 4;
   161832       break;
   161833     }
   161834    case 3:
   161835     $handler = $state | 0;
   161836     HEAP32[$handler >> 2] = 334;
   161837     $retval_0 = 14;
   161838     label = 5;
   161839     break;
   161840    case 4:
   161841     _common($state);
   161842     $retval_0 = -1;
   161843     label = 5;
   161844     break;
   161845    case 5:
   161846     return $retval_0 | 0;
   161847   }
   161848   return 0;
   161849 }
   161850 function _entity5($state, $tok, $ptr, $end, $enc) {
   161851   $state = $state | 0;
   161852   $tok = $tok | 0;
   161853   $ptr = $ptr | 0;
   161854   $end = $end | 0;
   161855   $enc = $enc | 0;
   161856   var $handler = 0, $nameMatchesAscii = 0, $0 = 0, $call = 0, $tobool = 0, $handler3 = 0, $retval_0 = 0, label = 0;
   161857   label = 2;
   161858   while (1) switch (label | 0) {
   161859    case 2:
   161860     if (($tok | 0) == 17) {
   161861       label = 3;
   161862       break;
   161863     } else if (($tok | 0) == 18) {
   161864       label = 4;
   161865       break;
   161866     } else if (($tok | 0) == 15) {
   161867       $retval_0 = 11;
   161868       label = 7;
   161869       break;
   161870     } else {
   161871       label = 6;
   161872       break;
   161873     }
   161874    case 3:
   161875     $handler = $state | 0;
   161876     HEAP32[$handler >> 2] = 148;
   161877     $retval_0 = 15;
   161878     label = 7;
   161879     break;
   161880    case 4:
   161881     $nameMatchesAscii = $enc + 24 | 0;
   161882     $0 = HEAP32[$nameMatchesAscii >> 2] | 0;
   161883     $call = FUNCTION_TABLE_iiiii[$0 & 1023]($enc, $ptr, $end, 166824) | 0;
   161884     $tobool = ($call | 0) == 0;
   161885     if ($tobool) {
   161886       label = 6;
   161887       break;
   161888     } else {
   161889       label = 5;
   161890       break;
   161891     }
   161892    case 5:
   161893     $handler3 = $state | 0;
   161894     HEAP32[$handler3 >> 2] = 330;
   161895     $retval_0 = 11;
   161896     label = 7;
   161897     break;
   161898    case 6:
   161899     _common($state);
   161900     $retval_0 = -1;
   161901     label = 7;
   161902     break;
   161903    case 7:
   161904     return $retval_0 | 0;
   161905   }
   161906   return 0;
   161907 }
   161908 function _entity6($state, $tok, $ptr, $end, $enc) {
   161909   $state = $state | 0;
   161910   $tok = $tok | 0;
   161911   $ptr = $ptr | 0;
   161912   $end = $end | 0;
   161913   $enc = $enc | 0;
   161914   var $handler = 0, $role_none = 0, $retval_0 = 0, label = 0;
   161915   label = 2;
   161916   while (1) switch (label | 0) {
   161917    case 2:
   161918     if (($tok | 0) == 18) {
   161919       label = 3;
   161920       break;
   161921     } else if (($tok | 0) == 15) {
   161922       $retval_0 = 11;
   161923       label = 5;
   161924       break;
   161925     } else {
   161926       label = 4;
   161927       break;
   161928     }
   161929    case 3:
   161930     $handler = $state | 0;
   161931     HEAP32[$handler >> 2] = 206;
   161932     $role_none = $state + 8 | 0;
   161933     HEAP32[$role_none >> 2] = 11;
   161934     $retval_0 = 16;
   161935     label = 5;
   161936     break;
   161937    case 4:
   161938     _common($state);
   161939     $retval_0 = -1;
   161940     label = 5;
   161941     break;
   161942    case 5:
   161943     return $retval_0 | 0;
   161944   }
   161945   return 0;
   161946 }
   161947 function _entity7($state, $tok, $ptr, $end, $enc) {
   161948   $state = $state | 0;
   161949   $tok = $tok | 0;
   161950   $ptr = $ptr | 0;
   161951   $end = $end | 0;
   161952   $enc = $enc | 0;
   161953   var $nameMatchesAscii = 0, $0 = 0, $call = 0, $tobool = 0, $handler = 0, $1 = 0, $call3 = 0, $tobool4 = 0, $handler6 = 0, $handler9 = 0, $role_none = 0, $retval_0 = 0, label = 0;
   161954   label = 2;
   161955   while (1) switch (label | 0) {
   161956    case 2:
   161957     if (($tok | 0) == 18) {
   161958       label = 3;
   161959       break;
   161960     } else if (($tok | 0) == 27) {
   161961       label = 7;
   161962       break;
   161963     } else if (($tok | 0) == 15) {
   161964       $retval_0 = 11;
   161965       label = 9;
   161966       break;
   161967     } else {
   161968       label = 8;
   161969       break;
   161970     }
   161971    case 3:
   161972     $nameMatchesAscii = $enc + 24 | 0;
   161973     $0 = HEAP32[$nameMatchesAscii >> 2] | 0;
   161974     $call = FUNCTION_TABLE_iiiii[$0 & 1023]($enc, $ptr, $end, 166744) | 0;
   161975     $tobool = ($call | 0) == 0;
   161976     if ($tobool) {
   161977       label = 5;
   161978       break;
   161979     } else {
   161980       label = 4;
   161981       break;
   161982     }
   161983    case 4:
   161984     $handler = $state | 0;
   161985     HEAP32[$handler >> 2] = 316;
   161986     $retval_0 = 11;
   161987     label = 9;
   161988     break;
   161989    case 5:
   161990     $1 = HEAP32[$nameMatchesAscii >> 2] | 0;
   161991     $call3 = FUNCTION_TABLE_iiiii[$1 & 1023]($enc, $ptr, $end, 166768) | 0;
   161992     $tobool4 = ($call3 | 0) == 0;
   161993     if ($tobool4) {
   161994       label = 8;
   161995       break;
   161996     } else {
   161997       label = 6;
   161998       break;
   161999     }
   162000    case 6:
   162001     $handler6 = $state | 0;
   162002     HEAP32[$handler6 >> 2] = 314;
   162003     $retval_0 = 11;
   162004     label = 9;
   162005     break;
   162006    case 7:
   162007     $handler9 = $state | 0;
   162008     HEAP32[$handler9 >> 2] = 206;
   162009     $role_none = $state + 8 | 0;
   162010     HEAP32[$role_none >> 2] = 11;
   162011     $retval_0 = 12;
   162012     label = 9;
   162013     break;
   162014    case 8:
   162015     _common($state);
   162016     $retval_0 = -1;
   162017     label = 9;
   162018     break;
   162019    case 9:
   162020     return $retval_0 | 0;
   162021   }
   162022   return 0;
   162023 }
   162024 function _entity9($state, $tok, $ptr, $end, $enc) {
   162025   $state = $state | 0;
   162026   $tok = $tok | 0;
   162027   $ptr = $ptr | 0;
   162028   $end = $end | 0;
   162029   $enc = $enc | 0;
   162030   var $handler = 0, $retval_0 = 0, label = 0;
   162031   label = 2;
   162032   while (1) switch (label | 0) {
   162033    case 2:
   162034     if (($tok | 0) == 27) {
   162035       label = 3;
   162036       break;
   162037     } else if (($tok | 0) == 15) {
   162038       $retval_0 = 11;
   162039       label = 5;
   162040       break;
   162041     } else {
   162042       label = 4;
   162043       break;
   162044     }
   162045    case 3:
   162046     $handler = $state | 0;
   162047     HEAP32[$handler >> 2] = 552;
   162048     $retval_0 = 13;
   162049     label = 5;
   162050     break;
   162051    case 4:
   162052     _common($state);
   162053     $retval_0 = -1;
   162054     label = 5;
   162055     break;
   162056    case 5:
   162057     return $retval_0 | 0;
   162058   }
   162059   return 0;
   162060 }
   162061 function _entity8($state, $tok, $ptr, $end, $enc) {
   162062   $state = $state | 0;
   162063   $tok = $tok | 0;
   162064   $ptr = $ptr | 0;
   162065   $end = $end | 0;
   162066   $enc = $enc | 0;
   162067   var $handler = 0, $retval_0 = 0, label = 0;
   162068   label = 2;
   162069   while (1) switch (label | 0) {
   162070    case 2:
   162071     if (($tok | 0) == 27) {
   162072       label = 3;
   162073       break;
   162074     } else if (($tok | 0) == 15) {
   162075       $retval_0 = 11;
   162076       label = 5;
   162077       break;
   162078     } else {
   162079       label = 4;
   162080       break;
   162081     }
   162082    case 3:
   162083     $handler = $state | 0;
   162084     HEAP32[$handler >> 2] = 316;
   162085     $retval_0 = 14;
   162086     label = 5;
   162087     break;
   162088    case 4:
   162089     _common($state);
   162090     $retval_0 = -1;
   162091     label = 5;
   162092     break;
   162093    case 5:
   162094     return $retval_0 | 0;
   162095   }
   162096   return 0;
   162097 }
   162098 function _entity10($state, $tok, $ptr, $end, $enc) {
   162099   $state = $state | 0;
   162100   $tok = $tok | 0;
   162101   $ptr = $ptr | 0;
   162102   $end = $end | 0;
   162103   $enc = $enc | 0;
   162104   var $handler = 0, $retval_0 = 0, label = 0;
   162105   label = 2;
   162106   while (1) switch (label | 0) {
   162107    case 2:
   162108     if (($tok | 0) == 17) {
   162109       label = 3;
   162110       break;
   162111     } else if (($tok | 0) == 15) {
   162112       $retval_0 = 11;
   162113       label = 5;
   162114       break;
   162115     } else {
   162116       label = 4;
   162117       break;
   162118     }
   162119    case 3:
   162120     $handler = $state | 0;
   162121     HEAP32[$handler >> 2] = 148;
   162122     $retval_0 = 15;
   162123     label = 5;
   162124     break;
   162125    case 4:
   162126     _common($state);
   162127     $retval_0 = -1;
   162128     label = 5;
   162129     break;
   162130    case 5:
   162131     return $retval_0 | 0;
   162132   }
   162133   return 0;
   162134 }
   162135 function _XmlInitUnknownEncoding($mem, $table, $convert, $userData) {
   162136   $mem = $mem | 0;
   162137   $table = $table | 0;
   162138   $convert = $convert | 0;
   162139   $userData = $userData | 0;
   162140   var $i_094 = 0, $arrayidx = 0, $0 = 0, $arrayidx1 = 0, $inc = 0, $cmp = 0, $utf16 = 0, $1 = 0, $utf8 = 0, $2 = 0, $utf845 = 0, $3 = 0, $utf1648 = 0, $4 = 0, $utf872 = 0, $5 = 0, $utf1682 = 0, $6 = 0, $utf1691 = 0, $7 = 0, $utf893 = 0, $8 = 0, $utf8141 = 0, $9 = 0, $utf16149 = 0, $10 = 0, $i_193 = 0, $arrayidx5 = 0, $11 = 0, $arrayidx13 = 0, $12 = 0, $cmp14 = 0, $inc17 = 0, $cmp3 = 0, $i_290 = 0, $arrayidx23 = 0, $13 = 0, $cmp24 = 0, $type_sum = 0, $arrayidx27 = 0, $arrayidx28 = 0, $arrayidx30 = 0, $arrayidx33 = 0, $cmp34 = 0, $cmp37 = 0, $sub = 0, $conv41 = 0, $type43_sum = 0, $arrayidx44 = 0, $arrayidx47 = 0, $arrayidx49 = 0, $cmp51 = 0, $arrayidx54 = 0, $14 = 0, $cmp64 = 0, $15 = 0, $type70_sum = 0, $arrayidx71 = 0, $arrayidx74 = 0, $conv75 = 0, $arrayidx78 = 0, $cmp79 = 0, $16 = 0, $conv81 = 0, $arrayidx83 = 0, $call = 0, $cmp85 = 0, $type89_sum = 0, $arrayidx90 = 0, $arrayidx92 = 0, $arrayidx95 = 0, $arrayidx98 = 0, $cmp100 = 0, $shr = 0, $arrayidx104 = 0, $17 = 0, $conv105 = 0, $shl = 0, $and = 0, $shr10689 = 0, $add107 = 0, $arrayidx108 = 0, $18 = 0, $and110 = 0, $shl111 = 0, $and112 = 0, $tobool = 0, $type115_sum = 0, $arrayidx116 = 0, $arrayidx119 = 0, $19 = 0, $conv120 = 0, $shl121 = 0, $add124 = 0, $arrayidx125 = 0, $20 = 0, $and129 = 0, $tobool130 = 0, $type133_sum = 0, $arrayidx134 = 0, $arraydecay = 0, $add_ptr = 0, $call143 = 0, $conv144 = 0, $conv148 = 0, $arrayidx150 = 0, $inc156 = 0, $cmp20 = 0, $userData158 = 0, $21 = 0, $convert159 = 0, $22 = 0, $tobool160 = 0, $isName2 = 0, $23 = 0, $isName3 = 0, $24 = 0, $isName4 = 0, $25 = 0, $isNmstrt2 = 0, $26 = 0, $isNmstrt3 = 0, $27 = 0, $isNmstrt4 = 0, $28 = 0, $isInvalid2 = 0, $29 = 0, $isInvalid3 = 0, $30 = 0, $isInvalid4 = 0, $31 = 0, $enc = 0, $utf8Convert = 0, $32 = 0, $utf16Convert = 0, $33 = 0, $retval_0 = 0, label = 0;
   162141   label = 2;
   162142   while (1) switch (label | 0) {
   162143    case 2:
   162144     $i_094 = 0;
   162145     label = 3;
   162146     break;
   162147    case 3:
   162148     $arrayidx = $i_094 + 11840 | 0;
   162149     $0 = HEAP8[$arrayidx] | 0;
   162150     $arrayidx1 = $mem + $i_094 | 0;
   162151     HEAP8[$arrayidx1] = $0;
   162152     $inc = $i_094 + 1 | 0;
   162153     $cmp = ($inc | 0) < 364;
   162154     if ($cmp) {
   162155       $i_094 = $inc;
   162156       label = 3;
   162157       break;
   162158     } else {
   162159       $i_193 = 0;
   162160       label = 5;
   162161       break;
   162162     }
   162163    case 4:
   162164     $utf16 = $mem + 372 | 0;
   162165     $1 = $utf16;
   162166     $utf8 = $mem + 884 | 0;
   162167     $2 = $utf8;
   162168     $utf845 = $mem + 884 | 0;
   162169     $3 = $utf845;
   162170     $utf1648 = $mem + 372 | 0;
   162171     $4 = $utf1648;
   162172     $utf872 = $mem + 884 | 0;
   162173     $5 = $utf872;
   162174     $utf1682 = $mem + 372 | 0;
   162175     $6 = $utf1682;
   162176     $utf1691 = $mem + 372 | 0;
   162177     $7 = $utf1691;
   162178     $utf893 = $mem + 884 | 0;
   162179     $8 = $utf893;
   162180     $utf8141 = $mem + 884 | 0;
   162181     $9 = $utf8141;
   162182     $utf16149 = $mem + 372 | 0;
   162183     $10 = $utf16149;
   162184     $i_290 = 0;
   162185     label = 8;
   162186     break;
   162187    case 5:
   162188     $arrayidx5 = $i_193 + 11912 | 0;
   162189     $11 = HEAP8[$arrayidx5] | 0;
   162190     if (($11 << 24 >> 24 | 0) == 28 | ($11 << 24 >> 24 | 0) == 0) {
   162191       label = 7;
   162192       break;
   162193     } else {
   162194       label = 6;
   162195       break;
   162196     }
   162197    case 6:
   162198     $arrayidx13 = $table + ($i_193 << 2) | 0;
   162199     $12 = HEAP32[$arrayidx13 >> 2] | 0;
   162200     $cmp14 = ($12 | 0) == ($i_193 | 0);
   162201     if ($cmp14) {
   162202       label = 7;
   162203       break;
   162204     } else {
   162205       $retval_0 = 0;
   162206       label = 30;
   162207       break;
   162208     }
   162209    case 7:
   162210     $inc17 = $i_193 + 1 | 0;
   162211     $cmp3 = ($inc17 | 0) < 128;
   162212     if ($cmp3) {
   162213       $i_193 = $inc17;
   162214       label = 5;
   162215       break;
   162216     } else {
   162217       label = 4;
   162218       break;
   162219     }
   162220    case 8:
   162221     $arrayidx23 = $table + ($i_290 << 2) | 0;
   162222     $13 = HEAP32[$arrayidx23 >> 2] | 0;
   162223     $cmp24 = ($13 | 0) == -1;
   162224     if ($cmp24) {
   162225       label = 9;
   162226       break;
   162227     } else {
   162228       label = 10;
   162229       break;
   162230     }
   162231    case 9:
   162232     $type_sum = $i_290 + 72 | 0;
   162233     $arrayidx27 = $mem + $type_sum | 0;
   162234     HEAP8[$arrayidx27] = 1;
   162235     $arrayidx28 = $1 + ($i_290 << 1) | 0;
   162236     HEAP16[$arrayidx28 >> 1] = -1;
   162237     $arrayidx30 = $2 + ($i_290 << 2) | 0;
   162238     HEAP8[$arrayidx30] = 1;
   162239     $arrayidx33 = $2 + ($i_290 << 2) + 1 | 0;
   162240     HEAP8[$arrayidx33] = 0;
   162241     label = 26;
   162242     break;
   162243    case 10:
   162244     $cmp34 = ($13 | 0) < 0;
   162245     if ($cmp34) {
   162246       label = 11;
   162247       break;
   162248     } else {
   162249       label = 13;
   162250       break;
   162251     }
   162252    case 11:
   162253     $cmp37 = ($13 | 0) < -4;
   162254     if ($cmp37) {
   162255       $retval_0 = 0;
   162256       label = 30;
   162257       break;
   162258     } else {
   162259       label = 12;
   162260       break;
   162261     }
   162262    case 12:
   162263     $sub = 3 - $13 | 0;
   162264     $conv41 = $sub & 255;
   162265     $type43_sum = $i_290 + 72 | 0;
   162266     $arrayidx44 = $mem + $type43_sum | 0;
   162267     HEAP8[$arrayidx44] = $conv41;
   162268     $arrayidx47 = $3 + ($i_290 << 2) | 0;
   162269     HEAP8[$arrayidx47] = 0;
   162270     $arrayidx49 = $4 + ($i_290 << 1) | 0;
   162271     HEAP16[$arrayidx49 >> 1] = 0;
   162272     label = 26;
   162273     break;
   162274    case 13:
   162275     $cmp51 = ($13 | 0) < 128;
   162276     if ($cmp51) {
   162277       label = 14;
   162278       break;
   162279     } else {
   162280       label = 17;
   162281       break;
   162282     }
   162283    case 14:
   162284     $arrayidx54 = $13 + 11912 | 0;
   162285     $14 = HEAP8[$arrayidx54] | 0;
   162286     if (($14 << 24 >> 24 | 0) == 28 | ($14 << 24 >> 24 | 0) == 0) {
   162287       label = 16;
   162288       break;
   162289     } else {
   162290       label = 15;
   162291       break;
   162292     }
   162293    case 15:
   162294     $cmp64 = ($13 | 0) == ($i_290 | 0);
   162295     if ($cmp64) {
   162296       label = 16;
   162297       break;
   162298     } else {
   162299       $retval_0 = 0;
   162300       label = 30;
   162301       break;
   162302     }
   162303    case 16:
   162304     $15 = HEAP8[$arrayidx54] | 0;
   162305     $type70_sum = $i_290 + 72 | 0;
   162306     $arrayidx71 = $mem + $type70_sum | 0;
   162307     HEAP8[$arrayidx71] = $15;
   162308     $arrayidx74 = $5 + ($i_290 << 2) | 0;
   162309     HEAP8[$arrayidx74] = 1;
   162310     $conv75 = $13 & 255;
   162311     $arrayidx78 = $5 + ($i_290 << 2) + 1 | 0;
   162312     HEAP8[$arrayidx78] = $conv75;
   162313     $cmp79 = ($13 | 0) == 0;
   162314     $16 = $13 & 65535;
   162315     $conv81 = $cmp79 ? -1 : $16;
   162316     $arrayidx83 = $6 + ($i_290 << 1) | 0;
   162317     HEAP16[$arrayidx83 >> 1] = $conv81;
   162318     label = 26;
   162319     break;
   162320    case 17:
   162321     $call = _checkCharRefNumber($13) | 0;
   162322     $cmp85 = ($call | 0) < 0;
   162323     if ($cmp85) {
   162324       label = 18;
   162325       break;
   162326     } else {
   162327       label = 19;
   162328       break;
   162329     }
   162330    case 18:
   162331     $type89_sum = $i_290 + 72 | 0;
   162332     $arrayidx90 = $mem + $type89_sum | 0;
   162333     HEAP8[$arrayidx90] = 0;
   162334     $arrayidx92 = $7 + ($i_290 << 1) | 0;
   162335     HEAP16[$arrayidx92 >> 1] = -1;
   162336     $arrayidx95 = $8 + ($i_290 << 2) | 0;
   162337     HEAP8[$arrayidx95] = 1;
   162338     $arrayidx98 = $8 + ($i_290 << 2) + 1 | 0;
   162339     HEAP8[$arrayidx98] = 0;
   162340     label = 26;
   162341     break;
   162342    case 19:
   162343     $cmp100 = ($13 | 0) > 65535;
   162344     if ($cmp100) {
   162345       $retval_0 = 0;
   162346       label = 30;
   162347       break;
   162348     } else {
   162349       label = 20;
   162350       break;
   162351     }
   162352    case 20:
   162353     $shr = $13 >> 8;
   162354     $arrayidx104 = $shr + 9272 | 0;
   162355     $17 = HEAP8[$arrayidx104] | 0;
   162356     $conv105 = $17 & 255;
   162357     $shl = $conv105 << 3;
   162358     $and = $13 >>> 5;
   162359     $shr10689 = $and & 7;
   162360     $add107 = $shl | $shr10689;
   162361     $arrayidx108 = 9544 + ($add107 << 2) | 0;
   162362     $18 = HEAP32[$arrayidx108 >> 2] | 0;
   162363     $and110 = $13 & 31;
   162364     $shl111 = 1 << $and110;
   162365     $and112 = $18 & $shl111;
   162366     $tobool = ($and112 | 0) == 0;
   162367     if ($tobool) {
   162368       label = 22;
   162369       break;
   162370     } else {
   162371       label = 21;
   162372       break;
   162373     }
   162374    case 21:
   162375     $type115_sum = $i_290 + 72 | 0;
   162376     $arrayidx116 = $mem + $type115_sum | 0;
   162377     HEAP8[$arrayidx116] = 22;
   162378     label = 25;
   162379     break;
   162380    case 22:
   162381     $arrayidx119 = $shr + 10824 | 0;
   162382     $19 = HEAP8[$arrayidx119] | 0;
   162383     $conv120 = $19 & 255;
   162384     $shl121 = $conv120 << 3;
   162385     $add124 = $shl121 | $shr10689;
   162386     $arrayidx125 = 9544 + ($add124 << 2) | 0;
   162387     $20 = HEAP32[$arrayidx125 >> 2] | 0;
   162388     $and129 = $20 & $shl111;
   162389     $tobool130 = ($and129 | 0) == 0;
   162390     $type133_sum = $i_290 + 72 | 0;
   162391     $arrayidx134 = $mem + $type133_sum | 0;
   162392     if ($tobool130) {
   162393       label = 24;
   162394       break;
   162395     } else {
   162396       label = 23;
   162397       break;
   162398     }
   162399    case 23:
   162400     HEAP8[$arrayidx134] = 26;
   162401     label = 25;
   162402     break;
   162403    case 24:
   162404     HEAP8[$arrayidx134] = 28;
   162405     label = 25;
   162406     break;
   162407    case 25:
   162408     $arraydecay = $9 + ($i_290 << 2) | 0;
   162409     $add_ptr = $9 + ($i_290 << 2) + 1 | 0;
   162410     $call143 = _XmlUtf8Encode($13, $add_ptr) | 0;
   162411     $conv144 = $call143 & 255;
   162412     HEAP8[$arraydecay] = $conv144;
   162413     $conv148 = $13 & 65535;
   162414     $arrayidx150 = $10 + ($i_290 << 1) | 0;
   162415     HEAP16[$arrayidx150 >> 1] = $conv148;
   162416     label = 26;
   162417     break;
   162418    case 26:
   162419     $inc156 = $i_290 + 1 | 0;
   162420     $cmp20 = ($inc156 | 0) < 256;
   162421     if ($cmp20) {
   162422       $i_290 = $inc156;
   162423       label = 8;
   162424       break;
   162425     } else {
   162426       label = 27;
   162427       break;
   162428     }
   162429    case 27:
   162430     $userData158 = $mem + 368 | 0;
   162431     $21 = $userData158;
   162432     HEAP32[$21 >> 2] = $userData;
   162433     $convert159 = $mem + 364 | 0;
   162434     $22 = $convert159;
   162435     HEAP32[$22 >> 2] = $convert;
   162436     $tobool160 = ($convert | 0) == 0;
   162437     if ($tobool160) {
   162438       label = 29;
   162439       break;
   162440     } else {
   162441       label = 28;
   162442       break;
   162443     }
   162444    case 28:
   162445     $isName2 = $mem + 328 | 0;
   162446     $23 = $isName2;
   162447     HEAP32[$23 >> 2] = 248;
   162448     $isName3 = $mem + 332 | 0;
   162449     $24 = $isName3;
   162450     HEAP32[$24 >> 2] = 248;
   162451     $isName4 = $mem + 336 | 0;
   162452     $25 = $isName4;
   162453     HEAP32[$25 >> 2] = 248;
   162454     $isNmstrt2 = $mem + 340 | 0;
   162455     $26 = $isNmstrt2;
   162456     HEAP32[$26 >> 2] = 162;
   162457     $isNmstrt3 = $mem + 344 | 0;
   162458     $27 = $isNmstrt3;
   162459     HEAP32[$27 >> 2] = 162;
   162460     $isNmstrt4 = $mem + 348 | 0;
   162461     $28 = $isNmstrt4;
   162462     HEAP32[$28 >> 2] = 162;
   162463     $isInvalid2 = $mem + 352 | 0;
   162464     $29 = $isInvalid2;
   162465     HEAP32[$29 >> 2] = 376;
   162466     $isInvalid3 = $mem + 356 | 0;
   162467     $30 = $isInvalid3;
   162468     HEAP32[$30 >> 2] = 376;
   162469     $isInvalid4 = $mem + 360 | 0;
   162470     $31 = $isInvalid4;
   162471     HEAP32[$31 >> 2] = 376;
   162472     label = 29;
   162473     break;
   162474    case 29:
   162475     $enc = $mem;
   162476     $utf8Convert = $mem + 56 | 0;
   162477     $32 = $utf8Convert;
   162478     HEAP32[$32 >> 2] = 348;
   162479     $utf16Convert = $mem + 60 | 0;
   162480     $33 = $utf16Convert;
   162481     HEAP32[$33 >> 2] = 524;
   162482     $retval_0 = $enc;
   162483     label = 30;
   162484     break;
   162485    case 30:
   162486     return $retval_0 | 0;
   162487   }
   162488   return 0;
   162489 }
   162490 function _unknown_isName($enc, $p) {
   162491   $enc = $enc | 0;
   162492   $p = $p | 0;
   162493   var $convert = 0, $0 = 0, $1 = 0, $userData = 0, $2 = 0, $3 = 0, $call = 0, $tobool = 0, $shr = 0, $arrayidx = 0, $4 = 0, $conv = 0, $shl = 0, $and1 = 0, $shr25 = 0, $add = 0, $arrayidx3 = 0, $5 = 0, $and5 = 0, $shl6 = 0, $and7 = 0, $retval_0 = 0, label = 0;
   162494   label = 2;
   162495   while (1) switch (label | 0) {
   162496    case 2:
   162497     $convert = $enc + 364 | 0;
   162498     $0 = HEAP32[$convert >> 2] | 0;
   162499     $1 = $0;
   162500     $userData = $enc + 368 | 0;
   162501     $2 = HEAP32[$userData >> 2] | 0;
   162502     $3 = $2;
   162503     $call = FUNCTION_TABLE_iii[$1 & 1023]($3, $p) | 0;
   162504     $tobool = $call >>> 0 > 65535;
   162505     if ($tobool) {
   162506       $retval_0 = 0;
   162507       label = 4;
   162508       break;
   162509     } else {
   162510       label = 3;
   162511       break;
   162512     }
   162513    case 3:
   162514     $shr = $call >> 8;
   162515     $arrayidx = $shr + 10824 | 0;
   162516     $4 = HEAP8[$arrayidx] | 0;
   162517     $conv = $4 & 255;
   162518     $shl = $conv << 3;
   162519     $and1 = $call >>> 5;
   162520     $shr25 = $and1 & 7;
   162521     $add = $shl | $shr25;
   162522     $arrayidx3 = 9544 + ($add << 2) | 0;
   162523     $5 = HEAP32[$arrayidx3 >> 2] | 0;
   162524     $and5 = $call & 31;
   162525     $shl6 = 1 << $and5;
   162526     $and7 = $5 & $shl6;
   162527     $retval_0 = $and7;
   162528     label = 4;
   162529     break;
   162530    case 4:
   162531     return $retval_0 | 0;
   162532   }
   162533   return 0;
   162534 }
   162535 function _unknown_isNmstrt($enc, $p) {
   162536   $enc = $enc | 0;
   162537   $p = $p | 0;
   162538   var $convert = 0, $0 = 0, $1 = 0, $userData = 0, $2 = 0, $3 = 0, $call = 0, $tobool = 0, $shr = 0, $arrayidx = 0, $4 = 0, $conv = 0, $shl = 0, $and1 = 0, $shr25 = 0, $add = 0, $arrayidx3 = 0, $5 = 0, $and5 = 0, $shl6 = 0, $and7 = 0, $retval_0 = 0, label = 0;
   162539   label = 2;
   162540   while (1) switch (label | 0) {
   162541    case 2:
   162542     $convert = $enc + 364 | 0;
   162543     $0 = HEAP32[$convert >> 2] | 0;
   162544     $1 = $0;
   162545     $userData = $enc + 368 | 0;
   162546     $2 = HEAP32[$userData >> 2] | 0;
   162547     $3 = $2;
   162548     $call = FUNCTION_TABLE_iii[$1 & 1023]($3, $p) | 0;
   162549     $tobool = $call >>> 0 > 65535;
   162550     if ($tobool) {
   162551       $retval_0 = 0;
   162552       label = 4;
   162553       break;
   162554     } else {
   162555       label = 3;
   162556       break;
   162557     }
   162558    case 3:
   162559     $shr = $call >> 8;
   162560     $arrayidx = $shr + 9272 | 0;
   162561     $4 = HEAP8[$arrayidx] | 0;
   162562     $conv = $4 & 255;
   162563     $shl = $conv << 3;
   162564     $and1 = $call >>> 5;
   162565     $shr25 = $and1 & 7;
   162566     $add = $shl | $shr25;
   162567     $arrayidx3 = 9544 + ($add << 2) | 0;
   162568     $5 = HEAP32[$arrayidx3 >> 2] | 0;
   162569     $and5 = $call & 31;
   162570     $shl6 = 1 << $and5;
   162571     $and7 = $5 & $shl6;
   162572     $retval_0 = $and7;
   162573     label = 4;
   162574     break;
   162575    case 4:
   162576     return $retval_0 | 0;
   162577   }
   162578   return 0;
   162579 }
   162580 function _unknown_isInvalid($enc, $p) {
   162581   $enc = $enc | 0;
   162582   $p = $p | 0;
   162583   var $convert = 0, $0 = 0, $1 = 0, $userData = 0, $2 = 0, $3 = 0, $call = 0, $tobool = 0, $call1 = 0, $call1_lobit = 0, $4 = 0, label = 0;
   162584   label = 2;
   162585   while (1) switch (label | 0) {
   162586    case 2:
   162587     $convert = $enc + 364 | 0;
   162588     $0 = HEAP32[$convert >> 2] | 0;
   162589     $1 = $0;
   162590     $userData = $enc + 368 | 0;
   162591     $2 = HEAP32[$userData >> 2] | 0;
   162592     $3 = $2;
   162593     $call = FUNCTION_TABLE_iii[$1 & 1023]($3, $p) | 0;
   162594     $tobool = $call >>> 0 > 65535;
   162595     if ($tobool) {
   162596       $4 = 1;
   162597       label = 4;
   162598       break;
   162599     } else {
   162600       label = 3;
   162601       break;
   162602     }
   162603    case 3:
   162604     $call1 = _checkCharRefNumber($call) | 0;
   162605     $call1_lobit = $call1 >>> 31;
   162606     $4 = $call1_lobit;
   162607     label = 4;
   162608     break;
   162609    case 4:
   162610     return $4 | 0;
   162611   }
   162612   return 0;
   162613 }
   162614 function _unknown_toUtf8($enc, $fromP, $fromLim, $toP, $toLim) {
   162615   $enc = $enc | 0;
   162616   $fromP = $fromP | 0;
   162617   $fromLim = $fromLim | 0;
   162618   $toP = $toP | 0;
   162619   $toLim = $toLim | 0;
   162620   var $buf = 0, $convert = 0, $0 = 0, $cmp16 = 0, $utf81 = 0, $1 = 0, $userData = 0, $arraydecay5 = 0, $sub_ptr_lhs_cast = 0, $type = 0, $2 = 0, $sub_ptr_lhs_cast15 = 0, $3 = 0, $cmp = 0, $4 = 0, $5 = 0, $idxprom = 0, $arraydecay = 0, $incdec_ptr = 0, $6 = 0, $conv = 0, $cmp2 = 0, $7 = 0, $8 = 0, $9 = 0, $10 = 0, $call = 0, $call6 = 0, $11 = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp7 = 0, $12 = 0, $13 = 0, $idxprom12 = 0, $arrayidx13 = 0, $14 = 0, $conv14 = 0, $sub = 0, $add_ptr = 0, $15 = 0, $sub_ptr_rhs_cast16 = 0, $sub_ptr_sub17 = 0, $cmp18 = 0, $incdec_ptr22 = 0, $storemerge = 0, $n_0_ph = 0, $utf8_0_ph = 0, $n_0 = 0, $utf8_0 = 0, $incdec_ptr24 = 0, $16 = 0, $17 = 0, $incdec_ptr25 = 0, $dec = 0, $cmp26 = 0, label = 0, __stackBase__ = 0;
   162621   __stackBase__ = STACKTOP;
   162622   STACKTOP = STACKTOP + 8 | 0;
   162623   label = 2;
   162624   while (1) switch (label | 0) {
   162625    case 2:
   162626     $buf = __stackBase__ | 0;
   162627     $convert = $enc + 364 | 0;
   162628     $0 = HEAP32[$fromP >> 2] | 0;
   162629     $cmp16 = ($0 | 0) == ($fromLim | 0);
   162630     if ($cmp16) {
   162631       label = 12;
   162632       break;
   162633     } else {
   162634       label = 3;
   162635       break;
   162636     }
   162637    case 3:
   162638     $utf81 = $enc + 884 | 0;
   162639     $1 = $utf81;
   162640     $userData = $enc + 368 | 0;
   162641     $arraydecay5 = $buf | 0;
   162642     $sub_ptr_lhs_cast = $toLim;
   162643     $type = $enc + 72 | 0;
   162644     $2 = $type;
   162645     $sub_ptr_lhs_cast15 = $toLim;
   162646     $4 = $0;
   162647     label = 5;
   162648     break;
   162649    case 4:
   162650     $3 = HEAP32[$fromP >> 2] | 0;
   162651     $cmp = ($3 | 0) == ($fromLim | 0);
   162652     if ($cmp) {
   162653       label = 12;
   162654       break;
   162655     } else {
   162656       $4 = $3;
   162657       label = 5;
   162658       break;
   162659     }
   162660    case 5:
   162661     $5 = HEAP8[$4] | 0;
   162662     $idxprom = $5 & 255;
   162663     $arraydecay = $1 + ($idxprom << 2) | 0;
   162664     $incdec_ptr = $1 + ($idxprom << 2) + 1 | 0;
   162665     $6 = HEAP8[$arraydecay] | 0;
   162666     $conv = $6 << 24 >> 24;
   162667     $cmp2 = $6 << 24 >> 24 == 0;
   162668     if ($cmp2) {
   162669       label = 6;
   162670       break;
   162671     } else {
   162672       label = 8;
   162673       break;
   162674     }
   162675    case 6:
   162676     $7 = HEAP32[$convert >> 2] | 0;
   162677     $8 = $7;
   162678     $9 = HEAP32[$userData >> 2] | 0;
   162679     $10 = $9;
   162680     $call = FUNCTION_TABLE_iii[$8 & 1023]($10, $4) | 0;
   162681     $call6 = _XmlUtf8Encode($call, $arraydecay5) | 0;
   162682     $11 = HEAP32[$toP >> 2] | 0;
   162683     $sub_ptr_rhs_cast = $11;
   162684     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   162685     $cmp7 = ($call6 | 0) > ($sub_ptr_sub | 0);
   162686     if ($cmp7) {
   162687       label = 12;
   162688       break;
   162689     } else {
   162690       label = 7;
   162691       break;
   162692     }
   162693    case 7:
   162694     $12 = HEAP32[$fromP >> 2] | 0;
   162695     $13 = HEAP8[$12] | 0;
   162696     $idxprom12 = $13 & 255;
   162697     $arrayidx13 = $2 + $idxprom12 | 0;
   162698     $14 = HEAP8[$arrayidx13] | 0;
   162699     $conv14 = $14 & 255;
   162700     $sub = $conv14 - 3 | 0;
   162701     $add_ptr = $12 + $sub | 0;
   162702     $utf8_0_ph = $arraydecay5;
   162703     $n_0_ph = $call6;
   162704     $storemerge = $add_ptr;
   162705     label = 10;
   162706     break;
   162707    case 8:
   162708     $15 = HEAP32[$toP >> 2] | 0;
   162709     $sub_ptr_rhs_cast16 = $15;
   162710     $sub_ptr_sub17 = $sub_ptr_lhs_cast15 - $sub_ptr_rhs_cast16 | 0;
   162711     $cmp18 = ($conv | 0) > ($sub_ptr_sub17 | 0);
   162712     if ($cmp18) {
   162713       label = 12;
   162714       break;
   162715     } else {
   162716       label = 9;
   162717       break;
   162718     }
   162719    case 9:
   162720     $incdec_ptr22 = $4 + 1 | 0;
   162721     $utf8_0_ph = $incdec_ptr;
   162722     $n_0_ph = $conv;
   162723     $storemerge = $incdec_ptr22;
   162724     label = 10;
   162725     break;
   162726    case 10:
   162727     HEAP32[$fromP >> 2] = $storemerge;
   162728     $utf8_0 = $utf8_0_ph;
   162729     $n_0 = $n_0_ph;
   162730     label = 11;
   162731     break;
   162732    case 11:
   162733     $incdec_ptr24 = $utf8_0 + 1 | 0;
   162734     $16 = HEAP8[$utf8_0] | 0;
   162735     $17 = HEAP32[$toP >> 2] | 0;
   162736     $incdec_ptr25 = $17 + 1 | 0;
   162737     HEAP32[$toP >> 2] = $incdec_ptr25;
   162738     HEAP8[$17] = $16;
   162739     $dec = $n_0 - 1 | 0;
   162740     $cmp26 = ($dec | 0) == 0;
   162741     if ($cmp26) {
   162742       label = 4;
   162743       break;
   162744     } else {
   162745       $utf8_0 = $incdec_ptr24;
   162746       $n_0 = $dec;
   162747       label = 11;
   162748       break;
   162749     }
   162750    case 12:
   162751     STACKTOP = __stackBase__;
   162752     return;
   162753   }
   162754 }
   162755 function _unknown_toUtf16($enc, $fromP, $fromLim, $toP, $toLim) {
   162756   $enc = $enc | 0;
   162757   $fromP = $fromP | 0;
   162758   $fromLim = $fromLim | 0;
   162759   $toP = $toP | 0;
   162760   $toLim = $toLim | 0;
   162761   var $0 = 0, $cmp11 = 0, $utf16 = 0, $1 = 0, $convert = 0, $userData = 0, $type = 0, $2 = 0, $3 = 0, $4 = 0, $cmp1 = 0, $5 = 0, $idxprom = 0, $arrayidx = 0, $6 = 0, $cmp2 = 0, $7 = 0, $8 = 0, $9 = 0, $10 = 0, $call = 0, $conv4 = 0, $11 = 0, $12 = 0, $idxprom5 = 0, $arrayidx6 = 0, $13 = 0, $conv7 = 0, $sub = 0, $add_ptr = 0, $incdec_ptr = 0, $storemerge = 0, $c_0 = 0, $14 = 0, $incdec_ptr8 = 0, $15 = 0, $cmp = 0, label = 0;
   162762   label = 2;
   162763   while (1) switch (label | 0) {
   162764    case 2:
   162765     $0 = HEAP32[$fromP >> 2] | 0;
   162766     $cmp11 = ($0 | 0) == ($fromLim | 0);
   162767     if ($cmp11) {
   162768       label = 9;
   162769       break;
   162770     } else {
   162771       label = 3;
   162772       break;
   162773     }
   162774    case 3:
   162775     $utf16 = $enc + 372 | 0;
   162776     $1 = $utf16;
   162777     $convert = $enc + 364 | 0;
   162778     $userData = $enc + 368 | 0;
   162779     $type = $enc + 72 | 0;
   162780     $2 = $type;
   162781     $3 = $0;
   162782     label = 4;
   162783     break;
   162784    case 4:
   162785     $4 = HEAP32[$toP >> 2] | 0;
   162786     $cmp1 = ($4 | 0) == ($toLim | 0);
   162787     if ($cmp1) {
   162788       label = 9;
   162789       break;
   162790     } else {
   162791       label = 5;
   162792       break;
   162793     }
   162794    case 5:
   162795     $5 = HEAP8[$3] | 0;
   162796     $idxprom = $5 & 255;
   162797     $arrayidx = $1 + ($idxprom << 1) | 0;
   162798     $6 = HEAP16[$arrayidx >> 1] | 0;
   162799     $cmp2 = $6 << 16 >> 16 == 0;
   162800     if ($cmp2) {
   162801       label = 6;
   162802       break;
   162803     } else {
   162804       label = 7;
   162805       break;
   162806     }
   162807    case 6:
   162808     $7 = HEAP32[$convert >> 2] | 0;
   162809     $8 = $7;
   162810     $9 = HEAP32[$userData >> 2] | 0;
   162811     $10 = $9;
   162812     $call = FUNCTION_TABLE_iii[$8 & 1023]($10, $3) | 0;
   162813     $conv4 = $call & 65535;
   162814     $11 = HEAP32[$fromP >> 2] | 0;
   162815     $12 = HEAP8[$11] | 0;
   162816     $idxprom5 = $12 & 255;
   162817     $arrayidx6 = $2 + $idxprom5 | 0;
   162818     $13 = HEAP8[$arrayidx6] | 0;
   162819     $conv7 = $13 & 255;
   162820     $sub = $conv7 - 3 | 0;
   162821     $add_ptr = $11 + $sub | 0;
   162822     $c_0 = $conv4;
   162823     $storemerge = $add_ptr;
   162824     label = 8;
   162825     break;
   162826    case 7:
   162827     $incdec_ptr = $3 + 1 | 0;
   162828     $c_0 = $6;
   162829     $storemerge = $incdec_ptr;
   162830     label = 8;
   162831     break;
   162832    case 8:
   162833     HEAP32[$fromP >> 2] = $storemerge;
   162834     $14 = HEAP32[$toP >> 2] | 0;
   162835     $incdec_ptr8 = $14 + 2 | 0;
   162836     HEAP32[$toP >> 2] = $incdec_ptr8;
   162837     HEAP16[$14 >> 1] = $c_0;
   162838     $15 = HEAP32[$fromP >> 2] | 0;
   162839     $cmp = ($15 | 0) == ($fromLim | 0);
   162840     if ($cmp) {
   162841       label = 9;
   162842       break;
   162843     } else {
   162844       $3 = $15;
   162845       label = 4;
   162846       break;
   162847     }
   162848    case 9:
   162849     return;
   162850   }
   162851 }
   162852 function _XmlInitEncoding($p, $encPtr, $name) {
   162853   $p = $p | 0;
   162854   $encPtr = $encPtr | 0;
   162855   $name = $name | 0;
   162856   var $call = 0, $cmp = 0, $conv = 0, $initEnc = 0, $isUtf16 = 0, $arrayidx = 0, $arrayidx4 = 0, $updatePosition = 0, $encPtr6 = 0, $retval_0 = 0, label = 0;
   162857   label = 2;
   162858   while (1) switch (label | 0) {
   162859    case 2:
   162860     $call = _getEncodingIndex($name) | 0;
   162861     $cmp = ($call | 0) == -1;
   162862     if ($cmp) {
   162863       $retval_0 = 0;
   162864       label = 4;
   162865       break;
   162866     } else {
   162867       label = 3;
   162868       break;
   162869     }
   162870    case 3:
   162871     $conv = $call & 255;
   162872     $initEnc = $p | 0;
   162873     $isUtf16 = $p + 69 | 0;
   162874     HEAP8[$isUtf16] = $conv;
   162875     $arrayidx = $p | 0;
   162876     HEAP32[$arrayidx >> 2] = 84;
   162877     $arrayidx4 = $p + 4 | 0;
   162878     HEAP32[$arrayidx4 >> 2] = 182;
   162879     $updatePosition = $p + 48 | 0;
   162880     HEAP32[$updatePosition >> 2] = 398;
   162881     $encPtr6 = $p + 72 | 0;
   162882     HEAP32[$encPtr6 >> 2] = $encPtr;
   162883     HEAP32[$encPtr >> 2] = $initEnc;
   162884     $retval_0 = 1;
   162885     label = 4;
   162886     break;
   162887    case 4:
   162888     return $retval_0 | 0;
   162889   }
   162890   return 0;
   162891 }
   162892 function _getEncodingIndex($name) {
   162893   $name = $name | 0;
   162894   var $cmp = 0, $i_0 = 0, $cmp1 = 0, $arrayidx = 0, $0 = 0, $call = 0, $tobool = 0, $inc = 0, $retval_0 = 0, label = 0;
   162895   label = 2;
   162896   while (1) switch (label | 0) {
   162897    case 2:
   162898     $cmp = ($name | 0) == 0;
   162899     if ($cmp) {
   162900       $retval_0 = 6;
   162901       label = 5;
   162902       break;
   162903     } else {
   162904       $i_0 = 0;
   162905       label = 3;
   162906       break;
   162907     }
   162908    case 3:
   162909     $cmp1 = ($i_0 | 0) < 6;
   162910     if ($cmp1) {
   162911       label = 4;
   162912       break;
   162913     } else {
   162914       $retval_0 = -1;
   162915       label = 5;
   162916       break;
   162917     }
   162918    case 4:
   162919     $arrayidx = 16296 + ($i_0 << 2) | 0;
   162920     $0 = HEAP32[$arrayidx >> 2] | 0;
   162921     $call = _streqci($name, $0) | 0;
   162922     $tobool = ($call | 0) == 0;
   162923     $inc = $i_0 + 1 | 0;
   162924     if ($tobool) {
   162925       $i_0 = $inc;
   162926       label = 3;
   162927       break;
   162928     } else {
   162929       $retval_0 = $i_0;
   162930       label = 5;
   162931       break;
   162932     }
   162933    case 5:
   162934     return $retval_0 | 0;
   162935   }
   162936   return 0;
   162937 }
   162938 function _initScanProlog($enc, $ptr, $end, $nextTokPtr) {
   162939   $enc = $enc | 0;
   162940   $ptr = $ptr | 0;
   162941   $end = $end | 0;
   162942   $nextTokPtr = $nextTokPtr | 0;
   162943   return _initScan($enc, 0, $ptr, $end, $nextTokPtr) | 0;
   162944 }
   162945 function _initScanContent($enc, $ptr, $end, $nextTokPtr) {
   162946   $enc = $enc | 0;
   162947   $ptr = $ptr | 0;
   162948   $end = $end | 0;
   162949   $nextTokPtr = $nextTokPtr | 0;
   162950   return _initScan($enc, 1, $ptr, $end, $nextTokPtr) | 0;
   162951 }
   162952 function _streqci($s1, $s2) {
   162953   $s1 = $s1 | 0;
   162954   $s2 = $s2 | 0;
   162955   var $s1_addr_0 = 0, $s2_addr_0 = 0, $0 = 0, $1 = 0, $_off = 0, $2 = 0, $add = 0, $c1_0 = 0, $_off11 = 0, $3 = 0, $add17 = 0, $c2_0 = 0, $cmp22 = 0, $incdec_ptr1 = 0, $incdec_ptr = 0, $tobool = 0, $retval_0 = 0, label = 0;
   162956   label = 2;
   162957   while (1) switch (label | 0) {
   162958    case 2:
   162959     $s2_addr_0 = $s2;
   162960     $s1_addr_0 = $s1;
   162961     label = 3;
   162962     break;
   162963    case 3:
   162964     $0 = HEAP8[$s1_addr_0] | 0;
   162965     $1 = HEAP8[$s2_addr_0] | 0;
   162966     $_off = $0 - 97 & 255;
   162967     $2 = ($_off & 255) < 26;
   162968     $add = $0 - 32 & 255;
   162969     $c1_0 = $2 ? $add : $0;
   162970     $_off11 = $1 - 97 & 255;
   162971     $3 = ($_off11 & 255) < 26;
   162972     $add17 = $1 - 32 & 255;
   162973     $c2_0 = $3 ? $add17 : $1;
   162974     $cmp22 = $c1_0 << 24 >> 24 == $c2_0 << 24 >> 24;
   162975     if ($cmp22) {
   162976       label = 4;
   162977       break;
   162978     } else {
   162979       $retval_0 = 0;
   162980       label = 5;
   162981       break;
   162982     }
   162983    case 4:
   162984     $incdec_ptr1 = $s2_addr_0 + 1 | 0;
   162985     $incdec_ptr = $s1_addr_0 + 1 | 0;
   162986     $tobool = $c1_0 << 24 >> 24 == 0;
   162987     if ($tobool) {
   162988       $retval_0 = 1;
   162989       label = 5;
   162990       break;
   162991     } else {
   162992       $s2_addr_0 = $incdec_ptr1;
   162993       $s1_addr_0 = $incdec_ptr;
   162994       label = 3;
   162995       break;
   162996     }
   162997    case 5:
   162998     return $retval_0 | 0;
   162999   }
   163000   return 0;
   163001 }
   163002 function _initUpdatePosition($enc, $ptr, $end, $pos) {
   163003   $enc = $enc | 0;
   163004   $ptr = $ptr | 0;
   163005   $end = $end | 0;
   163006   $pos = $pos | 0;
   163007   _normal_updatePosition(528, $ptr, $end, $pos);
   163008   return;
   163009 }
   163010 function _XmlParseXmlDecl($isGeneralTextEntity, $enc, $ptr, $end, $badPtr, $versionPtr, $versionEndPtr, $encodingName, $encoding, $standalone) {
   163011   $isGeneralTextEntity = $isGeneralTextEntity | 0;
   163012   $enc = $enc | 0;
   163013   $ptr = $ptr | 0;
   163014   $end = $end | 0;
   163015   $badPtr = $badPtr | 0;
   163016   $versionPtr = $versionPtr | 0;
   163017   $versionEndPtr = $versionEndPtr | 0;
   163018   $encodingName = $encodingName | 0;
   163019   $encoding = $encoding | 0;
   163020   $standalone = $standalone | 0;
   163021   return _doParseXmlDecl($isGeneralTextEntity, $enc, $ptr, $end, $badPtr, $versionPtr, $versionEndPtr, $encodingName, $encoding, $standalone) | 0;
   163022 }
   163023 function _doParseXmlDecl($isGeneralTextEntity, $enc, $ptr, $end, $badPtr, $versionPtr, $versionEndPtr, $encodingName, $encoding, $standalone) {
   163024   $isGeneralTextEntity = $isGeneralTextEntity | 0;
   163025   $enc = $enc | 0;
   163026   $ptr = $ptr | 0;
   163027   $end = $end | 0;
   163028   $badPtr = $badPtr | 0;
   163029   $versionPtr = $versionPtr | 0;
   163030   $versionEndPtr = $versionEndPtr | 0;
   163031   $encodingName = $encodingName | 0;
   163032   $encoding = $encoding | 0;
   163033   $standalone = $standalone | 0;
   163034   var $ptr_addr = 0, $val = 0, $name = 0, $nameEnd = 0, $minBytesPerChar = 0, $0 = 0, $mul = 0, $1 = 0, $add_ptr = 0, $2 = 0, $mul2 = 0, $idx_neg = 0, $add_ptr3 = 0, $call = 0, $tobool = 0, $3 = 0, $tobool4 = 0, $or_cond = 0, $4 = 0, $nameMatchesAscii = 0, $5 = 0, $6 = 0, $call5 = 0, $tobool6 = 0, $tobool8 = 0, $7 = 0, $tobool11 = 0, $8 = 0, $tobool14 = 0, $9 = 0, $10 = 0, $call17 = 0, $tobool18 = 0, $11 = 0, $12 = 0, $tobool21 = 0, $tobool23 = 0, $13 = 0, $14 = 0, $15 = 0, $16 = 0, $call29 = 0, $tobool30 = 0, $17 = 0, $call32 = 0, $call32_off = 0, $18 = 0, $call32_off1 = 0, $19 = 0, $or_cond3 = 0, $20 = 0, $tobool40 = 0, $21 = 0, $tobool43 = 0, $22 = 0, $23 = 0, $24 = 0, $idx_neg46 = 0, $add_ptr47 = 0, $call48 = 0, $25 = 0, $call50 = 0, $tobool51 = 0, $26 = 0, $27 = 0, $tobool54 = 0, $28 = 0, $29 = 0, $30 = 0, $call59 = 0, $tobool60 = 0, $tobool62 = 0, $or_cond4 = 0, $31 = 0, $32 = 0, $33 = 0, $34 = 0, $35 = 0, $idx_neg67 = 0, $add_ptr68 = 0, $call69 = 0, $tobool70 = 0, $tobool72 = 0, $36 = 0, $call895 = 0, $call906 = 0, $tobool917 = 0, $37 = 0, $38 = 0, $39 = 0, $40 = 0, $idx_neg78 = 0, $add_ptr79 = 0, $call80 = 0, $tobool81 = 0, $tobool83 = 0, $41 = 0, $42 = 0, $43 = 0, $add_ptr93 = 0, $call89 = 0, $call90 = 0, $tobool91 = 0, $44 = 0, $cmp94 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   163035   __stackBase__ = STACKTOP;
   163036   STACKTOP = STACKTOP + 32 | 0;
   163037   label = 2;
   163038   while (1) switch (label | 0) {
   163039    case 2:
   163040     $ptr_addr = __stackBase__ | 0;
   163041     $val = __stackBase__ + 8 | 0;
   163042     $name = __stackBase__ + 16 | 0;
   163043     $nameEnd = __stackBase__ + 24 | 0;
   163044     HEAP32[$ptr_addr >> 2] = $ptr;
   163045     HEAP32[$val >> 2] = 0;
   163046     HEAP32[$name >> 2] = 0;
   163047     HEAP32[$nameEnd >> 2] = 0;
   163048     $minBytesPerChar = $enc + 64 | 0;
   163049     $0 = HEAP32[$minBytesPerChar >> 2] | 0;
   163050     $mul = $0 * 5 & -1;
   163051     $1 = HEAP32[$ptr_addr >> 2] | 0;
   163052     $add_ptr = $1 + $mul | 0;
   163053     HEAP32[$ptr_addr >> 2] = $add_ptr;
   163054     $2 = HEAP32[$minBytesPerChar >> 2] | 0;
   163055     $mul2 = $2 << 1;
   163056     $idx_neg = -$mul2 | 0;
   163057     $add_ptr3 = $end + $idx_neg | 0;
   163058     $call = _parsePseudoAttribute($enc, $add_ptr, $add_ptr3, $name, $nameEnd, $val, $ptr_addr) | 0;
   163059     $tobool = ($call | 0) != 0;
   163060     $3 = HEAP32[$name >> 2] | 0;
   163061     $tobool4 = ($3 | 0) != 0;
   163062     $or_cond = $tobool & $tobool4;
   163063     if ($or_cond) {
   163064       label = 4;
   163065       break;
   163066     } else {
   163067       label = 3;
   163068       break;
   163069     }
   163070    case 3:
   163071     $4 = HEAP32[$ptr_addr >> 2] | 0;
   163072     HEAP32[$badPtr >> 2] = $4;
   163073     $retval_0 = 0;
   163074     label = 39;
   163075     break;
   163076    case 4:
   163077     $nameMatchesAscii = $enc + 24 | 0;
   163078     $5 = HEAP32[$nameMatchesAscii >> 2] | 0;
   163079     $6 = HEAP32[$nameEnd >> 2] | 0;
   163080     $call5 = FUNCTION_TABLE_iiiii[$5 & 1023]($enc, $3, $6, 166632) | 0;
   163081     $tobool6 = ($call5 | 0) == 0;
   163082     if ($tobool6) {
   163083       label = 5;
   163084       break;
   163085     } else {
   163086       label = 7;
   163087       break;
   163088     }
   163089    case 5:
   163090     $tobool8 = ($isGeneralTextEntity | 0) == 0;
   163091     if ($tobool8) {
   163092       label = 6;
   163093       break;
   163094     } else {
   163095       label = 16;
   163096       break;
   163097     }
   163098    case 6:
   163099     $7 = HEAP32[$name >> 2] | 0;
   163100     HEAP32[$badPtr >> 2] = $7;
   163101     $retval_0 = 0;
   163102     label = 39;
   163103     break;
   163104    case 7:
   163105     $tobool11 = ($versionPtr | 0) == 0;
   163106     if ($tobool11) {
   163107       label = 9;
   163108       break;
   163109     } else {
   163110       label = 8;
   163111       break;
   163112     }
   163113    case 8:
   163114     $8 = HEAP32[$val >> 2] | 0;
   163115     HEAP32[$versionPtr >> 2] = $8;
   163116     label = 9;
   163117     break;
   163118    case 9:
   163119     $tobool14 = ($versionEndPtr | 0) == 0;
   163120     if ($tobool14) {
   163121       label = 11;
   163122       break;
   163123     } else {
   163124       label = 10;
   163125       break;
   163126     }
   163127    case 10:
   163128     $9 = HEAP32[$ptr_addr >> 2] | 0;
   163129     HEAP32[$versionEndPtr >> 2] = $9;
   163130     label = 11;
   163131     break;
   163132    case 11:
   163133     $10 = HEAP32[$ptr_addr >> 2] | 0;
   163134     $call17 = _parsePseudoAttribute($enc, $10, $add_ptr3, $name, $nameEnd, $val, $ptr_addr) | 0;
   163135     $tobool18 = ($call17 | 0) == 0;
   163136     if ($tobool18) {
   163137       label = 12;
   163138       break;
   163139     } else {
   163140       label = 13;
   163141       break;
   163142     }
   163143    case 12:
   163144     $11 = HEAP32[$ptr_addr >> 2] | 0;
   163145     HEAP32[$badPtr >> 2] = $11;
   163146     $retval_0 = 0;
   163147     label = 39;
   163148     break;
   163149    case 13:
   163150     $12 = HEAP32[$name >> 2] | 0;
   163151     $tobool21 = ($12 | 0) == 0;
   163152     if ($tobool21) {
   163153       label = 14;
   163154       break;
   163155     } else {
   163156       label = 16;
   163157       break;
   163158     }
   163159    case 14:
   163160     $tobool23 = ($isGeneralTextEntity | 0) == 0;
   163161     if ($tobool23) {
   163162       $retval_0 = 1;
   163163       label = 39;
   163164       break;
   163165     } else {
   163166       label = 15;
   163167       break;
   163168     }
   163169    case 15:
   163170     $13 = HEAP32[$ptr_addr >> 2] | 0;
   163171     HEAP32[$badPtr >> 2] = $13;
   163172     $retval_0 = 0;
   163173     label = 39;
   163174     break;
   163175    case 16:
   163176     $14 = HEAP32[$nameMatchesAscii >> 2] | 0;
   163177     $15 = HEAP32[$name >> 2] | 0;
   163178     $16 = HEAP32[$nameEnd >> 2] | 0;
   163179     $call29 = FUNCTION_TABLE_iiiii[$14 & 1023]($enc, $15, $16, 166664) | 0;
   163180     $tobool30 = ($call29 | 0) == 0;
   163181     if ($tobool30) {
   163182       label = 26;
   163183       break;
   163184     } else {
   163185       label = 17;
   163186       break;
   163187     }
   163188    case 17:
   163189     $17 = HEAP32[$val >> 2] | 0;
   163190     $call32 = _toAscii($enc, $17, $add_ptr3) | 0;
   163191     $call32_off = $call32 - 97 | 0;
   163192     $18 = $call32_off >>> 0 < 26;
   163193     $call32_off1 = $call32 - 65 | 0;
   163194     $19 = $call32_off1 >>> 0 < 26;
   163195     $or_cond3 = $18 | $19;
   163196     if ($or_cond3) {
   163197       label = 19;
   163198       break;
   163199     } else {
   163200       label = 18;
   163201       break;
   163202     }
   163203    case 18:
   163204     $20 = HEAP32[$val >> 2] | 0;
   163205     HEAP32[$badPtr >> 2] = $20;
   163206     $retval_0 = 0;
   163207     label = 39;
   163208     break;
   163209    case 19:
   163210     $tobool40 = ($encodingName | 0) == 0;
   163211     if ($tobool40) {
   163212       label = 21;
   163213       break;
   163214     } else {
   163215       label = 20;
   163216       break;
   163217     }
   163218    case 20:
   163219     $21 = HEAP32[$val >> 2] | 0;
   163220     HEAP32[$encodingName >> 2] = $21;
   163221     label = 21;
   163222     break;
   163223    case 21:
   163224     $tobool43 = ($encoding | 0) == 0;
   163225     if ($tobool43) {
   163226       label = 23;
   163227       break;
   163228     } else {
   163229       label = 22;
   163230       break;
   163231     }
   163232    case 22:
   163233     $22 = HEAP32[$val >> 2] | 0;
   163234     $23 = HEAP32[$ptr_addr >> 2] | 0;
   163235     $24 = HEAP32[$minBytesPerChar >> 2] | 0;
   163236     $idx_neg46 = -$24 | 0;
   163237     $add_ptr47 = $23 + $idx_neg46 | 0;
   163238     $call48 = _findEncoding($enc, $22, $add_ptr47) | 0;
   163239     HEAP32[$encoding >> 2] = $call48;
   163240     label = 23;
   163241     break;
   163242    case 23:
   163243     $25 = HEAP32[$ptr_addr >> 2] | 0;
   163244     $call50 = _parsePseudoAttribute($enc, $25, $add_ptr3, $name, $nameEnd, $val, $ptr_addr) | 0;
   163245     $tobool51 = ($call50 | 0) == 0;
   163246     if ($tobool51) {
   163247       label = 24;
   163248       break;
   163249     } else {
   163250       label = 25;
   163251       break;
   163252     }
   163253    case 24:
   163254     $26 = HEAP32[$ptr_addr >> 2] | 0;
   163255     HEAP32[$badPtr >> 2] = $26;
   163256     $retval_0 = 0;
   163257     label = 39;
   163258     break;
   163259    case 25:
   163260     $27 = HEAP32[$name >> 2] | 0;
   163261     $tobool54 = ($27 | 0) == 0;
   163262     if ($tobool54) {
   163263       $retval_0 = 1;
   163264       label = 39;
   163265       break;
   163266     } else {
   163267       label = 26;
   163268       break;
   163269     }
   163270    case 26:
   163271     $28 = HEAP32[$nameMatchesAscii >> 2] | 0;
   163272     $29 = HEAP32[$name >> 2] | 0;
   163273     $30 = HEAP32[$nameEnd >> 2] | 0;
   163274     $call59 = FUNCTION_TABLE_iiiii[$28 & 1023]($enc, $29, $30, 166640) | 0;
   163275     $tobool60 = ($call59 | 0) != 0;
   163276     $tobool62 = ($isGeneralTextEntity | 0) == 0;
   163277     $or_cond4 = $tobool60 & $tobool62;
   163278     if ($or_cond4) {
   163279       label = 28;
   163280       break;
   163281     } else {
   163282       label = 27;
   163283       break;
   163284     }
   163285    case 27:
   163286     $31 = HEAP32[$name >> 2] | 0;
   163287     HEAP32[$badPtr >> 2] = $31;
   163288     $retval_0 = 0;
   163289     label = 39;
   163290     break;
   163291    case 28:
   163292     $32 = HEAP32[$nameMatchesAscii >> 2] | 0;
   163293     $33 = HEAP32[$val >> 2] | 0;
   163294     $34 = HEAP32[$ptr_addr >> 2] | 0;
   163295     $35 = HEAP32[$minBytesPerChar >> 2] | 0;
   163296     $idx_neg67 = -$35 | 0;
   163297     $add_ptr68 = $34 + $idx_neg67 | 0;
   163298     $call69 = FUNCTION_TABLE_iiiii[$32 & 1023]($enc, $33, $add_ptr68, 166624) | 0;
   163299     $tobool70 = ($call69 | 0) == 0;
   163300     if ($tobool70) {
   163301       label = 32;
   163302       break;
   163303     } else {
   163304       label = 29;
   163305       break;
   163306     }
   163307    case 29:
   163308     $tobool72 = ($standalone | 0) == 0;
   163309     if ($tobool72) {
   163310       label = 30;
   163311       break;
   163312     } else {
   163313       label = 31;
   163314       break;
   163315     }
   163316    case 30:
   163317     $36 = HEAP32[$ptr_addr >> 2] | 0;
   163318     $call895 = _toAscii($enc, $36, $add_ptr3) | 0;
   163319     $call906 = _isSpace($call895) | 0;
   163320     $tobool917 = ($call906 | 0) == 0;
   163321     if ($tobool917) {
   163322       label = 37;
   163323       break;
   163324     } else {
   163325       label = 36;
   163326       break;
   163327     }
   163328    case 31:
   163329     HEAP32[$standalone >> 2] = 1;
   163330     label = 30;
   163331     break;
   163332    case 32:
   163333     $37 = HEAP32[$nameMatchesAscii >> 2] | 0;
   163334     $38 = HEAP32[$val >> 2] | 0;
   163335     $39 = HEAP32[$ptr_addr >> 2] | 0;
   163336     $40 = HEAP32[$minBytesPerChar >> 2] | 0;
   163337     $idx_neg78 = -$40 | 0;
   163338     $add_ptr79 = $39 + $idx_neg78 | 0;
   163339     $call80 = FUNCTION_TABLE_iiiii[$37 & 1023]($enc, $38, $add_ptr79, 166656) | 0;
   163340     $tobool81 = ($call80 | 0) == 0;
   163341     if ($tobool81) {
   163342       label = 35;
   163343       break;
   163344     } else {
   163345       label = 33;
   163346       break;
   163347     }
   163348    case 33:
   163349     $tobool83 = ($standalone | 0) == 0;
   163350     if ($tobool83) {
   163351       label = 30;
   163352       break;
   163353     } else {
   163354       label = 34;
   163355       break;
   163356     }
   163357    case 34:
   163358     HEAP32[$standalone >> 2] = 0;
   163359     label = 30;
   163360     break;
   163361    case 35:
   163362     $41 = HEAP32[$val >> 2] | 0;
   163363     HEAP32[$badPtr >> 2] = $41;
   163364     $retval_0 = 0;
   163365     label = 39;
   163366     break;
   163367    case 36:
   163368     $42 = HEAP32[$minBytesPerChar >> 2] | 0;
   163369     $43 = HEAP32[$ptr_addr >> 2] | 0;
   163370     $add_ptr93 = $43 + $42 | 0;
   163371     HEAP32[$ptr_addr >> 2] = $add_ptr93;
   163372     $call89 = _toAscii($enc, $add_ptr93, $add_ptr3) | 0;
   163373     $call90 = _isSpace($call89) | 0;
   163374     $tobool91 = ($call90 | 0) == 0;
   163375     if ($tobool91) {
   163376       label = 37;
   163377       break;
   163378     } else {
   163379       label = 36;
   163380       break;
   163381     }
   163382    case 37:
   163383     $44 = HEAP32[$ptr_addr >> 2] | 0;
   163384     $cmp94 = ($44 | 0) == ($add_ptr3 | 0);
   163385     if ($cmp94) {
   163386       $retval_0 = 1;
   163387       label = 39;
   163388       break;
   163389     } else {
   163390       label = 38;
   163391       break;
   163392     }
   163393    case 38:
   163394     HEAP32[$badPtr >> 2] = $44;
   163395     $retval_0 = 0;
   163396     label = 39;
   163397     break;
   163398    case 39:
   163399     STACKTOP = __stackBase__;
   163400     return $retval_0 | 0;
   163401   }
   163402   return 0;
   163403 }
   163404 function _findEncoding($enc, $ptr, $end) {
   163405   $enc = $enc | 0;
   163406   $ptr = $ptr | 0;
   163407   $end = $end | 0;
   163408   var $ptr_addr = 0, $buf = 0, $p = 0, $arraydecay = 0, $utf8Convert = 0, $0 = 0, $add_ptr1 = 0, $1 = 0, $cmp = 0, $2 = 0, $call = 0, $tobool = 0, $minBytesPerChar = 0, $3 = 0, $cmp3 = 0, $call7 = 0, $cmp8 = 0, $arrayidx = 0, $4 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   163409   __stackBase__ = STACKTOP;
   163410   STACKTOP = STACKTOP + 144 | 0;
   163411   label = 2;
   163412   while (1) switch (label | 0) {
   163413    case 2:
   163414     $ptr_addr = __stackBase__ | 0;
   163415     $buf = __stackBase__ + 8 | 0;
   163416     $p = __stackBase__ + 136 | 0;
   163417     HEAP32[$ptr_addr >> 2] = $ptr;
   163418     $arraydecay = $buf | 0;
   163419     HEAP32[$p >> 2] = $arraydecay;
   163420     $utf8Convert = $enc + 56 | 0;
   163421     $0 = HEAP32[$utf8Convert >> 2] | 0;
   163422     $add_ptr1 = $buf + 127 | 0;
   163423     FUNCTION_TABLE_viiiii[$0 & 1023]($enc, $ptr_addr, $end, $p, $add_ptr1);
   163424     $1 = HEAP32[$ptr_addr >> 2] | 0;
   163425     $cmp = ($1 | 0) == ($end | 0);
   163426     if ($cmp) {
   163427       label = 3;
   163428       break;
   163429     } else {
   163430       $retval_0 = 0;
   163431       label = 7;
   163432       break;
   163433     }
   163434    case 3:
   163435     $2 = HEAP32[$p >> 2] | 0;
   163436     HEAP8[$2] = 0;
   163437     $call = _streqci($arraydecay, 166720) | 0;
   163438     $tobool = ($call | 0) == 0;
   163439     if ($tobool) {
   163440       label = 5;
   163441       break;
   163442     } else {
   163443       label = 4;
   163444       break;
   163445     }
   163446    case 4:
   163447     $minBytesPerChar = $enc + 64 | 0;
   163448     $3 = HEAP32[$minBytesPerChar >> 2] | 0;
   163449     $cmp3 = ($3 | 0) == 2;
   163450     if ($cmp3) {
   163451       $retval_0 = $enc;
   163452       label = 7;
   163453       break;
   163454     } else {
   163455       label = 5;
   163456       break;
   163457     }
   163458    case 5:
   163459     $call7 = _getEncodingIndex($arraydecay) | 0;
   163460     $cmp8 = ($call7 | 0) == -1;
   163461     if ($cmp8) {
   163462       $retval_0 = 0;
   163463       label = 7;
   163464       break;
   163465     } else {
   163466       label = 6;
   163467       break;
   163468     }
   163469    case 6:
   163470     $arrayidx = 20264 + ($call7 << 2) | 0;
   163471     $4 = HEAP32[$arrayidx >> 2] | 0;
   163472     $retval_0 = $4;
   163473     label = 7;
   163474     break;
   163475    case 7:
   163476     STACKTOP = __stackBase__;
   163477     return $retval_0 | 0;
   163478   }
   163479   return 0;
   163480 }
   163481 function _little2_prologTok($enc, $ptr, $end, $nextTokPtr) {
   163482   $enc = $enc | 0;
   163483   $ptr = $ptr | 0;
   163484   $end = $end | 0;
   163485   $nextTokPtr = $nextTokPtr | 0;
   163486   var $cmp = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $and = 0, $tobool = 0, $and2 = 0, $cmp3 = 0, $add_ptr = 0, $end_addr_0 = 0, $arrayidx = 0, $0 = 0, $cmp7 = 0, $1 = 0, $idxprom = 0, $type = 0, $2 = 0, $arrayidx9 = 0, $3 = 0, $conv10 = 0, $call = 0, $cond = 0, $add_ptr54167 = 0, $cmp55168 = 0, $type65 = 0, $4 = 0, $add_ptr13 = 0, $call14 = 0, $add_ptr16 = 0, $call17 = 0, $add_ptr19 = 0, $cmp20 = 0, $arrayidx24 = 0, $5 = 0, $cmp26 = 0, $6 = 0, $idxprom29 = 0, $type30 = 0, $7 = 0, $arrayidx31 = 0, $8 = 0, $conv32 = 0, $call36 = 0, $cond38 = 0, $add_ptr40 = 0, $call41 = 0, $add_ptr43 = 0, $call44 = 0, $add_ptr48 = 0, $cmp49 = 0, $add_ptr54170 = 0, $ptr_addr_0169 = 0, $arrayidx59 = 0, $9 = 0, $cmp61 = 0, $10 = 0, $idxprom64 = 0, $arrayidx66 = 0, $11 = 0, $conv67 = 0, $call71 = 0, $cond73 = 0, $add_ptr54 = 0, $cmp55 = 0, $add_ptr76 = 0, $cmp77 = 0, $add_ptr54_lcssa = 0, $add_ptr83 = 0, $call84 = 0, $add_ptr86 = 0, $add_ptr88 = 0, $add_ptr90 = 0, $cmp91 = 0, $arrayidx95 = 0, $12 = 0, $cmp97 = 0, $13 = 0, $cmp101 = 0, $add_ptr104 = 0, $cmp105 = 0, $arrayidx110 = 0, $14 = 0, $cmp112 = 0, $15 = 0, $cmp118 = 0, $add_ptr121 = 0, $add_ptr125 = 0, $add_ptr127 = 0, $cmp128 = 0, $arrayidx132 = 0, $16 = 0, $cmp134 = 0, $17 = 0, $idxprom137 = 0, $type138 = 0, $18 = 0, $arrayidx139 = 0, $19 = 0, $conv140 = 0, $call144 = 0, $cond146 = 0, $add_ptr148 = 0, $add_ptr150 = 0, $add_ptr152 = 0, $add_ptr156 = 0, $add_ptr158 = 0, $add_ptr160 = 0, $call161 = 0, $sub_ptr_lhs_cast163 = 0, $sub_ptr_sub165 = 0, $cmp166 = 0, $sub_ptr_lhs_cast171 = 0, $sub_ptr_sub173 = 0, $cmp174 = 0, $sub_ptr_lhs_cast179 = 0, $sub_ptr_sub181 = 0, $cmp182 = 0, $20 = 0, $idxprom192 = 0, $arrayidx193 = 0, $21 = 0, $conv194 = 0, $shl = 0, $22 = 0, $conv196 = 0, $shr164 = 0, $add = 0, $arrayidx197 = 0, $23 = 0, $and200 = 0, $shl201 = 0, $and202 = 0, $tobool203 = 0, $arrayidx209 = 0, $24 = 0, $conv210 = 0, $shl211 = 0, $add215 = 0, $arrayidx216 = 0, $25 = 0, $and221 = 0, $tobool222 = 0, $tok_0_ph = 0, $ptr_addr_1189 = 0, $cmp228190 = 0, $type236 = 0, $26 = 0, $ptr_addr_1192 = 0, $ptr_pn191 = 0, $arrayidx230 = 0, $27 = 0, $cmp232 = 0, $28 = 0, $idxprom235 = 0, $arrayidx237 = 0, $29 = 0, $conv238 = 0, $call242 = 0, $cond244 = 0, $30 = 0, $idxprom247 = 0, $arrayidx248 = 0, $31 = 0, $conv249 = 0, $shl250 = 0, $32 = 0, $conv252 = 0, $shr253165 = 0, $add254 = 0, $arrayidx255 = 0, $33 = 0, $and258 = 0, $shl259 = 0, $and260 = 0, $tobool261 = 0, $ptr_addr_1 = 0, $cmp228 = 0, $sub_ptr_lhs_cast267 = 0, $sub_ptr_rhs_cast268 = 0, $sub_ptr_sub269 = 0, $cmp270 = 0, $sub_ptr_lhs_cast275 = 0, $sub_ptr_rhs_cast276 = 0, $sub_ptr_sub277 = 0, $cmp278 = 0, $sub_ptr_lhs_cast283 = 0, $sub_ptr_rhs_cast284 = 0, $sub_ptr_sub285 = 0, $cmp286 = 0, $cmp292 = 0, $add_ptr296 = 0, $cmp298 = 0, $add_ptr302 = 0, $cmp304 = 0, $add_ptr308 = 0, $sub = 0, $retval_0 = 0, label = 0;
   163487   label = 2;
   163488   while (1) switch (label | 0) {
   163489    case 2:
   163490     $cmp = ($ptr | 0) == ($end | 0);
   163491     if ($cmp) {
   163492       $retval_0 = -4;
   163493       label = 95;
   163494       break;
   163495     } else {
   163496       label = 3;
   163497       break;
   163498     }
   163499    case 3:
   163500     $sub_ptr_lhs_cast = $end;
   163501     $sub_ptr_rhs_cast = $ptr;
   163502     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   163503     $and = $sub_ptr_sub & 1;
   163504     $tobool = ($and | 0) == 0;
   163505     if ($tobool) {
   163506       $end_addr_0 = $end;
   163507       label = 6;
   163508       break;
   163509     } else {
   163510       label = 4;
   163511       break;
   163512     }
   163513    case 4:
   163514     $and2 = $sub_ptr_sub & -2;
   163515     $cmp3 = ($and2 | 0) == 0;
   163516     if ($cmp3) {
   163517       $retval_0 = -1;
   163518       label = 95;
   163519       break;
   163520     } else {
   163521       label = 5;
   163522       break;
   163523     }
   163524    case 5:
   163525     $add_ptr = $ptr + $and2 | 0;
   163526     $end_addr_0 = $add_ptr;
   163527     label = 6;
   163528     break;
   163529    case 6:
   163530     $arrayidx = $ptr + 1 | 0;
   163531     $0 = HEAP8[$arrayidx] | 0;
   163532     $cmp7 = $0 << 24 >> 24 == 0;
   163533     $1 = HEAP8[$ptr] | 0;
   163534     if ($cmp7) {
   163535       label = 7;
   163536       break;
   163537     } else {
   163538       label = 8;
   163539       break;
   163540     }
   163541    case 7:
   163542     $idxprom = $1 & 255;
   163543     $type = $enc + 72 | 0;
   163544     $2 = $type;
   163545     $arrayidx9 = $2 + $idxprom | 0;
   163546     $3 = HEAP8[$arrayidx9] | 0;
   163547     $conv10 = $3 & 255;
   163548     $cond = $conv10;
   163549     label = 9;
   163550     break;
   163551    case 8:
   163552     $call = _unicode_byte_type($0, $1) | 0;
   163553     $cond = $call;
   163554     label = 9;
   163555     break;
   163556    case 9:
   163557     if (($cond | 0) == 21 | ($cond | 0) == 10) {
   163558       label = 10;
   163559       break;
   163560     } else if (($cond | 0) == 12) {
   163561       label = 12;
   163562       break;
   163563     } else if (($cond | 0) == 13) {
   163564       label = 13;
   163565       break;
   163566     } else if (($cond | 0) == 2) {
   163567       label = 14;
   163568       break;
   163569     } else if (($cond | 0) == 9) {
   163570       label = 23;
   163571       break;
   163572     } else if (($cond | 0) == 30) {
   163573       label = 33;
   163574       break;
   163575     } else if (($cond | 0) == 35) {
   163576       label = 34;
   163577       break;
   163578     } else if (($cond | 0) == 20) {
   163579       label = 35;
   163580       break;
   163581     } else if (($cond | 0) == 4) {
   163582       label = 36;
   163583       break;
   163584     } else if (($cond | 0) == 31) {
   163585       label = 44;
   163586       break;
   163587     } else if (($cond | 0) == 32) {
   163588       label = 45;
   163589       break;
   163590     } else if (($cond | 0) == 36) {
   163591       label = 55;
   163592       break;
   163593     } else if (($cond | 0) == 11) {
   163594       label = 56;
   163595       break;
   163596     } else if (($cond | 0) == 19) {
   163597       label = 57;
   163598       break;
   163599     } else if (($cond | 0) == 5) {
   163600       label = 58;
   163601       break;
   163602     } else if (($cond | 0) == 6) {
   163603       label = 60;
   163604       break;
   163605     } else if (($cond | 0) == 7) {
   163606       label = 62;
   163607       break;
   163608     } else if (($cond | 0) == 25 | ($cond | 0) == 26 | ($cond | 0) == 27) {
   163609       label = 64;
   163610       break;
   163611     } else if (($cond | 0) == 29) {
   163612       label = 65;
   163613       break;
   163614     } else if (($cond | 0) == 22 | ($cond | 0) == 24) {
   163615       $tok_0_ph = 18;
   163616       label = 67;
   163617       break;
   163618     } else {
   163619       label = 69;
   163620       break;
   163621     }
   163622    case 10:
   163623     $add_ptr54167 = $ptr + 2 | 0;
   163624     $cmp55168 = ($add_ptr54167 | 0) == ($end_addr_0 | 0);
   163625     if ($cmp55168) {
   163626       $add_ptr54_lcssa = $add_ptr54167;
   163627       label = 32;
   163628       break;
   163629     } else {
   163630       label = 11;
   163631       break;
   163632     }
   163633    case 11:
   163634     $type65 = $enc + 72 | 0;
   163635     $4 = $type65;
   163636     $ptr_addr_0169 = $ptr;
   163637     $add_ptr54170 = $add_ptr54167;
   163638     label = 25;
   163639     break;
   163640    case 12:
   163641     $add_ptr13 = $ptr + 2 | 0;
   163642     $call14 = _little2_scanLit(12, $enc, $add_ptr13, $end_addr_0, $nextTokPtr) | 0;
   163643     $retval_0 = $call14;
   163644     label = 95;
   163645     break;
   163646    case 13:
   163647     $add_ptr16 = $ptr + 2 | 0;
   163648     $call17 = _little2_scanLit(13, $enc, $add_ptr16, $end_addr_0, $nextTokPtr) | 0;
   163649     $retval_0 = $call17;
   163650     label = 95;
   163651     break;
   163652    case 14:
   163653     $add_ptr19 = $ptr + 2 | 0;
   163654     $cmp20 = ($add_ptr19 | 0) == ($end_addr_0 | 0);
   163655     if ($cmp20) {
   163656       $retval_0 = -1;
   163657       label = 95;
   163658       break;
   163659     } else {
   163660       label = 15;
   163661       break;
   163662     }
   163663    case 15:
   163664     $arrayidx24 = $ptr + 3 | 0;
   163665     $5 = HEAP8[$arrayidx24] | 0;
   163666     $cmp26 = $5 << 24 >> 24 == 0;
   163667     $6 = HEAP8[$add_ptr19] | 0;
   163668     if ($cmp26) {
   163669       label = 16;
   163670       break;
   163671     } else {
   163672       label = 17;
   163673       break;
   163674     }
   163675    case 16:
   163676     $idxprom29 = $6 & 255;
   163677     $type30 = $enc + 72 | 0;
   163678     $7 = $type30;
   163679     $arrayidx31 = $7 + $idxprom29 | 0;
   163680     $8 = HEAP8[$arrayidx31] | 0;
   163681     $conv32 = $8 & 255;
   163682     $cond38 = $conv32;
   163683     label = 18;
   163684     break;
   163685    case 17:
   163686     $call36 = _unicode_byte_type($5, $6) | 0;
   163687     $cond38 = $call36;
   163688     label = 18;
   163689     break;
   163690    case 18:
   163691     if (($cond38 | 0) == 16) {
   163692       label = 19;
   163693       break;
   163694     } else if (($cond38 | 0) == 15) {
   163695       label = 20;
   163696       break;
   163697     } else if (($cond38 | 0) == 22 | ($cond38 | 0) == 24 | ($cond38 | 0) == 29 | ($cond38 | 0) == 5 | ($cond38 | 0) == 6 | ($cond38 | 0) == 7) {
   163698       label = 21;
   163699       break;
   163700     } else {
   163701       label = 22;
   163702       break;
   163703     }
   163704    case 19:
   163705     $add_ptr40 = $ptr + 4 | 0;
   163706     $call41 = _little2_scanDecl($enc, $add_ptr40, $end_addr_0, $nextTokPtr) | 0;
   163707     $retval_0 = $call41;
   163708     label = 95;
   163709     break;
   163710    case 20:
   163711     $add_ptr43 = $ptr + 4 | 0;
   163712     $call44 = _little2_scanPi($enc, $add_ptr43, $end_addr_0, $nextTokPtr) | 0;
   163713     $retval_0 = $call44;
   163714     label = 95;
   163715     break;
   163716    case 21:
   163717     HEAP32[$nextTokPtr >> 2] = $ptr;
   163718     $retval_0 = 29;
   163719     label = 95;
   163720     break;
   163721    case 22:
   163722     HEAP32[$nextTokPtr >> 2] = $add_ptr19;
   163723     $retval_0 = 0;
   163724     label = 95;
   163725     break;
   163726    case 23:
   163727     $add_ptr48 = $ptr + 2 | 0;
   163728     $cmp49 = ($add_ptr48 | 0) == ($end_addr_0 | 0);
   163729     if ($cmp49) {
   163730       label = 24;
   163731       break;
   163732     } else {
   163733       label = 10;
   163734       break;
   163735     }
   163736    case 24:
   163737     HEAP32[$nextTokPtr >> 2] = $end_addr_0;
   163738     $retval_0 = -15;
   163739     label = 95;
   163740     break;
   163741    case 25:
   163742     $arrayidx59 = $ptr_addr_0169 + 3 | 0;
   163743     $9 = HEAP8[$arrayidx59] | 0;
   163744     $cmp61 = $9 << 24 >> 24 == 0;
   163745     $10 = HEAP8[$add_ptr54170] | 0;
   163746     if ($cmp61) {
   163747       label = 26;
   163748       break;
   163749     } else {
   163750       label = 27;
   163751       break;
   163752     }
   163753    case 26:
   163754     $idxprom64 = $10 & 255;
   163755     $arrayidx66 = $4 + $idxprom64 | 0;
   163756     $11 = HEAP8[$arrayidx66] | 0;
   163757     $conv67 = $11 & 255;
   163758     $cond73 = $conv67;
   163759     label = 28;
   163760     break;
   163761    case 27:
   163762     $call71 = _unicode_byte_type($9, $10) | 0;
   163763     $cond73 = $call71;
   163764     label = 28;
   163765     break;
   163766    case 28:
   163767     if (($cond73 | 0) == 21 | ($cond73 | 0) == 10) {
   163768       label = 29;
   163769       break;
   163770     } else if (($cond73 | 0) == 9) {
   163771       label = 30;
   163772       break;
   163773     } else {
   163774       label = 31;
   163775       break;
   163776     }
   163777    case 29:
   163778     $add_ptr54 = $add_ptr54170 + 2 | 0;
   163779     $cmp55 = ($add_ptr54 | 0) == ($end_addr_0 | 0);
   163780     if ($cmp55) {
   163781       $add_ptr54_lcssa = $add_ptr54;
   163782       label = 32;
   163783       break;
   163784     } else {
   163785       $ptr_addr_0169 = $add_ptr54170;
   163786       $add_ptr54170 = $add_ptr54;
   163787       label = 25;
   163788       break;
   163789     }
   163790    case 30:
   163791     $add_ptr76 = $ptr_addr_0169 + 4 | 0;
   163792     $cmp77 = ($add_ptr76 | 0) == ($end_addr_0 | 0);
   163793     if ($cmp77) {
   163794       label = 31;
   163795       break;
   163796     } else {
   163797       label = 29;
   163798       break;
   163799     }
   163800    case 31:
   163801     HEAP32[$nextTokPtr >> 2] = $add_ptr54170;
   163802     $retval_0 = 15;
   163803     label = 95;
   163804     break;
   163805    case 32:
   163806     HEAP32[$nextTokPtr >> 2] = $add_ptr54_lcssa;
   163807     $retval_0 = 15;
   163808     label = 95;
   163809     break;
   163810    case 33:
   163811     $add_ptr83 = $ptr + 2 | 0;
   163812     $call84 = _little2_scanPercent($enc, $add_ptr83, $end_addr_0, $nextTokPtr) | 0;
   163813     $retval_0 = $call84;
   163814     label = 95;
   163815     break;
   163816    case 34:
   163817     $add_ptr86 = $ptr + 2 | 0;
   163818     HEAP32[$nextTokPtr >> 2] = $add_ptr86;
   163819     $retval_0 = 38;
   163820     label = 95;
   163821     break;
   163822    case 35:
   163823     $add_ptr88 = $ptr + 2 | 0;
   163824     HEAP32[$nextTokPtr >> 2] = $add_ptr88;
   163825     $retval_0 = 25;
   163826     label = 95;
   163827     break;
   163828    case 36:
   163829     $add_ptr90 = $ptr + 2 | 0;
   163830     $cmp91 = ($add_ptr90 | 0) == ($end_addr_0 | 0);
   163831     if ($cmp91) {
   163832       $retval_0 = -26;
   163833       label = 95;
   163834       break;
   163835     } else {
   163836       label = 37;
   163837       break;
   163838     }
   163839    case 37:
   163840     $arrayidx95 = $ptr + 3 | 0;
   163841     $12 = HEAP8[$arrayidx95] | 0;
   163842     $cmp97 = $12 << 24 >> 24 == 0;
   163843     if ($cmp97) {
   163844       label = 38;
   163845       break;
   163846     } else {
   163847       label = 43;
   163848       break;
   163849     }
   163850    case 38:
   163851     $13 = HEAP8[$add_ptr90] | 0;
   163852     $cmp101 = $13 << 24 >> 24 == 93;
   163853     if ($cmp101) {
   163854       label = 39;
   163855       break;
   163856     } else {
   163857       label = 43;
   163858       break;
   163859     }
   163860    case 39:
   163861     $add_ptr104 = $ptr + 4 | 0;
   163862     $cmp105 = ($add_ptr104 | 0) == ($end_addr_0 | 0);
   163863     if ($cmp105) {
   163864       $retval_0 = -1;
   163865       label = 95;
   163866       break;
   163867     } else {
   163868       label = 40;
   163869       break;
   163870     }
   163871    case 40:
   163872     $arrayidx110 = $ptr + 5 | 0;
   163873     $14 = HEAP8[$arrayidx110] | 0;
   163874     $cmp112 = $14 << 24 >> 24 == 0;
   163875     if ($cmp112) {
   163876       label = 41;
   163877       break;
   163878     } else {
   163879       label = 43;
   163880       break;
   163881     }
   163882    case 41:
   163883     $15 = HEAP8[$add_ptr104] | 0;
   163884     $cmp118 = $15 << 24 >> 24 == 62;
   163885     if ($cmp118) {
   163886       label = 42;
   163887       break;
   163888     } else {
   163889       label = 43;
   163890       break;
   163891     }
   163892    case 42:
   163893     $add_ptr121 = $ptr + 6 | 0;
   163894     HEAP32[$nextTokPtr >> 2] = $add_ptr121;
   163895     $retval_0 = 34;
   163896     label = 95;
   163897     break;
   163898    case 43:
   163899     HEAP32[$nextTokPtr >> 2] = $add_ptr90;
   163900     $retval_0 = 26;
   163901     label = 95;
   163902     break;
   163903    case 44:
   163904     $add_ptr125 = $ptr + 2 | 0;
   163905     HEAP32[$nextTokPtr >> 2] = $add_ptr125;
   163906     $retval_0 = 23;
   163907     label = 95;
   163908     break;
   163909    case 45:
   163910     $add_ptr127 = $ptr + 2 | 0;
   163911     $cmp128 = ($add_ptr127 | 0) == ($end_addr_0 | 0);
   163912     if ($cmp128) {
   163913       $retval_0 = -24;
   163914       label = 95;
   163915       break;
   163916     } else {
   163917       label = 46;
   163918       break;
   163919     }
   163920    case 46:
   163921     $arrayidx132 = $ptr + 3 | 0;
   163922     $16 = HEAP8[$arrayidx132] | 0;
   163923     $cmp134 = $16 << 24 >> 24 == 0;
   163924     $17 = HEAP8[$add_ptr127] | 0;
   163925     if ($cmp134) {
   163926       label = 47;
   163927       break;
   163928     } else {
   163929       label = 48;
   163930       break;
   163931     }
   163932    case 47:
   163933     $idxprom137 = $17 & 255;
   163934     $type138 = $enc + 72 | 0;
   163935     $18 = $type138;
   163936     $arrayidx139 = $18 + $idxprom137 | 0;
   163937     $19 = HEAP8[$arrayidx139] | 0;
   163938     $conv140 = $19 & 255;
   163939     $cond146 = $conv140;
   163940     label = 49;
   163941     break;
   163942    case 48:
   163943     $call144 = _unicode_byte_type($16, $17) | 0;
   163944     $cond146 = $call144;
   163945     label = 49;
   163946     break;
   163947    case 49:
   163948     if (($cond146 | 0) == 33) {
   163949       label = 50;
   163950       break;
   163951     } else if (($cond146 | 0) == 15) {
   163952       label = 51;
   163953       break;
   163954     } else if (($cond146 | 0) == 34) {
   163955       label = 52;
   163956       break;
   163957     } else if (($cond146 | 0) == 9 | ($cond146 | 0) == 10 | ($cond146 | 0) == 21 | ($cond146 | 0) == 11 | ($cond146 | 0) == 35 | ($cond146 | 0) == 36 | ($cond146 | 0) == 32) {
   163958       label = 53;
   163959       break;
   163960     } else {
   163961       label = 54;
   163962       break;
   163963     }
   163964    case 50:
   163965     $add_ptr148 = $ptr + 4 | 0;
   163966     HEAP32[$nextTokPtr >> 2] = $add_ptr148;
   163967     $retval_0 = 36;
   163968     label = 95;
   163969     break;
   163970    case 51:
   163971     $add_ptr150 = $ptr + 4 | 0;
   163972     HEAP32[$nextTokPtr >> 2] = $add_ptr150;
   163973     $retval_0 = 35;
   163974     label = 95;
   163975     break;
   163976    case 52:
   163977     $add_ptr152 = $ptr + 4 | 0;
   163978     HEAP32[$nextTokPtr >> 2] = $add_ptr152;
   163979     $retval_0 = 37;
   163980     label = 95;
   163981     break;
   163982    case 53:
   163983     HEAP32[$nextTokPtr >> 2] = $add_ptr127;
   163984     $retval_0 = 24;
   163985     label = 95;
   163986     break;
   163987    case 54:
   163988     HEAP32[$nextTokPtr >> 2] = $add_ptr127;
   163989     $retval_0 = 0;
   163990     label = 95;
   163991     break;
   163992    case 55:
   163993     $add_ptr156 = $ptr + 2 | 0;
   163994     HEAP32[$nextTokPtr >> 2] = $add_ptr156;
   163995     $retval_0 = 21;
   163996     label = 95;
   163997     break;
   163998    case 56:
   163999     $add_ptr158 = $ptr + 2 | 0;
   164000     HEAP32[$nextTokPtr >> 2] = $add_ptr158;
   164001     $retval_0 = 17;
   164002     label = 95;
   164003     break;
   164004    case 57:
   164005     $add_ptr160 = $ptr + 2 | 0;
   164006     $call161 = _little2_scanPoundName($enc, $add_ptr160, $end_addr_0, $nextTokPtr) | 0;
   164007     $retval_0 = $call161;
   164008     label = 95;
   164009     break;
   164010    case 58:
   164011     $sub_ptr_lhs_cast163 = $end_addr_0;
   164012     $sub_ptr_sub165 = $sub_ptr_lhs_cast163 - $sub_ptr_rhs_cast | 0;
   164013     $cmp166 = ($sub_ptr_sub165 | 0) < 2;
   164014     if ($cmp166) {
   164015       $retval_0 = -2;
   164016       label = 95;
   164017       break;
   164018     } else {
   164019       label = 59;
   164020       break;
   164021     }
   164022    case 59:
   164023     HEAP32[$nextTokPtr >> 2] = $ptr;
   164024     $retval_0 = 0;
   164025     label = 95;
   164026     break;
   164027    case 60:
   164028     $sub_ptr_lhs_cast171 = $end_addr_0;
   164029     $sub_ptr_sub173 = $sub_ptr_lhs_cast171 - $sub_ptr_rhs_cast | 0;
   164030     $cmp174 = ($sub_ptr_sub173 | 0) < 3;
   164031     if ($cmp174) {
   164032       $retval_0 = -2;
   164033       label = 95;
   164034       break;
   164035     } else {
   164036       label = 61;
   164037       break;
   164038     }
   164039    case 61:
   164040     HEAP32[$nextTokPtr >> 2] = $ptr;
   164041     $retval_0 = 0;
   164042     label = 95;
   164043     break;
   164044    case 62:
   164045     $sub_ptr_lhs_cast179 = $end_addr_0;
   164046     $sub_ptr_sub181 = $sub_ptr_lhs_cast179 - $sub_ptr_rhs_cast | 0;
   164047     $cmp182 = ($sub_ptr_sub181 | 0) < 4;
   164048     if ($cmp182) {
   164049       $retval_0 = -2;
   164050       label = 95;
   164051       break;
   164052     } else {
   164053       label = 63;
   164054       break;
   164055     }
   164056    case 63:
   164057     HEAP32[$nextTokPtr >> 2] = $ptr;
   164058     $retval_0 = 0;
   164059     label = 95;
   164060     break;
   164061    case 64:
   164062     $tok_0_ph = 19;
   164063     label = 67;
   164064     break;
   164065    case 65:
   164066     $20 = HEAP8[$arrayidx] | 0;
   164067     $idxprom192 = $20 & 255;
   164068     $arrayidx193 = $idxprom192 + 9272 | 0;
   164069     $21 = HEAP8[$arrayidx193] | 0;
   164070     $conv194 = $21 & 255;
   164071     $shl = $conv194 << 3;
   164072     $22 = HEAP8[$ptr] | 0;
   164073     $conv196 = $22 & 255;
   164074     $shr164 = $conv196 >>> 5;
   164075     $add = $shr164 | $shl;
   164076     $arrayidx197 = 9544 + ($add << 2) | 0;
   164077     $23 = HEAP32[$arrayidx197 >> 2] | 0;
   164078     $and200 = $conv196 & 31;
   164079     $shl201 = 1 << $and200;
   164080     $and202 = $shl201 & $23;
   164081     $tobool203 = ($and202 | 0) == 0;
   164082     if ($tobool203) {
   164083       label = 66;
   164084       break;
   164085     } else {
   164086       $tok_0_ph = 18;
   164087       label = 67;
   164088       break;
   164089     }
   164090    case 66:
   164091     $arrayidx209 = $idxprom192 + 10824 | 0;
   164092     $24 = HEAP8[$arrayidx209] | 0;
   164093     $conv210 = $24 & 255;
   164094     $shl211 = $conv210 << 3;
   164095     $add215 = $shl211 | $shr164;
   164096     $arrayidx216 = 9544 + ($add215 << 2) | 0;
   164097     $25 = HEAP32[$arrayidx216 >> 2] | 0;
   164098     $and221 = $25 & $shl201;
   164099     $tobool222 = ($and221 | 0) == 0;
   164100     if ($tobool222) {
   164101       label = 69;
   164102       break;
   164103     } else {
   164104       $tok_0_ph = 19;
   164105       label = 67;
   164106       break;
   164107     }
   164108    case 67:
   164109     $ptr_addr_1189 = $ptr + 2 | 0;
   164110     $cmp228190 = ($ptr_addr_1189 | 0) == ($end_addr_0 | 0);
   164111     if ($cmp228190) {
   164112       label = 94;
   164113       break;
   164114     } else {
   164115       label = 68;
   164116       break;
   164117     }
   164118    case 68:
   164119     $type236 = $enc + 72 | 0;
   164120     $26 = $type236;
   164121     $ptr_pn191 = $ptr;
   164122     $ptr_addr_1192 = $ptr_addr_1189;
   164123     label = 70;
   164124     break;
   164125    case 69:
   164126     HEAP32[$nextTokPtr >> 2] = $ptr;
   164127     $retval_0 = 0;
   164128     label = 95;
   164129     break;
   164130    case 70:
   164131     $arrayidx230 = $ptr_pn191 + 3 | 0;
   164132     $27 = HEAP8[$arrayidx230] | 0;
   164133     $cmp232 = $27 << 24 >> 24 == 0;
   164134     $28 = HEAP8[$ptr_addr_1192] | 0;
   164135     if ($cmp232) {
   164136       label = 71;
   164137       break;
   164138     } else {
   164139       label = 72;
   164140       break;
   164141     }
   164142    case 71:
   164143     $idxprom235 = $28 & 255;
   164144     $arrayidx237 = $26 + $idxprom235 | 0;
   164145     $29 = HEAP8[$arrayidx237] | 0;
   164146     $conv238 = $29 & 255;
   164147     $cond244 = $conv238;
   164148     label = 73;
   164149     break;
   164150    case 72:
   164151     $call242 = _unicode_byte_type($27, $28) | 0;
   164152     $cond244 = $call242;
   164153     label = 73;
   164154     break;
   164155    case 73:
   164156     if (($cond244 | 0) == 29) {
   164157       label = 74;
   164158       break;
   164159     } else if (($cond244 | 0) == 22 | ($cond244 | 0) == 24 | ($cond244 | 0) == 25 | ($cond244 | 0) == 26 | ($cond244 | 0) == 27) {
   164160       label = 75;
   164161       break;
   164162     } else if (($cond244 | 0) == 5) {
   164163       label = 77;
   164164       break;
   164165     } else if (($cond244 | 0) == 6) {
   164166       label = 79;
   164167       break;
   164168     } else if (($cond244 | 0) == 7) {
   164169       label = 81;
   164170       break;
   164171     } else if (($cond244 | 0) == 11 | ($cond244 | 0) == 32 | ($cond244 | 0) == 35 | ($cond244 | 0) == 36 | ($cond244 | 0) == 20 | ($cond244 | 0) == 30 | ($cond244 | 0) == 21 | ($cond244 | 0) == 9 | ($cond244 | 0) == 10) {
   164172       label = 83;
   164173       break;
   164174     } else if (($cond244 | 0) == 34) {
   164175       label = 84;
   164176       break;
   164177     } else if (($cond244 | 0) == 33) {
   164178       label = 87;
   164179       break;
   164180     } else if (($cond244 | 0) == 15) {
   164181       label = 90;
   164182       break;
   164183     } else {
   164184       label = 93;
   164185       break;
   164186     }
   164187    case 74:
   164188     $30 = HEAP8[$arrayidx230] | 0;
   164189     $idxprom247 = $30 & 255;
   164190     $arrayidx248 = $idxprom247 + 10824 | 0;
   164191     $31 = HEAP8[$arrayidx248] | 0;
   164192     $conv249 = $31 & 255;
   164193     $shl250 = $conv249 << 3;
   164194     $32 = HEAP8[$ptr_addr_1192] | 0;
   164195     $conv252 = $32 & 255;
   164196     $shr253165 = $conv252 >>> 5;
   164197     $add254 = $shr253165 | $shl250;
   164198     $arrayidx255 = 9544 + ($add254 << 2) | 0;
   164199     $33 = HEAP32[$arrayidx255 >> 2] | 0;
   164200     $and258 = $conv252 & 31;
   164201     $shl259 = 1 << $and258;
   164202     $and260 = $shl259 & $33;
   164203     $tobool261 = ($and260 | 0) == 0;
   164204     if ($tobool261) {
   164205       label = 76;
   164206       break;
   164207     } else {
   164208       label = 75;
   164209       break;
   164210     }
   164211    case 75:
   164212     $ptr_addr_1 = $ptr_addr_1192 + 2 | 0;
   164213     $cmp228 = ($ptr_addr_1 | 0) == ($end_addr_0 | 0);
   164214     if ($cmp228) {
   164215       label = 94;
   164216       break;
   164217     } else {
   164218       $ptr_pn191 = $ptr_addr_1192;
   164219       $ptr_addr_1192 = $ptr_addr_1;
   164220       label = 70;
   164221       break;
   164222     }
   164223    case 76:
   164224     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1192;
   164225     $retval_0 = 0;
   164226     label = 95;
   164227     break;
   164228    case 77:
   164229     $sub_ptr_lhs_cast267 = $end_addr_0;
   164230     $sub_ptr_rhs_cast268 = $ptr_addr_1192;
   164231     $sub_ptr_sub269 = $sub_ptr_lhs_cast267 - $sub_ptr_rhs_cast268 | 0;
   164232     $cmp270 = ($sub_ptr_sub269 | 0) < 2;
   164233     if ($cmp270) {
   164234       $retval_0 = -2;
   164235       label = 95;
   164236       break;
   164237     } else {
   164238       label = 78;
   164239       break;
   164240     }
   164241    case 78:
   164242     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1192;
   164243     $retval_0 = 0;
   164244     label = 95;
   164245     break;
   164246    case 79:
   164247     $sub_ptr_lhs_cast275 = $end_addr_0;
   164248     $sub_ptr_rhs_cast276 = $ptr_addr_1192;
   164249     $sub_ptr_sub277 = $sub_ptr_lhs_cast275 - $sub_ptr_rhs_cast276 | 0;
   164250     $cmp278 = ($sub_ptr_sub277 | 0) < 3;
   164251     if ($cmp278) {
   164252       $retval_0 = -2;
   164253       label = 95;
   164254       break;
   164255     } else {
   164256       label = 80;
   164257       break;
   164258     }
   164259    case 80:
   164260     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1192;
   164261     $retval_0 = 0;
   164262     label = 95;
   164263     break;
   164264    case 81:
   164265     $sub_ptr_lhs_cast283 = $end_addr_0;
   164266     $sub_ptr_rhs_cast284 = $ptr_addr_1192;
   164267     $sub_ptr_sub285 = $sub_ptr_lhs_cast283 - $sub_ptr_rhs_cast284 | 0;
   164268     $cmp286 = ($sub_ptr_sub285 | 0) < 4;
   164269     if ($cmp286) {
   164270       $retval_0 = -2;
   164271       label = 95;
   164272       break;
   164273     } else {
   164274       label = 82;
   164275       break;
   164276     }
   164277    case 82:
   164278     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1192;
   164279     $retval_0 = 0;
   164280     label = 95;
   164281     break;
   164282    case 83:
   164283     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1192;
   164284     $retval_0 = $tok_0_ph;
   164285     label = 95;
   164286     break;
   164287    case 84:
   164288     $cmp292 = ($tok_0_ph | 0) == 19;
   164289     if ($cmp292) {
   164290       label = 85;
   164291       break;
   164292     } else {
   164293       label = 86;
   164294       break;
   164295     }
   164296    case 85:
   164297     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1192;
   164298     $retval_0 = 0;
   164299     label = 95;
   164300     break;
   164301    case 86:
   164302     $add_ptr296 = $ptr_pn191 + 4 | 0;
   164303     HEAP32[$nextTokPtr >> 2] = $add_ptr296;
   164304     $retval_0 = 32;
   164305     label = 95;
   164306     break;
   164307    case 87:
   164308     $cmp298 = ($tok_0_ph | 0) == 19;
   164309     if ($cmp298) {
   164310       label = 88;
   164311       break;
   164312     } else {
   164313       label = 89;
   164314       break;
   164315     }
   164316    case 88:
   164317     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1192;
   164318     $retval_0 = 0;
   164319     label = 95;
   164320     break;
   164321    case 89:
   164322     $add_ptr302 = $ptr_pn191 + 4 | 0;
   164323     HEAP32[$nextTokPtr >> 2] = $add_ptr302;
   164324     $retval_0 = 31;
   164325     label = 95;
   164326     break;
   164327    case 90:
   164328     $cmp304 = ($tok_0_ph | 0) == 19;
   164329     if ($cmp304) {
   164330       label = 91;
   164331       break;
   164332     } else {
   164333       label = 92;
   164334       break;
   164335     }
   164336    case 91:
   164337     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1192;
   164338     $retval_0 = 0;
   164339     label = 95;
   164340     break;
   164341    case 92:
   164342     $add_ptr308 = $ptr_pn191 + 4 | 0;
   164343     HEAP32[$nextTokPtr >> 2] = $add_ptr308;
   164344     $retval_0 = 30;
   164345     label = 95;
   164346     break;
   164347    case 93:
   164348     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1192;
   164349     $retval_0 = 0;
   164350     label = 95;
   164351     break;
   164352    case 94:
   164353     $sub = -$tok_0_ph | 0;
   164354     $retval_0 = $sub;
   164355     label = 95;
   164356     break;
   164357    case 95:
   164358     return $retval_0 | 0;
   164359   }
   164360   return 0;
   164361 }
   164362 function _little2_contentTok($enc, $ptr, $end, $nextTokPtr) {
   164363   $enc = $enc | 0;
   164364   $ptr = $ptr | 0;
   164365   $end = $end | 0;
   164366   $nextTokPtr = $nextTokPtr | 0;
   164367   var $cmp = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $and = 0, $tobool = 0, $and2 = 0, $cmp3 = 0, $add_ptr = 0, $end_addr_0 = 0, $arrayidx = 0, $0 = 0, $cmp7 = 0, $1 = 0, $idxprom = 0, $type = 0, $2 = 0, $arrayidx9 = 0, $3 = 0, $conv10 = 0, $call = 0, $cond = 0, $add_ptr13 = 0, $call14 = 0, $add_ptr16 = 0, $call17 = 0, $add_ptr19 = 0, $cmp20 = 0, $arrayidx24 = 0, $4 = 0, $cmp26 = 0, $5 = 0, $idxprom29 = 0, $type30 = 0, $6 = 0, $arrayidx31 = 0, $7 = 0, $conv32 = 0, $call36 = 0, $cond38 = 0, $cmp39 = 0, $add_ptr42 = 0, $add_ptr42_add_ptr19 = 0, $add_ptr45 = 0, $add_ptr47 = 0, $cmp48 = 0, $arrayidx52 = 0, $8 = 0, $cmp54 = 0, $9 = 0, $cmp58 = 0, $add_ptr62 = 0, $cmp63 = 0, $arrayidx67 = 0, $10 = 0, $cmp69 = 0, $11 = 0, $cmp74 = 0, $sub_ptr_lhs_cast80 = 0, $sub_ptr_sub82 = 0, $cmp83 = 0, $add_ptr87 = 0, $sub_ptr_lhs_cast89 = 0, $sub_ptr_sub91 = 0, $cmp92 = 0, $add_ptr96 = 0, $sub_ptr_lhs_cast98 = 0, $sub_ptr_sub100 = 0, $cmp101 = 0, $add_ptr105 = 0, $add_ptr107 = 0, $ptr_addr_1_ph = 0, $cmp10893 = 0, $type116 = 0, $12 = 0, $sub_ptr_lhs_cast126 = 0, $sub_ptr_lhs_cast135 = 0, $sub_ptr_lhs_cast144 = 0, $ptr_addr_194 = 0, $arrayidx110 = 0, $13 = 0, $cmp112 = 0, $14 = 0, $idxprom115 = 0, $arrayidx117 = 0, $15 = 0, $conv118 = 0, $call122 = 0, $cond124 = 0, $sub_ptr_rhs_cast127 = 0, $sub_ptr_sub128 = 0, $cmp129 = 0, $add_ptr133 = 0, $sub_ptr_rhs_cast136 = 0, $sub_ptr_sub137 = 0, $cmp138 = 0, $add_ptr142 = 0, $sub_ptr_rhs_cast145 = 0, $sub_ptr_sub146 = 0, $cmp147 = 0, $add_ptr151 = 0, $add_ptr153 = 0, $cmp154 = 0, $arrayidx158 = 0, $16 = 0, $cmp160 = 0, $17 = 0, $cmp166 = 0, $add_ptr171 = 0, $cmp172 = 0, $arrayidx176 = 0, $18 = 0, $cmp178 = 0, $19 = 0, $cmp184 = 0, $add_ptr194 = 0, $ptr_addr_1_be = 0, $cmp108 = 0, $ptr_addr_1_lcssa = 0, $retval_0 = 0, label = 0;
   164368   label = 2;
   164369   while (1) switch (label | 0) {
   164370    case 2:
   164371     $cmp = ($ptr | 0) == ($end | 0);
   164372     if ($cmp) {
   164373       $retval_0 = -4;
   164374       label = 59;
   164375       break;
   164376     } else {
   164377       label = 3;
   164378       break;
   164379     }
   164380    case 3:
   164381     $sub_ptr_lhs_cast = $end;
   164382     $sub_ptr_rhs_cast = $ptr;
   164383     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   164384     $and = $sub_ptr_sub & 1;
   164385     $tobool = ($and | 0) == 0;
   164386     if ($tobool) {
   164387       $end_addr_0 = $end;
   164388       label = 6;
   164389       break;
   164390     } else {
   164391       label = 4;
   164392       break;
   164393     }
   164394    case 4:
   164395     $and2 = $sub_ptr_sub & -2;
   164396     $cmp3 = ($and2 | 0) == 0;
   164397     if ($cmp3) {
   164398       $retval_0 = -1;
   164399       label = 59;
   164400       break;
   164401     } else {
   164402       label = 5;
   164403       break;
   164404     }
   164405    case 5:
   164406     $add_ptr = $ptr + $and2 | 0;
   164407     $end_addr_0 = $add_ptr;
   164408     label = 6;
   164409     break;
   164410    case 6:
   164411     $arrayidx = $ptr + 1 | 0;
   164412     $0 = HEAP8[$arrayidx] | 0;
   164413     $cmp7 = $0 << 24 >> 24 == 0;
   164414     $1 = HEAP8[$ptr] | 0;
   164415     if ($cmp7) {
   164416       label = 7;
   164417       break;
   164418     } else {
   164419       label = 8;
   164420       break;
   164421     }
   164422    case 7:
   164423     $idxprom = $1 & 255;
   164424     $type = $enc + 72 | 0;
   164425     $2 = $type;
   164426     $arrayidx9 = $2 + $idxprom | 0;
   164427     $3 = HEAP8[$arrayidx9] | 0;
   164428     $conv10 = $3 & 255;
   164429     $cond = $conv10;
   164430     label = 9;
   164431     break;
   164432    case 8:
   164433     $call = _unicode_byte_type($0, $1) | 0;
   164434     $cond = $call;
   164435     label = 9;
   164436     break;
   164437    case 9:
   164438     if (($cond | 0) == 2) {
   164439       label = 10;
   164440       break;
   164441     } else if (($cond | 0) == 3) {
   164442       label = 11;
   164443       break;
   164444     } else if (($cond | 0) == 9) {
   164445       label = 12;
   164446       break;
   164447     } else if (($cond | 0) == 10) {
   164448       label = 17;
   164449       break;
   164450     } else if (($cond | 0) == 4) {
   164451       label = 18;
   164452       break;
   164453     } else if (($cond | 0) == 5) {
   164454       label = 25;
   164455       break;
   164456     } else if (($cond | 0) == 6) {
   164457       label = 27;
   164458       break;
   164459     } else if (($cond | 0) == 7) {
   164460       label = 29;
   164461       break;
   164462     } else if (($cond | 0) == 0 | ($cond | 0) == 1 | ($cond | 0) == 8) {
   164463       label = 31;
   164464       break;
   164465     } else {
   164466       label = 32;
   164467       break;
   164468     }
   164469    case 10:
   164470     $add_ptr13 = $ptr + 2 | 0;
   164471     $call14 = _little2_scanLt($enc, $add_ptr13, $end_addr_0, $nextTokPtr) | 0;
   164472     $retval_0 = $call14;
   164473     label = 59;
   164474     break;
   164475    case 11:
   164476     $add_ptr16 = $ptr + 2 | 0;
   164477     $call17 = _little2_scanRef($enc, $add_ptr16, $end_addr_0, $nextTokPtr) | 0;
   164478     $retval_0 = $call17;
   164479     label = 59;
   164480     break;
   164481    case 12:
   164482     $add_ptr19 = $ptr + 2 | 0;
   164483     $cmp20 = ($add_ptr19 | 0) == ($end_addr_0 | 0);
   164484     if ($cmp20) {
   164485       $retval_0 = -3;
   164486       label = 59;
   164487       break;
   164488     } else {
   164489       label = 13;
   164490       break;
   164491     }
   164492    case 13:
   164493     $arrayidx24 = $ptr + 3 | 0;
   164494     $4 = HEAP8[$arrayidx24] | 0;
   164495     $cmp26 = $4 << 24 >> 24 == 0;
   164496     $5 = HEAP8[$add_ptr19] | 0;
   164497     if ($cmp26) {
   164498       label = 14;
   164499       break;
   164500     } else {
   164501       label = 15;
   164502       break;
   164503     }
   164504    case 14:
   164505     $idxprom29 = $5 & 255;
   164506     $type30 = $enc + 72 | 0;
   164507     $6 = $type30;
   164508     $arrayidx31 = $6 + $idxprom29 | 0;
   164509     $7 = HEAP8[$arrayidx31] | 0;
   164510     $conv32 = $7 & 255;
   164511     $cond38 = $conv32;
   164512     label = 16;
   164513     break;
   164514    case 15:
   164515     $call36 = _unicode_byte_type($4, $5) | 0;
   164516     $cond38 = $call36;
   164517     label = 16;
   164518     break;
   164519    case 16:
   164520     $cmp39 = ($cond38 | 0) == 10;
   164521     $add_ptr42 = $ptr + 4 | 0;
   164522     $add_ptr42_add_ptr19 = $cmp39 ? $add_ptr42 : $add_ptr19;
   164523     HEAP32[$nextTokPtr >> 2] = $add_ptr42_add_ptr19;
   164524     $retval_0 = 7;
   164525     label = 59;
   164526     break;
   164527    case 17:
   164528     $add_ptr45 = $ptr + 2 | 0;
   164529     HEAP32[$nextTokPtr >> 2] = $add_ptr45;
   164530     $retval_0 = 7;
   164531     label = 59;
   164532     break;
   164533    case 18:
   164534     $add_ptr47 = $ptr + 2 | 0;
   164535     $cmp48 = ($add_ptr47 | 0) == ($end_addr_0 | 0);
   164536     if ($cmp48) {
   164537       $retval_0 = -5;
   164538       label = 59;
   164539       break;
   164540     } else {
   164541       label = 19;
   164542       break;
   164543     }
   164544    case 19:
   164545     $arrayidx52 = $ptr + 3 | 0;
   164546     $8 = HEAP8[$arrayidx52] | 0;
   164547     $cmp54 = $8 << 24 >> 24 == 0;
   164548     if ($cmp54) {
   164549       label = 20;
   164550       break;
   164551     } else {
   164552       $ptr_addr_1_ph = $add_ptr47;
   164553       label = 33;
   164554       break;
   164555     }
   164556    case 20:
   164557     $9 = HEAP8[$add_ptr47] | 0;
   164558     $cmp58 = $9 << 24 >> 24 == 93;
   164559     if ($cmp58) {
   164560       label = 21;
   164561       break;
   164562     } else {
   164563       $ptr_addr_1_ph = $add_ptr47;
   164564       label = 33;
   164565       break;
   164566     }
   164567    case 21:
   164568     $add_ptr62 = $ptr + 4 | 0;
   164569     $cmp63 = ($add_ptr62 | 0) == ($end_addr_0 | 0);
   164570     if ($cmp63) {
   164571       $retval_0 = -5;
   164572       label = 59;
   164573       break;
   164574     } else {
   164575       label = 22;
   164576       break;
   164577     }
   164578    case 22:
   164579     $arrayidx67 = $ptr + 5 | 0;
   164580     $10 = HEAP8[$arrayidx67] | 0;
   164581     $cmp69 = $10 << 24 >> 24 == 0;
   164582     if ($cmp69) {
   164583       label = 23;
   164584       break;
   164585     } else {
   164586       $ptr_addr_1_ph = $add_ptr47;
   164587       label = 33;
   164588       break;
   164589     }
   164590    case 23:
   164591     $11 = HEAP8[$add_ptr62] | 0;
   164592     $cmp74 = $11 << 24 >> 24 == 62;
   164593     if ($cmp74) {
   164594       label = 24;
   164595       break;
   164596     } else {
   164597       $ptr_addr_1_ph = $add_ptr47;
   164598       label = 33;
   164599       break;
   164600     }
   164601    case 24:
   164602     HEAP32[$nextTokPtr >> 2] = $add_ptr62;
   164603     $retval_0 = 0;
   164604     label = 59;
   164605     break;
   164606    case 25:
   164607     $sub_ptr_lhs_cast80 = $end_addr_0;
   164608     $sub_ptr_sub82 = $sub_ptr_lhs_cast80 - $sub_ptr_rhs_cast | 0;
   164609     $cmp83 = ($sub_ptr_sub82 | 0) < 2;
   164610     if ($cmp83) {
   164611       $retval_0 = -2;
   164612       label = 59;
   164613       break;
   164614     } else {
   164615       label = 26;
   164616       break;
   164617     }
   164618    case 26:
   164619     $add_ptr87 = $ptr + 2 | 0;
   164620     $ptr_addr_1_ph = $add_ptr87;
   164621     label = 33;
   164622     break;
   164623    case 27:
   164624     $sub_ptr_lhs_cast89 = $end_addr_0;
   164625     $sub_ptr_sub91 = $sub_ptr_lhs_cast89 - $sub_ptr_rhs_cast | 0;
   164626     $cmp92 = ($sub_ptr_sub91 | 0) < 3;
   164627     if ($cmp92) {
   164628       $retval_0 = -2;
   164629       label = 59;
   164630       break;
   164631     } else {
   164632       label = 28;
   164633       break;
   164634     }
   164635    case 28:
   164636     $add_ptr96 = $ptr + 3 | 0;
   164637     $ptr_addr_1_ph = $add_ptr96;
   164638     label = 33;
   164639     break;
   164640    case 29:
   164641     $sub_ptr_lhs_cast98 = $end_addr_0;
   164642     $sub_ptr_sub100 = $sub_ptr_lhs_cast98 - $sub_ptr_rhs_cast | 0;
   164643     $cmp101 = ($sub_ptr_sub100 | 0) < 4;
   164644     if ($cmp101) {
   164645       $retval_0 = -2;
   164646       label = 59;
   164647       break;
   164648     } else {
   164649       label = 30;
   164650       break;
   164651     }
   164652    case 30:
   164653     $add_ptr105 = $ptr + 4 | 0;
   164654     $ptr_addr_1_ph = $add_ptr105;
   164655     label = 33;
   164656     break;
   164657    case 31:
   164658     HEAP32[$nextTokPtr >> 2] = $ptr;
   164659     $retval_0 = 0;
   164660     label = 59;
   164661     break;
   164662    case 32:
   164663     $add_ptr107 = $ptr + 2 | 0;
   164664     $ptr_addr_1_ph = $add_ptr107;
   164665     label = 33;
   164666     break;
   164667    case 33:
   164668     $cmp10893 = ($ptr_addr_1_ph | 0) == ($end_addr_0 | 0);
   164669     if ($cmp10893) {
   164670       $ptr_addr_1_lcssa = $ptr_addr_1_ph;
   164671       label = 58;
   164672       break;
   164673     } else {
   164674       label = 34;
   164675       break;
   164676     }
   164677    case 34:
   164678     $type116 = $enc + 72 | 0;
   164679     $12 = $type116;
   164680     $sub_ptr_lhs_cast126 = $end_addr_0;
   164681     $sub_ptr_lhs_cast135 = $end_addr_0;
   164682     $sub_ptr_lhs_cast144 = $end_addr_0;
   164683     $ptr_addr_194 = $ptr_addr_1_ph;
   164684     label = 35;
   164685     break;
   164686    case 35:
   164687     $arrayidx110 = $ptr_addr_194 + 1 | 0;
   164688     $13 = HEAP8[$arrayidx110] | 0;
   164689     $cmp112 = $13 << 24 >> 24 == 0;
   164690     $14 = HEAP8[$ptr_addr_194] | 0;
   164691     if ($cmp112) {
   164692       label = 36;
   164693       break;
   164694     } else {
   164695       label = 37;
   164696       break;
   164697     }
   164698    case 36:
   164699     $idxprom115 = $14 & 255;
   164700     $arrayidx117 = $12 + $idxprom115 | 0;
   164701     $15 = HEAP8[$arrayidx117] | 0;
   164702     $conv118 = $15 & 255;
   164703     $cond124 = $conv118;
   164704     label = 38;
   164705     break;
   164706    case 37:
   164707     $call122 = _unicode_byte_type($13, $14) | 0;
   164708     $cond124 = $call122;
   164709     label = 38;
   164710     break;
   164711    case 38:
   164712     if (($cond124 | 0) == 5) {
   164713       label = 39;
   164714       break;
   164715     } else if (($cond124 | 0) == 6) {
   164716       label = 42;
   164717       break;
   164718     } else if (($cond124 | 0) == 7) {
   164719       label = 45;
   164720       break;
   164721     } else if (($cond124 | 0) == 4) {
   164722       label = 48;
   164723       break;
   164724     } else if (($cond124 | 0) == 3 | ($cond124 | 0) == 2 | ($cond124 | 0) == 0 | ($cond124 | 0) == 1 | ($cond124 | 0) == 8 | ($cond124 | 0) == 9 | ($cond124 | 0) == 10) {
   164725       label = 55;
   164726       break;
   164727     } else {
   164728       label = 56;
   164729       break;
   164730     }
   164731    case 39:
   164732     $sub_ptr_rhs_cast127 = $ptr_addr_194;
   164733     $sub_ptr_sub128 = $sub_ptr_lhs_cast126 - $sub_ptr_rhs_cast127 | 0;
   164734     $cmp129 = ($sub_ptr_sub128 | 0) < 2;
   164735     if ($cmp129) {
   164736       label = 40;
   164737       break;
   164738     } else {
   164739       label = 41;
   164740       break;
   164741     }
   164742    case 40:
   164743     HEAP32[$nextTokPtr >> 2] = $ptr_addr_194;
   164744     $retval_0 = 6;
   164745     label = 59;
   164746     break;
   164747    case 41:
   164748     $add_ptr133 = $ptr_addr_194 + 2 | 0;
   164749     $ptr_addr_1_be = $add_ptr133;
   164750     label = 57;
   164751     break;
   164752    case 42:
   164753     $sub_ptr_rhs_cast136 = $ptr_addr_194;
   164754     $sub_ptr_sub137 = $sub_ptr_lhs_cast135 - $sub_ptr_rhs_cast136 | 0;
   164755     $cmp138 = ($sub_ptr_sub137 | 0) < 3;
   164756     if ($cmp138) {
   164757       label = 43;
   164758       break;
   164759     } else {
   164760       label = 44;
   164761       break;
   164762     }
   164763    case 43:
   164764     HEAP32[$nextTokPtr >> 2] = $ptr_addr_194;
   164765     $retval_0 = 6;
   164766     label = 59;
   164767     break;
   164768    case 44:
   164769     $add_ptr142 = $ptr_addr_194 + 3 | 0;
   164770     $ptr_addr_1_be = $add_ptr142;
   164771     label = 57;
   164772     break;
   164773    case 45:
   164774     $sub_ptr_rhs_cast145 = $ptr_addr_194;
   164775     $sub_ptr_sub146 = $sub_ptr_lhs_cast144 - $sub_ptr_rhs_cast145 | 0;
   164776     $cmp147 = ($sub_ptr_sub146 | 0) < 4;
   164777     if ($cmp147) {
   164778       label = 46;
   164779       break;
   164780     } else {
   164781       label = 47;
   164782       break;
   164783     }
   164784    case 46:
   164785     HEAP32[$nextTokPtr >> 2] = $ptr_addr_194;
   164786     $retval_0 = 6;
   164787     label = 59;
   164788     break;
   164789    case 47:
   164790     $add_ptr151 = $ptr_addr_194 + 4 | 0;
   164791     $ptr_addr_1_be = $add_ptr151;
   164792     label = 57;
   164793     break;
   164794    case 48:
   164795     $add_ptr153 = $ptr_addr_194 + 2 | 0;
   164796     $cmp154 = ($add_ptr153 | 0) == ($end_addr_0 | 0);
   164797     if ($cmp154) {
   164798       label = 55;
   164799       break;
   164800     } else {
   164801       label = 49;
   164802       break;
   164803     }
   164804    case 49:
   164805     $arrayidx158 = $ptr_addr_194 + 3 | 0;
   164806     $16 = HEAP8[$arrayidx158] | 0;
   164807     $cmp160 = $16 << 24 >> 24 == 0;
   164808     if ($cmp160) {
   164809       label = 50;
   164810       break;
   164811     } else {
   164812       $ptr_addr_1_be = $add_ptr153;
   164813       label = 57;
   164814       break;
   164815     }
   164816    case 50:
   164817     $17 = HEAP8[$add_ptr153] | 0;
   164818     $cmp166 = $17 << 24 >> 24 == 93;
   164819     if ($cmp166) {
   164820       label = 51;
   164821       break;
   164822     } else {
   164823       $ptr_addr_1_be = $add_ptr153;
   164824       label = 57;
   164825       break;
   164826     }
   164827    case 51:
   164828     $add_ptr171 = $ptr_addr_194 + 4 | 0;
   164829     $cmp172 = ($add_ptr171 | 0) == ($end_addr_0 | 0);
   164830     if ($cmp172) {
   164831       label = 55;
   164832       break;
   164833     } else {
   164834       label = 52;
   164835       break;
   164836     }
   164837    case 52:
   164838     $arrayidx176 = $ptr_addr_194 + 5 | 0;
   164839     $18 = HEAP8[$arrayidx176] | 0;
   164840     $cmp178 = $18 << 24 >> 24 == 0;
   164841     if ($cmp178) {
   164842       label = 53;
   164843       break;
   164844     } else {
   164845       $ptr_addr_1_be = $add_ptr153;
   164846       label = 57;
   164847       break;
   164848     }
   164849    case 53:
   164850     $19 = HEAP8[$add_ptr171] | 0;
   164851     $cmp184 = $19 << 24 >> 24 == 62;
   164852     if ($cmp184) {
   164853       label = 54;
   164854       break;
   164855     } else {
   164856       $ptr_addr_1_be = $add_ptr153;
   164857       label = 57;
   164858       break;
   164859     }
   164860    case 54:
   164861     HEAP32[$nextTokPtr >> 2] = $add_ptr171;
   164862     $retval_0 = 0;
   164863     label = 59;
   164864     break;
   164865    case 55:
   164866     HEAP32[$nextTokPtr >> 2] = $ptr_addr_194;
   164867     $retval_0 = 6;
   164868     label = 59;
   164869     break;
   164870    case 56:
   164871     $add_ptr194 = $ptr_addr_194 + 2 | 0;
   164872     $ptr_addr_1_be = $add_ptr194;
   164873     label = 57;
   164874     break;
   164875    case 57:
   164876     $cmp108 = ($ptr_addr_1_be | 0) == ($end_addr_0 | 0);
   164877     if ($cmp108) {
   164878       $ptr_addr_1_lcssa = $ptr_addr_1_be;
   164879       label = 58;
   164880       break;
   164881     } else {
   164882       $ptr_addr_194 = $ptr_addr_1_be;
   164883       label = 35;
   164884       break;
   164885     }
   164886    case 58:
   164887     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1_lcssa;
   164888     $retval_0 = 6;
   164889     label = 59;
   164890     break;
   164891    case 59:
   164892     return $retval_0 | 0;
   164893   }
   164894   return 0;
   164895 }
   164896 function _little2_cdataSectionTok($enc, $ptr, $end, $nextTokPtr) {
   164897   $enc = $enc | 0;
   164898   $ptr = $ptr | 0;
   164899   $end = $end | 0;
   164900   $nextTokPtr = $nextTokPtr | 0;
   164901   var $cmp = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $and = 0, $tobool = 0, $and2 = 0, $cmp3 = 0, $add_ptr = 0, $end_addr_0 = 0, $arrayidx = 0, $0 = 0, $cmp7 = 0, $1 = 0, $idxprom = 0, $type = 0, $2 = 0, $arrayidx9 = 0, $3 = 0, $conv10 = 0, $call = 0, $cond = 0, $add_ptr13 = 0, $cmp14 = 0, $arrayidx18 = 0, $4 = 0, $cmp20 = 0, $5 = 0, $cmp24 = 0, $add_ptr28 = 0, $cmp29 = 0, $arrayidx33 = 0, $6 = 0, $cmp35 = 0, $7 = 0, $cmp40 = 0, $add_ptr45 = 0, $add_ptr47 = 0, $cmp48 = 0, $arrayidx52 = 0, $8 = 0, $cmp54 = 0, $9 = 0, $idxprom57 = 0, $type58 = 0, $10 = 0, $arrayidx59 = 0, $11 = 0, $conv60 = 0, $call64 = 0, $cond66 = 0, $cmp67 = 0, $add_ptr70 = 0, $add_ptr70_add_ptr47 = 0, $add_ptr73 = 0, $sub_ptr_lhs_cast75 = 0, $sub_ptr_sub77 = 0, $cmp78 = 0, $add_ptr82 = 0, $sub_ptr_lhs_cast84 = 0, $sub_ptr_sub86 = 0, $cmp87 = 0, $add_ptr91 = 0, $sub_ptr_lhs_cast93 = 0, $sub_ptr_sub95 = 0, $cmp96 = 0, $add_ptr100 = 0, $add_ptr102 = 0, $ptr_addr_1_ph = 0, $cmp10372 = 0, $type111 = 0, $12 = 0, $sub_ptr_lhs_cast121 = 0, $sub_ptr_lhs_cast130 = 0, $sub_ptr_lhs_cast139 = 0, $ptr_addr_173 = 0, $arrayidx105 = 0, $13 = 0, $cmp107 = 0, $14 = 0, $idxprom110 = 0, $arrayidx112 = 0, $15 = 0, $conv113 = 0, $call117 = 0, $cond119 = 0, $sub_ptr_rhs_cast122 = 0, $sub_ptr_sub123 = 0, $cmp124 = 0, $add_ptr128 = 0, $sub_ptr_rhs_cast131 = 0, $sub_ptr_sub132 = 0, $cmp133 = 0, $add_ptr137 = 0, $sub_ptr_rhs_cast140 = 0, $sub_ptr_sub141 = 0, $cmp142 = 0, $add_ptr146 = 0, $add_ptr149 = 0, $ptr_addr_1_be = 0, $cmp103 = 0, $ptr_addr_1_lcssa = 0, $retval_0 = 0, label = 0;
   164902   label = 2;
   164903   while (1) switch (label | 0) {
   164904    case 2:
   164905     $cmp = ($ptr | 0) == ($end | 0);
   164906     if ($cmp) {
   164907       $retval_0 = -4;
   164908       label = 50;
   164909       break;
   164910     } else {
   164911       label = 3;
   164912       break;
   164913     }
   164914    case 3:
   164915     $sub_ptr_lhs_cast = $end;
   164916     $sub_ptr_rhs_cast = $ptr;
   164917     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   164918     $and = $sub_ptr_sub & 1;
   164919     $tobool = ($and | 0) == 0;
   164920     if ($tobool) {
   164921       $end_addr_0 = $end;
   164922       label = 6;
   164923       break;
   164924     } else {
   164925       label = 4;
   164926       break;
   164927     }
   164928    case 4:
   164929     $and2 = $sub_ptr_sub & -2;
   164930     $cmp3 = ($and2 | 0) == 0;
   164931     if ($cmp3) {
   164932       $retval_0 = -1;
   164933       label = 50;
   164934       break;
   164935     } else {
   164936       label = 5;
   164937       break;
   164938     }
   164939    case 5:
   164940     $add_ptr = $ptr + $and2 | 0;
   164941     $end_addr_0 = $add_ptr;
   164942     label = 6;
   164943     break;
   164944    case 6:
   164945     $arrayidx = $ptr + 1 | 0;
   164946     $0 = HEAP8[$arrayidx] | 0;
   164947     $cmp7 = $0 << 24 >> 24 == 0;
   164948     $1 = HEAP8[$ptr] | 0;
   164949     if ($cmp7) {
   164950       label = 7;
   164951       break;
   164952     } else {
   164953       label = 8;
   164954       break;
   164955     }
   164956    case 7:
   164957     $idxprom = $1 & 255;
   164958     $type = $enc + 72 | 0;
   164959     $2 = $type;
   164960     $arrayidx9 = $2 + $idxprom | 0;
   164961     $3 = HEAP8[$arrayidx9] | 0;
   164962     $conv10 = $3 & 255;
   164963     $cond = $conv10;
   164964     label = 9;
   164965     break;
   164966    case 8:
   164967     $call = _unicode_byte_type($0, $1) | 0;
   164968     $cond = $call;
   164969     label = 9;
   164970     break;
   164971    case 9:
   164972     if (($cond | 0) == 4) {
   164973       label = 10;
   164974       break;
   164975     } else if (($cond | 0) == 9) {
   164976       label = 17;
   164977       break;
   164978     } else if (($cond | 0) == 10) {
   164979       label = 22;
   164980       break;
   164981     } else if (($cond | 0) == 5) {
   164982       label = 23;
   164983       break;
   164984     } else if (($cond | 0) == 6) {
   164985       label = 25;
   164986       break;
   164987     } else if (($cond | 0) == 7) {
   164988       label = 27;
   164989       break;
   164990     } else if (($cond | 0) == 0 | ($cond | 0) == 1 | ($cond | 0) == 8) {
   164991       label = 29;
   164992       break;
   164993     } else {
   164994       label = 30;
   164995       break;
   164996     }
   164997    case 10:
   164998     $add_ptr13 = $ptr + 2 | 0;
   164999     $cmp14 = ($add_ptr13 | 0) == ($end_addr_0 | 0);
   165000     if ($cmp14) {
   165001       $retval_0 = -1;
   165002       label = 50;
   165003       break;
   165004     } else {
   165005       label = 11;
   165006       break;
   165007     }
   165008    case 11:
   165009     $arrayidx18 = $ptr + 3 | 0;
   165010     $4 = HEAP8[$arrayidx18] | 0;
   165011     $cmp20 = $4 << 24 >> 24 == 0;
   165012     if ($cmp20) {
   165013       label = 12;
   165014       break;
   165015     } else {
   165016       $ptr_addr_1_ph = $add_ptr13;
   165017       label = 31;
   165018       break;
   165019     }
   165020    case 12:
   165021     $5 = HEAP8[$add_ptr13] | 0;
   165022     $cmp24 = $5 << 24 >> 24 == 93;
   165023     if ($cmp24) {
   165024       label = 13;
   165025       break;
   165026     } else {
   165027       $ptr_addr_1_ph = $add_ptr13;
   165028       label = 31;
   165029       break;
   165030     }
   165031    case 13:
   165032     $add_ptr28 = $ptr + 4 | 0;
   165033     $cmp29 = ($add_ptr28 | 0) == ($end_addr_0 | 0);
   165034     if ($cmp29) {
   165035       $retval_0 = -1;
   165036       label = 50;
   165037       break;
   165038     } else {
   165039       label = 14;
   165040       break;
   165041     }
   165042    case 14:
   165043     $arrayidx33 = $ptr + 5 | 0;
   165044     $6 = HEAP8[$arrayidx33] | 0;
   165045     $cmp35 = $6 << 24 >> 24 == 0;
   165046     if ($cmp35) {
   165047       label = 15;
   165048       break;
   165049     } else {
   165050       $ptr_addr_1_ph = $add_ptr13;
   165051       label = 31;
   165052       break;
   165053     }
   165054    case 15:
   165055     $7 = HEAP8[$add_ptr28] | 0;
   165056     $cmp40 = $7 << 24 >> 24 == 62;
   165057     if ($cmp40) {
   165058       label = 16;
   165059       break;
   165060     } else {
   165061       $ptr_addr_1_ph = $add_ptr13;
   165062       label = 31;
   165063       break;
   165064     }
   165065    case 16:
   165066     $add_ptr45 = $ptr + 6 | 0;
   165067     HEAP32[$nextTokPtr >> 2] = $add_ptr45;
   165068     $retval_0 = 40;
   165069     label = 50;
   165070     break;
   165071    case 17:
   165072     $add_ptr47 = $ptr + 2 | 0;
   165073     $cmp48 = ($add_ptr47 | 0) == ($end_addr_0 | 0);
   165074     if ($cmp48) {
   165075       $retval_0 = -1;
   165076       label = 50;
   165077       break;
   165078     } else {
   165079       label = 18;
   165080       break;
   165081     }
   165082    case 18:
   165083     $arrayidx52 = $ptr + 3 | 0;
   165084     $8 = HEAP8[$arrayidx52] | 0;
   165085     $cmp54 = $8 << 24 >> 24 == 0;
   165086     $9 = HEAP8[$add_ptr47] | 0;
   165087     if ($cmp54) {
   165088       label = 19;
   165089       break;
   165090     } else {
   165091       label = 20;
   165092       break;
   165093     }
   165094    case 19:
   165095     $idxprom57 = $9 & 255;
   165096     $type58 = $enc + 72 | 0;
   165097     $10 = $type58;
   165098     $arrayidx59 = $10 + $idxprom57 | 0;
   165099     $11 = HEAP8[$arrayidx59] | 0;
   165100     $conv60 = $11 & 255;
   165101     $cond66 = $conv60;
   165102     label = 21;
   165103     break;
   165104    case 20:
   165105     $call64 = _unicode_byte_type($8, $9) | 0;
   165106     $cond66 = $call64;
   165107     label = 21;
   165108     break;
   165109    case 21:
   165110     $cmp67 = ($cond66 | 0) == 10;
   165111     $add_ptr70 = $ptr + 4 | 0;
   165112     $add_ptr70_add_ptr47 = $cmp67 ? $add_ptr70 : $add_ptr47;
   165113     HEAP32[$nextTokPtr >> 2] = $add_ptr70_add_ptr47;
   165114     $retval_0 = 7;
   165115     label = 50;
   165116     break;
   165117    case 22:
   165118     $add_ptr73 = $ptr + 2 | 0;
   165119     HEAP32[$nextTokPtr >> 2] = $add_ptr73;
   165120     $retval_0 = 7;
   165121     label = 50;
   165122     break;
   165123    case 23:
   165124     $sub_ptr_lhs_cast75 = $end_addr_0;
   165125     $sub_ptr_sub77 = $sub_ptr_lhs_cast75 - $sub_ptr_rhs_cast | 0;
   165126     $cmp78 = ($sub_ptr_sub77 | 0) < 2;
   165127     if ($cmp78) {
   165128       $retval_0 = -2;
   165129       label = 50;
   165130       break;
   165131     } else {
   165132       label = 24;
   165133       break;
   165134     }
   165135    case 24:
   165136     $add_ptr82 = $ptr + 2 | 0;
   165137     $ptr_addr_1_ph = $add_ptr82;
   165138     label = 31;
   165139     break;
   165140    case 25:
   165141     $sub_ptr_lhs_cast84 = $end_addr_0;
   165142     $sub_ptr_sub86 = $sub_ptr_lhs_cast84 - $sub_ptr_rhs_cast | 0;
   165143     $cmp87 = ($sub_ptr_sub86 | 0) < 3;
   165144     if ($cmp87) {
   165145       $retval_0 = -2;
   165146       label = 50;
   165147       break;
   165148     } else {
   165149       label = 26;
   165150       break;
   165151     }
   165152    case 26:
   165153     $add_ptr91 = $ptr + 3 | 0;
   165154     $ptr_addr_1_ph = $add_ptr91;
   165155     label = 31;
   165156     break;
   165157    case 27:
   165158     $sub_ptr_lhs_cast93 = $end_addr_0;
   165159     $sub_ptr_sub95 = $sub_ptr_lhs_cast93 - $sub_ptr_rhs_cast | 0;
   165160     $cmp96 = ($sub_ptr_sub95 | 0) < 4;
   165161     if ($cmp96) {
   165162       $retval_0 = -2;
   165163       label = 50;
   165164       break;
   165165     } else {
   165166       label = 28;
   165167       break;
   165168     }
   165169    case 28:
   165170     $add_ptr100 = $ptr + 4 | 0;
   165171     $ptr_addr_1_ph = $add_ptr100;
   165172     label = 31;
   165173     break;
   165174    case 29:
   165175     HEAP32[$nextTokPtr >> 2] = $ptr;
   165176     $retval_0 = 0;
   165177     label = 50;
   165178     break;
   165179    case 30:
   165180     $add_ptr102 = $ptr + 2 | 0;
   165181     $ptr_addr_1_ph = $add_ptr102;
   165182     label = 31;
   165183     break;
   165184    case 31:
   165185     $cmp10372 = ($ptr_addr_1_ph | 0) == ($end_addr_0 | 0);
   165186     if ($cmp10372) {
   165187       $ptr_addr_1_lcssa = $ptr_addr_1_ph;
   165188       label = 49;
   165189       break;
   165190     } else {
   165191       label = 32;
   165192       break;
   165193     }
   165194    case 32:
   165195     $type111 = $enc + 72 | 0;
   165196     $12 = $type111;
   165197     $sub_ptr_lhs_cast121 = $end_addr_0;
   165198     $sub_ptr_lhs_cast130 = $end_addr_0;
   165199     $sub_ptr_lhs_cast139 = $end_addr_0;
   165200     $ptr_addr_173 = $ptr_addr_1_ph;
   165201     label = 33;
   165202     break;
   165203    case 33:
   165204     $arrayidx105 = $ptr_addr_173 + 1 | 0;
   165205     $13 = HEAP8[$arrayidx105] | 0;
   165206     $cmp107 = $13 << 24 >> 24 == 0;
   165207     $14 = HEAP8[$ptr_addr_173] | 0;
   165208     if ($cmp107) {
   165209       label = 34;
   165210       break;
   165211     } else {
   165212       label = 35;
   165213       break;
   165214     }
   165215    case 34:
   165216     $idxprom110 = $14 & 255;
   165217     $arrayidx112 = $12 + $idxprom110 | 0;
   165218     $15 = HEAP8[$arrayidx112] | 0;
   165219     $conv113 = $15 & 255;
   165220     $cond119 = $conv113;
   165221     label = 36;
   165222     break;
   165223    case 35:
   165224     $call117 = _unicode_byte_type($13, $14) | 0;
   165225     $cond119 = $call117;
   165226     label = 36;
   165227     break;
   165228    case 36:
   165229     if (($cond119 | 0) == 5) {
   165230       label = 37;
   165231       break;
   165232     } else if (($cond119 | 0) == 6) {
   165233       label = 40;
   165234       break;
   165235     } else if (($cond119 | 0) == 7) {
   165236       label = 43;
   165237       break;
   165238     } else if (($cond119 | 0) == 0 | ($cond119 | 0) == 1 | ($cond119 | 0) == 8 | ($cond119 | 0) == 9 | ($cond119 | 0) == 10 | ($cond119 | 0) == 4) {
   165239       label = 46;
   165240       break;
   165241     } else {
   165242       label = 47;
   165243       break;
   165244     }
   165245    case 37:
   165246     $sub_ptr_rhs_cast122 = $ptr_addr_173;
   165247     $sub_ptr_sub123 = $sub_ptr_lhs_cast121 - $sub_ptr_rhs_cast122 | 0;
   165248     $cmp124 = ($sub_ptr_sub123 | 0) < 2;
   165249     if ($cmp124) {
   165250       label = 38;
   165251       break;
   165252     } else {
   165253       label = 39;
   165254       break;
   165255     }
   165256    case 38:
   165257     HEAP32[$nextTokPtr >> 2] = $ptr_addr_173;
   165258     $retval_0 = 6;
   165259     label = 50;
   165260     break;
   165261    case 39:
   165262     $add_ptr128 = $ptr_addr_173 + 2 | 0;
   165263     $ptr_addr_1_be = $add_ptr128;
   165264     label = 48;
   165265     break;
   165266    case 40:
   165267     $sub_ptr_rhs_cast131 = $ptr_addr_173;
   165268     $sub_ptr_sub132 = $sub_ptr_lhs_cast130 - $sub_ptr_rhs_cast131 | 0;
   165269     $cmp133 = ($sub_ptr_sub132 | 0) < 3;
   165270     if ($cmp133) {
   165271       label = 41;
   165272       break;
   165273     } else {
   165274       label = 42;
   165275       break;
   165276     }
   165277    case 41:
   165278     HEAP32[$nextTokPtr >> 2] = $ptr_addr_173;
   165279     $retval_0 = 6;
   165280     label = 50;
   165281     break;
   165282    case 42:
   165283     $add_ptr137 = $ptr_addr_173 + 3 | 0;
   165284     $ptr_addr_1_be = $add_ptr137;
   165285     label = 48;
   165286     break;
   165287    case 43:
   165288     $sub_ptr_rhs_cast140 = $ptr_addr_173;
   165289     $sub_ptr_sub141 = $sub_ptr_lhs_cast139 - $sub_ptr_rhs_cast140 | 0;
   165290     $cmp142 = ($sub_ptr_sub141 | 0) < 4;
   165291     if ($cmp142) {
   165292       label = 44;
   165293       break;
   165294     } else {
   165295       label = 45;
   165296       break;
   165297     }
   165298    case 44:
   165299     HEAP32[$nextTokPtr >> 2] = $ptr_addr_173;
   165300     $retval_0 = 6;
   165301     label = 50;
   165302     break;
   165303    case 45:
   165304     $add_ptr146 = $ptr_addr_173 + 4 | 0;
   165305     $ptr_addr_1_be = $add_ptr146;
   165306     label = 48;
   165307     break;
   165308    case 46:
   165309     HEAP32[$nextTokPtr >> 2] = $ptr_addr_173;
   165310     $retval_0 = 6;
   165311     label = 50;
   165312     break;
   165313    case 47:
   165314     $add_ptr149 = $ptr_addr_173 + 2 | 0;
   165315     $ptr_addr_1_be = $add_ptr149;
   165316     label = 48;
   165317     break;
   165318    case 48:
   165319     $cmp103 = ($ptr_addr_1_be | 0) == ($end_addr_0 | 0);
   165320     if ($cmp103) {
   165321       $ptr_addr_1_lcssa = $ptr_addr_1_be;
   165322       label = 49;
   165323       break;
   165324     } else {
   165325       $ptr_addr_173 = $ptr_addr_1_be;
   165326       label = 33;
   165327       break;
   165328     }
   165329    case 49:
   165330     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1_lcssa;
   165331     $retval_0 = 6;
   165332     label = 50;
   165333     break;
   165334    case 50:
   165335     return $retval_0 | 0;
   165336   }
   165337   return 0;
   165338 }
   165339 function _little2_attributeValueTok($enc, $ptr, $end, $nextTokPtr) {
   165340   $enc = $enc | 0;
   165341   $ptr = $ptr | 0;
   165342   $end = $end | 0;
   165343   $nextTokPtr = $nextTokPtr | 0;
   165344   var $cmp = 0, $cmp148 = 0, $type = 0, $0 = 0, $ptr_addr_049 = 0, $arrayidx = 0, $1 = 0, $cmp2 = 0, $2 = 0, $idxprom = 0, $arrayidx4 = 0, $3 = 0, $conv5 = 0, $call = 0, $cond = 0, $add_ptr = 0, $add_ptr9 = 0, $add_ptr11 = 0, $cmp13 = 0, $add_ptr16 = 0, $call17 = 0, $cmp21 = 0, $add_ptr24 = 0, $cmp27 = 0, $add_ptr30 = 0, $cmp31 = 0, $arrayidx35 = 0, $4 = 0, $cmp37 = 0, $5 = 0, $idxprom40 = 0, $type41 = 0, $6 = 0, $arrayidx42 = 0, $7 = 0, $conv43 = 0, $call47 = 0, $cond49 = 0, $cmp50 = 0, $add_ptr53 = 0, $add_ptr53_add_ptr30 = 0, $cmp57 = 0, $add_ptr60 = 0, $add_ptr62 = 0, $ptr_addr_0_be = 0, $cmp1 = 0, $ptr_addr_0_lcssa = 0, $retval_0 = 0, label = 0;
   165345   label = 2;
   165346   while (1) switch (label | 0) {
   165347    case 2:
   165348     $cmp = ($ptr | 0) == ($end | 0);
   165349     if ($cmp) {
   165350       $retval_0 = -4;
   165351       label = 32;
   165352       break;
   165353     } else {
   165354       label = 3;
   165355       break;
   165356     }
   165357    case 3:
   165358     $cmp148 = ($ptr | 0) == ($end | 0);
   165359     if ($cmp148) {
   165360       $ptr_addr_0_lcssa = $ptr;
   165361       label = 31;
   165362       break;
   165363     } else {
   165364       label = 4;
   165365       break;
   165366     }
   165367    case 4:
   165368     $type = $enc + 72 | 0;
   165369     $0 = $type;
   165370     $ptr_addr_049 = $ptr;
   165371     label = 5;
   165372     break;
   165373    case 5:
   165374     $arrayidx = $ptr_addr_049 + 1 | 0;
   165375     $1 = HEAP8[$arrayidx] | 0;
   165376     $cmp2 = $1 << 24 >> 24 == 0;
   165377     $2 = HEAP8[$ptr_addr_049] | 0;
   165378     if ($cmp2) {
   165379       label = 6;
   165380       break;
   165381     } else {
   165382       label = 7;
   165383       break;
   165384     }
   165385    case 6:
   165386     $idxprom = $2 & 255;
   165387     $arrayidx4 = $0 + $idxprom | 0;
   165388     $3 = HEAP8[$arrayidx4] | 0;
   165389     $conv5 = $3 & 255;
   165390     $cond = $conv5;
   165391     label = 8;
   165392     break;
   165393    case 7:
   165394     $call = _unicode_byte_type($1, $2) | 0;
   165395     $cond = $call;
   165396     label = 8;
   165397     break;
   165398    case 8:
   165399     if (($cond | 0) == 5) {
   165400       label = 9;
   165401       break;
   165402     } else if (($cond | 0) == 6) {
   165403       label = 10;
   165404       break;
   165405     } else if (($cond | 0) == 7) {
   165406       label = 11;
   165407       break;
   165408     } else if (($cond | 0) == 3) {
   165409       label = 12;
   165410       break;
   165411     } else if (($cond | 0) == 2) {
   165412       label = 15;
   165413       break;
   165414     } else if (($cond | 0) == 10) {
   165415       label = 16;
   165416       break;
   165417     } else if (($cond | 0) == 9) {
   165418       label = 19;
   165419       break;
   165420     } else if (($cond | 0) == 21) {
   165421       label = 26;
   165422       break;
   165423     } else {
   165424       label = 29;
   165425       break;
   165426     }
   165427    case 9:
   165428     $add_ptr = $ptr_addr_049 + 2 | 0;
   165429     $ptr_addr_0_be = $add_ptr;
   165430     label = 30;
   165431     break;
   165432    case 10:
   165433     $add_ptr9 = $ptr_addr_049 + 3 | 0;
   165434     $ptr_addr_0_be = $add_ptr9;
   165435     label = 30;
   165436     break;
   165437    case 11:
   165438     $add_ptr11 = $ptr_addr_049 + 4 | 0;
   165439     $ptr_addr_0_be = $add_ptr11;
   165440     label = 30;
   165441     break;
   165442    case 12:
   165443     $cmp13 = ($ptr_addr_049 | 0) == ($ptr | 0);
   165444     if ($cmp13) {
   165445       label = 13;
   165446       break;
   165447     } else {
   165448       label = 14;
   165449       break;
   165450     }
   165451    case 13:
   165452     $add_ptr16 = $ptr_addr_049 + 2 | 0;
   165453     $call17 = _little2_scanRef($enc, $add_ptr16, $end, $nextTokPtr) | 0;
   165454     $retval_0 = $call17;
   165455     label = 32;
   165456     break;
   165457    case 14:
   165458     HEAP32[$nextTokPtr >> 2] = $ptr_addr_049;
   165459     $retval_0 = 6;
   165460     label = 32;
   165461     break;
   165462    case 15:
   165463     HEAP32[$nextTokPtr >> 2] = $ptr_addr_049;
   165464     $retval_0 = 0;
   165465     label = 32;
   165466     break;
   165467    case 16:
   165468     $cmp21 = ($ptr_addr_049 | 0) == ($ptr | 0);
   165469     if ($cmp21) {
   165470       label = 17;
   165471       break;
   165472     } else {
   165473       label = 18;
   165474       break;
   165475     }
   165476    case 17:
   165477     $add_ptr24 = $ptr_addr_049 + 2 | 0;
   165478     HEAP32[$nextTokPtr >> 2] = $add_ptr24;
   165479     $retval_0 = 7;
   165480     label = 32;
   165481     break;
   165482    case 18:
   165483     HEAP32[$nextTokPtr >> 2] = $ptr_addr_049;
   165484     $retval_0 = 6;
   165485     label = 32;
   165486     break;
   165487    case 19:
   165488     $cmp27 = ($ptr_addr_049 | 0) == ($ptr | 0);
   165489     if ($cmp27) {
   165490       label = 20;
   165491       break;
   165492     } else {
   165493       label = 25;
   165494       break;
   165495     }
   165496    case 20:
   165497     $add_ptr30 = $ptr_addr_049 + 2 | 0;
   165498     $cmp31 = ($add_ptr30 | 0) == ($end | 0);
   165499     if ($cmp31) {
   165500       $retval_0 = -3;
   165501       label = 32;
   165502       break;
   165503     } else {
   165504       label = 21;
   165505       break;
   165506     }
   165507    case 21:
   165508     $arrayidx35 = $ptr_addr_049 + 3 | 0;
   165509     $4 = HEAP8[$arrayidx35] | 0;
   165510     $cmp37 = $4 << 24 >> 24 == 0;
   165511     $5 = HEAP8[$add_ptr30] | 0;
   165512     if ($cmp37) {
   165513       label = 22;
   165514       break;
   165515     } else {
   165516       label = 23;
   165517       break;
   165518     }
   165519    case 22:
   165520     $idxprom40 = $5 & 255;
   165521     $type41 = $enc + 72 | 0;
   165522     $6 = $type41;
   165523     $arrayidx42 = $6 + $idxprom40 | 0;
   165524     $7 = HEAP8[$arrayidx42] | 0;
   165525     $conv43 = $7 & 255;
   165526     $cond49 = $conv43;
   165527     label = 24;
   165528     break;
   165529    case 23:
   165530     $call47 = _unicode_byte_type($4, $5) | 0;
   165531     $cond49 = $call47;
   165532     label = 24;
   165533     break;
   165534    case 24:
   165535     $cmp50 = ($cond49 | 0) == 10;
   165536     $add_ptr53 = $ptr_addr_049 + 4 | 0;
   165537     $add_ptr53_add_ptr30 = $cmp50 ? $add_ptr53 : $add_ptr30;
   165538     HEAP32[$nextTokPtr >> 2] = $add_ptr53_add_ptr30;
   165539     $retval_0 = 7;
   165540     label = 32;
   165541     break;
   165542    case 25:
   165543     HEAP32[$nextTokPtr >> 2] = $ptr_addr_049;
   165544     $retval_0 = 6;
   165545     label = 32;
   165546     break;
   165547    case 26:
   165548     $cmp57 = ($ptr_addr_049 | 0) == ($ptr | 0);
   165549     if ($cmp57) {
   165550       label = 27;
   165551       break;
   165552     } else {
   165553       label = 28;
   165554       break;
   165555     }
   165556    case 27:
   165557     $add_ptr60 = $ptr_addr_049 + 2 | 0;
   165558     HEAP32[$nextTokPtr >> 2] = $add_ptr60;
   165559     $retval_0 = 39;
   165560     label = 32;
   165561     break;
   165562    case 28:
   165563     HEAP32[$nextTokPtr >> 2] = $ptr_addr_049;
   165564     $retval_0 = 6;
   165565     label = 32;
   165566     break;
   165567    case 29:
   165568     $add_ptr62 = $ptr_addr_049 + 2 | 0;
   165569     $ptr_addr_0_be = $add_ptr62;
   165570     label = 30;
   165571     break;
   165572    case 30:
   165573     $cmp1 = ($ptr_addr_0_be | 0) == ($end | 0);
   165574     if ($cmp1) {
   165575       $ptr_addr_0_lcssa = $ptr_addr_0_be;
   165576       label = 31;
   165577       break;
   165578     } else {
   165579       $ptr_addr_049 = $ptr_addr_0_be;
   165580       label = 5;
   165581       break;
   165582     }
   165583    case 31:
   165584     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0_lcssa;
   165585     $retval_0 = 6;
   165586     label = 32;
   165587     break;
   165588    case 32:
   165589     return $retval_0 | 0;
   165590   }
   165591   return 0;
   165592 }
   165593 function _little2_entityValueTok($enc, $ptr, $end, $nextTokPtr) {
   165594   $enc = $enc | 0;
   165595   $ptr = $ptr | 0;
   165596   $end = $end | 0;
   165597   $nextTokPtr = $nextTokPtr | 0;
   165598   var $cmp = 0, $cmp149 = 0, $type = 0, $0 = 0, $ptr_addr_050 = 0, $arrayidx = 0, $1 = 0, $cmp2 = 0, $2 = 0, $idxprom = 0, $arrayidx4 = 0, $3 = 0, $conv5 = 0, $call = 0, $cond = 0, $add_ptr = 0, $add_ptr9 = 0, $add_ptr11 = 0, $cmp13 = 0, $add_ptr16 = 0, $call17 = 0, $cmp20 = 0, $add_ptr23 = 0, $call24 = 0, $cmp25 = 0, $cond30 = 0, $cmp33 = 0, $add_ptr36 = 0, $cmp39 = 0, $add_ptr42 = 0, $cmp43 = 0, $arrayidx47 = 0, $4 = 0, $cmp49 = 0, $5 = 0, $idxprom52 = 0, $type53 = 0, $6 = 0, $arrayidx54 = 0, $7 = 0, $conv55 = 0, $call59 = 0, $cond61 = 0, $cmp62 = 0, $add_ptr65 = 0, $add_ptr65_add_ptr42 = 0, $add_ptr68 = 0, $ptr_addr_0_be = 0, $cmp1 = 0, $ptr_addr_0_lcssa = 0, $retval_0 = 0, label = 0;
   165599   label = 2;
   165600   while (1) switch (label | 0) {
   165601    case 2:
   165602     $cmp = ($ptr | 0) == ($end | 0);
   165603     if ($cmp) {
   165604       $retval_0 = -4;
   165605       label = 31;
   165606       break;
   165607     } else {
   165608       label = 3;
   165609       break;
   165610     }
   165611    case 3:
   165612     $cmp149 = ($ptr | 0) == ($end | 0);
   165613     if ($cmp149) {
   165614       $ptr_addr_0_lcssa = $ptr;
   165615       label = 30;
   165616       break;
   165617     } else {
   165618       label = 4;
   165619       break;
   165620     }
   165621    case 4:
   165622     $type = $enc + 72 | 0;
   165623     $0 = $type;
   165624     $ptr_addr_050 = $ptr;
   165625     label = 5;
   165626     break;
   165627    case 5:
   165628     $arrayidx = $ptr_addr_050 + 1 | 0;
   165629     $1 = HEAP8[$arrayidx] | 0;
   165630     $cmp2 = $1 << 24 >> 24 == 0;
   165631     $2 = HEAP8[$ptr_addr_050] | 0;
   165632     if ($cmp2) {
   165633       label = 6;
   165634       break;
   165635     } else {
   165636       label = 7;
   165637       break;
   165638     }
   165639    case 6:
   165640     $idxprom = $2 & 255;
   165641     $arrayidx4 = $0 + $idxprom | 0;
   165642     $3 = HEAP8[$arrayidx4] | 0;
   165643     $conv5 = $3 & 255;
   165644     $cond = $conv5;
   165645     label = 8;
   165646     break;
   165647    case 7:
   165648     $call = _unicode_byte_type($1, $2) | 0;
   165649     $cond = $call;
   165650     label = 8;
   165651     break;
   165652    case 8:
   165653     if (($cond | 0) == 5) {
   165654       label = 9;
   165655       break;
   165656     } else if (($cond | 0) == 6) {
   165657       label = 10;
   165658       break;
   165659     } else if (($cond | 0) == 7) {
   165660       label = 11;
   165661       break;
   165662     } else if (($cond | 0) == 3) {
   165663       label = 12;
   165664       break;
   165665     } else if (($cond | 0) == 30) {
   165666       label = 15;
   165667       break;
   165668     } else if (($cond | 0) == 10) {
   165669       label = 18;
   165670       break;
   165671     } else if (($cond | 0) == 9) {
   165672       label = 21;
   165673       break;
   165674     } else {
   165675       label = 28;
   165676       break;
   165677     }
   165678    case 9:
   165679     $add_ptr = $ptr_addr_050 + 2 | 0;
   165680     $ptr_addr_0_be = $add_ptr;
   165681     label = 29;
   165682     break;
   165683    case 10:
   165684     $add_ptr9 = $ptr_addr_050 + 3 | 0;
   165685     $ptr_addr_0_be = $add_ptr9;
   165686     label = 29;
   165687     break;
   165688    case 11:
   165689     $add_ptr11 = $ptr_addr_050 + 4 | 0;
   165690     $ptr_addr_0_be = $add_ptr11;
   165691     label = 29;
   165692     break;
   165693    case 12:
   165694     $cmp13 = ($ptr_addr_050 | 0) == ($ptr | 0);
   165695     if ($cmp13) {
   165696       label = 13;
   165697       break;
   165698     } else {
   165699       label = 14;
   165700       break;
   165701     }
   165702    case 13:
   165703     $add_ptr16 = $ptr_addr_050 + 2 | 0;
   165704     $call17 = _little2_scanRef($enc, $add_ptr16, $end, $nextTokPtr) | 0;
   165705     $retval_0 = $call17;
   165706     label = 31;
   165707     break;
   165708    case 14:
   165709     HEAP32[$nextTokPtr >> 2] = $ptr_addr_050;
   165710     $retval_0 = 6;
   165711     label = 31;
   165712     break;
   165713    case 15:
   165714     $cmp20 = ($ptr_addr_050 | 0) == ($ptr | 0);
   165715     if ($cmp20) {
   165716       label = 16;
   165717       break;
   165718     } else {
   165719       label = 17;
   165720       break;
   165721     }
   165722    case 16:
   165723     $add_ptr23 = $ptr_addr_050 + 2 | 0;
   165724     $call24 = _little2_scanPercent($enc, $add_ptr23, $end, $nextTokPtr) | 0;
   165725     $cmp25 = ($call24 | 0) == 22;
   165726     $cond30 = $cmp25 ? 0 : $call24;
   165727     $retval_0 = $cond30;
   165728     label = 31;
   165729     break;
   165730    case 17:
   165731     HEAP32[$nextTokPtr >> 2] = $ptr_addr_050;
   165732     $retval_0 = 6;
   165733     label = 31;
   165734     break;
   165735    case 18:
   165736     $cmp33 = ($ptr_addr_050 | 0) == ($ptr | 0);
   165737     if ($cmp33) {
   165738       label = 19;
   165739       break;
   165740     } else {
   165741       label = 20;
   165742       break;
   165743     }
   165744    case 19:
   165745     $add_ptr36 = $ptr_addr_050 + 2 | 0;
   165746     HEAP32[$nextTokPtr >> 2] = $add_ptr36;
   165747     $retval_0 = 7;
   165748     label = 31;
   165749     break;
   165750    case 20:
   165751     HEAP32[$nextTokPtr >> 2] = $ptr_addr_050;
   165752     $retval_0 = 6;
   165753     label = 31;
   165754     break;
   165755    case 21:
   165756     $cmp39 = ($ptr_addr_050 | 0) == ($ptr | 0);
   165757     if ($cmp39) {
   165758       label = 22;
   165759       break;
   165760     } else {
   165761       label = 27;
   165762       break;
   165763     }
   165764    case 22:
   165765     $add_ptr42 = $ptr_addr_050 + 2 | 0;
   165766     $cmp43 = ($add_ptr42 | 0) == ($end | 0);
   165767     if ($cmp43) {
   165768       $retval_0 = -3;
   165769       label = 31;
   165770       break;
   165771     } else {
   165772       label = 23;
   165773       break;
   165774     }
   165775    case 23:
   165776     $arrayidx47 = $ptr_addr_050 + 3 | 0;
   165777     $4 = HEAP8[$arrayidx47] | 0;
   165778     $cmp49 = $4 << 24 >> 24 == 0;
   165779     $5 = HEAP8[$add_ptr42] | 0;
   165780     if ($cmp49) {
   165781       label = 24;
   165782       break;
   165783     } else {
   165784       label = 25;
   165785       break;
   165786     }
   165787    case 24:
   165788     $idxprom52 = $5 & 255;
   165789     $type53 = $enc + 72 | 0;
   165790     $6 = $type53;
   165791     $arrayidx54 = $6 + $idxprom52 | 0;
   165792     $7 = HEAP8[$arrayidx54] | 0;
   165793     $conv55 = $7 & 255;
   165794     $cond61 = $conv55;
   165795     label = 26;
   165796     break;
   165797    case 25:
   165798     $call59 = _unicode_byte_type($4, $5) | 0;
   165799     $cond61 = $call59;
   165800     label = 26;
   165801     break;
   165802    case 26:
   165803     $cmp62 = ($cond61 | 0) == 10;
   165804     $add_ptr65 = $ptr_addr_050 + 4 | 0;
   165805     $add_ptr65_add_ptr42 = $cmp62 ? $add_ptr65 : $add_ptr42;
   165806     HEAP32[$nextTokPtr >> 2] = $add_ptr65_add_ptr42;
   165807     $retval_0 = 7;
   165808     label = 31;
   165809     break;
   165810    case 27:
   165811     HEAP32[$nextTokPtr >> 2] = $ptr_addr_050;
   165812     $retval_0 = 6;
   165813     label = 31;
   165814     break;
   165815    case 28:
   165816     $add_ptr68 = $ptr_addr_050 + 2 | 0;
   165817     $ptr_addr_0_be = $add_ptr68;
   165818     label = 29;
   165819     break;
   165820    case 29:
   165821     $cmp1 = ($ptr_addr_0_be | 0) == ($end | 0);
   165822     if ($cmp1) {
   165823       $ptr_addr_0_lcssa = $ptr_addr_0_be;
   165824       label = 30;
   165825       break;
   165826     } else {
   165827       $ptr_addr_050 = $ptr_addr_0_be;
   165828       label = 5;
   165829       break;
   165830     }
   165831    case 30:
   165832     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0_lcssa;
   165833     $retval_0 = 6;
   165834     label = 31;
   165835     break;
   165836    case 31:
   165837     return $retval_0 | 0;
   165838   }
   165839   return 0;
   165840 }
   165841 function _little2_nameMatchesAscii($enc, $ptr1, $end1, $ptr2) {
   165842   $enc = $enc | 0;
   165843   $ptr1 = $ptr1 | 0;
   165844   $end1 = $end1 | 0;
   165845   $ptr2 = $ptr2 | 0;
   165846   var $0 = 0, $tobool7 = 0, $cmp8 = 0, $cmp11 = 0, $1 = 0, $ptr2_addr_010 = 0, $ptr1_addr_09 = 0, $arrayidx = 0, $2 = 0, $cmp1 = 0, $3 = 0, $cmp6 = 0, $add_ptr = 0, $incdec_ptr = 0, $4 = 0, $tobool = 0, $cmp = 0, $cmp_lcssa = 0, $conv11 = 0, $retval_0 = 0, label = 0;
   165847   label = 2;
   165848   while (1) switch (label | 0) {
   165849    case 2:
   165850     $0 = HEAP8[$ptr2] | 0;
   165851     $tobool7 = $0 << 24 >> 24 == 0;
   165852     $cmp8 = ($ptr1 | 0) == ($end1 | 0);
   165853     if ($tobool7) {
   165854       $cmp_lcssa = $cmp8;
   165855       label = 7;
   165856       break;
   165857     } else {
   165858       $ptr1_addr_09 = $ptr1;
   165859       $ptr2_addr_010 = $ptr2;
   165860       $1 = $0;
   165861       $cmp11 = $cmp8;
   165862       label = 3;
   165863       break;
   165864     }
   165865    case 3:
   165866     if ($cmp11) {
   165867       $retval_0 = 0;
   165868       label = 8;
   165869       break;
   165870     } else {
   165871       label = 4;
   165872       break;
   165873     }
   165874    case 4:
   165875     $arrayidx = $ptr1_addr_09 + 1 | 0;
   165876     $2 = HEAP8[$arrayidx] | 0;
   165877     $cmp1 = $2 << 24 >> 24 == 0;
   165878     if ($cmp1) {
   165879       label = 5;
   165880       break;
   165881     } else {
   165882       $retval_0 = 0;
   165883       label = 8;
   165884       break;
   165885     }
   165886    case 5:
   165887     $3 = HEAP8[$ptr1_addr_09] | 0;
   165888     $cmp6 = $3 << 24 >> 24 == $1 << 24 >> 24;
   165889     if ($cmp6) {
   165890       label = 6;
   165891       break;
   165892     } else {
   165893       $retval_0 = 0;
   165894       label = 8;
   165895       break;
   165896     }
   165897    case 6:
   165898     $add_ptr = $ptr1_addr_09 + 2 | 0;
   165899     $incdec_ptr = $ptr2_addr_010 + 1 | 0;
   165900     $4 = HEAP8[$incdec_ptr] | 0;
   165901     $tobool = $4 << 24 >> 24 == 0;
   165902     $cmp = ($add_ptr | 0) == ($end1 | 0);
   165903     if ($tobool) {
   165904       $cmp_lcssa = $cmp;
   165905       label = 7;
   165906       break;
   165907     } else {
   165908       $ptr1_addr_09 = $add_ptr;
   165909       $ptr2_addr_010 = $incdec_ptr;
   165910       $1 = $4;
   165911       $cmp11 = $cmp;
   165912       label = 3;
   165913       break;
   165914     }
   165915    case 7:
   165916     $conv11 = $cmp_lcssa & 1;
   165917     $retval_0 = $conv11;
   165918     label = 8;
   165919     break;
   165920    case 8:
   165921     return $retval_0 | 0;
   165922   }
   165923   return 0;
   165924 }
   165925 function _little2_predefinedEntityName($enc, $ptr, $end) {
   165926   $enc = $enc | 0;
   165927   $ptr = $ptr | 0;
   165928   $end = $end | 0;
   165929   var $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $div = 0, $arrayidx = 0, $0 = 0, $cmp = 0, $add_ptr = 0, $1 = 0, $cmp5 = 0, $arrayidx7 = 0, $2 = 0, $cmp9 = 0, $3 = 0, $conv12 = 0, $arrayidx16 = 0, $4 = 0, $cmp18 = 0, $5 = 0, $cmp23 = 0, $arrayidx27 = 0, $6 = 0, $cmp29 = 0, $add_ptr26 = 0, $7 = 0, $cmp34 = 0, $arrayidx38 = 0, $8 = 0, $cmp40 = 0, $add_ptr37 = 0, $9 = 0, $cmp45 = 0, $arrayidx52 = 0, $10 = 0, $cmp54 = 0, $11 = 0, $conv58 = 0, $arrayidx64 = 0, $12 = 0, $cmp66 = 0, $add_ptr63 = 0, $13 = 0, $cmp71 = 0, $arrayidx75 = 0, $14 = 0, $cmp77 = 0, $add_ptr74 = 0, $15 = 0, $cmp82 = 0, $arrayidx86 = 0, $16 = 0, $cmp88 = 0, $add_ptr85 = 0, $17 = 0, $cmp93 = 0, $arrayidx101 = 0, $18 = 0, $cmp103 = 0, $add_ptr100 = 0, $19 = 0, $cmp108 = 0, $arrayidx112 = 0, $20 = 0, $cmp114 = 0, $add_ptr111 = 0, $21 = 0, $cmp119 = 0, $arrayidx123 = 0, $22 = 0, $cmp125 = 0, $add_ptr122 = 0, $23 = 0, $cmp130 = 0, $retval_0 = 0, label = 0;
   165930   label = 2;
   165931   while (1) switch (label | 0) {
   165932    case 2:
   165933     $sub_ptr_lhs_cast = $end;
   165934     $sub_ptr_rhs_cast = $ptr;
   165935     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   165936     $div = ($sub_ptr_sub | 0) / 2 & -1;
   165937     if (($div | 0) == 2) {
   165938       label = 3;
   165939       break;
   165940     } else if (($div | 0) == 3) {
   165941       label = 8;
   165942       break;
   165943     } else if (($div | 0) == 4) {
   165944       label = 14;
   165945       break;
   165946     } else {
   165947       label = 28;
   165948       break;
   165949     }
   165950    case 3:
   165951     $arrayidx = $ptr + 3 | 0;
   165952     $0 = HEAP8[$arrayidx] | 0;
   165953     $cmp = $0 << 24 >> 24 == 0;
   165954     if ($cmp) {
   165955       label = 4;
   165956       break;
   165957     } else {
   165958       label = 28;
   165959       break;
   165960     }
   165961    case 4:
   165962     $add_ptr = $ptr + 2 | 0;
   165963     $1 = HEAP8[$add_ptr] | 0;
   165964     $cmp5 = $1 << 24 >> 24 == 116;
   165965     if ($cmp5) {
   165966       label = 5;
   165967       break;
   165968     } else {
   165969       label = 28;
   165970       break;
   165971     }
   165972    case 5:
   165973     $arrayidx7 = $ptr + 1 | 0;
   165974     $2 = HEAP8[$arrayidx7] | 0;
   165975     $cmp9 = $2 << 24 >> 24 == 0;
   165976     if ($cmp9) {
   165977       label = 6;
   165978       break;
   165979     } else {
   165980       label = 28;
   165981       break;
   165982     }
   165983    case 6:
   165984     $3 = HEAP8[$ptr] | 0;
   165985     $conv12 = $3 << 24 >> 24;
   165986     if (($conv12 | 0) == 103) {
   165987       label = 7;
   165988       break;
   165989     } else if (($conv12 | 0) == 108) {
   165990       $retval_0 = 60;
   165991       label = 29;
   165992       break;
   165993     } else {
   165994       label = 28;
   165995       break;
   165996     }
   165997    case 7:
   165998     $retval_0 = 62;
   165999     label = 29;
   166000     break;
   166001    case 8:
   166002     $arrayidx16 = $ptr + 1 | 0;
   166003     $4 = HEAP8[$arrayidx16] | 0;
   166004     $cmp18 = $4 << 24 >> 24 == 0;
   166005     if ($cmp18) {
   166006       label = 9;
   166007       break;
   166008     } else {
   166009       label = 28;
   166010       break;
   166011     }
   166012    case 9:
   166013     $5 = HEAP8[$ptr] | 0;
   166014     $cmp23 = $5 << 24 >> 24 == 97;
   166015     if ($cmp23) {
   166016       label = 10;
   166017       break;
   166018     } else {
   166019       label = 28;
   166020       break;
   166021     }
   166022    case 10:
   166023     $arrayidx27 = $ptr + 3 | 0;
   166024     $6 = HEAP8[$arrayidx27] | 0;
   166025     $cmp29 = $6 << 24 >> 24 == 0;
   166026     if ($cmp29) {
   166027       label = 11;
   166028       break;
   166029     } else {
   166030       label = 28;
   166031       break;
   166032     }
   166033    case 11:
   166034     $add_ptr26 = $ptr + 2 | 0;
   166035     $7 = HEAP8[$add_ptr26] | 0;
   166036     $cmp34 = $7 << 24 >> 24 == 109;
   166037     if ($cmp34) {
   166038       label = 12;
   166039       break;
   166040     } else {
   166041       label = 28;
   166042       break;
   166043     }
   166044    case 12:
   166045     $arrayidx38 = $ptr + 5 | 0;
   166046     $8 = HEAP8[$arrayidx38] | 0;
   166047     $cmp40 = $8 << 24 >> 24 == 0;
   166048     if ($cmp40) {
   166049       label = 13;
   166050       break;
   166051     } else {
   166052       label = 28;
   166053       break;
   166054     }
   166055    case 13:
   166056     $add_ptr37 = $ptr + 4 | 0;
   166057     $9 = HEAP8[$add_ptr37] | 0;
   166058     $cmp45 = $9 << 24 >> 24 == 112;
   166059     if ($cmp45) {
   166060       $retval_0 = 38;
   166061       label = 29;
   166062       break;
   166063     } else {
   166064       label = 28;
   166065       break;
   166066     }
   166067    case 14:
   166068     $arrayidx52 = $ptr + 1 | 0;
   166069     $10 = HEAP8[$arrayidx52] | 0;
   166070     $cmp54 = $10 << 24 >> 24 == 0;
   166071     if ($cmp54) {
   166072       label = 15;
   166073       break;
   166074     } else {
   166075       label = 28;
   166076       break;
   166077     }
   166078    case 15:
   166079     $11 = HEAP8[$ptr] | 0;
   166080     $conv58 = $11 << 24 >> 24;
   166081     if (($conv58 | 0) == 113) {
   166082       label = 16;
   166083       break;
   166084     } else if (($conv58 | 0) == 97) {
   166085       label = 22;
   166086       break;
   166087     } else {
   166088       label = 28;
   166089       break;
   166090     }
   166091    case 16:
   166092     $arrayidx64 = $ptr + 3 | 0;
   166093     $12 = HEAP8[$arrayidx64] | 0;
   166094     $cmp66 = $12 << 24 >> 24 == 0;
   166095     if ($cmp66) {
   166096       label = 17;
   166097       break;
   166098     } else {
   166099       label = 28;
   166100       break;
   166101     }
   166102    case 17:
   166103     $add_ptr63 = $ptr + 2 | 0;
   166104     $13 = HEAP8[$add_ptr63] | 0;
   166105     $cmp71 = $13 << 24 >> 24 == 117;
   166106     if ($cmp71) {
   166107       label = 18;
   166108       break;
   166109     } else {
   166110       label = 28;
   166111       break;
   166112     }
   166113    case 18:
   166114     $arrayidx75 = $ptr + 5 | 0;
   166115     $14 = HEAP8[$arrayidx75] | 0;
   166116     $cmp77 = $14 << 24 >> 24 == 0;
   166117     if ($cmp77) {
   166118       label = 19;
   166119       break;
   166120     } else {
   166121       label = 28;
   166122       break;
   166123     }
   166124    case 19:
   166125     $add_ptr74 = $ptr + 4 | 0;
   166126     $15 = HEAP8[$add_ptr74] | 0;
   166127     $cmp82 = $15 << 24 >> 24 == 111;
   166128     if ($cmp82) {
   166129       label = 20;
   166130       break;
   166131     } else {
   166132       label = 28;
   166133       break;
   166134     }
   166135    case 20:
   166136     $arrayidx86 = $ptr + 7 | 0;
   166137     $16 = HEAP8[$arrayidx86] | 0;
   166138     $cmp88 = $16 << 24 >> 24 == 0;
   166139     if ($cmp88) {
   166140       label = 21;
   166141       break;
   166142     } else {
   166143       label = 28;
   166144       break;
   166145     }
   166146    case 21:
   166147     $add_ptr85 = $ptr + 6 | 0;
   166148     $17 = HEAP8[$add_ptr85] | 0;
   166149     $cmp93 = $17 << 24 >> 24 == 116;
   166150     if ($cmp93) {
   166151       $retval_0 = 34;
   166152       label = 29;
   166153       break;
   166154     } else {
   166155       label = 28;
   166156       break;
   166157     }
   166158    case 22:
   166159     $arrayidx101 = $ptr + 3 | 0;
   166160     $18 = HEAP8[$arrayidx101] | 0;
   166161     $cmp103 = $18 << 24 >> 24 == 0;
   166162     if ($cmp103) {
   166163       label = 23;
   166164       break;
   166165     } else {
   166166       label = 28;
   166167       break;
   166168     }
   166169    case 23:
   166170     $add_ptr100 = $ptr + 2 | 0;
   166171     $19 = HEAP8[$add_ptr100] | 0;
   166172     $cmp108 = $19 << 24 >> 24 == 112;
   166173     if ($cmp108) {
   166174       label = 24;
   166175       break;
   166176     } else {
   166177       label = 28;
   166178       break;
   166179     }
   166180    case 24:
   166181     $arrayidx112 = $ptr + 5 | 0;
   166182     $20 = HEAP8[$arrayidx112] | 0;
   166183     $cmp114 = $20 << 24 >> 24 == 0;
   166184     if ($cmp114) {
   166185       label = 25;
   166186       break;
   166187     } else {
   166188       label = 28;
   166189       break;
   166190     }
   166191    case 25:
   166192     $add_ptr111 = $ptr + 4 | 0;
   166193     $21 = HEAP8[$add_ptr111] | 0;
   166194     $cmp119 = $21 << 24 >> 24 == 111;
   166195     if ($cmp119) {
   166196       label = 26;
   166197       break;
   166198     } else {
   166199       label = 28;
   166200       break;
   166201     }
   166202    case 26:
   166203     $arrayidx123 = $ptr + 7 | 0;
   166204     $22 = HEAP8[$arrayidx123] | 0;
   166205     $cmp125 = $22 << 24 >> 24 == 0;
   166206     if ($cmp125) {
   166207       label = 27;
   166208       break;
   166209     } else {
   166210       label = 28;
   166211       break;
   166212     }
   166213    case 27:
   166214     $add_ptr122 = $ptr + 6 | 0;
   166215     $23 = HEAP8[$add_ptr122] | 0;
   166216     $cmp130 = $23 << 24 >> 24 == 115;
   166217     if ($cmp130) {
   166218       $retval_0 = 39;
   166219       label = 29;
   166220       break;
   166221     } else {
   166222       label = 28;
   166223       break;
   166224     }
   166225    case 28:
   166226     $retval_0 = 0;
   166227     label = 29;
   166228     break;
   166229    case 29:
   166230     return $retval_0 | 0;
   166231   }
   166232   return 0;
   166233 }
   166234 function _little2_sameName($enc, $ptr1, $ptr2) {
   166235   $enc = $enc | 0;
   166236   $ptr1 = $ptr1 | 0;
   166237   $ptr2 = $ptr2 | 0;
   166238   var $type = 0, $0 = 0, $ptr1_addr_0 = 0, $ptr2_addr_0 = 0, $arrayidx = 0, $1 = 0, $cmp = 0, $2 = 0, $idxprom = 0, $arrayidx2 = 0, $3 = 0, $conv3 = 0, $call = 0, $cond = 0, $4 = 0, $incdec_ptr7 = 0, $5 = 0, $cmp9 = 0, $ptr1_addr_1 = 0, $ptr2_addr_1 = 0, $incdec_ptr12 = 0, $6 = 0, $incdec_ptr14 = 0, $7 = 0, $cmp16 = 0, $ptr1_addr_2 = 0, $ptr2_addr_2 = 0, $8 = 0, $9 = 0, $cmp25 = 0, $incdec_ptr23 = 0, $incdec_ptr21 = 0, $10 = 0, $11 = 0, $cmp33 = 0, $12 = 0, $13 = 0, $cmp42 = 0, $incdec_ptr38 = 0, $14 = 0, $15 = 0, $cmp50 = 0, $ptr1_addr_0_pn = 0, $ptr2_addr_0_pn = 0, $ptr2_addr_0_be = 0, $ptr1_addr_0_be = 0, $arrayidx54 = 0, $16 = 0, $cmp56 = 0, $17 = 0, $idxprom59 = 0, $type60 = 0, $18 = 0, $arrayidx61 = 0, $19 = 0, $conv62 = 0, $call66 = 0, $cond68 = 0, $retval_0 = 0, label = 0;
   166239   label = 2;
   166240   while (1) switch (label | 0) {
   166241    case 2:
   166242     $type = $enc + 72 | 0;
   166243     $0 = $type;
   166244     $ptr2_addr_0 = $ptr2;
   166245     $ptr1_addr_0 = $ptr1;
   166246     label = 3;
   166247     break;
   166248    case 3:
   166249     $arrayidx = $ptr1_addr_0 + 1 | 0;
   166250     $1 = HEAP8[$arrayidx] | 0;
   166251     $cmp = $1 << 24 >> 24 == 0;
   166252     $2 = HEAP8[$ptr1_addr_0] | 0;
   166253     if ($cmp) {
   166254       label = 4;
   166255       break;
   166256     } else {
   166257       label = 5;
   166258       break;
   166259     }
   166260    case 4:
   166261     $idxprom = $2 & 255;
   166262     $arrayidx2 = $0 + $idxprom | 0;
   166263     $3 = HEAP8[$arrayidx2] | 0;
   166264     $conv3 = $3 & 255;
   166265     $cond = $conv3;
   166266     label = 6;
   166267     break;
   166268    case 5:
   166269     $call = _unicode_byte_type($1, $2) | 0;
   166270     $cond = $call;
   166271     label = 6;
   166272     break;
   166273    case 6:
   166274     if (($cond | 0) == 7) {
   166275       label = 7;
   166276       break;
   166277     } else if (($cond | 0) == 6) {
   166278       $ptr2_addr_1 = $ptr2_addr_0;
   166279       $ptr1_addr_1 = $ptr1_addr_0;
   166280       label = 8;
   166281       break;
   166282     } else if (($cond | 0) == 5) {
   166283       $ptr2_addr_2 = $ptr2_addr_0;
   166284       $ptr1_addr_2 = $ptr1_addr_0;
   166285       label = 9;
   166286       break;
   166287     } else if (($cond | 0) == 29 | ($cond | 0) == 22 | ($cond | 0) == 24 | ($cond | 0) == 25 | ($cond | 0) == 26 | ($cond | 0) == 27) {
   166288       label = 11;
   166289       break;
   166290     } else {
   166291       label = 14;
   166292       break;
   166293     }
   166294    case 7:
   166295     $4 = HEAP8[$ptr1_addr_0] | 0;
   166296     $incdec_ptr7 = $ptr2_addr_0 + 1 | 0;
   166297     $5 = HEAP8[$ptr2_addr_0] | 0;
   166298     $cmp9 = $4 << 24 >> 24 == $5 << 24 >> 24;
   166299     if ($cmp9) {
   166300       $ptr2_addr_1 = $incdec_ptr7;
   166301       $ptr1_addr_1 = $arrayidx;
   166302       label = 8;
   166303       break;
   166304     } else {
   166305       $retval_0 = 0;
   166306       label = 19;
   166307       break;
   166308     }
   166309    case 8:
   166310     $incdec_ptr12 = $ptr1_addr_1 + 1 | 0;
   166311     $6 = HEAP8[$ptr1_addr_1] | 0;
   166312     $incdec_ptr14 = $ptr2_addr_1 + 1 | 0;
   166313     $7 = HEAP8[$ptr2_addr_1] | 0;
   166314     $cmp16 = $6 << 24 >> 24 == $7 << 24 >> 24;
   166315     if ($cmp16) {
   166316       $ptr2_addr_2 = $incdec_ptr14;
   166317       $ptr1_addr_2 = $incdec_ptr12;
   166318       label = 9;
   166319       break;
   166320     } else {
   166321       $retval_0 = 0;
   166322       label = 19;
   166323       break;
   166324     }
   166325    case 9:
   166326     $8 = HEAP8[$ptr1_addr_2] | 0;
   166327     $9 = HEAP8[$ptr2_addr_2] | 0;
   166328     $cmp25 = $8 << 24 >> 24 == $9 << 24 >> 24;
   166329     if ($cmp25) {
   166330       label = 10;
   166331       break;
   166332     } else {
   166333       $retval_0 = 0;
   166334       label = 19;
   166335       break;
   166336     }
   166337    case 10:
   166338     $incdec_ptr23 = $ptr2_addr_2 + 1 | 0;
   166339     $incdec_ptr21 = $ptr1_addr_2 + 1 | 0;
   166340     $10 = HEAP8[$incdec_ptr21] | 0;
   166341     $11 = HEAP8[$incdec_ptr23] | 0;
   166342     $cmp33 = $10 << 24 >> 24 == $11 << 24 >> 24;
   166343     if ($cmp33) {
   166344       $ptr2_addr_0_pn = $ptr2_addr_2;
   166345       $ptr1_addr_0_pn = $ptr1_addr_2;
   166346       label = 13;
   166347       break;
   166348     } else {
   166349       $retval_0 = 0;
   166350       label = 19;
   166351       break;
   166352     }
   166353    case 11:
   166354     $12 = HEAP8[$ptr2_addr_0] | 0;
   166355     $13 = HEAP8[$ptr1_addr_0] | 0;
   166356     $cmp42 = $12 << 24 >> 24 == $13 << 24 >> 24;
   166357     if ($cmp42) {
   166358       label = 12;
   166359       break;
   166360     } else {
   166361       $retval_0 = 0;
   166362       label = 19;
   166363       break;
   166364     }
   166365    case 12:
   166366     $incdec_ptr38 = $ptr2_addr_0 + 1 | 0;
   166367     $14 = HEAP8[$incdec_ptr38] | 0;
   166368     $15 = HEAP8[$arrayidx] | 0;
   166369     $cmp50 = $14 << 24 >> 24 == $15 << 24 >> 24;
   166370     if ($cmp50) {
   166371       $ptr2_addr_0_pn = $ptr2_addr_0;
   166372       $ptr1_addr_0_pn = $ptr1_addr_0;
   166373       label = 13;
   166374       break;
   166375     } else {
   166376       $retval_0 = 0;
   166377       label = 19;
   166378       break;
   166379     }
   166380    case 13:
   166381     $ptr2_addr_0_be = $ptr2_addr_0_pn + 2 | 0;
   166382     $ptr1_addr_0_be = $ptr1_addr_0_pn + 2 | 0;
   166383     $ptr2_addr_0 = $ptr2_addr_0_be;
   166384     $ptr1_addr_0 = $ptr1_addr_0_be;
   166385     label = 3;
   166386     break;
   166387    case 14:
   166388     $arrayidx54 = $ptr2_addr_0 + 1 | 0;
   166389     $16 = HEAP8[$arrayidx54] | 0;
   166390     $cmp56 = $16 << 24 >> 24 == 0;
   166391     $17 = HEAP8[$ptr2_addr_0] | 0;
   166392     if ($cmp56) {
   166393       label = 15;
   166394       break;
   166395     } else {
   166396       label = 16;
   166397       break;
   166398     }
   166399    case 15:
   166400     $idxprom59 = $17 & 255;
   166401     $type60 = $enc + 72 | 0;
   166402     $18 = $type60;
   166403     $arrayidx61 = $18 + $idxprom59 | 0;
   166404     $19 = HEAP8[$arrayidx61] | 0;
   166405     $conv62 = $19 & 255;
   166406     $cond68 = $conv62;
   166407     label = 17;
   166408     break;
   166409    case 16:
   166410     $call66 = _unicode_byte_type($16, $17) | 0;
   166411     $cond68 = $call66;
   166412     label = 17;
   166413     break;
   166414    case 17:
   166415     if (($cond68 | 0) == 5 | ($cond68 | 0) == 6 | ($cond68 | 0) == 7 | ($cond68 | 0) == 29 | ($cond68 | 0) == 22 | ($cond68 | 0) == 24 | ($cond68 | 0) == 25 | ($cond68 | 0) == 26 | ($cond68 | 0) == 27) {
   166416       $retval_0 = 0;
   166417       label = 19;
   166418       break;
   166419     } else {
   166420       label = 18;
   166421       break;
   166422     }
   166423    case 18:
   166424     $retval_0 = 1;
   166425     label = 19;
   166426     break;
   166427    case 19:
   166428     return $retval_0 | 0;
   166429   }
   166430   return 0;
   166431 }
   166432 function _little2_nameLength($enc, $ptr) {
   166433   $enc = $enc | 0;
   166434   $ptr = $ptr | 0;
   166435   var $type = 0, $0 = 0, $ptr_addr_0 = 0, $arrayidx = 0, $1 = 0, $cmp = 0, $2 = 0, $idxprom = 0, $arrayidx2 = 0, $3 = 0, $conv3 = 0, $call = 0, $cond = 0, $add_ptr = 0, $add_ptr7 = 0, $add_ptr9 = 0, $add_ptr11 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, label = 0;
   166436   label = 2;
   166437   while (1) switch (label | 0) {
   166438    case 2:
   166439     $type = $enc + 72 | 0;
   166440     $0 = $type;
   166441     $ptr_addr_0 = $ptr;
   166442     label = 3;
   166443     break;
   166444    case 3:
   166445     $arrayidx = $ptr_addr_0 + 1 | 0;
   166446     $1 = HEAP8[$arrayidx] | 0;
   166447     $cmp = $1 << 24 >> 24 == 0;
   166448     $2 = HEAP8[$ptr_addr_0] | 0;
   166449     if ($cmp) {
   166450       label = 4;
   166451       break;
   166452     } else {
   166453       label = 5;
   166454       break;
   166455     }
   166456    case 4:
   166457     $idxprom = $2 & 255;
   166458     $arrayidx2 = $0 + $idxprom | 0;
   166459     $3 = HEAP8[$arrayidx2] | 0;
   166460     $conv3 = $3 & 255;
   166461     $cond = $conv3;
   166462     label = 6;
   166463     break;
   166464    case 5:
   166465     $call = _unicode_byte_type($1, $2) | 0;
   166466     $cond = $call;
   166467     label = 6;
   166468     break;
   166469    case 6:
   166470     if (($cond | 0) == 5) {
   166471       label = 7;
   166472       break;
   166473     } else if (($cond | 0) == 6) {
   166474       label = 8;
   166475       break;
   166476     } else if (($cond | 0) == 7) {
   166477       label = 9;
   166478       break;
   166479     } else if (($cond | 0) == 29 | ($cond | 0) == 22 | ($cond | 0) == 24 | ($cond | 0) == 25 | ($cond | 0) == 26 | ($cond | 0) == 27) {
   166480       label = 10;
   166481       break;
   166482     } else {
   166483       label = 11;
   166484       break;
   166485     }
   166486    case 7:
   166487     $add_ptr = $ptr_addr_0 + 2 | 0;
   166488     $ptr_addr_0 = $add_ptr;
   166489     label = 3;
   166490     break;
   166491    case 8:
   166492     $add_ptr7 = $ptr_addr_0 + 3 | 0;
   166493     $ptr_addr_0 = $add_ptr7;
   166494     label = 3;
   166495     break;
   166496    case 9:
   166497     $add_ptr9 = $ptr_addr_0 + 4 | 0;
   166498     $ptr_addr_0 = $add_ptr9;
   166499     label = 3;
   166500     break;
   166501    case 10:
   166502     $add_ptr11 = $ptr_addr_0 + 2 | 0;
   166503     $ptr_addr_0 = $add_ptr11;
   166504     label = 3;
   166505     break;
   166506    case 11:
   166507     $sub_ptr_lhs_cast = $ptr_addr_0;
   166508     $sub_ptr_rhs_cast = $ptr;
   166509     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   166510     return $sub_ptr_sub | 0;
   166511   }
   166512   return 0;
   166513 }
   166514 function _little2_skipS($enc, $ptr) {
   166515   $enc = $enc | 0;
   166516   $ptr = $ptr | 0;
   166517   var $type = 0, $0 = 0, $ptr_addr_0 = 0, $arrayidx = 0, $1 = 0, $cmp = 0, $2 = 0, $idxprom = 0, $arrayidx2 = 0, $3 = 0, $conv3 = 0, $call = 0, $cond = 0, $add_ptr = 0, label = 0;
   166518   label = 2;
   166519   while (1) switch (label | 0) {
   166520    case 2:
   166521     $type = $enc + 72 | 0;
   166522     $0 = $type;
   166523     $ptr_addr_0 = $ptr;
   166524     label = 3;
   166525     break;
   166526    case 3:
   166527     $arrayidx = $ptr_addr_0 + 1 | 0;
   166528     $1 = HEAP8[$arrayidx] | 0;
   166529     $cmp = $1 << 24 >> 24 == 0;
   166530     $2 = HEAP8[$ptr_addr_0] | 0;
   166531     if ($cmp) {
   166532       label = 4;
   166533       break;
   166534     } else {
   166535       label = 5;
   166536       break;
   166537     }
   166538    case 4:
   166539     $idxprom = $2 & 255;
   166540     $arrayidx2 = $0 + $idxprom | 0;
   166541     $3 = HEAP8[$arrayidx2] | 0;
   166542     $conv3 = $3 & 255;
   166543     $cond = $conv3;
   166544     label = 6;
   166545     break;
   166546    case 5:
   166547     $call = _unicode_byte_type($1, $2) | 0;
   166548     $cond = $call;
   166549     label = 6;
   166550     break;
   166551    case 6:
   166552     if (($cond | 0) == 10 | ($cond | 0) == 9 | ($cond | 0) == 21) {
   166553       label = 7;
   166554       break;
   166555     } else {
   166556       label = 8;
   166557       break;
   166558     }
   166559    case 7:
   166560     $add_ptr = $ptr_addr_0 + 2 | 0;
   166561     $ptr_addr_0 = $add_ptr;
   166562     label = 3;
   166563     break;
   166564    case 8:
   166565     return $ptr_addr_0 | 0;
   166566   }
   166567   return 0;
   166568 }
   166569 function _little2_getAtts($enc, $ptr, $attsMax, $atts) {
   166570   $enc = $enc | 0;
   166571   $ptr = $ptr | 0;
   166572   $attsMax = $attsMax | 0;
   166573   $atts = $atts | 0;
   166574   var $type = 0, $0 = 0, $type161 = 0, $1 = 0, $ptr_pn = 0, $state_0 = 0, $nAtts_0 = 0, $open_0 = 0, $ptr_addr_0 = 0, $arrayidx = 0, $2 = 0, $cmp = 0, $3 = 0, $idxprom = 0, $arrayidx2 = 0, $4 = 0, $conv3 = 0, $call = 0, $cond = 0, $cmp6 = 0, $cmp8 = 0, $name = 0, $normalized = 0, $cmp16 = 0, $cmp19 = 0, $name23 = 0, $normalized25 = 0, $cmp30 = 0, $cmp33 = 0, $name37 = 0, $normalized39 = 0, $state_3 = 0, $add_ptr42 = 0, $cmp44 = 0, $cmp47 = 0, $name51 = 0, $normalized53 = 0, $cmp57 = 0, $cmp60 = 0, $add_ptr63 = 0, $valuePtr = 0, $cmp66 = 0, $cmp69 = 0, $valueEnd = 0, $inc = 0, $cmp77 = 0, $cmp80 = 0, $add_ptr83 = 0, $valuePtr85 = 0, $cmp88 = 0, $cmp91 = 0, $valueEnd95 = 0, $inc97 = 0, $cmp101 = 0, $normalized105 = 0, $cmp108 = 0, $cmp112 = 0, $cmp114 = 0, $or_cond = 0, $normalized118 = 0, $5 = 0, $tobool = 0, $valuePtr122 = 0, $6 = 0, $cmp123 = 0, $7 = 0, $cmp127 = 0, $8 = 0, $phitmp = 0, $add_ptr138 = 0, $arrayidx139 = 0, $9 = 0, $cmp141 = 0, $10 = 0, $phitmp93 = 0, $_pr = 0, $cmp156 = 0, $11 = 0, $idxprom160 = 0, $arrayidx162 = 0, $12 = 0, $conv163 = 0, $13 = 0, $14 = 0, $call169 = 0, $cond171 = 0, $cmp172 = 0, $cmp180 = 0, $cmp184 = 0, $cmp187 = 0, $or_cond94 = 0, $normalized191 = 0, $cmp195 = 0, label = 0;
   166575   label = 2;
   166576   while (1) switch (label | 0) {
   166577    case 2:
   166578     $type = $enc + 72 | 0;
   166579     $0 = $type;
   166580     $type161 = $enc + 72 | 0;
   166581     $1 = $type161;
   166582     $open_0 = 0;
   166583     $nAtts_0 = 0;
   166584     $state_0 = 1;
   166585     $ptr_pn = $ptr;
   166586     label = 3;
   166587     break;
   166588    case 3:
   166589     $ptr_addr_0 = $ptr_pn + 2 | 0;
   166590     $arrayidx = $ptr_pn + 3 | 0;
   166591     $2 = HEAP8[$arrayidx] | 0;
   166592     $cmp = $2 << 24 >> 24 == 0;
   166593     $3 = HEAP8[$ptr_addr_0] | 0;
   166594     if ($cmp) {
   166595       label = 4;
   166596       break;
   166597     } else {
   166598       label = 5;
   166599       break;
   166600     }
   166601    case 4:
   166602     $idxprom = $3 & 255;
   166603     $arrayidx2 = $0 + $idxprom | 0;
   166604     $4 = HEAP8[$arrayidx2] | 0;
   166605     $conv3 = $4 & 255;
   166606     $cond = $conv3;
   166607     label = 6;
   166608     break;
   166609    case 5:
   166610     $call = _unicode_byte_type($2, $3) | 0;
   166611     $cond = $call;
   166612     label = 6;
   166613     break;
   166614    case 6:
   166615     if (($cond | 0) == 5) {
   166616       label = 7;
   166617       break;
   166618     } else if (($cond | 0) == 6) {
   166619       label = 10;
   166620       break;
   166621     } else if (($cond | 0) == 7) {
   166622       label = 13;
   166623       break;
   166624     } else if (($cond | 0) == 29 | ($cond | 0) == 22 | ($cond | 0) == 24) {
   166625       label = 17;
   166626       break;
   166627     } else if (($cond | 0) == 12) {
   166628       label = 20;
   166629       break;
   166630     } else if (($cond | 0) == 13) {
   166631       label = 27;
   166632       break;
   166633     } else if (($cond | 0) == 3) {
   166634       label = 34;
   166635       break;
   166636     } else if (($cond | 0) == 21) {
   166637       label = 36;
   166638       break;
   166639     } else if (($cond | 0) == 9 | ($cond | 0) == 10) {
   166640       label = 49;
   166641       break;
   166642     } else if (($cond | 0) == 11 | ($cond | 0) == 17) {
   166643       label = 52;
   166644       break;
   166645     } else {
   166646       $open_0 = $open_0;
   166647       $nAtts_0 = $nAtts_0;
   166648       $state_0 = $state_0;
   166649       $ptr_pn = $ptr_addr_0;
   166650       label = 3;
   166651       break;
   166652     }
   166653    case 7:
   166654     $cmp6 = ($state_0 | 0) == 0;
   166655     if ($cmp6) {
   166656       label = 8;
   166657       break;
   166658     } else {
   166659       $open_0 = $open_0;
   166660       $nAtts_0 = $nAtts_0;
   166661       $state_0 = $state_0;
   166662       $ptr_pn = $ptr_addr_0;
   166663       label = 3;
   166664       break;
   166665     }
   166666    case 8:
   166667     $cmp8 = ($nAtts_0 | 0) < ($attsMax | 0);
   166668     if ($cmp8) {
   166669       label = 9;
   166670       break;
   166671     } else {
   166672       $open_0 = $open_0;
   166673       $nAtts_0 = $nAtts_0;
   166674       $state_0 = 1;
   166675       $ptr_pn = $ptr_addr_0;
   166676       label = 3;
   166677       break;
   166678     }
   166679    case 9:
   166680     $name = $atts + ($nAtts_0 << 4) | 0;
   166681     HEAP32[$name >> 2] = $ptr_addr_0;
   166682     $normalized = $atts + ($nAtts_0 << 4) + 12 | 0;
   166683     HEAP8[$normalized] = 1;
   166684     $open_0 = $open_0;
   166685     $nAtts_0 = $nAtts_0;
   166686     $state_0 = 1;
   166687     $ptr_pn = $ptr_addr_0;
   166688     label = 3;
   166689     break;
   166690    case 10:
   166691     $cmp16 = ($state_0 | 0) == 0;
   166692     if ($cmp16) {
   166693       label = 11;
   166694       break;
   166695     } else {
   166696       $open_0 = $open_0;
   166697       $nAtts_0 = $nAtts_0;
   166698       $state_0 = $state_0;
   166699       $ptr_pn = $arrayidx;
   166700       label = 3;
   166701       break;
   166702     }
   166703    case 11:
   166704     $cmp19 = ($nAtts_0 | 0) < ($attsMax | 0);
   166705     if ($cmp19) {
   166706       label = 12;
   166707       break;
   166708     } else {
   166709       $open_0 = $open_0;
   166710       $nAtts_0 = $nAtts_0;
   166711       $state_0 = 1;
   166712       $ptr_pn = $arrayidx;
   166713       label = 3;
   166714       break;
   166715     }
   166716    case 12:
   166717     $name23 = $atts + ($nAtts_0 << 4) | 0;
   166718     HEAP32[$name23 >> 2] = $ptr_addr_0;
   166719     $normalized25 = $atts + ($nAtts_0 << 4) + 12 | 0;
   166720     HEAP8[$normalized25] = 1;
   166721     $open_0 = $open_0;
   166722     $nAtts_0 = $nAtts_0;
   166723     $state_0 = 1;
   166724     $ptr_pn = $arrayidx;
   166725     label = 3;
   166726     break;
   166727    case 13:
   166728     $cmp30 = ($state_0 | 0) == 0;
   166729     if ($cmp30) {
   166730       label = 14;
   166731       break;
   166732     } else {
   166733       $state_3 = $state_0;
   166734       label = 16;
   166735       break;
   166736     }
   166737    case 14:
   166738     $cmp33 = ($nAtts_0 | 0) < ($attsMax | 0);
   166739     if ($cmp33) {
   166740       label = 15;
   166741       break;
   166742     } else {
   166743       $state_3 = 1;
   166744       label = 16;
   166745       break;
   166746     }
   166747    case 15:
   166748     $name37 = $atts + ($nAtts_0 << 4) | 0;
   166749     HEAP32[$name37 >> 2] = $ptr_addr_0;
   166750     $normalized39 = $atts + ($nAtts_0 << 4) + 12 | 0;
   166751     HEAP8[$normalized39] = 1;
   166752     $state_3 = 1;
   166753     label = 16;
   166754     break;
   166755    case 16:
   166756     $add_ptr42 = $ptr_pn + 4 | 0;
   166757     $open_0 = $open_0;
   166758     $nAtts_0 = $nAtts_0;
   166759     $state_0 = $state_3;
   166760     $ptr_pn = $add_ptr42;
   166761     label = 3;
   166762     break;
   166763    case 17:
   166764     $cmp44 = ($state_0 | 0) == 0;
   166765     if ($cmp44) {
   166766       label = 18;
   166767       break;
   166768     } else {
   166769       $open_0 = $open_0;
   166770       $nAtts_0 = $nAtts_0;
   166771       $state_0 = $state_0;
   166772       $ptr_pn = $ptr_addr_0;
   166773       label = 3;
   166774       break;
   166775     }
   166776    case 18:
   166777     $cmp47 = ($nAtts_0 | 0) < ($attsMax | 0);
   166778     if ($cmp47) {
   166779       label = 19;
   166780       break;
   166781     } else {
   166782       $open_0 = $open_0;
   166783       $nAtts_0 = $nAtts_0;
   166784       $state_0 = 1;
   166785       $ptr_pn = $ptr_addr_0;
   166786       label = 3;
   166787       break;
   166788     }
   166789    case 19:
   166790     $name51 = $atts + ($nAtts_0 << 4) | 0;
   166791     HEAP32[$name51 >> 2] = $ptr_addr_0;
   166792     $normalized53 = $atts + ($nAtts_0 << 4) + 12 | 0;
   166793     HEAP8[$normalized53] = 1;
   166794     $open_0 = $open_0;
   166795     $nAtts_0 = $nAtts_0;
   166796     $state_0 = 1;
   166797     $ptr_pn = $ptr_addr_0;
   166798     label = 3;
   166799     break;
   166800    case 20:
   166801     $cmp57 = ($state_0 | 0) == 2;
   166802     if ($cmp57) {
   166803       label = 23;
   166804       break;
   166805     } else {
   166806       label = 21;
   166807       break;
   166808     }
   166809    case 21:
   166810     $cmp60 = ($nAtts_0 | 0) < ($attsMax | 0);
   166811     if ($cmp60) {
   166812       label = 22;
   166813       break;
   166814     } else {
   166815       $open_0 = 12;
   166816       $nAtts_0 = $nAtts_0;
   166817       $state_0 = 2;
   166818       $ptr_pn = $ptr_addr_0;
   166819       label = 3;
   166820       break;
   166821     }
   166822    case 22:
   166823     $add_ptr63 = $ptr_pn + 4 | 0;
   166824     $valuePtr = $atts + ($nAtts_0 << 4) + 4 | 0;
   166825     HEAP32[$valuePtr >> 2] = $add_ptr63;
   166826     $open_0 = 12;
   166827     $nAtts_0 = $nAtts_0;
   166828     $state_0 = 2;
   166829     $ptr_pn = $ptr_addr_0;
   166830     label = 3;
   166831     break;
   166832    case 23:
   166833     $cmp66 = ($open_0 | 0) == 12;
   166834     if ($cmp66) {
   166835       label = 24;
   166836       break;
   166837     } else {
   166838       $open_0 = $open_0;
   166839       $nAtts_0 = $nAtts_0;
   166840       $state_0 = 2;
   166841       $ptr_pn = $ptr_addr_0;
   166842       label = 3;
   166843       break;
   166844     }
   166845    case 24:
   166846     $cmp69 = ($nAtts_0 | 0) < ($attsMax | 0);
   166847     if ($cmp69) {
   166848       label = 25;
   166849       break;
   166850     } else {
   166851       label = 26;
   166852       break;
   166853     }
   166854    case 25:
   166855     $valueEnd = $atts + ($nAtts_0 << 4) + 8 | 0;
   166856     HEAP32[$valueEnd >> 2] = $ptr_addr_0;
   166857     label = 26;
   166858     break;
   166859    case 26:
   166860     $inc = $nAtts_0 + 1 | 0;
   166861     $open_0 = $open_0;
   166862     $nAtts_0 = $inc;
   166863     $state_0 = 0;
   166864     $ptr_pn = $ptr_addr_0;
   166865     label = 3;
   166866     break;
   166867    case 27:
   166868     $cmp77 = ($state_0 | 0) == 2;
   166869     if ($cmp77) {
   166870       label = 30;
   166871       break;
   166872     } else {
   166873       label = 28;
   166874       break;
   166875     }
   166876    case 28:
   166877     $cmp80 = ($nAtts_0 | 0) < ($attsMax | 0);
   166878     if ($cmp80) {
   166879       label = 29;
   166880       break;
   166881     } else {
   166882       $open_0 = 13;
   166883       $nAtts_0 = $nAtts_0;
   166884       $state_0 = 2;
   166885       $ptr_pn = $ptr_addr_0;
   166886       label = 3;
   166887       break;
   166888     }
   166889    case 29:
   166890     $add_ptr83 = $ptr_pn + 4 | 0;
   166891     $valuePtr85 = $atts + ($nAtts_0 << 4) + 4 | 0;
   166892     HEAP32[$valuePtr85 >> 2] = $add_ptr83;
   166893     $open_0 = 13;
   166894     $nAtts_0 = $nAtts_0;
   166895     $state_0 = 2;
   166896     $ptr_pn = $ptr_addr_0;
   166897     label = 3;
   166898     break;
   166899    case 30:
   166900     $cmp88 = ($open_0 | 0) == 13;
   166901     if ($cmp88) {
   166902       label = 31;
   166903       break;
   166904     } else {
   166905       $open_0 = $open_0;
   166906       $nAtts_0 = $nAtts_0;
   166907       $state_0 = 2;
   166908       $ptr_pn = $ptr_addr_0;
   166909       label = 3;
   166910       break;
   166911     }
   166912    case 31:
   166913     $cmp91 = ($nAtts_0 | 0) < ($attsMax | 0);
   166914     if ($cmp91) {
   166915       label = 32;
   166916       break;
   166917     } else {
   166918       label = 33;
   166919       break;
   166920     }
   166921    case 32:
   166922     $valueEnd95 = $atts + ($nAtts_0 << 4) + 8 | 0;
   166923     HEAP32[$valueEnd95 >> 2] = $ptr_addr_0;
   166924     label = 33;
   166925     break;
   166926    case 33:
   166927     $inc97 = $nAtts_0 + 1 | 0;
   166928     $open_0 = $open_0;
   166929     $nAtts_0 = $inc97;
   166930     $state_0 = 0;
   166931     $ptr_pn = $ptr_addr_0;
   166932     label = 3;
   166933     break;
   166934    case 34:
   166935     $cmp101 = ($nAtts_0 | 0) < ($attsMax | 0);
   166936     if ($cmp101) {
   166937       label = 35;
   166938       break;
   166939     } else {
   166940       $open_0 = $open_0;
   166941       $nAtts_0 = $nAtts_0;
   166942       $state_0 = $state_0;
   166943       $ptr_pn = $ptr_addr_0;
   166944       label = 3;
   166945       break;
   166946     }
   166947    case 35:
   166948     $normalized105 = $atts + ($nAtts_0 << 4) + 12 | 0;
   166949     HEAP8[$normalized105] = 0;
   166950     $open_0 = $open_0;
   166951     $nAtts_0 = $nAtts_0;
   166952     $state_0 = $state_0;
   166953     $ptr_pn = $ptr_addr_0;
   166954     label = 3;
   166955     break;
   166956    case 36:
   166957     $cmp108 = ($state_0 | 0) == 1;
   166958     if ($cmp108) {
   166959       $open_0 = $open_0;
   166960       $nAtts_0 = $nAtts_0;
   166961       $state_0 = 0;
   166962       $ptr_pn = $ptr_addr_0;
   166963       label = 3;
   166964       break;
   166965     } else {
   166966       label = 37;
   166967       break;
   166968     }
   166969    case 37:
   166970     $cmp112 = ($state_0 | 0) == 2;
   166971     $cmp114 = ($nAtts_0 | 0) < ($attsMax | 0);
   166972     $or_cond = $cmp112 & $cmp114;
   166973     if ($or_cond) {
   166974       label = 38;
   166975       break;
   166976     } else {
   166977       $open_0 = $open_0;
   166978       $nAtts_0 = $nAtts_0;
   166979       $state_0 = $state_0;
   166980       $ptr_pn = $ptr_addr_0;
   166981       label = 3;
   166982       break;
   166983     }
   166984    case 38:
   166985     $normalized118 = $atts + ($nAtts_0 << 4) + 12 | 0;
   166986     $5 = HEAP8[$normalized118] | 0;
   166987     $tobool = $5 << 24 >> 24 == 0;
   166988     if ($tobool) {
   166989       $open_0 = $open_0;
   166990       $nAtts_0 = $nAtts_0;
   166991       $state_0 = $state_0;
   166992       $ptr_pn = $ptr_addr_0;
   166993       label = 3;
   166994       break;
   166995     } else {
   166996       label = 39;
   166997       break;
   166998     }
   166999    case 39:
   167000     $valuePtr122 = $atts + ($nAtts_0 << 4) + 4 | 0;
   167001     $6 = HEAP32[$valuePtr122 >> 2] | 0;
   167002     $cmp123 = ($ptr_addr_0 | 0) == ($6 | 0);
   167003     if ($cmp123) {
   167004       label = 48;
   167005       break;
   167006     } else {
   167007       label = 40;
   167008       break;
   167009     }
   167010    case 40:
   167011     $7 = HEAP8[$arrayidx] | 0;
   167012     $cmp127 = $7 << 24 >> 24 == 0;
   167013     if ($cmp127) {
   167014       label = 41;
   167015       break;
   167016     } else {
   167017       label = 48;
   167018       break;
   167019     }
   167020    case 41:
   167021     $8 = HEAP8[$ptr_addr_0] | 0;
   167022     $phitmp = $8 << 24 >> 24 == 32;
   167023     if ($phitmp) {
   167024       label = 42;
   167025       break;
   167026     } else {
   167027       label = 48;
   167028       break;
   167029     }
   167030    case 42:
   167031     $add_ptr138 = $ptr_pn + 4 | 0;
   167032     $arrayidx139 = $ptr_pn + 5 | 0;
   167033     $9 = HEAP8[$arrayidx139] | 0;
   167034     $cmp141 = $9 << 24 >> 24 == 0;
   167035     $10 = HEAP8[$add_ptr138] | 0;
   167036     if ($cmp141) {
   167037       label = 43;
   167038       break;
   167039     } else {
   167040       $14 = $9;
   167041       $13 = $10;
   167042       label = 46;
   167043       break;
   167044     }
   167045    case 43:
   167046     $phitmp93 = $10 << 24 >> 24 == 32;
   167047     if ($phitmp93) {
   167048       label = 48;
   167049       break;
   167050     } else {
   167051       label = 44;
   167052       break;
   167053     }
   167054    case 44:
   167055     $_pr = HEAP8[$arrayidx139] | 0;
   167056     $cmp156 = $_pr << 24 >> 24 == 0;
   167057     $11 = HEAP8[$add_ptr138] | 0;
   167058     if ($cmp156) {
   167059       label = 45;
   167060       break;
   167061     } else {
   167062       $14 = $_pr;
   167063       $13 = $11;
   167064       label = 46;
   167065       break;
   167066     }
   167067    case 45:
   167068     $idxprom160 = $11 & 255;
   167069     $arrayidx162 = $1 + $idxprom160 | 0;
   167070     $12 = HEAP8[$arrayidx162] | 0;
   167071     $conv163 = $12 & 255;
   167072     $cond171 = $conv163;
   167073     label = 47;
   167074     break;
   167075    case 46:
   167076     $call169 = _unicode_byte_type($14, $13) | 0;
   167077     $cond171 = $call169;
   167078     label = 47;
   167079     break;
   167080    case 47:
   167081     $cmp172 = ($cond171 | 0) == ($open_0 | 0);
   167082     if ($cmp172) {
   167083       label = 48;
   167084       break;
   167085     } else {
   167086       $open_0 = $open_0;
   167087       $nAtts_0 = $nAtts_0;
   167088       $state_0 = $state_0;
   167089       $ptr_pn = $ptr_addr_0;
   167090       label = 3;
   167091       break;
   167092     }
   167093    case 48:
   167094     HEAP8[$normalized118] = 0;
   167095     $open_0 = $open_0;
   167096     $nAtts_0 = $nAtts_0;
   167097     $state_0 = $state_0;
   167098     $ptr_pn = $ptr_addr_0;
   167099     label = 3;
   167100     break;
   167101    case 49:
   167102     $cmp180 = ($state_0 | 0) == 1;
   167103     if ($cmp180) {
   167104       $open_0 = $open_0;
   167105       $nAtts_0 = $nAtts_0;
   167106       $state_0 = 0;
   167107       $ptr_pn = $ptr_addr_0;
   167108       label = 3;
   167109       break;
   167110     } else {
   167111       label = 50;
   167112       break;
   167113     }
   167114    case 50:
   167115     $cmp184 = ($state_0 | 0) == 2;
   167116     $cmp187 = ($nAtts_0 | 0) < ($attsMax | 0);
   167117     $or_cond94 = $cmp184 & $cmp187;
   167118     if ($or_cond94) {
   167119       label = 51;
   167120       break;
   167121     } else {
   167122       $open_0 = $open_0;
   167123       $nAtts_0 = $nAtts_0;
   167124       $state_0 = $state_0;
   167125       $ptr_pn = $ptr_addr_0;
   167126       label = 3;
   167127       break;
   167128     }
   167129    case 51:
   167130     $normalized191 = $atts + ($nAtts_0 << 4) + 12 | 0;
   167131     HEAP8[$normalized191] = 0;
   167132     $open_0 = $open_0;
   167133     $nAtts_0 = $nAtts_0;
   167134     $state_0 = $state_0;
   167135     $ptr_pn = $ptr_addr_0;
   167136     label = 3;
   167137     break;
   167138    case 52:
   167139     $cmp195 = ($state_0 | 0) == 2;
   167140     if ($cmp195) {
   167141       $open_0 = $open_0;
   167142       $nAtts_0 = $nAtts_0;
   167143       $state_0 = 2;
   167144       $ptr_pn = $ptr_addr_0;
   167145       label = 3;
   167146       break;
   167147     } else {
   167148       label = 53;
   167149       break;
   167150     }
   167151    case 53:
   167152     return $nAtts_0 | 0;
   167153   }
   167154   return 0;
   167155 }
   167156 function _little2_charRefNumber($enc, $ptr) {
   167157   $enc = $enc | 0;
   167158   $ptr = $ptr | 0;
   167159   var $add_ptr = 0, $arrayidx = 0, $0 = 0, $cmp = 0, $1 = 0, $cmp4 = 0, $add_ptr6 = 0, $result_0 = 0, $ptr_addr_0 = 0, $arrayidx7 = 0, $2 = 0, $cmp9 = 0, $3 = 0, $phitmp28 = 0, $_pr = 0, $cmp17 = 0, $4 = 0, $conv20 = 0, $shl = 0, $sub = 0, $or = 0, $shl22 = 0, $add = 0, $add24 = 0, $shl26 = 0, $add28 = 0, $add29 = 0, $result_1 = 0, $cmp30 = 0, $add_ptr33 = 0, $result_2 = 0, $ptr_addr_1 = 0, $arrayidx35 = 0, $5 = 0, $cmp37 = 0, $6 = 0, $phitmp = 0, $_pr30 = 0, $cmp50 = 0, $7 = 0, $conv54 = 0, $phitmp27 = 0, $cond57 = 0, $mul = 0, $add59 = 0, $cmp60 = 0, $add_ptr65 = 0, $result_3 = 0, $call = 0, $retval_0 = 0, label = 0;
   167160   label = 2;
   167161   while (1) switch (label | 0) {
   167162    case 2:
   167163     $add_ptr = $ptr + 4 | 0;
   167164     $arrayidx = $ptr + 5 | 0;
   167165     $0 = HEAP8[$arrayidx] | 0;
   167166     $cmp = $0 << 24 >> 24 == 0;
   167167     if ($cmp) {
   167168       label = 3;
   167169       break;
   167170     } else {
   167171       $ptr_addr_1 = $add_ptr;
   167172       $result_2 = 0;
   167173       label = 13;
   167174       break;
   167175     }
   167176    case 3:
   167177     $1 = HEAP8[$add_ptr] | 0;
   167178     $cmp4 = $1 << 24 >> 24 == 120;
   167179     if ($cmp4) {
   167180       label = 4;
   167181       break;
   167182     } else {
   167183       $ptr_addr_1 = $add_ptr;
   167184       $result_2 = 0;
   167185       label = 13;
   167186       break;
   167187     }
   167188    case 4:
   167189     $add_ptr6 = $ptr + 6 | 0;
   167190     $ptr_addr_0 = $add_ptr6;
   167191     $result_0 = 0;
   167192     label = 5;
   167193     break;
   167194    case 5:
   167195     $arrayidx7 = $ptr_addr_0 + 1 | 0;
   167196     $2 = HEAP8[$arrayidx7] | 0;
   167197     $cmp9 = $2 << 24 >> 24 == 0;
   167198     if ($cmp9) {
   167199       label = 6;
   167200       break;
   167201     } else {
   167202       $result_1 = $result_0;
   167203       label = 12;
   167204       break;
   167205     }
   167206    case 6:
   167207     $3 = HEAP8[$ptr_addr_0] | 0;
   167208     $phitmp28 = $3 << 24 >> 24 == 59;
   167209     if ($phitmp28) {
   167210       $result_3 = $result_0;
   167211       label = 18;
   167212       break;
   167213     } else {
   167214       label = 7;
   167215       break;
   167216     }
   167217    case 7:
   167218     $_pr = HEAP8[$arrayidx7] | 0;
   167219     $cmp17 = $_pr << 24 >> 24 == 0;
   167220     if ($cmp17) {
   167221       label = 8;
   167222       break;
   167223     } else {
   167224       $result_1 = $result_0;
   167225       label = 12;
   167226       break;
   167227     }
   167228    case 8:
   167229     $4 = HEAP8[$ptr_addr_0] | 0;
   167230     $conv20 = $4 << 24 >> 24;
   167231     if (($conv20 | 0) == 48 | ($conv20 | 0) == 49 | ($conv20 | 0) == 50 | ($conv20 | 0) == 51 | ($conv20 | 0) == 52 | ($conv20 | 0) == 53 | ($conv20 | 0) == 54 | ($conv20 | 0) == 55 | ($conv20 | 0) == 56 | ($conv20 | 0) == 57) {
   167232       label = 9;
   167233       break;
   167234     } else if (($conv20 | 0) == 65 | ($conv20 | 0) == 66 | ($conv20 | 0) == 67 | ($conv20 | 0) == 68 | ($conv20 | 0) == 69 | ($conv20 | 0) == 70) {
   167235       label = 10;
   167236       break;
   167237     } else if (($conv20 | 0) == 97 | ($conv20 | 0) == 98 | ($conv20 | 0) == 99 | ($conv20 | 0) == 100 | ($conv20 | 0) == 101 | ($conv20 | 0) == 102) {
   167238       label = 11;
   167239       break;
   167240     } else {
   167241       $result_1 = $result_0;
   167242       label = 12;
   167243       break;
   167244     }
   167245    case 9:
   167246     $shl = $result_0 << 4;
   167247     $sub = $conv20 - 48 | 0;
   167248     $or = $sub | $shl;
   167249     $result_1 = $or;
   167250     label = 12;
   167251     break;
   167252    case 10:
   167253     $shl22 = $result_0 << 4;
   167254     $add = $shl22 - 55 | 0;
   167255     $add24 = $add + $conv20 | 0;
   167256     $result_1 = $add24;
   167257     label = 12;
   167258     break;
   167259    case 11:
   167260     $shl26 = $result_0 << 4;
   167261     $add28 = $shl26 - 87 | 0;
   167262     $add29 = $add28 + $conv20 | 0;
   167263     $result_1 = $add29;
   167264     label = 12;
   167265     break;
   167266    case 12:
   167267     $cmp30 = ($result_1 | 0) > 1114111;
   167268     $add_ptr33 = $ptr_addr_0 + 2 | 0;
   167269     if ($cmp30) {
   167270       $retval_0 = -1;
   167271       label = 19;
   167272       break;
   167273     } else {
   167274       $ptr_addr_0 = $add_ptr33;
   167275       $result_0 = $result_1;
   167276       label = 5;
   167277       break;
   167278     }
   167279    case 13:
   167280     $arrayidx35 = $ptr_addr_1 + 1 | 0;
   167281     $5 = HEAP8[$arrayidx35] | 0;
   167282     $cmp37 = $5 << 24 >> 24 == 0;
   167283     if ($cmp37) {
   167284       label = 14;
   167285       break;
   167286     } else {
   167287       $cond57 = -49;
   167288       label = 17;
   167289       break;
   167290     }
   167291    case 14:
   167292     $6 = HEAP8[$ptr_addr_1] | 0;
   167293     $phitmp = $6 << 24 >> 24 == 59;
   167294     if ($phitmp) {
   167295       $result_3 = $result_2;
   167296       label = 18;
   167297       break;
   167298     } else {
   167299       label = 15;
   167300       break;
   167301     }
   167302    case 15:
   167303     $_pr30 = HEAP8[$arrayidx35] | 0;
   167304     $cmp50 = $_pr30 << 24 >> 24 == 0;
   167305     if ($cmp50) {
   167306       label = 16;
   167307       break;
   167308     } else {
   167309       $cond57 = -49;
   167310       label = 17;
   167311       break;
   167312     }
   167313    case 16:
   167314     $7 = HEAP8[$ptr_addr_1] | 0;
   167315     $conv54 = $7 << 24 >> 24;
   167316     $phitmp27 = $conv54 - 48 | 0;
   167317     $cond57 = $phitmp27;
   167318     label = 17;
   167319     break;
   167320    case 17:
   167321     $mul = $result_2 * 10 & -1;
   167322     $add59 = $cond57 + $mul | 0;
   167323     $cmp60 = ($add59 | 0) > 1114111;
   167324     $add_ptr65 = $ptr_addr_1 + 2 | 0;
   167325     if ($cmp60) {
   167326       $retval_0 = -1;
   167327       label = 19;
   167328       break;
   167329     } else {
   167330       $ptr_addr_1 = $add_ptr65;
   167331       $result_2 = $add59;
   167332       label = 13;
   167333       break;
   167334     }
   167335    case 18:
   167336     $call = _checkCharRefNumber($result_3) | 0;
   167337     $retval_0 = $call;
   167338     label = 19;
   167339     break;
   167340    case 19:
   167341     return $retval_0 | 0;
   167342   }
   167343   return 0;
   167344 }
   167345 function _little2_updatePosition($enc, $ptr, $end, $pos) {
   167346   $enc = $enc | 0;
   167347   $ptr = $ptr | 0;
   167348   $end = $end | 0;
   167349   $pos = $pos | 0;
   167350   var $cmp22 = 0, $type = 0, $0 = 0, $columnNumber39 = 0, $columnNumber = 0, $lineNumber = 0, $lineNumber14 = 0, $columnNumber37 = 0, $type25 = 0, $1 = 0, $ptr_addr_023 = 0, $arrayidx = 0, $2 = 0, $cmp1 = 0, $3 = 0, $idxprom = 0, $arrayidx3 = 0, $4 = 0, $conv4 = 0, $call = 0, $cond = 0, $add_ptr = 0, $add_ptr8 = 0, $add_ptr10 = 0, $5 = 0, $inc = 0, $add_ptr12 = 0, $6 = 0, $inc15 = 0, $add_ptr16 = 0, $cmp17 = 0, $arrayidx19 = 0, $7 = 0, $cmp21 = 0, $8 = 0, $idxprom24 = 0, $arrayidx26 = 0, $9 = 0, $conv27 = 0, $call31 = 0, $cond33 = 0, $cmp34 = 0, $add_ptr36 = 0, $add_ptr36_add_ptr16 = 0, $ptr_addr_1 = 0, $add_ptr38 = 0, $ptr_addr_2 = 0, $10 = 0, $inc40 = 0, $cmp = 0, label = 0;
   167351   label = 2;
   167352   while (1) switch (label | 0) {
   167353    case 2:
   167354     $cmp22 = $ptr >>> 0 < $end >>> 0;
   167355     if ($cmp22) {
   167356       label = 3;
   167357       break;
   167358     } else {
   167359       label = 20;
   167360       break;
   167361     }
   167362    case 3:
   167363     $type = $enc + 72 | 0;
   167364     $0 = $type;
   167365     $columnNumber39 = $pos + 4 | 0;
   167366     $columnNumber = $pos + 4 | 0;
   167367     $lineNumber = $pos | 0;
   167368     $lineNumber14 = $pos | 0;
   167369     $columnNumber37 = $pos + 4 | 0;
   167370     $type25 = $enc + 72 | 0;
   167371     $1 = $type25;
   167372     $ptr_addr_023 = $ptr;
   167373     label = 4;
   167374     break;
   167375    case 4:
   167376     $arrayidx = $ptr_addr_023 + 1 | 0;
   167377     $2 = HEAP8[$arrayidx] | 0;
   167378     $cmp1 = $2 << 24 >> 24 == 0;
   167379     $3 = HEAP8[$ptr_addr_023] | 0;
   167380     if ($cmp1) {
   167381       label = 5;
   167382       break;
   167383     } else {
   167384       label = 6;
   167385       break;
   167386     }
   167387    case 5:
   167388     $idxprom = $3 & 255;
   167389     $arrayidx3 = $0 + $idxprom | 0;
   167390     $4 = HEAP8[$arrayidx3] | 0;
   167391     $conv4 = $4 & 255;
   167392     $cond = $conv4;
   167393     label = 7;
   167394     break;
   167395    case 6:
   167396     $call = _unicode_byte_type($2, $3) | 0;
   167397     $cond = $call;
   167398     label = 7;
   167399     break;
   167400    case 7:
   167401     if (($cond | 0) == 5) {
   167402       label = 8;
   167403       break;
   167404     } else if (($cond | 0) == 6) {
   167405       label = 9;
   167406       break;
   167407     } else if (($cond | 0) == 7) {
   167408       label = 10;
   167409       break;
   167410     } else if (($cond | 0) == 10) {
   167411       label = 11;
   167412       break;
   167413     } else if (($cond | 0) == 9) {
   167414       label = 12;
   167415       break;
   167416     } else {
   167417       label = 18;
   167418       break;
   167419     }
   167420    case 8:
   167421     $add_ptr = $ptr_addr_023 + 2 | 0;
   167422     $ptr_addr_2 = $add_ptr;
   167423     label = 19;
   167424     break;
   167425    case 9:
   167426     $add_ptr8 = $ptr_addr_023 + 3 | 0;
   167427     $ptr_addr_2 = $add_ptr8;
   167428     label = 19;
   167429     break;
   167430    case 10:
   167431     $add_ptr10 = $ptr_addr_023 + 4 | 0;
   167432     $ptr_addr_2 = $add_ptr10;
   167433     label = 19;
   167434     break;
   167435    case 11:
   167436     HEAP32[$columnNumber >> 2] = -1;
   167437     $5 = HEAP32[$lineNumber >> 2] | 0;
   167438     $inc = $5 + 1 | 0;
   167439     HEAP32[$lineNumber >> 2] = $inc;
   167440     $add_ptr12 = $ptr_addr_023 + 2 | 0;
   167441     $ptr_addr_2 = $add_ptr12;
   167442     label = 19;
   167443     break;
   167444    case 12:
   167445     $6 = HEAP32[$lineNumber14 >> 2] | 0;
   167446     $inc15 = $6 + 1 | 0;
   167447     HEAP32[$lineNumber14 >> 2] = $inc15;
   167448     $add_ptr16 = $ptr_addr_023 + 2 | 0;
   167449     $cmp17 = ($add_ptr16 | 0) == ($end | 0);
   167450     if ($cmp17) {
   167451       $ptr_addr_1 = $add_ptr16;
   167452       label = 17;
   167453       break;
   167454     } else {
   167455       label = 13;
   167456       break;
   167457     }
   167458    case 13:
   167459     $arrayidx19 = $ptr_addr_023 + 3 | 0;
   167460     $7 = HEAP8[$arrayidx19] | 0;
   167461     $cmp21 = $7 << 24 >> 24 == 0;
   167462     $8 = HEAP8[$add_ptr16] | 0;
   167463     if ($cmp21) {
   167464       label = 14;
   167465       break;
   167466     } else {
   167467       label = 15;
   167468       break;
   167469     }
   167470    case 14:
   167471     $idxprom24 = $8 & 255;
   167472     $arrayidx26 = $1 + $idxprom24 | 0;
   167473     $9 = HEAP8[$arrayidx26] | 0;
   167474     $conv27 = $9 & 255;
   167475     $cond33 = $conv27;
   167476     label = 16;
   167477     break;
   167478    case 15:
   167479     $call31 = _unicode_byte_type($7, $8) | 0;
   167480     $cond33 = $call31;
   167481     label = 16;
   167482     break;
   167483    case 16:
   167484     $cmp34 = ($cond33 | 0) == 10;
   167485     $add_ptr36 = $ptr_addr_023 + 4 | 0;
   167486     $add_ptr36_add_ptr16 = $cmp34 ? $add_ptr36 : $add_ptr16;
   167487     $ptr_addr_1 = $add_ptr36_add_ptr16;
   167488     label = 17;
   167489     break;
   167490    case 17:
   167491     HEAP32[$columnNumber37 >> 2] = -1;
   167492     $ptr_addr_2 = $ptr_addr_1;
   167493     label = 19;
   167494     break;
   167495    case 18:
   167496     $add_ptr38 = $ptr_addr_023 + 2 | 0;
   167497     $ptr_addr_2 = $add_ptr38;
   167498     label = 19;
   167499     break;
   167500    case 19:
   167501     $10 = HEAP32[$columnNumber39 >> 2] | 0;
   167502     $inc40 = $10 + 1 | 0;
   167503     HEAP32[$columnNumber39 >> 2] = $inc40;
   167504     $cmp = $ptr_addr_2 >>> 0 < $end >>> 0;
   167505     if ($cmp) {
   167506       $ptr_addr_023 = $ptr_addr_2;
   167507       label = 4;
   167508       break;
   167509     } else {
   167510       label = 20;
   167511       break;
   167512     }
   167513    case 20:
   167514     return;
   167515   }
   167516 }
   167517 function _unicode_byte_type($hi, $lo) {
   167518   $hi = $hi | 0;
   167519   $lo = $lo | 0;
   167520   var $conv = 0, $conv3 = 0, $conv3_off = 0, $switch = 0, $retval_0 = 0, label = 0;
   167521   label = 2;
   167522   while (1) switch (label | 0) {
   167523    case 2:
   167524     $conv = $hi & 255;
   167525     if (($conv | 0) == 220 | ($conv | 0) == 221 | ($conv | 0) == 222 | ($conv | 0) == 223) {
   167526       label = 3;
   167527       break;
   167528     } else if (($conv | 0) == 255) {
   167529       label = 4;
   167530       break;
   167531     } else if (($conv | 0) == 216 | ($conv | 0) == 217 | ($conv | 0) == 218 | ($conv | 0) == 219) {
   167532       $retval_0 = 7;
   167533       label = 6;
   167534       break;
   167535     } else {
   167536       label = 5;
   167537       break;
   167538     }
   167539    case 3:
   167540     $retval_0 = 8;
   167541     label = 6;
   167542     break;
   167543    case 4:
   167544     $conv3 = $lo & 255;
   167545     $conv3_off = $conv3 - 254 | 0;
   167546     $switch = $conv3_off >>> 0 < 2;
   167547     if ($switch) {
   167548       $retval_0 = 0;
   167549       label = 6;
   167550       break;
   167551     } else {
   167552       label = 5;
   167553       break;
   167554     }
   167555    case 5:
   167556     $retval_0 = 29;
   167557     label = 6;
   167558     break;
   167559    case 6:
   167560     return $retval_0 | 0;
   167561   }
   167562   return 0;
   167563 }
   167564 function _little2_toUtf8($enc, $fromP, $fromLim, $toP, $toLim) {
   167565   $enc = $enc | 0;
   167566   $fromP = $fromP | 0;
   167567   $fromLim = $fromLim | 0;
   167568   $toP = $toP | 0;
   167569   $toLim = $toLim | 0;
   167570   var $0 = 0, $cmp57 = 0, $sub_ptr_lhs_cast23 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_lhs_cast50 = 0, $from_058 = 0, $1 = 0, $arrayidx1 = 0, $2 = 0, $conv = 0, $cmp3 = 0, $3 = 0, $cmp5 = 0, $incdec_ptr = 0, $4 = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp10 = 0, $shr50 = 0, $shl = 0, $or = 0, $or16 = 0, $incdec_ptr18 = 0, $and = 0, $or20 = 0, $5 = 0, $incdec_ptr22 = 0, $6 = 0, $sub_ptr_rhs_cast24 = 0, $sub_ptr_sub25 = 0, $cmp26 = 0, $shr3151 = 0, $or32 = 0, $incdec_ptr34 = 0, $and36 = 0, $shl37 = 0, $shr3952 = 0, $or40 = 0, $or41 = 0, $7 = 0, $incdec_ptr43 = 0, $and45 = 0, $or46 = 0, $8 = 0, $incdec_ptr48 = 0, $9 = 0, $sub_ptr_rhs_cast51 = 0, $sub_ptr_sub52 = 0, $cmp53 = 0, $and58 = 0, $shl59 = 0, $conv60 = 0, $shr6146 = 0, $or63 = 0, $add = 0, $shr6447 = 0, $or65 = 0, $conv66 = 0, $incdec_ptr67 = 0, $shr6948 = 0, $and70 = 0, $and71 = 0, $shl72 = 0, $or73 = 0, $or74 = 0, $conv75 = 0, $10 = 0, $incdec_ptr76 = 0, $add_ptr = 0, $11 = 0, $and79 = 0, $shl80 = 0, $arrayidx81 = 0, $12 = 0, $and83 = 0, $shl84 = 0, $shr8749 = 0, $or85 = 0, $or88 = 0, $or89 = 0, $13 = 0, $incdec_ptr91 = 0, $and93 = 0, $or94 = 0, $14 = 0, $incdec_ptr96 = 0, $from_1 = 0, $add_ptr97 = 0, $cmp = 0, $from_0_lcssa = 0, label = 0;
   167571   label = 2;
   167572   while (1) switch (label | 0) {
   167573    case 2:
   167574     $0 = HEAP32[$fromP >> 2] | 0;
   167575     $cmp57 = ($0 | 0) == ($fromLim | 0);
   167576     if ($cmp57) {
   167577       $from_0_lcssa = $0;
   167578       label = 19;
   167579       break;
   167580     } else {
   167581       label = 3;
   167582       break;
   167583     }
   167584    case 3:
   167585     $sub_ptr_lhs_cast23 = $toLim;
   167586     $sub_ptr_lhs_cast = $toLim;
   167587     $sub_ptr_lhs_cast50 = $toLim;
   167588     $from_058 = $0;
   167589     label = 4;
   167590     break;
   167591    case 4:
   167592     $1 = HEAP8[$from_058] | 0;
   167593     $arrayidx1 = $from_058 + 1 | 0;
   167594     $2 = HEAP8[$arrayidx1] | 0;
   167595     $conv = $2 & 255;
   167596     if (($conv | 0) == 0) {
   167597       label = 5;
   167598       break;
   167599     } else if (($conv | 0) == 1 | ($conv | 0) == 2 | ($conv | 0) == 3 | ($conv | 0) == 4 | ($conv | 0) == 5 | ($conv | 0) == 6 | ($conv | 0) == 7) {
   167600       label = 9;
   167601       break;
   167602     } else if (($conv | 0) == 216 | ($conv | 0) == 217 | ($conv | 0) == 218 | ($conv | 0) == 219) {
   167603       label = 15;
   167604       break;
   167605     } else {
   167606       label = 12;
   167607       break;
   167608     }
   167609    case 5:
   167610     $cmp3 = $1 << 24 >> 24 > -1;
   167611     if ($cmp3) {
   167612       label = 6;
   167613       break;
   167614     } else {
   167615       label = 9;
   167616       break;
   167617     }
   167618    case 6:
   167619     $3 = HEAP32[$toP >> 2] | 0;
   167620     $cmp5 = ($3 | 0) == ($toLim | 0);
   167621     if ($cmp5) {
   167622       label = 7;
   167623       break;
   167624     } else {
   167625       label = 8;
   167626       break;
   167627     }
   167628    case 7:
   167629     HEAP32[$fromP >> 2] = $from_058;
   167630     label = 20;
   167631     break;
   167632    case 8:
   167633     $incdec_ptr = $3 + 1 | 0;
   167634     HEAP32[$toP >> 2] = $incdec_ptr;
   167635     HEAP8[$3] = $1;
   167636     $from_1 = $from_058;
   167637     label = 18;
   167638     break;
   167639    case 9:
   167640     $4 = HEAP32[$toP >> 2] | 0;
   167641     $sub_ptr_rhs_cast = $4;
   167642     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   167643     $cmp10 = ($sub_ptr_sub | 0) < 2;
   167644     if ($cmp10) {
   167645       label = 10;
   167646       break;
   167647     } else {
   167648       label = 11;
   167649       break;
   167650     }
   167651    case 10:
   167652     HEAP32[$fromP >> 2] = $from_058;
   167653     label = 20;
   167654     break;
   167655    case 11:
   167656     $shr50 = ($1 & 255) >>> 6;
   167657     $shl = $2 << 2;
   167658     $or = $shr50 | $shl;
   167659     $or16 = $or | -64;
   167660     $incdec_ptr18 = $4 + 1 | 0;
   167661     HEAP32[$toP >> 2] = $incdec_ptr18;
   167662     HEAP8[$4] = $or16;
   167663     $and = $1 & 63;
   167664     $or20 = $and | -128;
   167665     $5 = HEAP32[$toP >> 2] | 0;
   167666     $incdec_ptr22 = $5 + 1 | 0;
   167667     HEAP32[$toP >> 2] = $incdec_ptr22;
   167668     HEAP8[$5] = $or20;
   167669     $from_1 = $from_058;
   167670     label = 18;
   167671     break;
   167672    case 12:
   167673     $6 = HEAP32[$toP >> 2] | 0;
   167674     $sub_ptr_rhs_cast24 = $6;
   167675     $sub_ptr_sub25 = $sub_ptr_lhs_cast23 - $sub_ptr_rhs_cast24 | 0;
   167676     $cmp26 = ($sub_ptr_sub25 | 0) < 3;
   167677     if ($cmp26) {
   167678       label = 13;
   167679       break;
   167680     } else {
   167681       label = 14;
   167682       break;
   167683     }
   167684    case 13:
   167685     HEAP32[$fromP >> 2] = $from_058;
   167686     label = 20;
   167687     break;
   167688    case 14:
   167689     $shr3151 = ($2 & 255) >>> 4;
   167690     $or32 = $shr3151 | -32;
   167691     $incdec_ptr34 = $6 + 1 | 0;
   167692     HEAP32[$toP >> 2] = $incdec_ptr34;
   167693     HEAP8[$6] = $or32;
   167694     $and36 = $2 << 2;
   167695     $shl37 = $and36 & 60;
   167696     $shr3952 = ($1 & 255) >>> 6;
   167697     $or40 = $shr3952 | $shl37;
   167698     $or41 = $or40 | -128;
   167699     $7 = HEAP32[$toP >> 2] | 0;
   167700     $incdec_ptr43 = $7 + 1 | 0;
   167701     HEAP32[$toP >> 2] = $incdec_ptr43;
   167702     HEAP8[$7] = $or41;
   167703     $and45 = $1 & 63;
   167704     $or46 = $and45 | -128;
   167705     $8 = HEAP32[$toP >> 2] | 0;
   167706     $incdec_ptr48 = $8 + 1 | 0;
   167707     HEAP32[$toP >> 2] = $incdec_ptr48;
   167708     HEAP8[$8] = $or46;
   167709     $from_1 = $from_058;
   167710     label = 18;
   167711     break;
   167712    case 15:
   167713     $9 = HEAP32[$toP >> 2] | 0;
   167714     $sub_ptr_rhs_cast51 = $9;
   167715     $sub_ptr_sub52 = $sub_ptr_lhs_cast50 - $sub_ptr_rhs_cast51 | 0;
   167716     $cmp53 = ($sub_ptr_sub52 | 0) < 4;
   167717     if ($cmp53) {
   167718       label = 16;
   167719       break;
   167720     } else {
   167721       label = 17;
   167722       break;
   167723     }
   167724    case 16:
   167725     HEAP32[$fromP >> 2] = $from_058;
   167726     label = 20;
   167727     break;
   167728    case 17:
   167729     $and58 = $conv << 2;
   167730     $shl59 = $and58 & 12;
   167731     $conv60 = $1 & 255;
   167732     $shr6146 = $conv60 >>> 6;
   167733     $or63 = $shl59 | $shr6146;
   167734     $add = $or63 + 1 | 0;
   167735     $shr6447 = $add >>> 2;
   167736     $or65 = $shr6447 | 240;
   167737     $conv66 = $or65 & 255;
   167738     $incdec_ptr67 = $9 + 1 | 0;
   167739     HEAP32[$toP >> 2] = $incdec_ptr67;
   167740     HEAP8[$9] = $conv66;
   167741     $shr6948 = $conv60 >>> 2;
   167742     $and70 = $shr6948 & 15;
   167743     $and71 = $add << 4;
   167744     $shl72 = $and71 & 48;
   167745     $or73 = $and70 | $shl72;
   167746     $or74 = $or73 | 128;
   167747     $conv75 = $or74 & 255;
   167748     $10 = HEAP32[$toP >> 2] | 0;
   167749     $incdec_ptr76 = $10 + 1 | 0;
   167750     HEAP32[$toP >> 2] = $incdec_ptr76;
   167751     HEAP8[$10] = $conv75;
   167752     $add_ptr = $from_058 + 2 | 0;
   167753     $11 = HEAP8[$add_ptr] | 0;
   167754     $and79 = $1 << 4;
   167755     $shl80 = $and79 & 48;
   167756     $arrayidx81 = $from_058 + 3 | 0;
   167757     $12 = HEAP8[$arrayidx81] | 0;
   167758     $and83 = $12 << 2;
   167759     $shl84 = $and83 & 12;
   167760     $shr8749 = ($11 & 255) >>> 6;
   167761     $or85 = $shl80 | $shr8749;
   167762     $or88 = $or85 | $shl84;
   167763     $or89 = $or88 | -128;
   167764     $13 = HEAP32[$toP >> 2] | 0;
   167765     $incdec_ptr91 = $13 + 1 | 0;
   167766     HEAP32[$toP >> 2] = $incdec_ptr91;
   167767     HEAP8[$13] = $or89;
   167768     $and93 = $11 & 63;
   167769     $or94 = $and93 | -128;
   167770     $14 = HEAP32[$toP >> 2] | 0;
   167771     $incdec_ptr96 = $14 + 1 | 0;
   167772     HEAP32[$toP >> 2] = $incdec_ptr96;
   167773     HEAP8[$14] = $or94;
   167774     $from_1 = $add_ptr;
   167775     label = 18;
   167776     break;
   167777    case 18:
   167778     $add_ptr97 = $from_1 + 2 | 0;
   167779     $cmp = ($add_ptr97 | 0) == ($fromLim | 0);
   167780     if ($cmp) {
   167781       $from_0_lcssa = $add_ptr97;
   167782       label = 19;
   167783       break;
   167784     } else {
   167785       $from_058 = $add_ptr97;
   167786       label = 4;
   167787       break;
   167788     }
   167789    case 19:
   167790     HEAP32[$fromP >> 2] = $from_0_lcssa;
   167791     label = 20;
   167792     break;
   167793    case 20:
   167794     return;
   167795   }
   167796 }
   167797 function _little2_toUtf16($enc, $fromP, $fromLim, $toP, $toLim) {
   167798   $enc = $enc | 0;
   167799   $fromP = $fromP | 0;
   167800   $fromLim = $fromLim | 0;
   167801   $toP = $toP | 0;
   167802   $toLim = $toLim | 0;
   167803   var $0 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $1 = 0, $sub_ptr_lhs_cast1 = 0, $sub_ptr_rhs_cast2 = 0, $sub_ptr_sub3 = 0, $cmp = 0, $arrayidx = 0, $2 = 0, $and = 0, $cmp4 = 0, $add_ptr = 0, $add_ptr_fromLim = 0, $fromLim_addr_0_ph = 0, $3 = 0, $cmp711 = 0, $4 = 0, $5 = 0, $cmp9 = 0, $arrayidx11 = 0, $6 = 0, $conv12 = 0, $shl13 = 0, $7 = 0, $conv15 = 0, $or = 0, $incdec_ptr = 0, $8 = 0, $add_ptr17 = 0, $cmp7 = 0, label = 0;
   167804   label = 2;
   167805   while (1) switch (label | 0) {
   167806    case 2:
   167807     $0 = HEAP32[$fromP >> 2] | 0;
   167808     $sub_ptr_lhs_cast = $fromLim;
   167809     $sub_ptr_rhs_cast = $0;
   167810     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   167811     $1 = HEAP32[$toP >> 2] | 0;
   167812     $sub_ptr_lhs_cast1 = $toLim;
   167813     $sub_ptr_rhs_cast2 = $1;
   167814     $sub_ptr_sub3 = $sub_ptr_lhs_cast1 - $sub_ptr_rhs_cast2 | 0;
   167815     $cmp = ($sub_ptr_sub | 0) > ($sub_ptr_sub3 | 0);
   167816     if ($cmp) {
   167817       label = 3;
   167818       break;
   167819     } else {
   167820       $fromLim_addr_0_ph = $fromLim;
   167821       label = 4;
   167822       break;
   167823     }
   167824    case 3:
   167825     $arrayidx = $fromLim - 1 | 0;
   167826     $2 = HEAP8[$arrayidx] | 0;
   167827     $and = $2 & -8;
   167828     $cmp4 = $and << 24 >> 24 == -40;
   167829     $add_ptr = $fromLim - 2 | 0;
   167830     $add_ptr_fromLim = $cmp4 ? $add_ptr : $fromLim;
   167831     $fromLim_addr_0_ph = $add_ptr_fromLim;
   167832     label = 4;
   167833     break;
   167834    case 4:
   167835     $3 = HEAP32[$fromP >> 2] | 0;
   167836     $cmp711 = ($3 | 0) == ($fromLim_addr_0_ph | 0);
   167837     if ($cmp711) {
   167838       label = 7;
   167839       break;
   167840     } else {
   167841       $4 = $3;
   167842       label = 5;
   167843       break;
   167844     }
   167845    case 5:
   167846     $5 = HEAP32[$toP >> 2] | 0;
   167847     $cmp9 = ($5 | 0) == ($toLim | 0);
   167848     if ($cmp9) {
   167849       label = 7;
   167850       break;
   167851     } else {
   167852       label = 6;
   167853       break;
   167854     }
   167855    case 6:
   167856     $arrayidx11 = $4 + 1 | 0;
   167857     $6 = HEAP8[$arrayidx11] | 0;
   167858     $conv12 = $6 & 255;
   167859     $shl13 = $conv12 << 8;
   167860     $7 = HEAP8[$4] | 0;
   167861     $conv15 = $7 & 255;
   167862     $or = $shl13 | $conv15;
   167863     $incdec_ptr = $5 + 2 | 0;
   167864     HEAP32[$toP >> 2] = $incdec_ptr;
   167865     HEAP16[$5 >> 1] = $or;
   167866     $8 = HEAP32[$fromP >> 2] | 0;
   167867     $add_ptr17 = $8 + 2 | 0;
   167868     HEAP32[$fromP >> 2] = $add_ptr17;
   167869     $cmp7 = ($add_ptr17 | 0) == ($fromLim_addr_0_ph | 0);
   167870     if ($cmp7) {
   167871       label = 7;
   167872       break;
   167873     } else {
   167874       $4 = $add_ptr17;
   167875       label = 5;
   167876       break;
   167877     }
   167878    case 7:
   167879     return;
   167880   }
   167881 }
   167882 function _little2_isPublicId($enc, $ptr, $end, $badPtr) {
   167883   $enc = $enc | 0;
   167884   $ptr = $ptr | 0;
   167885   $end = $end | 0;
   167886   $badPtr = $badPtr | 0;
   167887   var $add_ptr1 = 0, $ptr_addr_020 = 0, $cmp21 = 0, $type = 0, $0 = 0, $ptr_addr_023 = 0, $ptr_pn22 = 0, $arrayidx = 0, $1 = 0, $cmp2 = 0, $2 = 0, $idxprom = 0, $arrayidx4 = 0, $3 = 0, $conv5 = 0, $call = 0, $cond = 0, $ptr_addr_0 = 0, $cmp = 0, $4 = 0, $cmp11 = 0, $5 = 0, $cmp15 = 0, $6 = 0, $cmp20 = 0, $7 = 0, $phitmp16 = 0, $_pr = 0, $cmp32 = 0, $8 = 0, $conv36 = 0, $retval_0 = 0, label = 0;
   167888   label = 2;
   167889   while (1) switch (label | 0) {
   167890    case 2:
   167891     $add_ptr1 = $end - 2 | 0;
   167892     $ptr_addr_020 = $ptr + 2 | 0;
   167893     $cmp21 = ($ptr_addr_020 | 0) == ($add_ptr1 | 0);
   167894     if ($cmp21) {
   167895       $retval_0 = 1;
   167896       label = 17;
   167897       break;
   167898     } else {
   167899       label = 3;
   167900       break;
   167901     }
   167902    case 3:
   167903     $type = $enc + 72 | 0;
   167904     $0 = $type;
   167905     $ptr_pn22 = $ptr;
   167906     $ptr_addr_023 = $ptr_addr_020;
   167907     label = 4;
   167908     break;
   167909    case 4:
   167910     $arrayidx = $ptr_pn22 + 3 | 0;
   167911     $1 = HEAP8[$arrayidx] | 0;
   167912     $cmp2 = $1 << 24 >> 24 == 0;
   167913     $2 = HEAP8[$ptr_addr_023] | 0;
   167914     if ($cmp2) {
   167915       label = 5;
   167916       break;
   167917     } else {
   167918       label = 6;
   167919       break;
   167920     }
   167921    case 5:
   167922     $idxprom = $2 & 255;
   167923     $arrayidx4 = $0 + $idxprom | 0;
   167924     $3 = HEAP8[$arrayidx4] | 0;
   167925     $conv5 = $3 & 255;
   167926     $cond = $conv5;
   167927     label = 7;
   167928     break;
   167929    case 6:
   167930     $call = _unicode_byte_type($1, $2) | 0;
   167931     $cond = $call;
   167932     label = 7;
   167933     break;
   167934    case 7:
   167935     if (($cond | 0) == 25 | ($cond | 0) == 24 | ($cond | 0) == 27 | ($cond | 0) == 13 | ($cond | 0) == 31 | ($cond | 0) == 32 | ($cond | 0) == 34 | ($cond | 0) == 35 | ($cond | 0) == 17 | ($cond | 0) == 14 | ($cond | 0) == 15 | ($cond | 0) == 9 | ($cond | 0) == 10 | ($cond | 0) == 18 | ($cond | 0) == 16 | ($cond | 0) == 33 | ($cond | 0) == 30 | ($cond | 0) == 19) {
   167936       label = 8;
   167937       break;
   167938     } else if (($cond | 0) == 21) {
   167939       label = 9;
   167940       break;
   167941     } else if (($cond | 0) == 26 | ($cond | 0) == 22) {
   167942       label = 12;
   167943       break;
   167944     } else {
   167945       label = 14;
   167946       break;
   167947     }
   167948    case 8:
   167949     $ptr_addr_0 = $ptr_addr_023 + 2 | 0;
   167950     $cmp = ($ptr_addr_0 | 0) == ($add_ptr1 | 0);
   167951     if ($cmp) {
   167952       $retval_0 = 1;
   167953       label = 17;
   167954       break;
   167955     } else {
   167956       $ptr_pn22 = $ptr_addr_023;
   167957       $ptr_addr_023 = $ptr_addr_0;
   167958       label = 4;
   167959       break;
   167960     }
   167961    case 9:
   167962     $4 = HEAP8[$arrayidx] | 0;
   167963     $cmp11 = $4 << 24 >> 24 == 0;
   167964     if ($cmp11) {
   167965       label = 10;
   167966       break;
   167967     } else {
   167968       label = 8;
   167969       break;
   167970     }
   167971    case 10:
   167972     $5 = HEAP8[$ptr_addr_023] | 0;
   167973     $cmp15 = $5 << 24 >> 24 == 9;
   167974     if ($cmp15) {
   167975       label = 11;
   167976       break;
   167977     } else {
   167978       label = 8;
   167979       break;
   167980     }
   167981    case 11:
   167982     HEAP32[$badPtr >> 2] = $ptr_addr_023;
   167983     $retval_0 = 0;
   167984     label = 17;
   167985     break;
   167986    case 12:
   167987     $6 = HEAP8[$arrayidx] | 0;
   167988     $cmp20 = $6 << 24 >> 24 == 0;
   167989     if ($cmp20) {
   167990       label = 13;
   167991       break;
   167992     } else {
   167993       label = 16;
   167994       break;
   167995     }
   167996    case 13:
   167997     $7 = HEAP8[$ptr_addr_023] | 0;
   167998     $phitmp16 = $7 << 24 >> 24 < 0;
   167999     if ($phitmp16) {
   168000       label = 14;
   168001       break;
   168002     } else {
   168003       label = 8;
   168004       break;
   168005     }
   168006    case 14:
   168007     $_pr = HEAP8[$arrayidx] | 0;
   168008     $cmp32 = $_pr << 24 >> 24 == 0;
   168009     if ($cmp32) {
   168010       label = 15;
   168011       break;
   168012     } else {
   168013       label = 16;
   168014       break;
   168015     }
   168016    case 15:
   168017     $8 = HEAP8[$ptr_addr_023] | 0;
   168018     $conv36 = $8 << 24 >> 24;
   168019     if (($conv36 | 0) == 36 | ($conv36 | 0) == 64) {
   168020       label = 8;
   168021       break;
   168022     } else {
   168023       label = 16;
   168024       break;
   168025     }
   168026    case 16:
   168027     HEAP32[$badPtr >> 2] = $ptr_addr_023;
   168028     $retval_0 = 0;
   168029     label = 17;
   168030     break;
   168031    case 17:
   168032     return $retval_0 | 0;
   168033   }
   168034   return 0;
   168035 }
   168036 function _little2_scanRef($enc, $ptr, $end, $nextTokPtr) {
   168037   $enc = $enc | 0;
   168038   $ptr = $ptr | 0;
   168039   $end = $end | 0;
   168040   $nextTokPtr = $nextTokPtr | 0;
   168041   var $cmp = 0, $arrayidx = 0, $0 = 0, $cmp1 = 0, $1 = 0, $idxprom = 0, $type = 0, $2 = 0, $arrayidx3 = 0, $3 = 0, $conv4 = 0, $call = 0, $cond = 0, $ptr_addr_069 = 0, $cmp4570 = 0, $type53 = 0, $4 = 0, $5 = 0, $idxprom8 = 0, $arrayidx9 = 0, $6 = 0, $conv10 = 0, $shl = 0, $7 = 0, $conv12 = 0, $shr56 = 0, $add = 0, $arrayidx13 = 0, $8 = 0, $and = 0, $shl16 = 0, $and17 = 0, $tobool = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp22 = 0, $sub_ptr_lhs_cast27 = 0, $sub_ptr_rhs_cast28 = 0, $sub_ptr_sub29 = 0, $cmp30 = 0, $sub_ptr_lhs_cast35 = 0, $sub_ptr_rhs_cast36 = 0, $sub_ptr_sub37 = 0, $cmp38 = 0, $add_ptr43 = 0, $call44 = 0, $ptr_addr_072 = 0, $ptr_pn71 = 0, $arrayidx47 = 0, $9 = 0, $cmp49 = 0, $10 = 0, $idxprom52 = 0, $arrayidx54 = 0, $11 = 0, $conv55 = 0, $call59 = 0, $cond61 = 0, $12 = 0, $idxprom64 = 0, $arrayidx65 = 0, $13 = 0, $conv66 = 0, $shl67 = 0, $14 = 0, $conv69 = 0, $shr7055 = 0, $add71 = 0, $arrayidx72 = 0, $15 = 0, $and75 = 0, $shl76 = 0, $and77 = 0, $tobool78 = 0, $ptr_addr_0 = 0, $cmp45 = 0, $sub_ptr_lhs_cast84 = 0, $sub_ptr_rhs_cast85 = 0, $sub_ptr_sub86 = 0, $cmp87 = 0, $sub_ptr_lhs_cast92 = 0, $sub_ptr_rhs_cast93 = 0, $sub_ptr_sub94 = 0, $cmp95 = 0, $sub_ptr_lhs_cast100 = 0, $sub_ptr_rhs_cast101 = 0, $sub_ptr_sub102 = 0, $cmp103 = 0, $add_ptr108 = 0, $retval_0 = 0, label = 0;
   168042   label = 2;
   168043   while (1) switch (label | 0) {
   168044    case 2:
   168045     $cmp = ($ptr | 0) == ($end | 0);
   168046     if ($cmp) {
   168047       $retval_0 = -1;
   168048       label = 34;
   168049       break;
   168050     } else {
   168051       label = 3;
   168052       break;
   168053     }
   168054    case 3:
   168055     $arrayidx = $ptr + 1 | 0;
   168056     $0 = HEAP8[$arrayidx] | 0;
   168057     $cmp1 = $0 << 24 >> 24 == 0;
   168058     $1 = HEAP8[$ptr] | 0;
   168059     if ($cmp1) {
   168060       label = 4;
   168061       break;
   168062     } else {
   168063       label = 5;
   168064       break;
   168065     }
   168066    case 4:
   168067     $idxprom = $1 & 255;
   168068     $type = $enc + 72 | 0;
   168069     $2 = $type;
   168070     $arrayidx3 = $2 + $idxprom | 0;
   168071     $3 = HEAP8[$arrayidx3] | 0;
   168072     $conv4 = $3 & 255;
   168073     $cond = $conv4;
   168074     label = 6;
   168075     break;
   168076    case 5:
   168077     $call = _unicode_byte_type($0, $1) | 0;
   168078     $cond = $call;
   168079     label = 6;
   168080     break;
   168081    case 6:
   168082     if (($cond | 0) == 22 | ($cond | 0) == 24) {
   168083       label = 7;
   168084       break;
   168085     } else if (($cond | 0) == 29) {
   168086       label = 9;
   168087       break;
   168088     } else if (($cond | 0) == 5) {
   168089       label = 11;
   168090       break;
   168091     } else if (($cond | 0) == 6) {
   168092       label = 13;
   168093       break;
   168094     } else if (($cond | 0) == 7) {
   168095       label = 15;
   168096       break;
   168097     } else if (($cond | 0) == 19) {
   168098       label = 17;
   168099       break;
   168100     } else {
   168101       label = 18;
   168102       break;
   168103     }
   168104    case 7:
   168105     $ptr_addr_069 = $ptr + 2 | 0;
   168106     $cmp4570 = ($ptr_addr_069 | 0) == ($end | 0);
   168107     if ($cmp4570) {
   168108       $retval_0 = -1;
   168109       label = 34;
   168110       break;
   168111     } else {
   168112       label = 8;
   168113       break;
   168114     }
   168115    case 8:
   168116     $type53 = $enc + 72 | 0;
   168117     $4 = $type53;
   168118     $ptr_pn71 = $ptr;
   168119     $ptr_addr_072 = $ptr_addr_069;
   168120     label = 19;
   168121     break;
   168122    case 9:
   168123     $5 = HEAP8[$arrayidx] | 0;
   168124     $idxprom8 = $5 & 255;
   168125     $arrayidx9 = $idxprom8 + 9272 | 0;
   168126     $6 = HEAP8[$arrayidx9] | 0;
   168127     $conv10 = $6 & 255;
   168128     $shl = $conv10 << 3;
   168129     $7 = HEAP8[$ptr] | 0;
   168130     $conv12 = $7 & 255;
   168131     $shr56 = $conv12 >>> 5;
   168132     $add = $shr56 | $shl;
   168133     $arrayidx13 = 9544 + ($add << 2) | 0;
   168134     $8 = HEAP32[$arrayidx13 >> 2] | 0;
   168135     $and = $conv12 & 31;
   168136     $shl16 = 1 << $and;
   168137     $and17 = $shl16 & $8;
   168138     $tobool = ($and17 | 0) == 0;
   168139     if ($tobool) {
   168140       label = 10;
   168141       break;
   168142     } else {
   168143       label = 7;
   168144       break;
   168145     }
   168146    case 10:
   168147     HEAP32[$nextTokPtr >> 2] = $ptr;
   168148     $retval_0 = 0;
   168149     label = 34;
   168150     break;
   168151    case 11:
   168152     $sub_ptr_lhs_cast = $end;
   168153     $sub_ptr_rhs_cast = $ptr;
   168154     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   168155     $cmp22 = ($sub_ptr_sub | 0) < 2;
   168156     if ($cmp22) {
   168157       $retval_0 = -2;
   168158       label = 34;
   168159       break;
   168160     } else {
   168161       label = 12;
   168162       break;
   168163     }
   168164    case 12:
   168165     HEAP32[$nextTokPtr >> 2] = $ptr;
   168166     $retval_0 = 0;
   168167     label = 34;
   168168     break;
   168169    case 13:
   168170     $sub_ptr_lhs_cast27 = $end;
   168171     $sub_ptr_rhs_cast28 = $ptr;
   168172     $sub_ptr_sub29 = $sub_ptr_lhs_cast27 - $sub_ptr_rhs_cast28 | 0;
   168173     $cmp30 = ($sub_ptr_sub29 | 0) < 3;
   168174     if ($cmp30) {
   168175       $retval_0 = -2;
   168176       label = 34;
   168177       break;
   168178     } else {
   168179       label = 14;
   168180       break;
   168181     }
   168182    case 14:
   168183     HEAP32[$nextTokPtr >> 2] = $ptr;
   168184     $retval_0 = 0;
   168185     label = 34;
   168186     break;
   168187    case 15:
   168188     $sub_ptr_lhs_cast35 = $end;
   168189     $sub_ptr_rhs_cast36 = $ptr;
   168190     $sub_ptr_sub37 = $sub_ptr_lhs_cast35 - $sub_ptr_rhs_cast36 | 0;
   168191     $cmp38 = ($sub_ptr_sub37 | 0) < 4;
   168192     if ($cmp38) {
   168193       $retval_0 = -2;
   168194       label = 34;
   168195       break;
   168196     } else {
   168197       label = 16;
   168198       break;
   168199     }
   168200    case 16:
   168201     HEAP32[$nextTokPtr >> 2] = $ptr;
   168202     $retval_0 = 0;
   168203     label = 34;
   168204     break;
   168205    case 17:
   168206     $add_ptr43 = $ptr + 2 | 0;
   168207     $call44 = _little2_scanCharRef($enc, $add_ptr43, $end, $nextTokPtr) | 0;
   168208     $retval_0 = $call44;
   168209     label = 34;
   168210     break;
   168211    case 18:
   168212     HEAP32[$nextTokPtr >> 2] = $ptr;
   168213     $retval_0 = 0;
   168214     label = 34;
   168215     break;
   168216    case 19:
   168217     $arrayidx47 = $ptr_pn71 + 3 | 0;
   168218     $9 = HEAP8[$arrayidx47] | 0;
   168219     $cmp49 = $9 << 24 >> 24 == 0;
   168220     $10 = HEAP8[$ptr_addr_072] | 0;
   168221     if ($cmp49) {
   168222       label = 20;
   168223       break;
   168224     } else {
   168225       label = 21;
   168226       break;
   168227     }
   168228    case 20:
   168229     $idxprom52 = $10 & 255;
   168230     $arrayidx54 = $4 + $idxprom52 | 0;
   168231     $11 = HEAP8[$arrayidx54] | 0;
   168232     $conv55 = $11 & 255;
   168233     $cond61 = $conv55;
   168234     label = 22;
   168235     break;
   168236    case 21:
   168237     $call59 = _unicode_byte_type($9, $10) | 0;
   168238     $cond61 = $call59;
   168239     label = 22;
   168240     break;
   168241    case 22:
   168242     if (($cond61 | 0) == 29) {
   168243       label = 23;
   168244       break;
   168245     } else if (($cond61 | 0) == 22 | ($cond61 | 0) == 24 | ($cond61 | 0) == 25 | ($cond61 | 0) == 26 | ($cond61 | 0) == 27) {
   168246       label = 24;
   168247       break;
   168248     } else if (($cond61 | 0) == 5) {
   168249       label = 26;
   168250       break;
   168251     } else if (($cond61 | 0) == 6) {
   168252       label = 28;
   168253       break;
   168254     } else if (($cond61 | 0) == 7) {
   168255       label = 30;
   168256       break;
   168257     } else if (($cond61 | 0) == 18) {
   168258       label = 32;
   168259       break;
   168260     } else {
   168261       label = 33;
   168262       break;
   168263     }
   168264    case 23:
   168265     $12 = HEAP8[$arrayidx47] | 0;
   168266     $idxprom64 = $12 & 255;
   168267     $arrayidx65 = $idxprom64 + 10824 | 0;
   168268     $13 = HEAP8[$arrayidx65] | 0;
   168269     $conv66 = $13 & 255;
   168270     $shl67 = $conv66 << 3;
   168271     $14 = HEAP8[$ptr_addr_072] | 0;
   168272     $conv69 = $14 & 255;
   168273     $shr7055 = $conv69 >>> 5;
   168274     $add71 = $shr7055 | $shl67;
   168275     $arrayidx72 = 9544 + ($add71 << 2) | 0;
   168276     $15 = HEAP32[$arrayidx72 >> 2] | 0;
   168277     $and75 = $conv69 & 31;
   168278     $shl76 = 1 << $and75;
   168279     $and77 = $shl76 & $15;
   168280     $tobool78 = ($and77 | 0) == 0;
   168281     if ($tobool78) {
   168282       label = 25;
   168283       break;
   168284     } else {
   168285       label = 24;
   168286       break;
   168287     }
   168288    case 24:
   168289     $ptr_addr_0 = $ptr_addr_072 + 2 | 0;
   168290     $cmp45 = ($ptr_addr_0 | 0) == ($end | 0);
   168291     if ($cmp45) {
   168292       $retval_0 = -1;
   168293       label = 34;
   168294       break;
   168295     } else {
   168296       $ptr_pn71 = $ptr_addr_072;
   168297       $ptr_addr_072 = $ptr_addr_0;
   168298       label = 19;
   168299       break;
   168300     }
   168301    case 25:
   168302     HEAP32[$nextTokPtr >> 2] = $ptr_addr_072;
   168303     $retval_0 = 0;
   168304     label = 34;
   168305     break;
   168306    case 26:
   168307     $sub_ptr_lhs_cast84 = $end;
   168308     $sub_ptr_rhs_cast85 = $ptr_addr_072;
   168309     $sub_ptr_sub86 = $sub_ptr_lhs_cast84 - $sub_ptr_rhs_cast85 | 0;
   168310     $cmp87 = ($sub_ptr_sub86 | 0) < 2;
   168311     if ($cmp87) {
   168312       $retval_0 = -2;
   168313       label = 34;
   168314       break;
   168315     } else {
   168316       label = 27;
   168317       break;
   168318     }
   168319    case 27:
   168320     HEAP32[$nextTokPtr >> 2] = $ptr_addr_072;
   168321     $retval_0 = 0;
   168322     label = 34;
   168323     break;
   168324    case 28:
   168325     $sub_ptr_lhs_cast92 = $end;
   168326     $sub_ptr_rhs_cast93 = $ptr_addr_072;
   168327     $sub_ptr_sub94 = $sub_ptr_lhs_cast92 - $sub_ptr_rhs_cast93 | 0;
   168328     $cmp95 = ($sub_ptr_sub94 | 0) < 3;
   168329     if ($cmp95) {
   168330       $retval_0 = -2;
   168331       label = 34;
   168332       break;
   168333     } else {
   168334       label = 29;
   168335       break;
   168336     }
   168337    case 29:
   168338     HEAP32[$nextTokPtr >> 2] = $ptr_addr_072;
   168339     $retval_0 = 0;
   168340     label = 34;
   168341     break;
   168342    case 30:
   168343     $sub_ptr_lhs_cast100 = $end;
   168344     $sub_ptr_rhs_cast101 = $ptr_addr_072;
   168345     $sub_ptr_sub102 = $sub_ptr_lhs_cast100 - $sub_ptr_rhs_cast101 | 0;
   168346     $cmp103 = ($sub_ptr_sub102 | 0) < 4;
   168347     if ($cmp103) {
   168348       $retval_0 = -2;
   168349       label = 34;
   168350       break;
   168351     } else {
   168352       label = 31;
   168353       break;
   168354     }
   168355    case 31:
   168356     HEAP32[$nextTokPtr >> 2] = $ptr_addr_072;
   168357     $retval_0 = 0;
   168358     label = 34;
   168359     break;
   168360    case 32:
   168361     $add_ptr108 = $ptr_pn71 + 4 | 0;
   168362     HEAP32[$nextTokPtr >> 2] = $add_ptr108;
   168363     $retval_0 = 9;
   168364     label = 34;
   168365     break;
   168366    case 33:
   168367     HEAP32[$nextTokPtr >> 2] = $ptr_addr_072;
   168368     $retval_0 = 0;
   168369     label = 34;
   168370     break;
   168371    case 34:
   168372     return $retval_0 | 0;
   168373   }
   168374   return 0;
   168375 }
   168376 function _little2_scanPercent($enc, $ptr, $end, $nextTokPtr) {
   168377   $enc = $enc | 0;
   168378   $ptr = $ptr | 0;
   168379   $end = $end | 0;
   168380   $nextTokPtr = $nextTokPtr | 0;
   168381   var $cmp = 0, $arrayidx = 0, $0 = 0, $cmp1 = 0, $1 = 0, $idxprom = 0, $type = 0, $2 = 0, $arrayidx3 = 0, $3 = 0, $conv4 = 0, $call = 0, $cond = 0, $ptr_addr_067 = 0, $cmp4368 = 0, $type51 = 0, $4 = 0, $5 = 0, $idxprom8 = 0, $arrayidx9 = 0, $6 = 0, $conv10 = 0, $shl = 0, $7 = 0, $conv12 = 0, $shr54 = 0, $add = 0, $arrayidx13 = 0, $8 = 0, $and = 0, $shl16 = 0, $and17 = 0, $tobool = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp22 = 0, $sub_ptr_lhs_cast27 = 0, $sub_ptr_rhs_cast28 = 0, $sub_ptr_sub29 = 0, $cmp30 = 0, $sub_ptr_lhs_cast35 = 0, $sub_ptr_rhs_cast36 = 0, $sub_ptr_sub37 = 0, $cmp38 = 0, $ptr_addr_070 = 0, $ptr_pn69 = 0, $arrayidx45 = 0, $9 = 0, $cmp47 = 0, $10 = 0, $idxprom50 = 0, $arrayidx52 = 0, $11 = 0, $conv53 = 0, $call57 = 0, $cond59 = 0, $12 = 0, $idxprom62 = 0, $arrayidx63 = 0, $13 = 0, $conv64 = 0, $shl65 = 0, $14 = 0, $conv67 = 0, $shr6853 = 0, $add69 = 0, $arrayidx70 = 0, $15 = 0, $and73 = 0, $shl74 = 0, $and75 = 0, $tobool76 = 0, $ptr_addr_0 = 0, $cmp43 = 0, $sub_ptr_lhs_cast82 = 0, $sub_ptr_rhs_cast83 = 0, $sub_ptr_sub84 = 0, $cmp85 = 0, $sub_ptr_lhs_cast90 = 0, $sub_ptr_rhs_cast91 = 0, $sub_ptr_sub92 = 0, $cmp93 = 0, $sub_ptr_lhs_cast98 = 0, $sub_ptr_rhs_cast99 = 0, $sub_ptr_sub100 = 0, $cmp101 = 0, $add_ptr106 = 0, $retval_0 = 0, label = 0;
   168382   label = 2;
   168383   while (1) switch (label | 0) {
   168384    case 2:
   168385     $cmp = ($ptr | 0) == ($end | 0);
   168386     if ($cmp) {
   168387       $retval_0 = -1;
   168388       label = 34;
   168389       break;
   168390     } else {
   168391       label = 3;
   168392       break;
   168393     }
   168394    case 3:
   168395     $arrayidx = $ptr + 1 | 0;
   168396     $0 = HEAP8[$arrayidx] | 0;
   168397     $cmp1 = $0 << 24 >> 24 == 0;
   168398     $1 = HEAP8[$ptr] | 0;
   168399     if ($cmp1) {
   168400       label = 4;
   168401       break;
   168402     } else {
   168403       label = 5;
   168404       break;
   168405     }
   168406    case 4:
   168407     $idxprom = $1 & 255;
   168408     $type = $enc + 72 | 0;
   168409     $2 = $type;
   168410     $arrayidx3 = $2 + $idxprom | 0;
   168411     $3 = HEAP8[$arrayidx3] | 0;
   168412     $conv4 = $3 & 255;
   168413     $cond = $conv4;
   168414     label = 6;
   168415     break;
   168416    case 5:
   168417     $call = _unicode_byte_type($0, $1) | 0;
   168418     $cond = $call;
   168419     label = 6;
   168420     break;
   168421    case 6:
   168422     if (($cond | 0) == 22 | ($cond | 0) == 24) {
   168423       label = 7;
   168424       break;
   168425     } else if (($cond | 0) == 29) {
   168426       label = 9;
   168427       break;
   168428     } else if (($cond | 0) == 5) {
   168429       label = 11;
   168430       break;
   168431     } else if (($cond | 0) == 6) {
   168432       label = 13;
   168433       break;
   168434     } else if (($cond | 0) == 7) {
   168435       label = 15;
   168436       break;
   168437     } else if (($cond | 0) == 21 | ($cond | 0) == 10 | ($cond | 0) == 9 | ($cond | 0) == 30) {
   168438       label = 17;
   168439       break;
   168440     } else {
   168441       label = 18;
   168442       break;
   168443     }
   168444    case 7:
   168445     $ptr_addr_067 = $ptr + 2 | 0;
   168446     $cmp4368 = ($ptr_addr_067 | 0) == ($end | 0);
   168447     if ($cmp4368) {
   168448       $retval_0 = -1;
   168449       label = 34;
   168450       break;
   168451     } else {
   168452       label = 8;
   168453       break;
   168454     }
   168455    case 8:
   168456     $type51 = $enc + 72 | 0;
   168457     $4 = $type51;
   168458     $ptr_pn69 = $ptr;
   168459     $ptr_addr_070 = $ptr_addr_067;
   168460     label = 19;
   168461     break;
   168462    case 9:
   168463     $5 = HEAP8[$arrayidx] | 0;
   168464     $idxprom8 = $5 & 255;
   168465     $arrayidx9 = $idxprom8 + 9272 | 0;
   168466     $6 = HEAP8[$arrayidx9] | 0;
   168467     $conv10 = $6 & 255;
   168468     $shl = $conv10 << 3;
   168469     $7 = HEAP8[$ptr] | 0;
   168470     $conv12 = $7 & 255;
   168471     $shr54 = $conv12 >>> 5;
   168472     $add = $shr54 | $shl;
   168473     $arrayidx13 = 9544 + ($add << 2) | 0;
   168474     $8 = HEAP32[$arrayidx13 >> 2] | 0;
   168475     $and = $conv12 & 31;
   168476     $shl16 = 1 << $and;
   168477     $and17 = $shl16 & $8;
   168478     $tobool = ($and17 | 0) == 0;
   168479     if ($tobool) {
   168480       label = 10;
   168481       break;
   168482     } else {
   168483       label = 7;
   168484       break;
   168485     }
   168486    case 10:
   168487     HEAP32[$nextTokPtr >> 2] = $ptr;
   168488     $retval_0 = 0;
   168489     label = 34;
   168490     break;
   168491    case 11:
   168492     $sub_ptr_lhs_cast = $end;
   168493     $sub_ptr_rhs_cast = $ptr;
   168494     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   168495     $cmp22 = ($sub_ptr_sub | 0) < 2;
   168496     if ($cmp22) {
   168497       $retval_0 = -2;
   168498       label = 34;
   168499       break;
   168500     } else {
   168501       label = 12;
   168502       break;
   168503     }
   168504    case 12:
   168505     HEAP32[$nextTokPtr >> 2] = $ptr;
   168506     $retval_0 = 0;
   168507     label = 34;
   168508     break;
   168509    case 13:
   168510     $sub_ptr_lhs_cast27 = $end;
   168511     $sub_ptr_rhs_cast28 = $ptr;
   168512     $sub_ptr_sub29 = $sub_ptr_lhs_cast27 - $sub_ptr_rhs_cast28 | 0;
   168513     $cmp30 = ($sub_ptr_sub29 | 0) < 3;
   168514     if ($cmp30) {
   168515       $retval_0 = -2;
   168516       label = 34;
   168517       break;
   168518     } else {
   168519       label = 14;
   168520       break;
   168521     }
   168522    case 14:
   168523     HEAP32[$nextTokPtr >> 2] = $ptr;
   168524     $retval_0 = 0;
   168525     label = 34;
   168526     break;
   168527    case 15:
   168528     $sub_ptr_lhs_cast35 = $end;
   168529     $sub_ptr_rhs_cast36 = $ptr;
   168530     $sub_ptr_sub37 = $sub_ptr_lhs_cast35 - $sub_ptr_rhs_cast36 | 0;
   168531     $cmp38 = ($sub_ptr_sub37 | 0) < 4;
   168532     if ($cmp38) {
   168533       $retval_0 = -2;
   168534       label = 34;
   168535       break;
   168536     } else {
   168537       label = 16;
   168538       break;
   168539     }
   168540    case 16:
   168541     HEAP32[$nextTokPtr >> 2] = $ptr;
   168542     $retval_0 = 0;
   168543     label = 34;
   168544     break;
   168545    case 17:
   168546     HEAP32[$nextTokPtr >> 2] = $ptr;
   168547     $retval_0 = 22;
   168548     label = 34;
   168549     break;
   168550    case 18:
   168551     HEAP32[$nextTokPtr >> 2] = $ptr;
   168552     $retval_0 = 0;
   168553     label = 34;
   168554     break;
   168555    case 19:
   168556     $arrayidx45 = $ptr_pn69 + 3 | 0;
   168557     $9 = HEAP8[$arrayidx45] | 0;
   168558     $cmp47 = $9 << 24 >> 24 == 0;
   168559     $10 = HEAP8[$ptr_addr_070] | 0;
   168560     if ($cmp47) {
   168561       label = 20;
   168562       break;
   168563     } else {
   168564       label = 21;
   168565       break;
   168566     }
   168567    case 20:
   168568     $idxprom50 = $10 & 255;
   168569     $arrayidx52 = $4 + $idxprom50 | 0;
   168570     $11 = HEAP8[$arrayidx52] | 0;
   168571     $conv53 = $11 & 255;
   168572     $cond59 = $conv53;
   168573     label = 22;
   168574     break;
   168575    case 21:
   168576     $call57 = _unicode_byte_type($9, $10) | 0;
   168577     $cond59 = $call57;
   168578     label = 22;
   168579     break;
   168580    case 22:
   168581     if (($cond59 | 0) == 29) {
   168582       label = 23;
   168583       break;
   168584     } else if (($cond59 | 0) == 22 | ($cond59 | 0) == 24 | ($cond59 | 0) == 25 | ($cond59 | 0) == 26 | ($cond59 | 0) == 27) {
   168585       label = 24;
   168586       break;
   168587     } else if (($cond59 | 0) == 5) {
   168588       label = 26;
   168589       break;
   168590     } else if (($cond59 | 0) == 6) {
   168591       label = 28;
   168592       break;
   168593     } else if (($cond59 | 0) == 7) {
   168594       label = 30;
   168595       break;
   168596     } else if (($cond59 | 0) == 18) {
   168597       label = 32;
   168598       break;
   168599     } else {
   168600       label = 33;
   168601       break;
   168602     }
   168603    case 23:
   168604     $12 = HEAP8[$arrayidx45] | 0;
   168605     $idxprom62 = $12 & 255;
   168606     $arrayidx63 = $idxprom62 + 10824 | 0;
   168607     $13 = HEAP8[$arrayidx63] | 0;
   168608     $conv64 = $13 & 255;
   168609     $shl65 = $conv64 << 3;
   168610     $14 = HEAP8[$ptr_addr_070] | 0;
   168611     $conv67 = $14 & 255;
   168612     $shr6853 = $conv67 >>> 5;
   168613     $add69 = $shr6853 | $shl65;
   168614     $arrayidx70 = 9544 + ($add69 << 2) | 0;
   168615     $15 = HEAP32[$arrayidx70 >> 2] | 0;
   168616     $and73 = $conv67 & 31;
   168617     $shl74 = 1 << $and73;
   168618     $and75 = $shl74 & $15;
   168619     $tobool76 = ($and75 | 0) == 0;
   168620     if ($tobool76) {
   168621       label = 25;
   168622       break;
   168623     } else {
   168624       label = 24;
   168625       break;
   168626     }
   168627    case 24:
   168628     $ptr_addr_0 = $ptr_addr_070 + 2 | 0;
   168629     $cmp43 = ($ptr_addr_0 | 0) == ($end | 0);
   168630     if ($cmp43) {
   168631       $retval_0 = -1;
   168632       label = 34;
   168633       break;
   168634     } else {
   168635       $ptr_pn69 = $ptr_addr_070;
   168636       $ptr_addr_070 = $ptr_addr_0;
   168637       label = 19;
   168638       break;
   168639     }
   168640    case 25:
   168641     HEAP32[$nextTokPtr >> 2] = $ptr_addr_070;
   168642     $retval_0 = 0;
   168643     label = 34;
   168644     break;
   168645    case 26:
   168646     $sub_ptr_lhs_cast82 = $end;
   168647     $sub_ptr_rhs_cast83 = $ptr_addr_070;
   168648     $sub_ptr_sub84 = $sub_ptr_lhs_cast82 - $sub_ptr_rhs_cast83 | 0;
   168649     $cmp85 = ($sub_ptr_sub84 | 0) < 2;
   168650     if ($cmp85) {
   168651       $retval_0 = -2;
   168652       label = 34;
   168653       break;
   168654     } else {
   168655       label = 27;
   168656       break;
   168657     }
   168658    case 27:
   168659     HEAP32[$nextTokPtr >> 2] = $ptr_addr_070;
   168660     $retval_0 = 0;
   168661     label = 34;
   168662     break;
   168663    case 28:
   168664     $sub_ptr_lhs_cast90 = $end;
   168665     $sub_ptr_rhs_cast91 = $ptr_addr_070;
   168666     $sub_ptr_sub92 = $sub_ptr_lhs_cast90 - $sub_ptr_rhs_cast91 | 0;
   168667     $cmp93 = ($sub_ptr_sub92 | 0) < 3;
   168668     if ($cmp93) {
   168669       $retval_0 = -2;
   168670       label = 34;
   168671       break;
   168672     } else {
   168673       label = 29;
   168674       break;
   168675     }
   168676    case 29:
   168677     HEAP32[$nextTokPtr >> 2] = $ptr_addr_070;
   168678     $retval_0 = 0;
   168679     label = 34;
   168680     break;
   168681    case 30:
   168682     $sub_ptr_lhs_cast98 = $end;
   168683     $sub_ptr_rhs_cast99 = $ptr_addr_070;
   168684     $sub_ptr_sub100 = $sub_ptr_lhs_cast98 - $sub_ptr_rhs_cast99 | 0;
   168685     $cmp101 = ($sub_ptr_sub100 | 0) < 4;
   168686     if ($cmp101) {
   168687       $retval_0 = -2;
   168688       label = 34;
   168689       break;
   168690     } else {
   168691       label = 31;
   168692       break;
   168693     }
   168694    case 31:
   168695     HEAP32[$nextTokPtr >> 2] = $ptr_addr_070;
   168696     $retval_0 = 0;
   168697     label = 34;
   168698     break;
   168699    case 32:
   168700     $add_ptr106 = $ptr_pn69 + 4 | 0;
   168701     HEAP32[$nextTokPtr >> 2] = $add_ptr106;
   168702     $retval_0 = 28;
   168703     label = 34;
   168704     break;
   168705    case 33:
   168706     HEAP32[$nextTokPtr >> 2] = $ptr_addr_070;
   168707     $retval_0 = 0;
   168708     label = 34;
   168709     break;
   168710    case 34:
   168711     return $retval_0 | 0;
   168712   }
   168713   return 0;
   168714 }
   168715 function _little2_scanCharRef($enc, $ptr, $end, $nextTokPtr) {
   168716   $enc = $enc | 0;
   168717   $ptr = $ptr | 0;
   168718   $end = $end | 0;
   168719   $nextTokPtr = $nextTokPtr | 0;
   168720   var $cmp = 0, $arrayidx = 0, $0 = 0, $cmp1 = 0, $1 = 0, $cmp5 = 0, $add_ptr = 0, $call = 0, $_pr = 0, $cmp10 = 0, $2 = 0, $idxprom = 0, $type = 0, $3 = 0, $arrayidx12 = 0, $4 = 0, $conv13 = 0, $5 = 0, $6 = 0, $call16 = 0, $cond = 0, $cond1 = 0, $type26 = 0, $7 = 0, $ptr_pn = 0, $ptr_addr_0 = 0, $cmp18 = 0, $arrayidx20 = 0, $8 = 0, $cmp22 = 0, $9 = 0, $idxprom25 = 0, $arrayidx27 = 0, $10 = 0, $conv28 = 0, $call32 = 0, $cond34 = 0, $add_ptr37 = 0, $retval_0 = 0, label = 0;
   168721   label = 2;
   168722   while (1) switch (label | 0) {
   168723    case 2:
   168724     $cmp = ($ptr | 0) == ($end | 0);
   168725     if ($cmp) {
   168726       $retval_0 = -1;
   168727       label = 19;
   168728       break;
   168729     } else {
   168730       label = 3;
   168731       break;
   168732     }
   168733    case 3:
   168734     $arrayidx = $ptr + 1 | 0;
   168735     $0 = HEAP8[$arrayidx] | 0;
   168736     $cmp1 = $0 << 24 >> 24 == 0;
   168737     $1 = HEAP8[$ptr] | 0;
   168738     if ($cmp1) {
   168739       label = 4;
   168740       break;
   168741     } else {
   168742       $6 = $0;
   168743       $5 = $1;
   168744       label = 8;
   168745       break;
   168746     }
   168747    case 4:
   168748     $cmp5 = $1 << 24 >> 24 == 120;
   168749     if ($cmp5) {
   168750       label = 5;
   168751       break;
   168752     } else {
   168753       label = 6;
   168754       break;
   168755     }
   168756    case 5:
   168757     $add_ptr = $ptr + 2 | 0;
   168758     $call = _little2_scanHexCharRef($enc, $add_ptr, $end, $nextTokPtr) | 0;
   168759     $retval_0 = $call;
   168760     label = 19;
   168761     break;
   168762    case 6:
   168763     $_pr = HEAP8[$arrayidx] | 0;
   168764     $cmp10 = $_pr << 24 >> 24 == 0;
   168765     $2 = HEAP8[$ptr] | 0;
   168766     if ($cmp10) {
   168767       label = 7;
   168768       break;
   168769     } else {
   168770       $6 = $_pr;
   168771       $5 = $2;
   168772       label = 8;
   168773       break;
   168774     }
   168775    case 7:
   168776     $idxprom = $2 & 255;
   168777     $type = $enc + 72 | 0;
   168778     $3 = $type;
   168779     $arrayidx12 = $3 + $idxprom | 0;
   168780     $4 = HEAP8[$arrayidx12] | 0;
   168781     $conv13 = $4 & 255;
   168782     $cond = $conv13;
   168783     label = 9;
   168784     break;
   168785    case 8:
   168786     $call16 = _unicode_byte_type($6, $5) | 0;
   168787     $cond = $call16;
   168788     label = 9;
   168789     break;
   168790    case 9:
   168791     $cond1 = ($cond | 0) == 25;
   168792     if ($cond1) {
   168793       label = 10;
   168794       break;
   168795     } else {
   168796       label = 11;
   168797       break;
   168798     }
   168799    case 10:
   168800     $type26 = $enc + 72 | 0;
   168801     $7 = $type26;
   168802     $ptr_pn = $ptr;
   168803     label = 12;
   168804     break;
   168805    case 11:
   168806     HEAP32[$nextTokPtr >> 2] = $ptr;
   168807     $retval_0 = 0;
   168808     label = 19;
   168809     break;
   168810    case 12:
   168811     $ptr_addr_0 = $ptr_pn + 2 | 0;
   168812     $cmp18 = ($ptr_addr_0 | 0) == ($end | 0);
   168813     if ($cmp18) {
   168814       $retval_0 = -1;
   168815       label = 19;
   168816       break;
   168817     } else {
   168818       label = 13;
   168819       break;
   168820     }
   168821    case 13:
   168822     $arrayidx20 = $ptr_pn + 3 | 0;
   168823     $8 = HEAP8[$arrayidx20] | 0;
   168824     $cmp22 = $8 << 24 >> 24 == 0;
   168825     $9 = HEAP8[$ptr_addr_0] | 0;
   168826     if ($cmp22) {
   168827       label = 14;
   168828       break;
   168829     } else {
   168830       label = 15;
   168831       break;
   168832     }
   168833    case 14:
   168834     $idxprom25 = $9 & 255;
   168835     $arrayidx27 = $7 + $idxprom25 | 0;
   168836     $10 = HEAP8[$arrayidx27] | 0;
   168837     $conv28 = $10 & 255;
   168838     $cond34 = $conv28;
   168839     label = 16;
   168840     break;
   168841    case 15:
   168842     $call32 = _unicode_byte_type($8, $9) | 0;
   168843     $cond34 = $call32;
   168844     label = 16;
   168845     break;
   168846    case 16:
   168847     if (($cond34 | 0) == 25) {
   168848       $ptr_pn = $ptr_addr_0;
   168849       label = 12;
   168850       break;
   168851     } else if (($cond34 | 0) == 18) {
   168852       label = 17;
   168853       break;
   168854     } else {
   168855       label = 18;
   168856       break;
   168857     }
   168858    case 17:
   168859     $add_ptr37 = $ptr_pn + 4 | 0;
   168860     HEAP32[$nextTokPtr >> 2] = $add_ptr37;
   168861     $retval_0 = 10;
   168862     label = 19;
   168863     break;
   168864    case 18:
   168865     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0;
   168866     $retval_0 = 0;
   168867     label = 19;
   168868     break;
   168869    case 19:
   168870     return $retval_0 | 0;
   168871   }
   168872   return 0;
   168873 }
   168874 function _little2_scanCdataSection($ptr, $end, $nextTokPtr) {
   168875   $ptr = $ptr | 0;
   168876   $end = $end | 0;
   168877   $nextTokPtr = $nextTokPtr | 0;
   168878   var $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp = 0, $arrayidx = 0, $0 = 0, $cmp2 = 0, $1 = 0, $cmp8 = 0, $ptr_addr_02_lcssa = 0, $add_ptr = 0, $arrayidx_1 = 0, $2 = 0, $cmp2_1 = 0, $retval_0 = 0, $3 = 0, $cmp8_1 = 0, $add_ptr_1 = 0, $arrayidx_2 = 0, $4 = 0, $cmp2_2 = 0, $5 = 0, $cmp8_2 = 0, $add_ptr_2 = 0, $arrayidx_3 = 0, $6 = 0, $cmp2_3 = 0, $7 = 0, $cmp8_3 = 0, $add_ptr_3 = 0, $arrayidx_4 = 0, $8 = 0, $cmp2_4 = 0, $9 = 0, $cmp8_4 = 0, $add_ptr_4 = 0, $arrayidx_5 = 0, $10 = 0, $cmp2_5 = 0, $11 = 0, $cmp8_5 = 0, $add_ptr_5 = 0, label = 0;
   168879   label = 2;
   168880   while (1) switch (label | 0) {
   168881    case 2:
   168882     $sub_ptr_lhs_cast = $end;
   168883     $sub_ptr_rhs_cast = $ptr;
   168884     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   168885     $cmp = ($sub_ptr_sub | 0) < 12;
   168886     if ($cmp) {
   168887       $retval_0 = -1;
   168888       label = 7;
   168889       break;
   168890     } else {
   168891       label = 3;
   168892       break;
   168893     }
   168894    case 3:
   168895     $arrayidx = $ptr + 1 | 0;
   168896     $0 = HEAP8[$arrayidx] | 0;
   168897     $cmp2 = $0 << 24 >> 24 == 0;
   168898     if ($cmp2) {
   168899       label = 4;
   168900       break;
   168901     } else {
   168902       $ptr_addr_02_lcssa = $ptr;
   168903       label = 5;
   168904       break;
   168905     }
   168906    case 4:
   168907     $1 = HEAP8[$ptr] | 0;
   168908     $cmp8 = $1 << 24 >> 24 == 67;
   168909     if ($cmp8) {
   168910       label = 6;
   168911       break;
   168912     } else {
   168913       $ptr_addr_02_lcssa = $ptr;
   168914       label = 5;
   168915       break;
   168916     }
   168917    case 5:
   168918     HEAP32[$nextTokPtr >> 2] = $ptr_addr_02_lcssa;
   168919     $retval_0 = 0;
   168920     label = 7;
   168921     break;
   168922    case 6:
   168923     $add_ptr = $ptr + 2 | 0;
   168924     $arrayidx_1 = $ptr + 3 | 0;
   168925     $2 = HEAP8[$arrayidx_1] | 0;
   168926     $cmp2_1 = $2 << 24 >> 24 == 0;
   168927     if ($cmp2_1) {
   168928       label = 8;
   168929       break;
   168930     } else {
   168931       $ptr_addr_02_lcssa = $add_ptr;
   168932       label = 5;
   168933       break;
   168934     }
   168935    case 7:
   168936     return $retval_0 | 0;
   168937    case 8:
   168938     $3 = HEAP8[$add_ptr] | 0;
   168939     $cmp8_1 = $3 << 24 >> 24 == 68;
   168940     if ($cmp8_1) {
   168941       label = 9;
   168942       break;
   168943     } else {
   168944       $ptr_addr_02_lcssa = $add_ptr;
   168945       label = 5;
   168946       break;
   168947     }
   168948    case 9:
   168949     $add_ptr_1 = $ptr + 4 | 0;
   168950     $arrayidx_2 = $ptr + 5 | 0;
   168951     $4 = HEAP8[$arrayidx_2] | 0;
   168952     $cmp2_2 = $4 << 24 >> 24 == 0;
   168953     if ($cmp2_2) {
   168954       label = 10;
   168955       break;
   168956     } else {
   168957       $ptr_addr_02_lcssa = $add_ptr_1;
   168958       label = 5;
   168959       break;
   168960     }
   168961    case 10:
   168962     $5 = HEAP8[$add_ptr_1] | 0;
   168963     $cmp8_2 = $5 << 24 >> 24 == 65;
   168964     if ($cmp8_2) {
   168965       label = 11;
   168966       break;
   168967     } else {
   168968       $ptr_addr_02_lcssa = $add_ptr_1;
   168969       label = 5;
   168970       break;
   168971     }
   168972    case 11:
   168973     $add_ptr_2 = $ptr + 6 | 0;
   168974     $arrayidx_3 = $ptr + 7 | 0;
   168975     $6 = HEAP8[$arrayidx_3] | 0;
   168976     $cmp2_3 = $6 << 24 >> 24 == 0;
   168977     if ($cmp2_3) {
   168978       label = 12;
   168979       break;
   168980     } else {
   168981       $ptr_addr_02_lcssa = $add_ptr_2;
   168982       label = 5;
   168983       break;
   168984     }
   168985    case 12:
   168986     $7 = HEAP8[$add_ptr_2] | 0;
   168987     $cmp8_3 = $7 << 24 >> 24 == 84;
   168988     if ($cmp8_3) {
   168989       label = 13;
   168990       break;
   168991     } else {
   168992       $ptr_addr_02_lcssa = $add_ptr_2;
   168993       label = 5;
   168994       break;
   168995     }
   168996    case 13:
   168997     $add_ptr_3 = $ptr + 8 | 0;
   168998     $arrayidx_4 = $ptr + 9 | 0;
   168999     $8 = HEAP8[$arrayidx_4] | 0;
   169000     $cmp2_4 = $8 << 24 >> 24 == 0;
   169001     if ($cmp2_4) {
   169002       label = 14;
   169003       break;
   169004     } else {
   169005       $ptr_addr_02_lcssa = $add_ptr_3;
   169006       label = 5;
   169007       break;
   169008     }
   169009    case 14:
   169010     $9 = HEAP8[$add_ptr_3] | 0;
   169011     $cmp8_4 = $9 << 24 >> 24 == 65;
   169012     if ($cmp8_4) {
   169013       label = 15;
   169014       break;
   169015     } else {
   169016       $ptr_addr_02_lcssa = $add_ptr_3;
   169017       label = 5;
   169018       break;
   169019     }
   169020    case 15:
   169021     $add_ptr_4 = $ptr + 10 | 0;
   169022     $arrayidx_5 = $ptr + 11 | 0;
   169023     $10 = HEAP8[$arrayidx_5] | 0;
   169024     $cmp2_5 = $10 << 24 >> 24 == 0;
   169025     if ($cmp2_5) {
   169026       label = 16;
   169027       break;
   169028     } else {
   169029       $ptr_addr_02_lcssa = $add_ptr_4;
   169030       label = 5;
   169031       break;
   169032     }
   169033    case 16:
   169034     $11 = HEAP8[$add_ptr_4] | 0;
   169035     $cmp8_5 = $11 << 24 >> 24 == 91;
   169036     if ($cmp8_5) {
   169037       label = 17;
   169038       break;
   169039     } else {
   169040       $ptr_addr_02_lcssa = $add_ptr_4;
   169041       label = 5;
   169042       break;
   169043     }
   169044    case 17:
   169045     $add_ptr_5 = $ptr + 12 | 0;
   169046     HEAP32[$nextTokPtr >> 2] = $add_ptr_5;
   169047     $retval_0 = 8;
   169048     label = 7;
   169049     break;
   169050   }
   169051   return 0;
   169052 }
   169053 function _little2_scanHexCharRef($enc, $ptr, $end, $nextTokPtr) {
   169054   $enc = $enc | 0;
   169055   $ptr = $ptr | 0;
   169056   $end = $end | 0;
   169057   $nextTokPtr = $nextTokPtr | 0;
   169058   var $cmp = 0, $arrayidx = 0, $0 = 0, $cmp1 = 0, $1 = 0, $idxprom = 0, $type = 0, $2 = 0, $arrayidx3 = 0, $3 = 0, $conv4 = 0, $call = 0, $cond = 0, $cond_off = 0, $switch = 0, $ptr_addr_020 = 0, $cmp721 = 0, $type15 = 0, $4 = 0, $ptr_addr_023 = 0, $ptr_pn22 = 0, $arrayidx9 = 0, $5 = 0, $cmp11 = 0, $6 = 0, $idxprom14 = 0, $arrayidx16 = 0, $7 = 0, $conv17 = 0, $call21 = 0, $cond23 = 0, $ptr_addr_0 = 0, $cmp7 = 0, $add_ptr26 = 0, $retval_0 = 0, label = 0;
   169059   label = 2;
   169060   while (1) switch (label | 0) {
   169061    case 2:
   169062     $cmp = ($ptr | 0) == ($end | 0);
   169063     if ($cmp) {
   169064       $retval_0 = -1;
   169065       label = 17;
   169066       break;
   169067     } else {
   169068       label = 3;
   169069       break;
   169070     }
   169071    case 3:
   169072     $arrayidx = $ptr + 1 | 0;
   169073     $0 = HEAP8[$arrayidx] | 0;
   169074     $cmp1 = $0 << 24 >> 24 == 0;
   169075     $1 = HEAP8[$ptr] | 0;
   169076     if ($cmp1) {
   169077       label = 4;
   169078       break;
   169079     } else {
   169080       label = 5;
   169081       break;
   169082     }
   169083    case 4:
   169084     $idxprom = $1 & 255;
   169085     $type = $enc + 72 | 0;
   169086     $2 = $type;
   169087     $arrayidx3 = $2 + $idxprom | 0;
   169088     $3 = HEAP8[$arrayidx3] | 0;
   169089     $conv4 = $3 & 255;
   169090     $cond = $conv4;
   169091     label = 6;
   169092     break;
   169093    case 5:
   169094     $call = _unicode_byte_type($0, $1) | 0;
   169095     $cond = $call;
   169096     label = 6;
   169097     break;
   169098    case 6:
   169099     $cond_off = $cond - 24 | 0;
   169100     $switch = $cond_off >>> 0 < 2;
   169101     if ($switch) {
   169102       label = 7;
   169103       break;
   169104     } else {
   169105       label = 9;
   169106       break;
   169107     }
   169108    case 7:
   169109     $ptr_addr_020 = $ptr + 2 | 0;
   169110     $cmp721 = ($ptr_addr_020 | 0) == ($end | 0);
   169111     if ($cmp721) {
   169112       $retval_0 = -1;
   169113       label = 17;
   169114       break;
   169115     } else {
   169116       label = 8;
   169117       break;
   169118     }
   169119    case 8:
   169120     $type15 = $enc + 72 | 0;
   169121     $4 = $type15;
   169122     $ptr_pn22 = $ptr;
   169123     $ptr_addr_023 = $ptr_addr_020;
   169124     label = 10;
   169125     break;
   169126    case 9:
   169127     HEAP32[$nextTokPtr >> 2] = $ptr;
   169128     $retval_0 = 0;
   169129     label = 17;
   169130     break;
   169131    case 10:
   169132     $arrayidx9 = $ptr_pn22 + 3 | 0;
   169133     $5 = HEAP8[$arrayidx9] | 0;
   169134     $cmp11 = $5 << 24 >> 24 == 0;
   169135     $6 = HEAP8[$ptr_addr_023] | 0;
   169136     if ($cmp11) {
   169137       label = 11;
   169138       break;
   169139     } else {
   169140       label = 12;
   169141       break;
   169142     }
   169143    case 11:
   169144     $idxprom14 = $6 & 255;
   169145     $arrayidx16 = $4 + $idxprom14 | 0;
   169146     $7 = HEAP8[$arrayidx16] | 0;
   169147     $conv17 = $7 & 255;
   169148     $cond23 = $conv17;
   169149     label = 13;
   169150     break;
   169151    case 12:
   169152     $call21 = _unicode_byte_type($5, $6) | 0;
   169153     $cond23 = $call21;
   169154     label = 13;
   169155     break;
   169156    case 13:
   169157     if (($cond23 | 0) == 25 | ($cond23 | 0) == 24) {
   169158       label = 14;
   169159       break;
   169160     } else if (($cond23 | 0) == 18) {
   169161       label = 15;
   169162       break;
   169163     } else {
   169164       label = 16;
   169165       break;
   169166     }
   169167    case 14:
   169168     $ptr_addr_0 = $ptr_addr_023 + 2 | 0;
   169169     $cmp7 = ($ptr_addr_0 | 0) == ($end | 0);
   169170     if ($cmp7) {
   169171       $retval_0 = -1;
   169172       label = 17;
   169173       break;
   169174     } else {
   169175       $ptr_pn22 = $ptr_addr_023;
   169176       $ptr_addr_023 = $ptr_addr_0;
   169177       label = 10;
   169178       break;
   169179     }
   169180    case 15:
   169181     $add_ptr26 = $ptr_pn22 + 4 | 0;
   169182     HEAP32[$nextTokPtr >> 2] = $add_ptr26;
   169183     $retval_0 = 10;
   169184     label = 17;
   169185     break;
   169186    case 16:
   169187     HEAP32[$nextTokPtr >> 2] = $ptr_addr_023;
   169188     $retval_0 = 0;
   169189     label = 17;
   169190     break;
   169191    case 17:
   169192     return $retval_0 | 0;
   169193   }
   169194   return 0;
   169195 }
   169196 function _little2_scanLt($enc, $ptr, $end, $nextTokPtr) {
   169197   $enc = $enc | 0;
   169198   $ptr = $ptr | 0;
   169199   $end = $end | 0;
   169200   $nextTokPtr = $nextTokPtr | 0;
   169201   var $cmp = 0, $arrayidx = 0, $0 = 0, $cmp1 = 0, $1 = 0, $idxprom = 0, $type = 0, $2 = 0, $arrayidx3 = 0, $3 = 0, $conv4 = 0, $call = 0, $cond = 0, $ptr_addr_0156 = 0, $cmp76157 = 0, $type84 = 0, $4 = 0, $5 = 0, $idxprom8 = 0, $arrayidx9 = 0, $6 = 0, $conv10 = 0, $shl = 0, $7 = 0, $conv12 = 0, $shr118 = 0, $add = 0, $arrayidx13 = 0, $8 = 0, $and = 0, $shl16 = 0, $and17 = 0, $tobool = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp22 = 0, $sub_ptr_lhs_cast27 = 0, $sub_ptr_rhs_cast28 = 0, $sub_ptr_sub29 = 0, $cmp30 = 0, $sub_ptr_lhs_cast35 = 0, $sub_ptr_rhs_cast36 = 0, $sub_ptr_sub37 = 0, $cmp38 = 0, $add_ptr43 = 0, $cmp44 = 0, $arrayidx48 = 0, $9 = 0, $cmp50 = 0, $10 = 0, $idxprom53 = 0, $type54 = 0, $11 = 0, $arrayidx55 = 0, $12 = 0, $conv56 = 0, $call60 = 0, $cond62 = 0, $add_ptr64 = 0, $call65 = 0, $add_ptr67 = 0, $call68 = 0, $add_ptr70 = 0, $call71 = 0, $add_ptr73 = 0, $call74 = 0, $ptr_addr_0159 = 0, $ptr_pn158 = 0, $arrayidx78 = 0, $13 = 0, $cmp80 = 0, $14 = 0, $idxprom83 = 0, $arrayidx85 = 0, $15 = 0, $conv86 = 0, $call90 = 0, $cond92 = 0, $16 = 0, $idxprom95 = 0, $arrayidx96 = 0, $17 = 0, $conv97 = 0, $shl98 = 0, $18 = 0, $conv100 = 0, $shr101117 = 0, $add102 = 0, $arrayidx103 = 0, $19 = 0, $and106 = 0, $shl107 = 0, $and108 = 0, $tobool109 = 0, $ptr_addr_0 = 0, $cmp76 = 0, $sub_ptr_lhs_cast115 = 0, $sub_ptr_rhs_cast116 = 0, $sub_ptr_sub117 = 0, $cmp118 = 0, $sub_ptr_lhs_cast123 = 0, $sub_ptr_rhs_cast124 = 0, $sub_ptr_sub125 = 0, $cmp126 = 0, $sub_ptr_lhs_cast131 = 0, $sub_ptr_rhs_cast132 = 0, $sub_ptr_sub133 = 0, $cmp134 = 0, $add_ptr139 = 0, $cmp141135 = 0, $type150 = 0, $20 = 0, $ptr_addr_1136 = 0, $arrayidx144 = 0, $21 = 0, $cmp146 = 0, $22 = 0, $idxprom149 = 0, $arrayidx151 = 0, $23 = 0, $conv152 = 0, $call156 = 0, $cond158 = 0, $24 = 0, $idxprom161 = 0, $arrayidx162 = 0, $25 = 0, $conv163 = 0, $shl164 = 0, $26 = 0, $conv166 = 0, $shr167116 = 0, $add168 = 0, $arrayidx169 = 0, $27 = 0, $and172 = 0, $shl173 = 0, $and174 = 0, $tobool175 = 0, $add_ptr179 = 0, $call210 = 0, $sub_ptr_lhs_cast181 = 0, $sub_ptr_rhs_cast182 = 0, $sub_ptr_sub183 = 0, $cmp184 = 0, $sub_ptr_lhs_cast189 = 0, $sub_ptr_rhs_cast190 = 0, $sub_ptr_sub191 = 0, $cmp192 = 0, $sub_ptr_lhs_cast197 = 0, $sub_ptr_rhs_cast198 = 0, $sub_ptr_sub199 = 0, $cmp200 = 0, $add_ptr207 = 0, $cmp141 = 0, $ptr_addr_2 = 0, $add_ptr212 = 0, $ptr_addr_3 = 0, $add_ptr214 = 0, $cmp215 = 0, $arrayidx219 = 0, $28 = 0, $cmp221 = 0, $29 = 0, $cmp225 = 0, $add_ptr229 = 0, $retval_0 = 0, label = 0;
   169202   label = 2;
   169203   while (1) switch (label | 0) {
   169204    case 2:
   169205     $cmp = ($ptr | 0) == ($end | 0);
   169206     if ($cmp) {
   169207       $retval_0 = -1;
   169208       label = 65;
   169209       break;
   169210     } else {
   169211       label = 3;
   169212       break;
   169213     }
   169214    case 3:
   169215     $arrayidx = $ptr + 1 | 0;
   169216     $0 = HEAP8[$arrayidx] | 0;
   169217     $cmp1 = $0 << 24 >> 24 == 0;
   169218     $1 = HEAP8[$ptr] | 0;
   169219     if ($cmp1) {
   169220       label = 4;
   169221       break;
   169222     } else {
   169223       label = 5;
   169224       break;
   169225     }
   169226    case 4:
   169227     $idxprom = $1 & 255;
   169228     $type = $enc + 72 | 0;
   169229     $2 = $type;
   169230     $arrayidx3 = $2 + $idxprom | 0;
   169231     $3 = HEAP8[$arrayidx3] | 0;
   169232     $conv4 = $3 & 255;
   169233     $cond = $conv4;
   169234     label = 6;
   169235     break;
   169236    case 5:
   169237     $call = _unicode_byte_type($0, $1) | 0;
   169238     $cond = $call;
   169239     label = 6;
   169240     break;
   169241    case 6:
   169242     if (($cond | 0) == 22 | ($cond | 0) == 24) {
   169243       label = 7;
   169244       break;
   169245     } else if (($cond | 0) == 29) {
   169246       label = 9;
   169247       break;
   169248     } else if (($cond | 0) == 5) {
   169249       label = 11;
   169250       break;
   169251     } else if (($cond | 0) == 6) {
   169252       label = 13;
   169253       break;
   169254     } else if (($cond | 0) == 7) {
   169255       label = 15;
   169256       break;
   169257     } else if (($cond | 0) == 16) {
   169258       label = 17;
   169259       break;
   169260     } else if (($cond | 0) == 15) {
   169261       label = 25;
   169262       break;
   169263     } else if (($cond | 0) == 17) {
   169264       label = 26;
   169265       break;
   169266     } else {
   169267       label = 27;
   169268       break;
   169269     }
   169270    case 7:
   169271     $ptr_addr_0156 = $ptr + 2 | 0;
   169272     $cmp76157 = ($ptr_addr_0156 | 0) == ($end | 0);
   169273     if ($cmp76157) {
   169274       $retval_0 = -1;
   169275       label = 65;
   169276       break;
   169277     } else {
   169278       label = 8;
   169279       break;
   169280     }
   169281    case 8:
   169282     $type84 = $enc + 72 | 0;
   169283     $4 = $type84;
   169284     $ptr_pn158 = $ptr;
   169285     $ptr_addr_0159 = $ptr_addr_0156;
   169286     label = 28;
   169287     break;
   169288    case 9:
   169289     $5 = HEAP8[$arrayidx] | 0;
   169290     $idxprom8 = $5 & 255;
   169291     $arrayidx9 = $idxprom8 + 9272 | 0;
   169292     $6 = HEAP8[$arrayidx9] | 0;
   169293     $conv10 = $6 & 255;
   169294     $shl = $conv10 << 3;
   169295     $7 = HEAP8[$ptr] | 0;
   169296     $conv12 = $7 & 255;
   169297     $shr118 = $conv12 >>> 5;
   169298     $add = $shr118 | $shl;
   169299     $arrayidx13 = 9544 + ($add << 2) | 0;
   169300     $8 = HEAP32[$arrayidx13 >> 2] | 0;
   169301     $and = $conv12 & 31;
   169302     $shl16 = 1 << $and;
   169303     $and17 = $shl16 & $8;
   169304     $tobool = ($and17 | 0) == 0;
   169305     if ($tobool) {
   169306       label = 10;
   169307       break;
   169308     } else {
   169309       label = 7;
   169310       break;
   169311     }
   169312    case 10:
   169313     HEAP32[$nextTokPtr >> 2] = $ptr;
   169314     $retval_0 = 0;
   169315     label = 65;
   169316     break;
   169317    case 11:
   169318     $sub_ptr_lhs_cast = $end;
   169319     $sub_ptr_rhs_cast = $ptr;
   169320     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   169321     $cmp22 = ($sub_ptr_sub | 0) < 2;
   169322     if ($cmp22) {
   169323       $retval_0 = -2;
   169324       label = 65;
   169325       break;
   169326     } else {
   169327       label = 12;
   169328       break;
   169329     }
   169330    case 12:
   169331     HEAP32[$nextTokPtr >> 2] = $ptr;
   169332     $retval_0 = 0;
   169333     label = 65;
   169334     break;
   169335    case 13:
   169336     $sub_ptr_lhs_cast27 = $end;
   169337     $sub_ptr_rhs_cast28 = $ptr;
   169338     $sub_ptr_sub29 = $sub_ptr_lhs_cast27 - $sub_ptr_rhs_cast28 | 0;
   169339     $cmp30 = ($sub_ptr_sub29 | 0) < 3;
   169340     if ($cmp30) {
   169341       $retval_0 = -2;
   169342       label = 65;
   169343       break;
   169344     } else {
   169345       label = 14;
   169346       break;
   169347     }
   169348    case 14:
   169349     HEAP32[$nextTokPtr >> 2] = $ptr;
   169350     $retval_0 = 0;
   169351     label = 65;
   169352     break;
   169353    case 15:
   169354     $sub_ptr_lhs_cast35 = $end;
   169355     $sub_ptr_rhs_cast36 = $ptr;
   169356     $sub_ptr_sub37 = $sub_ptr_lhs_cast35 - $sub_ptr_rhs_cast36 | 0;
   169357     $cmp38 = ($sub_ptr_sub37 | 0) < 4;
   169358     if ($cmp38) {
   169359       $retval_0 = -2;
   169360       label = 65;
   169361       break;
   169362     } else {
   169363       label = 16;
   169364       break;
   169365     }
   169366    case 16:
   169367     HEAP32[$nextTokPtr >> 2] = $ptr;
   169368     $retval_0 = 0;
   169369     label = 65;
   169370     break;
   169371    case 17:
   169372     $add_ptr43 = $ptr + 2 | 0;
   169373     $cmp44 = ($add_ptr43 | 0) == ($end | 0);
   169374     if ($cmp44) {
   169375       $retval_0 = -1;
   169376       label = 65;
   169377       break;
   169378     } else {
   169379       label = 18;
   169380       break;
   169381     }
   169382    case 18:
   169383     $arrayidx48 = $ptr + 3 | 0;
   169384     $9 = HEAP8[$arrayidx48] | 0;
   169385     $cmp50 = $9 << 24 >> 24 == 0;
   169386     $10 = HEAP8[$add_ptr43] | 0;
   169387     if ($cmp50) {
   169388       label = 19;
   169389       break;
   169390     } else {
   169391       label = 20;
   169392       break;
   169393     }
   169394    case 19:
   169395     $idxprom53 = $10 & 255;
   169396     $type54 = $enc + 72 | 0;
   169397     $11 = $type54;
   169398     $arrayidx55 = $11 + $idxprom53 | 0;
   169399     $12 = HEAP8[$arrayidx55] | 0;
   169400     $conv56 = $12 & 255;
   169401     $cond62 = $conv56;
   169402     label = 21;
   169403     break;
   169404    case 20:
   169405     $call60 = _unicode_byte_type($9, $10) | 0;
   169406     $cond62 = $call60;
   169407     label = 21;
   169408     break;
   169409    case 21:
   169410     if (($cond62 | 0) == 27) {
   169411       label = 22;
   169412       break;
   169413     } else if (($cond62 | 0) == 20) {
   169414       label = 23;
   169415       break;
   169416     } else {
   169417       label = 24;
   169418       break;
   169419     }
   169420    case 22:
   169421     $add_ptr64 = $ptr + 4 | 0;
   169422     $call65 = _little2_scanComment($enc, $add_ptr64, $end, $nextTokPtr) | 0;
   169423     $retval_0 = $call65;
   169424     label = 65;
   169425     break;
   169426    case 23:
   169427     $add_ptr67 = $ptr + 4 | 0;
   169428     $call68 = _little2_scanCdataSection($add_ptr67, $end, $nextTokPtr) | 0;
   169429     $retval_0 = $call68;
   169430     label = 65;
   169431     break;
   169432    case 24:
   169433     HEAP32[$nextTokPtr >> 2] = $add_ptr43;
   169434     $retval_0 = 0;
   169435     label = 65;
   169436     break;
   169437    case 25:
   169438     $add_ptr70 = $ptr + 2 | 0;
   169439     $call71 = _little2_scanPi($enc, $add_ptr70, $end, $nextTokPtr) | 0;
   169440     $retval_0 = $call71;
   169441     label = 65;
   169442     break;
   169443    case 26:
   169444     $add_ptr73 = $ptr + 2 | 0;
   169445     $call74 = _little2_scanEndTag($enc, $add_ptr73, $end, $nextTokPtr) | 0;
   169446     $retval_0 = $call74;
   169447     label = 65;
   169448     break;
   169449    case 27:
   169450     HEAP32[$nextTokPtr >> 2] = $ptr;
   169451     $retval_0 = 0;
   169452     label = 65;
   169453     break;
   169454    case 28:
   169455     $arrayidx78 = $ptr_pn158 + 3 | 0;
   169456     $13 = HEAP8[$arrayidx78] | 0;
   169457     $cmp80 = $13 << 24 >> 24 == 0;
   169458     $14 = HEAP8[$ptr_addr_0159] | 0;
   169459     if ($cmp80) {
   169460       label = 29;
   169461       break;
   169462     } else {
   169463       label = 30;
   169464       break;
   169465     }
   169466    case 29:
   169467     $idxprom83 = $14 & 255;
   169468     $arrayidx85 = $4 + $idxprom83 | 0;
   169469     $15 = HEAP8[$arrayidx85] | 0;
   169470     $conv86 = $15 & 255;
   169471     $cond92 = $conv86;
   169472     label = 31;
   169473     break;
   169474    case 30:
   169475     $call90 = _unicode_byte_type($13, $14) | 0;
   169476     $cond92 = $call90;
   169477     label = 31;
   169478     break;
   169479    case 31:
   169480     if (($cond92 | 0) == 29) {
   169481       label = 32;
   169482       break;
   169483     } else if (($cond92 | 0) == 22 | ($cond92 | 0) == 24 | ($cond92 | 0) == 25 | ($cond92 | 0) == 26 | ($cond92 | 0) == 27) {
   169484       label = 33;
   169485       break;
   169486     } else if (($cond92 | 0) == 5) {
   169487       label = 35;
   169488       break;
   169489     } else if (($cond92 | 0) == 6) {
   169490       label = 37;
   169491       break;
   169492     } else if (($cond92 | 0) == 7) {
   169493       label = 39;
   169494       break;
   169495     } else if (($cond92 | 0) == 21 | ($cond92 | 0) == 9 | ($cond92 | 0) == 10) {
   169496       label = 41;
   169497       break;
   169498     } else if (($cond92 | 0) == 11) {
   169499       $ptr_addr_2 = $ptr_addr_0159;
   169500       label = 58;
   169501       break;
   169502     } else if (($cond92 | 0) == 17) {
   169503       $ptr_addr_3 = $ptr_addr_0159;
   169504       label = 59;
   169505       break;
   169506     } else {
   169507       label = 64;
   169508       break;
   169509     }
   169510    case 32:
   169511     $16 = HEAP8[$arrayidx78] | 0;
   169512     $idxprom95 = $16 & 255;
   169513     $arrayidx96 = $idxprom95 + 10824 | 0;
   169514     $17 = HEAP8[$arrayidx96] | 0;
   169515     $conv97 = $17 & 255;
   169516     $shl98 = $conv97 << 3;
   169517     $18 = HEAP8[$ptr_addr_0159] | 0;
   169518     $conv100 = $18 & 255;
   169519     $shr101117 = $conv100 >>> 5;
   169520     $add102 = $shr101117 | $shl98;
   169521     $arrayidx103 = 9544 + ($add102 << 2) | 0;
   169522     $19 = HEAP32[$arrayidx103 >> 2] | 0;
   169523     $and106 = $conv100 & 31;
   169524     $shl107 = 1 << $and106;
   169525     $and108 = $shl107 & $19;
   169526     $tobool109 = ($and108 | 0) == 0;
   169527     if ($tobool109) {
   169528       label = 34;
   169529       break;
   169530     } else {
   169531       label = 33;
   169532       break;
   169533     }
   169534    case 33:
   169535     $ptr_addr_0 = $ptr_addr_0159 + 2 | 0;
   169536     $cmp76 = ($ptr_addr_0 | 0) == ($end | 0);
   169537     if ($cmp76) {
   169538       $retval_0 = -1;
   169539       label = 65;
   169540       break;
   169541     } else {
   169542       $ptr_pn158 = $ptr_addr_0159;
   169543       $ptr_addr_0159 = $ptr_addr_0;
   169544       label = 28;
   169545       break;
   169546     }
   169547    case 34:
   169548     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0159;
   169549     $retval_0 = 0;
   169550     label = 65;
   169551     break;
   169552    case 35:
   169553     $sub_ptr_lhs_cast115 = $end;
   169554     $sub_ptr_rhs_cast116 = $ptr_addr_0159;
   169555     $sub_ptr_sub117 = $sub_ptr_lhs_cast115 - $sub_ptr_rhs_cast116 | 0;
   169556     $cmp118 = ($sub_ptr_sub117 | 0) < 2;
   169557     if ($cmp118) {
   169558       $retval_0 = -2;
   169559       label = 65;
   169560       break;
   169561     } else {
   169562       label = 36;
   169563       break;
   169564     }
   169565    case 36:
   169566     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0159;
   169567     $retval_0 = 0;
   169568     label = 65;
   169569     break;
   169570    case 37:
   169571     $sub_ptr_lhs_cast123 = $end;
   169572     $sub_ptr_rhs_cast124 = $ptr_addr_0159;
   169573     $sub_ptr_sub125 = $sub_ptr_lhs_cast123 - $sub_ptr_rhs_cast124 | 0;
   169574     $cmp126 = ($sub_ptr_sub125 | 0) < 3;
   169575     if ($cmp126) {
   169576       $retval_0 = -2;
   169577       label = 65;
   169578       break;
   169579     } else {
   169580       label = 38;
   169581       break;
   169582     }
   169583    case 38:
   169584     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0159;
   169585     $retval_0 = 0;
   169586     label = 65;
   169587     break;
   169588    case 39:
   169589     $sub_ptr_lhs_cast131 = $end;
   169590     $sub_ptr_rhs_cast132 = $ptr_addr_0159;
   169591     $sub_ptr_sub133 = $sub_ptr_lhs_cast131 - $sub_ptr_rhs_cast132 | 0;
   169592     $cmp134 = ($sub_ptr_sub133 | 0) < 4;
   169593     if ($cmp134) {
   169594       $retval_0 = -2;
   169595       label = 65;
   169596       break;
   169597     } else {
   169598       label = 40;
   169599       break;
   169600     }
   169601    case 40:
   169602     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0159;
   169603     $retval_0 = 0;
   169604     label = 65;
   169605     break;
   169606    case 41:
   169607     $add_ptr139 = $ptr_pn158 + 4 | 0;
   169608     $cmp141135 = ($add_ptr139 | 0) == ($end | 0);
   169609     if ($cmp141135) {
   169610       $retval_0 = -1;
   169611       label = 65;
   169612       break;
   169613     } else {
   169614       label = 42;
   169615       break;
   169616     }
   169617    case 42:
   169618     $type150 = $enc + 72 | 0;
   169619     $20 = $type150;
   169620     $ptr_addr_1136 = $add_ptr139;
   169621     label = 43;
   169622     break;
   169623    case 43:
   169624     $arrayidx144 = $ptr_addr_1136 + 1 | 0;
   169625     $21 = HEAP8[$arrayidx144] | 0;
   169626     $cmp146 = $21 << 24 >> 24 == 0;
   169627     $22 = HEAP8[$ptr_addr_1136] | 0;
   169628     if ($cmp146) {
   169629       label = 44;
   169630       break;
   169631     } else {
   169632       label = 45;
   169633       break;
   169634     }
   169635    case 44:
   169636     $idxprom149 = $22 & 255;
   169637     $arrayidx151 = $20 + $idxprom149 | 0;
   169638     $23 = HEAP8[$arrayidx151] | 0;
   169639     $conv152 = $23 & 255;
   169640     $cond158 = $conv152;
   169641     label = 46;
   169642     break;
   169643    case 45:
   169644     $call156 = _unicode_byte_type($21, $22) | 0;
   169645     $cond158 = $call156;
   169646     label = 46;
   169647     break;
   169648    case 46:
   169649     if (($cond158 | 0) == 29) {
   169650       label = 47;
   169651       break;
   169652     } else if (($cond158 | 0) == 22 | ($cond158 | 0) == 24) {
   169653       label = 49;
   169654       break;
   169655     } else if (($cond158 | 0) == 5) {
   169656       label = 50;
   169657       break;
   169658     } else if (($cond158 | 0) == 6) {
   169659       label = 52;
   169660       break;
   169661     } else if (($cond158 | 0) == 7) {
   169662       label = 54;
   169663       break;
   169664     } else if (($cond158 | 0) == 21 | ($cond158 | 0) == 9 | ($cond158 | 0) == 10) {
   169665       label = 56;
   169666       break;
   169667     } else if (($cond158 | 0) == 11) {
   169668       $ptr_addr_2 = $ptr_addr_1136;
   169669       label = 58;
   169670       break;
   169671     } else if (($cond158 | 0) == 17) {
   169672       $ptr_addr_3 = $ptr_addr_1136;
   169673       label = 59;
   169674       break;
   169675     } else {
   169676       label = 57;
   169677       break;
   169678     }
   169679    case 47:
   169680     $24 = HEAP8[$arrayidx144] | 0;
   169681     $idxprom161 = $24 & 255;
   169682     $arrayidx162 = $idxprom161 + 9272 | 0;
   169683     $25 = HEAP8[$arrayidx162] | 0;
   169684     $conv163 = $25 & 255;
   169685     $shl164 = $conv163 << 3;
   169686     $26 = HEAP8[$ptr_addr_1136] | 0;
   169687     $conv166 = $26 & 255;
   169688     $shr167116 = $conv166 >>> 5;
   169689     $add168 = $shr167116 | $shl164;
   169690     $arrayidx169 = 9544 + ($add168 << 2) | 0;
   169691     $27 = HEAP32[$arrayidx169 >> 2] | 0;
   169692     $and172 = $conv166 & 31;
   169693     $shl173 = 1 << $and172;
   169694     $and174 = $shl173 & $27;
   169695     $tobool175 = ($and174 | 0) == 0;
   169696     if ($tobool175) {
   169697       label = 48;
   169698       break;
   169699     } else {
   169700       label = 49;
   169701       break;
   169702     }
   169703    case 48:
   169704     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1136;
   169705     $retval_0 = 0;
   169706     label = 65;
   169707     break;
   169708    case 49:
   169709     $add_ptr179 = $ptr_addr_1136 + 2 | 0;
   169710     $call210 = _little2_scanAtts($enc, $add_ptr179, $end, $nextTokPtr) | 0;
   169711     $retval_0 = $call210;
   169712     label = 65;
   169713     break;
   169714    case 50:
   169715     $sub_ptr_lhs_cast181 = $end;
   169716     $sub_ptr_rhs_cast182 = $ptr_addr_1136;
   169717     $sub_ptr_sub183 = $sub_ptr_lhs_cast181 - $sub_ptr_rhs_cast182 | 0;
   169718     $cmp184 = ($sub_ptr_sub183 | 0) < 2;
   169719     if ($cmp184) {
   169720       $retval_0 = -2;
   169721       label = 65;
   169722       break;
   169723     } else {
   169724       label = 51;
   169725       break;
   169726     }
   169727    case 51:
   169728     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1136;
   169729     $retval_0 = 0;
   169730     label = 65;
   169731     break;
   169732    case 52:
   169733     $sub_ptr_lhs_cast189 = $end;
   169734     $sub_ptr_rhs_cast190 = $ptr_addr_1136;
   169735     $sub_ptr_sub191 = $sub_ptr_lhs_cast189 - $sub_ptr_rhs_cast190 | 0;
   169736     $cmp192 = ($sub_ptr_sub191 | 0) < 3;
   169737     if ($cmp192) {
   169738       $retval_0 = -2;
   169739       label = 65;
   169740       break;
   169741     } else {
   169742       label = 53;
   169743       break;
   169744     }
   169745    case 53:
   169746     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1136;
   169747     $retval_0 = 0;
   169748     label = 65;
   169749     break;
   169750    case 54:
   169751     $sub_ptr_lhs_cast197 = $end;
   169752     $sub_ptr_rhs_cast198 = $ptr_addr_1136;
   169753     $sub_ptr_sub199 = $sub_ptr_lhs_cast197 - $sub_ptr_rhs_cast198 | 0;
   169754     $cmp200 = ($sub_ptr_sub199 | 0) < 4;
   169755     if ($cmp200) {
   169756       $retval_0 = -2;
   169757       label = 65;
   169758       break;
   169759     } else {
   169760       label = 55;
   169761       break;
   169762     }
   169763    case 55:
   169764     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1136;
   169765     $retval_0 = 0;
   169766     label = 65;
   169767     break;
   169768    case 56:
   169769     $add_ptr207 = $ptr_addr_1136 + 2 | 0;
   169770     $cmp141 = ($add_ptr207 | 0) == ($end | 0);
   169771     if ($cmp141) {
   169772       $retval_0 = -1;
   169773       label = 65;
   169774       break;
   169775     } else {
   169776       $ptr_addr_1136 = $add_ptr207;
   169777       label = 43;
   169778       break;
   169779     }
   169780    case 57:
   169781     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1136;
   169782     $retval_0 = 0;
   169783     label = 65;
   169784     break;
   169785    case 58:
   169786     $add_ptr212 = $ptr_addr_2 + 2 | 0;
   169787     HEAP32[$nextTokPtr >> 2] = $add_ptr212;
   169788     $retval_0 = 2;
   169789     label = 65;
   169790     break;
   169791    case 59:
   169792     $add_ptr214 = $ptr_addr_3 + 2 | 0;
   169793     $cmp215 = ($add_ptr214 | 0) == ($end | 0);
   169794     if ($cmp215) {
   169795       $retval_0 = -1;
   169796       label = 65;
   169797       break;
   169798     } else {
   169799       label = 60;
   169800       break;
   169801     }
   169802    case 60:
   169803     $arrayidx219 = $ptr_addr_3 + 3 | 0;
   169804     $28 = HEAP8[$arrayidx219] | 0;
   169805     $cmp221 = $28 << 24 >> 24 == 0;
   169806     if ($cmp221) {
   169807       label = 61;
   169808       break;
   169809     } else {
   169810       label = 62;
   169811       break;
   169812     }
   169813    case 61:
   169814     $29 = HEAP8[$add_ptr214] | 0;
   169815     $cmp225 = $29 << 24 >> 24 == 62;
   169816     if ($cmp225) {
   169817       label = 63;
   169818       break;
   169819     } else {
   169820       label = 62;
   169821       break;
   169822     }
   169823    case 62:
   169824     HEAP32[$nextTokPtr >> 2] = $add_ptr214;
   169825     $retval_0 = 0;
   169826     label = 65;
   169827     break;
   169828    case 63:
   169829     $add_ptr229 = $ptr_addr_3 + 4 | 0;
   169830     HEAP32[$nextTokPtr >> 2] = $add_ptr229;
   169831     $retval_0 = 4;
   169832     label = 65;
   169833     break;
   169834    case 64:
   169835     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0159;
   169836     $retval_0 = 0;
   169837     label = 65;
   169838     break;
   169839    case 65:
   169840     return $retval_0 | 0;
   169841   }
   169842   return 0;
   169843 }
   169844 function _little2_scanComment($enc, $ptr, $end, $nextTokPtr) {
   169845   $enc = $enc | 0;
   169846   $ptr = $ptr | 0;
   169847   $end = $end | 0;
   169848   $nextTokPtr = $nextTokPtr | 0;
   169849   var $cmp = 0, $arrayidx = 0, $0 = 0, $cmp1 = 0, $1 = 0, $cmp5 = 0, $add_ptr = 0, $cmp836 = 0, $type = 0, $2 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_lhs_cast24 = 0, $sub_ptr_lhs_cast33 = 0, $ptr_addr_037 = 0, $arrayidx10 = 0, $3 = 0, $cmp12 = 0, $4 = 0, $idxprom = 0, $arrayidx14 = 0, $5 = 0, $conv15 = 0, $call = 0, $cond = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp18 = 0, $add_ptr22 = 0, $sub_ptr_rhs_cast25 = 0, $sub_ptr_sub26 = 0, $cmp27 = 0, $add_ptr31 = 0, $sub_ptr_rhs_cast34 = 0, $sub_ptr_sub35 = 0, $cmp36 = 0, $add_ptr40 = 0, $add_ptr43 = 0, $cmp44 = 0, $arrayidx48 = 0, $6 = 0, $cmp50 = 0, $ptr_addr_0_be = 0, $cmp8 = 0, $7 = 0, $cmp55 = 0, $add_ptr58 = 0, $cmp59 = 0, $arrayidx63 = 0, $8 = 0, $cmp65 = 0, $9 = 0, $cmp70 = 0, $add_ptr74 = 0, $add_ptr76 = 0, $retval_0 = 0, label = 0;
   169850   label = 2;
   169851   while (1) switch (label | 0) {
   169852    case 2:
   169853     $cmp = ($ptr | 0) == ($end | 0);
   169854     if ($cmp) {
   169855       $retval_0 = -1;
   169856       label = 29;
   169857       break;
   169858     } else {
   169859       label = 3;
   169860       break;
   169861     }
   169862    case 3:
   169863     $arrayidx = $ptr + 1 | 0;
   169864     $0 = HEAP8[$arrayidx] | 0;
   169865     $cmp1 = $0 << 24 >> 24 == 0;
   169866     if ($cmp1) {
   169867       label = 4;
   169868       break;
   169869     } else {
   169870       label = 5;
   169871       break;
   169872     }
   169873    case 4:
   169874     $1 = HEAP8[$ptr] | 0;
   169875     $cmp5 = $1 << 24 >> 24 == 45;
   169876     if ($cmp5) {
   169877       label = 6;
   169878       break;
   169879     } else {
   169880       label = 5;
   169881       break;
   169882     }
   169883    case 5:
   169884     HEAP32[$nextTokPtr >> 2] = $ptr;
   169885     $retval_0 = 0;
   169886     label = 29;
   169887     break;
   169888    case 6:
   169889     $add_ptr = $ptr + 2 | 0;
   169890     $cmp836 = ($add_ptr | 0) == ($end | 0);
   169891     if ($cmp836) {
   169892       $retval_0 = -1;
   169893       label = 29;
   169894       break;
   169895     } else {
   169896       label = 7;
   169897       break;
   169898     }
   169899    case 7:
   169900     $type = $enc + 72 | 0;
   169901     $2 = $type;
   169902     $sub_ptr_lhs_cast = $end;
   169903     $sub_ptr_lhs_cast24 = $end;
   169904     $sub_ptr_lhs_cast33 = $end;
   169905     $ptr_addr_037 = $add_ptr;
   169906     label = 8;
   169907     break;
   169908    case 8:
   169909     $arrayidx10 = $ptr_addr_037 + 1 | 0;
   169910     $3 = HEAP8[$arrayidx10] | 0;
   169911     $cmp12 = $3 << 24 >> 24 == 0;
   169912     $4 = HEAP8[$ptr_addr_037] | 0;
   169913     if ($cmp12) {
   169914       label = 9;
   169915       break;
   169916     } else {
   169917       label = 10;
   169918       break;
   169919     }
   169920    case 9:
   169921     $idxprom = $4 & 255;
   169922     $arrayidx14 = $2 + $idxprom | 0;
   169923     $5 = HEAP8[$arrayidx14] | 0;
   169924     $conv15 = $5 & 255;
   169925     $cond = $conv15;
   169926     label = 11;
   169927     break;
   169928    case 10:
   169929     $call = _unicode_byte_type($3, $4) | 0;
   169930     $cond = $call;
   169931     label = 11;
   169932     break;
   169933    case 11:
   169934     if (($cond | 0) == 5) {
   169935       label = 12;
   169936       break;
   169937     } else if (($cond | 0) == 6) {
   169938       label = 14;
   169939       break;
   169940     } else if (($cond | 0) == 7) {
   169941       label = 16;
   169942       break;
   169943     } else if (($cond | 0) == 0 | ($cond | 0) == 1 | ($cond | 0) == 8) {
   169944       label = 18;
   169945       break;
   169946     } else if (($cond | 0) == 27) {
   169947       label = 19;
   169948       break;
   169949     } else {
   169950       label = 28;
   169951       break;
   169952     }
   169953    case 12:
   169954     $sub_ptr_rhs_cast = $ptr_addr_037;
   169955     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   169956     $cmp18 = ($sub_ptr_sub | 0) < 2;
   169957     if ($cmp18) {
   169958       $retval_0 = -2;
   169959       label = 29;
   169960       break;
   169961     } else {
   169962       label = 13;
   169963       break;
   169964     }
   169965    case 13:
   169966     $add_ptr22 = $ptr_addr_037 + 2 | 0;
   169967     $ptr_addr_0_be = $add_ptr22;
   169968     label = 21;
   169969     break;
   169970    case 14:
   169971     $sub_ptr_rhs_cast25 = $ptr_addr_037;
   169972     $sub_ptr_sub26 = $sub_ptr_lhs_cast24 - $sub_ptr_rhs_cast25 | 0;
   169973     $cmp27 = ($sub_ptr_sub26 | 0) < 3;
   169974     if ($cmp27) {
   169975       $retval_0 = -2;
   169976       label = 29;
   169977       break;
   169978     } else {
   169979       label = 15;
   169980       break;
   169981     }
   169982    case 15:
   169983     $add_ptr31 = $ptr_addr_037 + 3 | 0;
   169984     $ptr_addr_0_be = $add_ptr31;
   169985     label = 21;
   169986     break;
   169987    case 16:
   169988     $sub_ptr_rhs_cast34 = $ptr_addr_037;
   169989     $sub_ptr_sub35 = $sub_ptr_lhs_cast33 - $sub_ptr_rhs_cast34 | 0;
   169990     $cmp36 = ($sub_ptr_sub35 | 0) < 4;
   169991     if ($cmp36) {
   169992       $retval_0 = -2;
   169993       label = 29;
   169994       break;
   169995     } else {
   169996       label = 17;
   169997       break;
   169998     }
   169999    case 17:
   170000     $add_ptr40 = $ptr_addr_037 + 4 | 0;
   170001     $ptr_addr_0_be = $add_ptr40;
   170002     label = 21;
   170003     break;
   170004    case 18:
   170005     HEAP32[$nextTokPtr >> 2] = $ptr_addr_037;
   170006     $retval_0 = 0;
   170007     label = 29;
   170008     break;
   170009    case 19:
   170010     $add_ptr43 = $ptr_addr_037 + 2 | 0;
   170011     $cmp44 = ($add_ptr43 | 0) == ($end | 0);
   170012     if ($cmp44) {
   170013       $retval_0 = -1;
   170014       label = 29;
   170015       break;
   170016     } else {
   170017       label = 20;
   170018       break;
   170019     }
   170020    case 20:
   170021     $arrayidx48 = $ptr_addr_037 + 3 | 0;
   170022     $6 = HEAP8[$arrayidx48] | 0;
   170023     $cmp50 = $6 << 24 >> 24 == 0;
   170024     if ($cmp50) {
   170025       label = 22;
   170026       break;
   170027     } else {
   170028       $ptr_addr_0_be = $add_ptr43;
   170029       label = 21;
   170030       break;
   170031     }
   170032    case 21:
   170033     $cmp8 = ($ptr_addr_0_be | 0) == ($end | 0);
   170034     if ($cmp8) {
   170035       $retval_0 = -1;
   170036       label = 29;
   170037       break;
   170038     } else {
   170039       $ptr_addr_037 = $ptr_addr_0_be;
   170040       label = 8;
   170041       break;
   170042     }
   170043    case 22:
   170044     $7 = HEAP8[$add_ptr43] | 0;
   170045     $cmp55 = $7 << 24 >> 24 == 45;
   170046     if ($cmp55) {
   170047       label = 23;
   170048       break;
   170049     } else {
   170050       $ptr_addr_0_be = $add_ptr43;
   170051       label = 21;
   170052       break;
   170053     }
   170054    case 23:
   170055     $add_ptr58 = $ptr_addr_037 + 4 | 0;
   170056     $cmp59 = ($add_ptr58 | 0) == ($end | 0);
   170057     if ($cmp59) {
   170058       $retval_0 = -1;
   170059       label = 29;
   170060       break;
   170061     } else {
   170062       label = 24;
   170063       break;
   170064     }
   170065    case 24:
   170066     $arrayidx63 = $ptr_addr_037 + 5 | 0;
   170067     $8 = HEAP8[$arrayidx63] | 0;
   170068     $cmp65 = $8 << 24 >> 24 == 0;
   170069     if ($cmp65) {
   170070       label = 25;
   170071       break;
   170072     } else {
   170073       label = 26;
   170074       break;
   170075     }
   170076    case 25:
   170077     $9 = HEAP8[$add_ptr58] | 0;
   170078     $cmp70 = $9 << 24 >> 24 == 62;
   170079     if ($cmp70) {
   170080       label = 27;
   170081       break;
   170082     } else {
   170083       label = 26;
   170084       break;
   170085     }
   170086    case 26:
   170087     HEAP32[$nextTokPtr >> 2] = $add_ptr58;
   170088     $retval_0 = 0;
   170089     label = 29;
   170090     break;
   170091    case 27:
   170092     $add_ptr74 = $ptr_addr_037 + 6 | 0;
   170093     HEAP32[$nextTokPtr >> 2] = $add_ptr74;
   170094     $retval_0 = 13;
   170095     label = 29;
   170096     break;
   170097    case 28:
   170098     $add_ptr76 = $ptr_addr_037 + 2 | 0;
   170099     $ptr_addr_0_be = $add_ptr76;
   170100     label = 21;
   170101     break;
   170102    case 29:
   170103     return $retval_0 | 0;
   170104   }
   170105   return 0;
   170106 }
   170107 function _little2_scanPi($enc, $ptr, $end, $nextTokPtr) {
   170108   $enc = $enc | 0;
   170109   $ptr = $ptr | 0;
   170110   $end = $end | 0;
   170111   $nextTokPtr = $nextTokPtr | 0;
   170112   var $tok = 0, $cmp = 0, $arrayidx = 0, $0 = 0, $cmp1 = 0, $1 = 0, $idxprom = 0, $type = 0, $2 = 0, $arrayidx3 = 0, $3 = 0, $conv4 = 0, $call = 0, $cond = 0, $ptr_addr_0111 = 0, $cmp42112 = 0, $type50 = 0, $4 = 0, $5 = 0, $idxprom8 = 0, $arrayidx9 = 0, $6 = 0, $conv10 = 0, $shl = 0, $7 = 0, $conv12 = 0, $shr91 = 0, $add = 0, $arrayidx13 = 0, $8 = 0, $and = 0, $shl16 = 0, $and17 = 0, $tobool = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp22 = 0, $sub_ptr_lhs_cast27 = 0, $sub_ptr_rhs_cast28 = 0, $sub_ptr_sub29 = 0, $cmp30 = 0, $sub_ptr_lhs_cast35 = 0, $sub_ptr_rhs_cast36 = 0, $sub_ptr_sub37 = 0, $cmp38 = 0, $ptr_addr_0114 = 0, $ptr_pn113 = 0, $arrayidx44 = 0, $9 = 0, $cmp46 = 0, $10 = 0, $idxprom49 = 0, $arrayidx51 = 0, $11 = 0, $conv52 = 0, $call56 = 0, $cond58 = 0, $12 = 0, $idxprom61 = 0, $arrayidx62 = 0, $13 = 0, $conv63 = 0, $shl64 = 0, $14 = 0, $conv66 = 0, $shr6790 = 0, $add68 = 0, $arrayidx69 = 0, $15 = 0, $and72 = 0, $shl73 = 0, $and74 = 0, $tobool75 = 0, $ptr_addr_0 = 0, $cmp42 = 0, $sub_ptr_lhs_cast81 = 0, $sub_ptr_rhs_cast82 = 0, $sub_ptr_sub83 = 0, $cmp84 = 0, $sub_ptr_lhs_cast89 = 0, $sub_ptr_rhs_cast90 = 0, $sub_ptr_sub91 = 0, $cmp92 = 0, $sub_ptr_lhs_cast97 = 0, $sub_ptr_rhs_cast98 = 0, $sub_ptr_sub99 = 0, $cmp100 = 0, $call105 = 0, $tobool106 = 0, $add_ptr109 = 0, $cmp11194 = 0, $type120 = 0, $16 = 0, $sub_ptr_lhs_cast130 = 0, $sub_ptr_lhs_cast139 = 0, $sub_ptr_lhs_cast148 = 0, $ptr_addr_195 = 0, $arrayidx114 = 0, $17 = 0, $cmp116 = 0, $18 = 0, $idxprom119 = 0, $arrayidx121 = 0, $19 = 0, $conv122 = 0, $call126 = 0, $cond128 = 0, $sub_ptr_rhs_cast131 = 0, $sub_ptr_sub132 = 0, $cmp133 = 0, $add_ptr137 = 0, $sub_ptr_rhs_cast140 = 0, $sub_ptr_sub141 = 0, $cmp142 = 0, $add_ptr146 = 0, $sub_ptr_rhs_cast149 = 0, $sub_ptr_sub150 = 0, $cmp151 = 0, $add_ptr155 = 0, $add_ptr158 = 0, $cmp159 = 0, $arrayidx163 = 0, $20 = 0, $cmp165 = 0, $ptr_addr_1_be = 0, $cmp111 = 0, $21 = 0, $cmp169 = 0, $add_ptr172 = 0, $22 = 0, $add_ptr175 = 0, $call178 = 0, $tobool179 = 0, $add_ptr182 = 0, $cmp183 = 0, $arrayidx187 = 0, $23 = 0, $cmp189 = 0, $24 = 0, $cmp194 = 0, $add_ptr197 = 0, $25 = 0, $ptr_addr_2 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   170113   __stackBase__ = STACKTOP;
   170114   STACKTOP = STACKTOP + 8 | 0;
   170115   label = 2;
   170116   while (1) switch (label | 0) {
   170117    case 2:
   170118     $tok = __stackBase__ | 0;
   170119     $cmp = ($ptr | 0) == ($end | 0);
   170120     if ($cmp) {
   170121       $retval_0 = -1;
   170122       label = 59;
   170123       break;
   170124     } else {
   170125       label = 3;
   170126       break;
   170127     }
   170128    case 3:
   170129     $arrayidx = $ptr + 1 | 0;
   170130     $0 = HEAP8[$arrayidx] | 0;
   170131     $cmp1 = $0 << 24 >> 24 == 0;
   170132     $1 = HEAP8[$ptr] | 0;
   170133     if ($cmp1) {
   170134       label = 4;
   170135       break;
   170136     } else {
   170137       label = 5;
   170138       break;
   170139     }
   170140    case 4:
   170141     $idxprom = $1 & 255;
   170142     $type = $enc + 72 | 0;
   170143     $2 = $type;
   170144     $arrayidx3 = $2 + $idxprom | 0;
   170145     $3 = HEAP8[$arrayidx3] | 0;
   170146     $conv4 = $3 & 255;
   170147     $cond = $conv4;
   170148     label = 6;
   170149     break;
   170150    case 5:
   170151     $call = _unicode_byte_type($0, $1) | 0;
   170152     $cond = $call;
   170153     label = 6;
   170154     break;
   170155    case 6:
   170156     if (($cond | 0) == 22 | ($cond | 0) == 24) {
   170157       label = 7;
   170158       break;
   170159     } else if (($cond | 0) == 29) {
   170160       label = 9;
   170161       break;
   170162     } else if (($cond | 0) == 5) {
   170163       label = 11;
   170164       break;
   170165     } else if (($cond | 0) == 6) {
   170166       label = 13;
   170167       break;
   170168     } else if (($cond | 0) == 7) {
   170169       label = 15;
   170170       break;
   170171     } else {
   170172       label = 17;
   170173       break;
   170174     }
   170175    case 7:
   170176     $ptr_addr_0111 = $ptr + 2 | 0;
   170177     $cmp42112 = ($ptr_addr_0111 | 0) == ($end | 0);
   170178     if ($cmp42112) {
   170179       $retval_0 = -1;
   170180       label = 59;
   170181       break;
   170182     } else {
   170183       label = 8;
   170184       break;
   170185     }
   170186    case 8:
   170187     $type50 = $enc + 72 | 0;
   170188     $4 = $type50;
   170189     $ptr_pn113 = $ptr;
   170190     $ptr_addr_0114 = $ptr_addr_0111;
   170191     label = 18;
   170192     break;
   170193    case 9:
   170194     $5 = HEAP8[$arrayidx] | 0;
   170195     $idxprom8 = $5 & 255;
   170196     $arrayidx9 = $idxprom8 + 9272 | 0;
   170197     $6 = HEAP8[$arrayidx9] | 0;
   170198     $conv10 = $6 & 255;
   170199     $shl = $conv10 << 3;
   170200     $7 = HEAP8[$ptr] | 0;
   170201     $conv12 = $7 & 255;
   170202     $shr91 = $conv12 >>> 5;
   170203     $add = $shr91 | $shl;
   170204     $arrayidx13 = 9544 + ($add << 2) | 0;
   170205     $8 = HEAP32[$arrayidx13 >> 2] | 0;
   170206     $and = $conv12 & 31;
   170207     $shl16 = 1 << $and;
   170208     $and17 = $shl16 & $8;
   170209     $tobool = ($and17 | 0) == 0;
   170210     if ($tobool) {
   170211       label = 10;
   170212       break;
   170213     } else {
   170214       label = 7;
   170215       break;
   170216     }
   170217    case 10:
   170218     HEAP32[$nextTokPtr >> 2] = $ptr;
   170219     $retval_0 = 0;
   170220     label = 59;
   170221     break;
   170222    case 11:
   170223     $sub_ptr_lhs_cast = $end;
   170224     $sub_ptr_rhs_cast = $ptr;
   170225     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   170226     $cmp22 = ($sub_ptr_sub | 0) < 2;
   170227     if ($cmp22) {
   170228       $retval_0 = -2;
   170229       label = 59;
   170230       break;
   170231     } else {
   170232       label = 12;
   170233       break;
   170234     }
   170235    case 12:
   170236     HEAP32[$nextTokPtr >> 2] = $ptr;
   170237     $retval_0 = 0;
   170238     label = 59;
   170239     break;
   170240    case 13:
   170241     $sub_ptr_lhs_cast27 = $end;
   170242     $sub_ptr_rhs_cast28 = $ptr;
   170243     $sub_ptr_sub29 = $sub_ptr_lhs_cast27 - $sub_ptr_rhs_cast28 | 0;
   170244     $cmp30 = ($sub_ptr_sub29 | 0) < 3;
   170245     if ($cmp30) {
   170246       $retval_0 = -2;
   170247       label = 59;
   170248       break;
   170249     } else {
   170250       label = 14;
   170251       break;
   170252     }
   170253    case 14:
   170254     HEAP32[$nextTokPtr >> 2] = $ptr;
   170255     $retval_0 = 0;
   170256     label = 59;
   170257     break;
   170258    case 15:
   170259     $sub_ptr_lhs_cast35 = $end;
   170260     $sub_ptr_rhs_cast36 = $ptr;
   170261     $sub_ptr_sub37 = $sub_ptr_lhs_cast35 - $sub_ptr_rhs_cast36 | 0;
   170262     $cmp38 = ($sub_ptr_sub37 | 0) < 4;
   170263     if ($cmp38) {
   170264       $retval_0 = -2;
   170265       label = 59;
   170266       break;
   170267     } else {
   170268       label = 16;
   170269       break;
   170270     }
   170271    case 16:
   170272     HEAP32[$nextTokPtr >> 2] = $ptr;
   170273     $retval_0 = 0;
   170274     label = 59;
   170275     break;
   170276    case 17:
   170277     HEAP32[$nextTokPtr >> 2] = $ptr;
   170278     $retval_0 = 0;
   170279     label = 59;
   170280     break;
   170281    case 18:
   170282     $arrayidx44 = $ptr_pn113 + 3 | 0;
   170283     $9 = HEAP8[$arrayidx44] | 0;
   170284     $cmp46 = $9 << 24 >> 24 == 0;
   170285     $10 = HEAP8[$ptr_addr_0114] | 0;
   170286     if ($cmp46) {
   170287       label = 19;
   170288       break;
   170289     } else {
   170290       label = 20;
   170291       break;
   170292     }
   170293    case 19:
   170294     $idxprom49 = $10 & 255;
   170295     $arrayidx51 = $4 + $idxprom49 | 0;
   170296     $11 = HEAP8[$arrayidx51] | 0;
   170297     $conv52 = $11 & 255;
   170298     $cond58 = $conv52;
   170299     label = 21;
   170300     break;
   170301    case 20:
   170302     $call56 = _unicode_byte_type($9, $10) | 0;
   170303     $cond58 = $call56;
   170304     label = 21;
   170305     break;
   170306    case 21:
   170307     if (($cond58 | 0) == 29) {
   170308       label = 22;
   170309       break;
   170310     } else if (($cond58 | 0) == 22 | ($cond58 | 0) == 24 | ($cond58 | 0) == 25 | ($cond58 | 0) == 26 | ($cond58 | 0) == 27) {
   170311       label = 23;
   170312       break;
   170313     } else if (($cond58 | 0) == 5) {
   170314       label = 25;
   170315       break;
   170316     } else if (($cond58 | 0) == 6) {
   170317       label = 27;
   170318       break;
   170319     } else if (($cond58 | 0) == 7) {
   170320       label = 29;
   170321       break;
   170322     } else if (($cond58 | 0) == 21 | ($cond58 | 0) == 9 | ($cond58 | 0) == 10) {
   170323       label = 31;
   170324       break;
   170325     } else if (($cond58 | 0) == 15) {
   170326       label = 52;
   170327       break;
   170328     } else {
   170329       $ptr_addr_2 = $ptr_addr_0114;
   170330       label = 58;
   170331       break;
   170332     }
   170333    case 22:
   170334     $12 = HEAP8[$arrayidx44] | 0;
   170335     $idxprom61 = $12 & 255;
   170336     $arrayidx62 = $idxprom61 + 10824 | 0;
   170337     $13 = HEAP8[$arrayidx62] | 0;
   170338     $conv63 = $13 & 255;
   170339     $shl64 = $conv63 << 3;
   170340     $14 = HEAP8[$ptr_addr_0114] | 0;
   170341     $conv66 = $14 & 255;
   170342     $shr6790 = $conv66 >>> 5;
   170343     $add68 = $shr6790 | $shl64;
   170344     $arrayidx69 = 9544 + ($add68 << 2) | 0;
   170345     $15 = HEAP32[$arrayidx69 >> 2] | 0;
   170346     $and72 = $conv66 & 31;
   170347     $shl73 = 1 << $and72;
   170348     $and74 = $shl73 & $15;
   170349     $tobool75 = ($and74 | 0) == 0;
   170350     if ($tobool75) {
   170351       label = 24;
   170352       break;
   170353     } else {
   170354       label = 23;
   170355       break;
   170356     }
   170357    case 23:
   170358     $ptr_addr_0 = $ptr_addr_0114 + 2 | 0;
   170359     $cmp42 = ($ptr_addr_0 | 0) == ($end | 0);
   170360     if ($cmp42) {
   170361       $retval_0 = -1;
   170362       label = 59;
   170363       break;
   170364     } else {
   170365       $ptr_pn113 = $ptr_addr_0114;
   170366       $ptr_addr_0114 = $ptr_addr_0;
   170367       label = 18;
   170368       break;
   170369     }
   170370    case 24:
   170371     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0114;
   170372     $retval_0 = 0;
   170373     label = 59;
   170374     break;
   170375    case 25:
   170376     $sub_ptr_lhs_cast81 = $end;
   170377     $sub_ptr_rhs_cast82 = $ptr_addr_0114;
   170378     $sub_ptr_sub83 = $sub_ptr_lhs_cast81 - $sub_ptr_rhs_cast82 | 0;
   170379     $cmp84 = ($sub_ptr_sub83 | 0) < 2;
   170380     if ($cmp84) {
   170381       $retval_0 = -2;
   170382       label = 59;
   170383       break;
   170384     } else {
   170385       label = 26;
   170386       break;
   170387     }
   170388    case 26:
   170389     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0114;
   170390     $retval_0 = 0;
   170391     label = 59;
   170392     break;
   170393    case 27:
   170394     $sub_ptr_lhs_cast89 = $end;
   170395     $sub_ptr_rhs_cast90 = $ptr_addr_0114;
   170396     $sub_ptr_sub91 = $sub_ptr_lhs_cast89 - $sub_ptr_rhs_cast90 | 0;
   170397     $cmp92 = ($sub_ptr_sub91 | 0) < 3;
   170398     if ($cmp92) {
   170399       $retval_0 = -2;
   170400       label = 59;
   170401       break;
   170402     } else {
   170403       label = 28;
   170404       break;
   170405     }
   170406    case 28:
   170407     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0114;
   170408     $retval_0 = 0;
   170409     label = 59;
   170410     break;
   170411    case 29:
   170412     $sub_ptr_lhs_cast97 = $end;
   170413     $sub_ptr_rhs_cast98 = $ptr_addr_0114;
   170414     $sub_ptr_sub99 = $sub_ptr_lhs_cast97 - $sub_ptr_rhs_cast98 | 0;
   170415     $cmp100 = ($sub_ptr_sub99 | 0) < 4;
   170416     if ($cmp100) {
   170417       $retval_0 = -2;
   170418       label = 59;
   170419       break;
   170420     } else {
   170421       label = 30;
   170422       break;
   170423     }
   170424    case 30:
   170425     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0114;
   170426     $retval_0 = 0;
   170427     label = 59;
   170428     break;
   170429    case 31:
   170430     $call105 = _little2_checkPiTarget($ptr, $ptr_addr_0114, $tok) | 0;
   170431     $tobool106 = ($call105 | 0) == 0;
   170432     if ($tobool106) {
   170433       label = 32;
   170434       break;
   170435     } else {
   170436       label = 33;
   170437       break;
   170438     }
   170439    case 32:
   170440     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0114;
   170441     $retval_0 = 0;
   170442     label = 59;
   170443     break;
   170444    case 33:
   170445     $add_ptr109 = $ptr_pn113 + 4 | 0;
   170446     $cmp11194 = ($add_ptr109 | 0) == ($end | 0);
   170447     if ($cmp11194) {
   170448       $retval_0 = -1;
   170449       label = 59;
   170450       break;
   170451     } else {
   170452       label = 34;
   170453       break;
   170454     }
   170455    case 34:
   170456     $type120 = $enc + 72 | 0;
   170457     $16 = $type120;
   170458     $sub_ptr_lhs_cast130 = $end;
   170459     $sub_ptr_lhs_cast139 = $end;
   170460     $sub_ptr_lhs_cast148 = $end;
   170461     $ptr_addr_195 = $add_ptr109;
   170462     label = 35;
   170463     break;
   170464    case 35:
   170465     $arrayidx114 = $ptr_addr_195 + 1 | 0;
   170466     $17 = HEAP8[$arrayidx114] | 0;
   170467     $cmp116 = $17 << 24 >> 24 == 0;
   170468     $18 = HEAP8[$ptr_addr_195] | 0;
   170469     if ($cmp116) {
   170470       label = 36;
   170471       break;
   170472     } else {
   170473       label = 37;
   170474       break;
   170475     }
   170476    case 36:
   170477     $idxprom119 = $18 & 255;
   170478     $arrayidx121 = $16 + $idxprom119 | 0;
   170479     $19 = HEAP8[$arrayidx121] | 0;
   170480     $conv122 = $19 & 255;
   170481     $cond128 = $conv122;
   170482     label = 38;
   170483     break;
   170484    case 37:
   170485     $call126 = _unicode_byte_type($17, $18) | 0;
   170486     $cond128 = $call126;
   170487     label = 38;
   170488     break;
   170489    case 38:
   170490     if (($cond128 | 0) == 5) {
   170491       label = 39;
   170492       break;
   170493     } else if (($cond128 | 0) == 6) {
   170494       label = 41;
   170495       break;
   170496     } else if (($cond128 | 0) == 7) {
   170497       label = 43;
   170498       break;
   170499     } else if (($cond128 | 0) == 0 | ($cond128 | 0) == 1 | ($cond128 | 0) == 8) {
   170500       label = 45;
   170501       break;
   170502     } else if (($cond128 | 0) == 15) {
   170503       label = 46;
   170504       break;
   170505     } else {
   170506       label = 51;
   170507       break;
   170508     }
   170509    case 39:
   170510     $sub_ptr_rhs_cast131 = $ptr_addr_195;
   170511     $sub_ptr_sub132 = $sub_ptr_lhs_cast130 - $sub_ptr_rhs_cast131 | 0;
   170512     $cmp133 = ($sub_ptr_sub132 | 0) < 2;
   170513     if ($cmp133) {
   170514       $retval_0 = -2;
   170515       label = 59;
   170516       break;
   170517     } else {
   170518       label = 40;
   170519       break;
   170520     }
   170521    case 40:
   170522     $add_ptr137 = $ptr_addr_195 + 2 | 0;
   170523     $ptr_addr_1_be = $add_ptr137;
   170524     label = 48;
   170525     break;
   170526    case 41:
   170527     $sub_ptr_rhs_cast140 = $ptr_addr_195;
   170528     $sub_ptr_sub141 = $sub_ptr_lhs_cast139 - $sub_ptr_rhs_cast140 | 0;
   170529     $cmp142 = ($sub_ptr_sub141 | 0) < 3;
   170530     if ($cmp142) {
   170531       $retval_0 = -2;
   170532       label = 59;
   170533       break;
   170534     } else {
   170535       label = 42;
   170536       break;
   170537     }
   170538    case 42:
   170539     $add_ptr146 = $ptr_addr_195 + 3 | 0;
   170540     $ptr_addr_1_be = $add_ptr146;
   170541     label = 48;
   170542     break;
   170543    case 43:
   170544     $sub_ptr_rhs_cast149 = $ptr_addr_195;
   170545     $sub_ptr_sub150 = $sub_ptr_lhs_cast148 - $sub_ptr_rhs_cast149 | 0;
   170546     $cmp151 = ($sub_ptr_sub150 | 0) < 4;
   170547     if ($cmp151) {
   170548       $retval_0 = -2;
   170549       label = 59;
   170550       break;
   170551     } else {
   170552       label = 44;
   170553       break;
   170554     }
   170555    case 44:
   170556     $add_ptr155 = $ptr_addr_195 + 4 | 0;
   170557     $ptr_addr_1_be = $add_ptr155;
   170558     label = 48;
   170559     break;
   170560    case 45:
   170561     HEAP32[$nextTokPtr >> 2] = $ptr_addr_195;
   170562     $retval_0 = 0;
   170563     label = 59;
   170564     break;
   170565    case 46:
   170566     $add_ptr158 = $ptr_addr_195 + 2 | 0;
   170567     $cmp159 = ($add_ptr158 | 0) == ($end | 0);
   170568     if ($cmp159) {
   170569       $retval_0 = -1;
   170570       label = 59;
   170571       break;
   170572     } else {
   170573       label = 47;
   170574       break;
   170575     }
   170576    case 47:
   170577     $arrayidx163 = $ptr_addr_195 + 3 | 0;
   170578     $20 = HEAP8[$arrayidx163] | 0;
   170579     $cmp165 = $20 << 24 >> 24 == 0;
   170580     if ($cmp165) {
   170581       label = 49;
   170582       break;
   170583     } else {
   170584       $ptr_addr_1_be = $add_ptr158;
   170585       label = 48;
   170586       break;
   170587     }
   170588    case 48:
   170589     $cmp111 = ($ptr_addr_1_be | 0) == ($end | 0);
   170590     if ($cmp111) {
   170591       $retval_0 = -1;
   170592       label = 59;
   170593       break;
   170594     } else {
   170595       $ptr_addr_195 = $ptr_addr_1_be;
   170596       label = 35;
   170597       break;
   170598     }
   170599    case 49:
   170600     $21 = HEAP8[$add_ptr158] | 0;
   170601     $cmp169 = $21 << 24 >> 24 == 62;
   170602     if ($cmp169) {
   170603       label = 50;
   170604       break;
   170605     } else {
   170606       $ptr_addr_1_be = $add_ptr158;
   170607       label = 48;
   170608       break;
   170609     }
   170610    case 50:
   170611     $add_ptr172 = $ptr_addr_195 + 4 | 0;
   170612     HEAP32[$nextTokPtr >> 2] = $add_ptr172;
   170613     $22 = HEAP32[$tok >> 2] | 0;
   170614     $retval_0 = $22;
   170615     label = 59;
   170616     break;
   170617    case 51:
   170618     $add_ptr175 = $ptr_addr_195 + 2 | 0;
   170619     $ptr_addr_1_be = $add_ptr175;
   170620     label = 48;
   170621     break;
   170622    case 52:
   170623     $call178 = _little2_checkPiTarget($ptr, $ptr_addr_0114, $tok) | 0;
   170624     $tobool179 = ($call178 | 0) == 0;
   170625     if ($tobool179) {
   170626       label = 53;
   170627       break;
   170628     } else {
   170629       label = 54;
   170630       break;
   170631     }
   170632    case 53:
   170633     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0114;
   170634     $retval_0 = 0;
   170635     label = 59;
   170636     break;
   170637    case 54:
   170638     $add_ptr182 = $ptr_pn113 + 4 | 0;
   170639     $cmp183 = ($add_ptr182 | 0) == ($end | 0);
   170640     if ($cmp183) {
   170641       $retval_0 = -1;
   170642       label = 59;
   170643       break;
   170644     } else {
   170645       label = 55;
   170646       break;
   170647     }
   170648    case 55:
   170649     $arrayidx187 = $ptr_pn113 + 5 | 0;
   170650     $23 = HEAP8[$arrayidx187] | 0;
   170651     $cmp189 = $23 << 24 >> 24 == 0;
   170652     if ($cmp189) {
   170653       label = 56;
   170654       break;
   170655     } else {
   170656       $ptr_addr_2 = $add_ptr182;
   170657       label = 58;
   170658       break;
   170659     }
   170660    case 56:
   170661     $24 = HEAP8[$add_ptr182] | 0;
   170662     $cmp194 = $24 << 24 >> 24 == 62;
   170663     if ($cmp194) {
   170664       label = 57;
   170665       break;
   170666     } else {
   170667       $ptr_addr_2 = $add_ptr182;
   170668       label = 58;
   170669       break;
   170670     }
   170671    case 57:
   170672     $add_ptr197 = $ptr_pn113 + 6 | 0;
   170673     HEAP32[$nextTokPtr >> 2] = $add_ptr197;
   170674     $25 = HEAP32[$tok >> 2] | 0;
   170675     $retval_0 = $25;
   170676     label = 59;
   170677     break;
   170678    case 58:
   170679     HEAP32[$nextTokPtr >> 2] = $ptr_addr_2;
   170680     $retval_0 = 0;
   170681     label = 59;
   170682     break;
   170683    case 59:
   170684     STACKTOP = __stackBase__;
   170685     return $retval_0 | 0;
   170686   }
   170687   return 0;
   170688 }
   170689 function _little2_scanEndTag($enc, $ptr, $end, $nextTokPtr) {
   170690   $enc = $enc | 0;
   170691   $ptr = $ptr | 0;
   170692   $end = $end | 0;
   170693   $nextTokPtr = $nextTokPtr | 0;
   170694   var $cmp = 0, $arrayidx = 0, $0 = 0, $cmp1 = 0, $1 = 0, $idxprom = 0, $type = 0, $2 = 0, $arrayidx3 = 0, $3 = 0, $conv4 = 0, $call = 0, $cond = 0, $ptr_addr_084 = 0, $cmp4285 = 0, $type50 = 0, $4 = 0, $5 = 0, $idxprom8 = 0, $arrayidx9 = 0, $6 = 0, $conv10 = 0, $shl = 0, $7 = 0, $conv12 = 0, $shr64 = 0, $add = 0, $arrayidx13 = 0, $8 = 0, $and = 0, $shl16 = 0, $and17 = 0, $tobool = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp22 = 0, $sub_ptr_lhs_cast27 = 0, $sub_ptr_rhs_cast28 = 0, $sub_ptr_sub29 = 0, $cmp30 = 0, $sub_ptr_lhs_cast35 = 0, $sub_ptr_rhs_cast36 = 0, $sub_ptr_sub37 = 0, $cmp38 = 0, $ptr_addr_087 = 0, $ptr_pn86 = 0, $arrayidx44 = 0, $9 = 0, $cmp46 = 0, $10 = 0, $idxprom49 = 0, $arrayidx51 = 0, $11 = 0, $conv52 = 0, $call56 = 0, $cond58 = 0, $12 = 0, $idxprom61 = 0, $arrayidx62 = 0, $13 = 0, $conv63 = 0, $shl64 = 0, $14 = 0, $conv66 = 0, $shr6763 = 0, $add68 = 0, $arrayidx69 = 0, $15 = 0, $and72 = 0, $shl73 = 0, $and74 = 0, $tobool75 = 0, $ptr_addr_0 = 0, $cmp42 = 0, $sub_ptr_lhs_cast81 = 0, $sub_ptr_rhs_cast82 = 0, $sub_ptr_sub83 = 0, $cmp84 = 0, $sub_ptr_lhs_cast89 = 0, $sub_ptr_rhs_cast90 = 0, $sub_ptr_sub91 = 0, $cmp92 = 0, $sub_ptr_lhs_cast97 = 0, $sub_ptr_rhs_cast98 = 0, $sub_ptr_sub99 = 0, $cmp100 = 0, $add_ptr105 = 0, $cmp10667 = 0, $type114 = 0, $16 = 0, $ptr_addr_168 = 0, $arrayidx108 = 0, $17 = 0, $cmp110 = 0, $18 = 0, $idxprom113 = 0, $arrayidx115 = 0, $19 = 0, $conv116 = 0, $call120 = 0, $cond122 = 0, $add_ptr125 = 0, $add_ptr128 = 0, $cmp106 = 0, $add_ptr130 = 0, $retval_0 = 0, label = 0;
   170695   label = 2;
   170696   while (1) switch (label | 0) {
   170697    case 2:
   170698     $cmp = ($ptr | 0) == ($end | 0);
   170699     if ($cmp) {
   170700       $retval_0 = -1;
   170701       label = 42;
   170702       break;
   170703     } else {
   170704       label = 3;
   170705       break;
   170706     }
   170707    case 3:
   170708     $arrayidx = $ptr + 1 | 0;
   170709     $0 = HEAP8[$arrayidx] | 0;
   170710     $cmp1 = $0 << 24 >> 24 == 0;
   170711     $1 = HEAP8[$ptr] | 0;
   170712     if ($cmp1) {
   170713       label = 4;
   170714       break;
   170715     } else {
   170716       label = 5;
   170717       break;
   170718     }
   170719    case 4:
   170720     $idxprom = $1 & 255;
   170721     $type = $enc + 72 | 0;
   170722     $2 = $type;
   170723     $arrayidx3 = $2 + $idxprom | 0;
   170724     $3 = HEAP8[$arrayidx3] | 0;
   170725     $conv4 = $3 & 255;
   170726     $cond = $conv4;
   170727     label = 6;
   170728     break;
   170729    case 5:
   170730     $call = _unicode_byte_type($0, $1) | 0;
   170731     $cond = $call;
   170732     label = 6;
   170733     break;
   170734    case 6:
   170735     if (($cond | 0) == 22 | ($cond | 0) == 24) {
   170736       label = 7;
   170737       break;
   170738     } else if (($cond | 0) == 29) {
   170739       label = 9;
   170740       break;
   170741     } else if (($cond | 0) == 5) {
   170742       label = 11;
   170743       break;
   170744     } else if (($cond | 0) == 6) {
   170745       label = 13;
   170746       break;
   170747     } else if (($cond | 0) == 7) {
   170748       label = 15;
   170749       break;
   170750     } else {
   170751       label = 17;
   170752       break;
   170753     }
   170754    case 7:
   170755     $ptr_addr_084 = $ptr + 2 | 0;
   170756     $cmp4285 = ($ptr_addr_084 | 0) == ($end | 0);
   170757     if ($cmp4285) {
   170758       $retval_0 = -1;
   170759       label = 42;
   170760       break;
   170761     } else {
   170762       label = 8;
   170763       break;
   170764     }
   170765    case 8:
   170766     $type50 = $enc + 72 | 0;
   170767     $4 = $type50;
   170768     $ptr_pn86 = $ptr;
   170769     $ptr_addr_087 = $ptr_addr_084;
   170770     label = 18;
   170771     break;
   170772    case 9:
   170773     $5 = HEAP8[$arrayidx] | 0;
   170774     $idxprom8 = $5 & 255;
   170775     $arrayidx9 = $idxprom8 + 9272 | 0;
   170776     $6 = HEAP8[$arrayidx9] | 0;
   170777     $conv10 = $6 & 255;
   170778     $shl = $conv10 << 3;
   170779     $7 = HEAP8[$ptr] | 0;
   170780     $conv12 = $7 & 255;
   170781     $shr64 = $conv12 >>> 5;
   170782     $add = $shr64 | $shl;
   170783     $arrayidx13 = 9544 + ($add << 2) | 0;
   170784     $8 = HEAP32[$arrayidx13 >> 2] | 0;
   170785     $and = $conv12 & 31;
   170786     $shl16 = 1 << $and;
   170787     $and17 = $shl16 & $8;
   170788     $tobool = ($and17 | 0) == 0;
   170789     if ($tobool) {
   170790       label = 10;
   170791       break;
   170792     } else {
   170793       label = 7;
   170794       break;
   170795     }
   170796    case 10:
   170797     HEAP32[$nextTokPtr >> 2] = $ptr;
   170798     $retval_0 = 0;
   170799     label = 42;
   170800     break;
   170801    case 11:
   170802     $sub_ptr_lhs_cast = $end;
   170803     $sub_ptr_rhs_cast = $ptr;
   170804     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   170805     $cmp22 = ($sub_ptr_sub | 0) < 2;
   170806     if ($cmp22) {
   170807       $retval_0 = -2;
   170808       label = 42;
   170809       break;
   170810     } else {
   170811       label = 12;
   170812       break;
   170813     }
   170814    case 12:
   170815     HEAP32[$nextTokPtr >> 2] = $ptr;
   170816     $retval_0 = 0;
   170817     label = 42;
   170818     break;
   170819    case 13:
   170820     $sub_ptr_lhs_cast27 = $end;
   170821     $sub_ptr_rhs_cast28 = $ptr;
   170822     $sub_ptr_sub29 = $sub_ptr_lhs_cast27 - $sub_ptr_rhs_cast28 | 0;
   170823     $cmp30 = ($sub_ptr_sub29 | 0) < 3;
   170824     if ($cmp30) {
   170825       $retval_0 = -2;
   170826       label = 42;
   170827       break;
   170828     } else {
   170829       label = 14;
   170830       break;
   170831     }
   170832    case 14:
   170833     HEAP32[$nextTokPtr >> 2] = $ptr;
   170834     $retval_0 = 0;
   170835     label = 42;
   170836     break;
   170837    case 15:
   170838     $sub_ptr_lhs_cast35 = $end;
   170839     $sub_ptr_rhs_cast36 = $ptr;
   170840     $sub_ptr_sub37 = $sub_ptr_lhs_cast35 - $sub_ptr_rhs_cast36 | 0;
   170841     $cmp38 = ($sub_ptr_sub37 | 0) < 4;
   170842     if ($cmp38) {
   170843       $retval_0 = -2;
   170844       label = 42;
   170845       break;
   170846     } else {
   170847       label = 16;
   170848       break;
   170849     }
   170850    case 16:
   170851     HEAP32[$nextTokPtr >> 2] = $ptr;
   170852     $retval_0 = 0;
   170853     label = 42;
   170854     break;
   170855    case 17:
   170856     HEAP32[$nextTokPtr >> 2] = $ptr;
   170857     $retval_0 = 0;
   170858     label = 42;
   170859     break;
   170860    case 18:
   170861     $arrayidx44 = $ptr_pn86 + 3 | 0;
   170862     $9 = HEAP8[$arrayidx44] | 0;
   170863     $cmp46 = $9 << 24 >> 24 == 0;
   170864     $10 = HEAP8[$ptr_addr_087] | 0;
   170865     if ($cmp46) {
   170866       label = 19;
   170867       break;
   170868     } else {
   170869       label = 20;
   170870       break;
   170871     }
   170872    case 19:
   170873     $idxprom49 = $10 & 255;
   170874     $arrayidx51 = $4 + $idxprom49 | 0;
   170875     $11 = HEAP8[$arrayidx51] | 0;
   170876     $conv52 = $11 & 255;
   170877     $cond58 = $conv52;
   170878     label = 21;
   170879     break;
   170880    case 20:
   170881     $call56 = _unicode_byte_type($9, $10) | 0;
   170882     $cond58 = $call56;
   170883     label = 21;
   170884     break;
   170885    case 21:
   170886     if (($cond58 | 0) == 29) {
   170887       label = 22;
   170888       break;
   170889     } else if (($cond58 | 0) == 22 | ($cond58 | 0) == 24 | ($cond58 | 0) == 25 | ($cond58 | 0) == 26 | ($cond58 | 0) == 27) {
   170890       label = 23;
   170891       break;
   170892     } else if (($cond58 | 0) == 5) {
   170893       label = 25;
   170894       break;
   170895     } else if (($cond58 | 0) == 6) {
   170896       label = 27;
   170897       break;
   170898     } else if (($cond58 | 0) == 7) {
   170899       label = 29;
   170900       break;
   170901     } else if (($cond58 | 0) == 21 | ($cond58 | 0) == 9 | ($cond58 | 0) == 10) {
   170902       label = 31;
   170903       break;
   170904     } else if (($cond58 | 0) == 11) {
   170905       label = 40;
   170906       break;
   170907     } else {
   170908       label = 41;
   170909       break;
   170910     }
   170911    case 22:
   170912     $12 = HEAP8[$arrayidx44] | 0;
   170913     $idxprom61 = $12 & 255;
   170914     $arrayidx62 = $idxprom61 + 10824 | 0;
   170915     $13 = HEAP8[$arrayidx62] | 0;
   170916     $conv63 = $13 & 255;
   170917     $shl64 = $conv63 << 3;
   170918     $14 = HEAP8[$ptr_addr_087] | 0;
   170919     $conv66 = $14 & 255;
   170920     $shr6763 = $conv66 >>> 5;
   170921     $add68 = $shr6763 | $shl64;
   170922     $arrayidx69 = 9544 + ($add68 << 2) | 0;
   170923     $15 = HEAP32[$arrayidx69 >> 2] | 0;
   170924     $and72 = $conv66 & 31;
   170925     $shl73 = 1 << $and72;
   170926     $and74 = $shl73 & $15;
   170927     $tobool75 = ($and74 | 0) == 0;
   170928     if ($tobool75) {
   170929       label = 24;
   170930       break;
   170931     } else {
   170932       label = 23;
   170933       break;
   170934     }
   170935    case 23:
   170936     $ptr_addr_0 = $ptr_addr_087 + 2 | 0;
   170937     $cmp42 = ($ptr_addr_0 | 0) == ($end | 0);
   170938     if ($cmp42) {
   170939       $retval_0 = -1;
   170940       label = 42;
   170941       break;
   170942     } else {
   170943       $ptr_pn86 = $ptr_addr_087;
   170944       $ptr_addr_087 = $ptr_addr_0;
   170945       label = 18;
   170946       break;
   170947     }
   170948    case 24:
   170949     HEAP32[$nextTokPtr >> 2] = $ptr_addr_087;
   170950     $retval_0 = 0;
   170951     label = 42;
   170952     break;
   170953    case 25:
   170954     $sub_ptr_lhs_cast81 = $end;
   170955     $sub_ptr_rhs_cast82 = $ptr_addr_087;
   170956     $sub_ptr_sub83 = $sub_ptr_lhs_cast81 - $sub_ptr_rhs_cast82 | 0;
   170957     $cmp84 = ($sub_ptr_sub83 | 0) < 2;
   170958     if ($cmp84) {
   170959       $retval_0 = -2;
   170960       label = 42;
   170961       break;
   170962     } else {
   170963       label = 26;
   170964       break;
   170965     }
   170966    case 26:
   170967     HEAP32[$nextTokPtr >> 2] = $ptr_addr_087;
   170968     $retval_0 = 0;
   170969     label = 42;
   170970     break;
   170971    case 27:
   170972     $sub_ptr_lhs_cast89 = $end;
   170973     $sub_ptr_rhs_cast90 = $ptr_addr_087;
   170974     $sub_ptr_sub91 = $sub_ptr_lhs_cast89 - $sub_ptr_rhs_cast90 | 0;
   170975     $cmp92 = ($sub_ptr_sub91 | 0) < 3;
   170976     if ($cmp92) {
   170977       $retval_0 = -2;
   170978       label = 42;
   170979       break;
   170980     } else {
   170981       label = 28;
   170982       break;
   170983     }
   170984    case 28:
   170985     HEAP32[$nextTokPtr >> 2] = $ptr_addr_087;
   170986     $retval_0 = 0;
   170987     label = 42;
   170988     break;
   170989    case 29:
   170990     $sub_ptr_lhs_cast97 = $end;
   170991     $sub_ptr_rhs_cast98 = $ptr_addr_087;
   170992     $sub_ptr_sub99 = $sub_ptr_lhs_cast97 - $sub_ptr_rhs_cast98 | 0;
   170993     $cmp100 = ($sub_ptr_sub99 | 0) < 4;
   170994     if ($cmp100) {
   170995       $retval_0 = -2;
   170996       label = 42;
   170997       break;
   170998     } else {
   170999       label = 30;
   171000       break;
   171001     }
   171002    case 30:
   171003     HEAP32[$nextTokPtr >> 2] = $ptr_addr_087;
   171004     $retval_0 = 0;
   171005     label = 42;
   171006     break;
   171007    case 31:
   171008     $add_ptr105 = $ptr_pn86 + 4 | 0;
   171009     $cmp10667 = ($add_ptr105 | 0) == ($end | 0);
   171010     if ($cmp10667) {
   171011       $retval_0 = -1;
   171012       label = 42;
   171013       break;
   171014     } else {
   171015       label = 32;
   171016       break;
   171017     }
   171018    case 32:
   171019     $type114 = $enc + 72 | 0;
   171020     $16 = $type114;
   171021     $ptr_addr_168 = $add_ptr105;
   171022     label = 33;
   171023     break;
   171024    case 33:
   171025     $arrayidx108 = $ptr_addr_168 + 1 | 0;
   171026     $17 = HEAP8[$arrayidx108] | 0;
   171027     $cmp110 = $17 << 24 >> 24 == 0;
   171028     $18 = HEAP8[$ptr_addr_168] | 0;
   171029     if ($cmp110) {
   171030       label = 34;
   171031       break;
   171032     } else {
   171033       label = 35;
   171034       break;
   171035     }
   171036    case 34:
   171037     $idxprom113 = $18 & 255;
   171038     $arrayidx115 = $16 + $idxprom113 | 0;
   171039     $19 = HEAP8[$arrayidx115] | 0;
   171040     $conv116 = $19 & 255;
   171041     $cond122 = $conv116;
   171042     label = 36;
   171043     break;
   171044    case 35:
   171045     $call120 = _unicode_byte_type($17, $18) | 0;
   171046     $cond122 = $call120;
   171047     label = 36;
   171048     break;
   171049    case 36:
   171050     if (($cond122 | 0) == 11) {
   171051       label = 37;
   171052       break;
   171053     } else if (($cond122 | 0) == 21 | ($cond122 | 0) == 9 | ($cond122 | 0) == 10) {
   171054       label = 39;
   171055       break;
   171056     } else {
   171057       label = 38;
   171058       break;
   171059     }
   171060    case 37:
   171061     $add_ptr125 = $ptr_addr_168 + 2 | 0;
   171062     HEAP32[$nextTokPtr >> 2] = $add_ptr125;
   171063     $retval_0 = 5;
   171064     label = 42;
   171065     break;
   171066    case 38:
   171067     HEAP32[$nextTokPtr >> 2] = $ptr_addr_168;
   171068     $retval_0 = 0;
   171069     label = 42;
   171070     break;
   171071    case 39:
   171072     $add_ptr128 = $ptr_addr_168 + 2 | 0;
   171073     $cmp106 = ($add_ptr128 | 0) == ($end | 0);
   171074     if ($cmp106) {
   171075       $retval_0 = -1;
   171076       label = 42;
   171077       break;
   171078     } else {
   171079       $ptr_addr_168 = $add_ptr128;
   171080       label = 33;
   171081       break;
   171082     }
   171083    case 40:
   171084     $add_ptr130 = $ptr_pn86 + 4 | 0;
   171085     HEAP32[$nextTokPtr >> 2] = $add_ptr130;
   171086     $retval_0 = 5;
   171087     label = 42;
   171088     break;
   171089    case 41:
   171090     HEAP32[$nextTokPtr >> 2] = $ptr_addr_087;
   171091     $retval_0 = 0;
   171092     label = 42;
   171093     break;
   171094    case 42:
   171095     return $retval_0 | 0;
   171096   }
   171097   return 0;
   171098 }
   171099 function _little2_checkPiTarget($ptr, $end, $tokPtr) {
   171100   $ptr = $ptr | 0;
   171101   $end = $end | 0;
   171102   $tokPtr = $tokPtr | 0;
   171103   var $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp = 0, $arrayidx = 0, $0 = 0, $cmp1 = 0, $1 = 0, $conv4 = 0, $upper_0 = 0, $arrayidx6 = 0, $2 = 0, $cmp8 = 0, $add_ptr = 0, $3 = 0, $conv12 = 0, $upper_1 = 0, $arrayidx21 = 0, $4 = 0, $cmp23 = 0, $add_ptr20 = 0, $5 = 0, $conv27 = 0, $tobool = 0, $retval_0 = 0, label = 0;
   171104   label = 2;
   171105   while (1) switch (label | 0) {
   171106    case 2:
   171107     HEAP32[$tokPtr >> 2] = 11;
   171108     $sub_ptr_lhs_cast = $end;
   171109     $sub_ptr_rhs_cast = $ptr;
   171110     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   171111     $cmp = ($sub_ptr_sub | 0) == 6;
   171112     if ($cmp) {
   171113       label = 3;
   171114       break;
   171115     } else {
   171116       $retval_0 = 1;
   171117       label = 14;
   171118       break;
   171119     }
   171120    case 3:
   171121     $arrayidx = $ptr + 1 | 0;
   171122     $0 = HEAP8[$arrayidx] | 0;
   171123     $cmp1 = $0 << 24 >> 24 == 0;
   171124     if ($cmp1) {
   171125       label = 4;
   171126       break;
   171127     } else {
   171128       $retval_0 = 1;
   171129       label = 14;
   171130       break;
   171131     }
   171132    case 4:
   171133     $1 = HEAP8[$ptr] | 0;
   171134     $conv4 = $1 << 24 >> 24;
   171135     if (($conv4 | 0) == 88) {
   171136       label = 5;
   171137       break;
   171138     } else if (($conv4 | 0) == 120) {
   171139       $upper_0 = 0;
   171140       label = 6;
   171141       break;
   171142     } else {
   171143       $retval_0 = 1;
   171144       label = 14;
   171145       break;
   171146     }
   171147    case 5:
   171148     $upper_0 = 1;
   171149     label = 6;
   171150     break;
   171151    case 6:
   171152     $arrayidx6 = $ptr + 3 | 0;
   171153     $2 = HEAP8[$arrayidx6] | 0;
   171154     $cmp8 = $2 << 24 >> 24 == 0;
   171155     if ($cmp8) {
   171156       label = 7;
   171157       break;
   171158     } else {
   171159       $retval_0 = 1;
   171160       label = 14;
   171161       break;
   171162     }
   171163    case 7:
   171164     $add_ptr = $ptr + 2 | 0;
   171165     $3 = HEAP8[$add_ptr] | 0;
   171166     $conv12 = $3 << 24 >> 24;
   171167     if (($conv12 | 0) == 77) {
   171168       label = 8;
   171169       break;
   171170     } else if (($conv12 | 0) == 109) {
   171171       $upper_1 = $upper_0;
   171172       label = 9;
   171173       break;
   171174     } else {
   171175       $retval_0 = 1;
   171176       label = 14;
   171177       break;
   171178     }
   171179    case 8:
   171180     $upper_1 = 1;
   171181     label = 9;
   171182     break;
   171183    case 9:
   171184     $arrayidx21 = $ptr + 5 | 0;
   171185     $4 = HEAP8[$arrayidx21] | 0;
   171186     $cmp23 = $4 << 24 >> 24 == 0;
   171187     if ($cmp23) {
   171188       label = 10;
   171189       break;
   171190     } else {
   171191       $retval_0 = 1;
   171192       label = 14;
   171193       break;
   171194     }
   171195    case 10:
   171196     $add_ptr20 = $ptr + 4 | 0;
   171197     $5 = HEAP8[$add_ptr20] | 0;
   171198     $conv27 = $5 << 24 >> 24;
   171199     if (($conv27 | 0) == 76) {
   171200       label = 11;
   171201       break;
   171202     } else if (($conv27 | 0) == 108) {
   171203       label = 12;
   171204       break;
   171205     } else {
   171206       $retval_0 = 1;
   171207       label = 14;
   171208       break;
   171209     }
   171210    case 11:
   171211     $retval_0 = 0;
   171212     label = 14;
   171213     break;
   171214    case 12:
   171215     $tobool = ($upper_1 | 0) == 0;
   171216     if ($tobool) {
   171217       label = 13;
   171218       break;
   171219     } else {
   171220       $retval_0 = 0;
   171221       label = 14;
   171222       break;
   171223     }
   171224    case 13:
   171225     HEAP32[$tokPtr >> 2] = 12;
   171226     $retval_0 = 1;
   171227     label = 14;
   171228     break;
   171229    case 14:
   171230     return $retval_0 | 0;
   171231   }
   171232   return 0;
   171233 }
   171234 function _little2_scanAtts($enc, $ptr, $end, $nextTokPtr) {
   171235   $enc = $enc | 0;
   171236   $ptr = $ptr | 0;
   171237   $end = $end | 0;
   171238   $nextTokPtr = $nextTokPtr | 0;
   171239   var $ptr_addr = 0, $cmp68 = 0, $type = 0, $0 = 0, $type52 = 0, $1 = 0, $type79 = 0, $2 = 0, $type111 = 0, $3 = 0, $sub_ptr_lhs_cast125 = 0, $sub_ptr_lhs_cast134 = 0, $sub_ptr_lhs_cast143 = 0, $type179 = 0, $4 = 0, $type205 = 0, $5 = 0, $6 = 0, $arrayidx = 0, $7 = 0, $cmp1 = 0, $8 = 0, $idxprom = 0, $arrayidx3 = 0, $9 = 0, $conv4 = 0, $call = 0, $cond = 0, $10 = 0, $add_ptr4150 = 0, $cmp4251 = 0, $11 = 0, $arrayidx7 = 0, $12 = 0, $idxprom8 = 0, $arrayidx9 = 0, $13 = 0, $conv10 = 0, $shl = 0, $14 = 0, $conv12 = 0, $shr49 = 0, $add = 0, $arrayidx13 = 0, $15 = 0, $and = 0, $shl16 = 0, $and17 = 0, $tobool = 0, $16 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp20 = 0, $17 = 0, $sub_ptr_lhs_cast25 = 0, $sub_ptr_rhs_cast26 = 0, $sub_ptr_sub27 = 0, $cmp28 = 0, $18 = 0, $sub_ptr_lhs_cast33 = 0, $sub_ptr_rhs_cast34 = 0, $sub_ptr_sub35 = 0, $cmp36 = 0, $add_ptr4152 = 0, $19 = 0, $arrayidx46 = 0, $20 = 0, $cmp48 = 0, $21 = 0, $idxprom51 = 0, $arrayidx53 = 0, $22 = 0, $conv54 = 0, $call58 = 0, $cond60 = 0, $23 = 0, $add_ptr41 = 0, $cmp42 = 0, $24 = 0, $25 = 0, $add_ptr6855 = 0, $cmp6956 = 0, $add_ptr6857 = 0, $26 = 0, $arrayidx73 = 0, $27 = 0, $cmp75 = 0, $28 = 0, $idxprom78 = 0, $arrayidx80 = 0, $29 = 0, $conv81 = 0, $call85 = 0, $cond87 = 0, $cond87_off = 0, $30 = 0, $31 = 0, $add_ptr68 = 0, $cmp69 = 0, $32 = 0, $33 = 0, $add_ptr98 = 0, $cmp10159 = 0, $34 = 0, $arrayidx105 = 0, $35 = 0, $cmp107 = 0, $36 = 0, $idxprom110 = 0, $arrayidx112 = 0, $37 = 0, $conv113 = 0, $call117 = 0, $cond119 = 0, $cmp120 = 0, $38 = 0, $sub_ptr_rhs_cast126 = 0, $sub_ptr_sub127 = 0, $cmp128 = 0, $add_ptr132 = 0, $39 = 0, $sub_ptr_rhs_cast135 = 0, $sub_ptr_sub136 = 0, $cmp137 = 0, $add_ptr141 = 0, $40 = 0, $sub_ptr_rhs_cast144 = 0, $sub_ptr_sub145 = 0, $cmp146 = 0, $add_ptr150 = 0, $41 = 0, $42 = 0, $add_ptr153 = 0, $call154 = 0, $cmp155 = 0, $43 = 0, $cmp101 = 0, $cmp158 = 0, $44 = 0, $45 = 0, $46 = 0, $add_ptr165 = 0, $47 = 0, $add_ptr168 = 0, $cmp169 = 0, $arrayidx173 = 0, $48 = 0, $cmp175 = 0, $49 = 0, $idxprom178 = 0, $arrayidx180 = 0, $50 = 0, $conv181 = 0, $call185 = 0, $cond187 = 0, $51 = 0, $add_ptr19461 = 0, $cmp19562 = 0, $52 = 0, $add_ptr19463 = 0, $53 = 0, $arrayidx199 = 0, $54 = 0, $cmp201 = 0, $55 = 0, $idxprom204 = 0, $arrayidx206 = 0, $56 = 0, $conv207 = 0, $call211 = 0, $cond213 = 0, $57 = 0, $add_ptr194 = 0, $cmp195 = 0, $58 = 0, $arrayidx215 = 0, $59 = 0, $idxprom216 = 0, $arrayidx217 = 0, $60 = 0, $conv218 = 0, $shl219 = 0, $61 = 0, $conv221 = 0, $shr22248 = 0, $add223 = 0, $arrayidx224 = 0, $62 = 0, $and227 = 0, $shl228 = 0, $and229 = 0, $tobool230 = 0, $_pn = 0, $storemerge = 0, $cmp = 0, $63 = 0, $sub_ptr_lhs_cast236 = 0, $sub_ptr_rhs_cast237 = 0, $sub_ptr_sub238 = 0, $cmp239 = 0, $64 = 0, $sub_ptr_lhs_cast244 = 0, $sub_ptr_rhs_cast245 = 0, $sub_ptr_sub246 = 0, $cmp247 = 0, $65 = 0, $sub_ptr_lhs_cast252 = 0, $sub_ptr_rhs_cast253 = 0, $sub_ptr_sub254 = 0, $cmp255 = 0, $66 = 0, $add_ptr261 = 0, $67 = 0, $add_ptr263 = 0, $cmp264 = 0, $arrayidx268 = 0, $68 = 0, $cmp270 = 0, $69 = 0, $cmp274 = 0, $70 = 0, $add_ptr278 = 0, $71 = 0, $72 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   171240   __stackBase__ = STACKTOP;
   171241   STACKTOP = STACKTOP + 8 | 0;
   171242   label = 2;
   171243   while (1) switch (label | 0) {
   171244    case 2:
   171245     $ptr_addr = __stackBase__ | 0;
   171246     HEAP32[$ptr_addr >> 2] = $ptr;
   171247     $cmp68 = ($ptr | 0) == ($end | 0);
   171248     if ($cmp68) {
   171249       $retval_0 = -1;
   171250       label = 79;
   171251       break;
   171252     } else {
   171253       label = 3;
   171254       break;
   171255     }
   171256    case 3:
   171257     $type = $enc + 72 | 0;
   171258     $0 = $type;
   171259     $type52 = $enc + 72 | 0;
   171260     $1 = $type52;
   171261     $type79 = $enc + 72 | 0;
   171262     $2 = $type79;
   171263     $type111 = $enc + 72 | 0;
   171264     $3 = $type111;
   171265     $sub_ptr_lhs_cast125 = $end;
   171266     $sub_ptr_lhs_cast134 = $end;
   171267     $sub_ptr_lhs_cast143 = $end;
   171268     $type179 = $enc + 72 | 0;
   171269     $4 = $type179;
   171270     $type205 = $enc + 72 | 0;
   171271     $5 = $type205;
   171272     $6 = $ptr;
   171273     label = 4;
   171274     break;
   171275    case 4:
   171276     $arrayidx = $6 + 1 | 0;
   171277     $7 = HEAP8[$arrayidx] | 0;
   171278     $cmp1 = $7 << 24 >> 24 == 0;
   171279     $8 = HEAP8[$6] | 0;
   171280     if ($cmp1) {
   171281       label = 5;
   171282       break;
   171283     } else {
   171284       label = 6;
   171285       break;
   171286     }
   171287    case 5:
   171288     $idxprom = $8 & 255;
   171289     $arrayidx3 = $0 + $idxprom | 0;
   171290     $9 = HEAP8[$arrayidx3] | 0;
   171291     $conv4 = $9 & 255;
   171292     $cond = $conv4;
   171293     label = 7;
   171294     break;
   171295    case 6:
   171296     $call = _unicode_byte_type($7, $8) | 0;
   171297     $cond = $call;
   171298     label = 7;
   171299     break;
   171300    case 7:
   171301     if (($cond | 0) == 21 | ($cond | 0) == 9 | ($cond | 0) == 10) {
   171302       label = 8;
   171303       break;
   171304     } else if (($cond | 0) == 29) {
   171305       label = 9;
   171306       break;
   171307     } else if (($cond | 0) == 5) {
   171308       label = 11;
   171309       break;
   171310     } else if (($cond | 0) == 6) {
   171311       label = 13;
   171312       break;
   171313     } else if (($cond | 0) == 7) {
   171314       label = 15;
   171315       break;
   171316     } else if (($cond | 0) == 14) {
   171317       label = 23;
   171318       break;
   171319     } else if (($cond | 0) == 22 | ($cond | 0) == 24 | ($cond | 0) == 25 | ($cond | 0) == 26 | ($cond | 0) == 27) {
   171320       label = 64;
   171321       break;
   171322     } else {
   171323       label = 78;
   171324       break;
   171325     }
   171326    case 8:
   171327     $10 = HEAP32[$ptr_addr >> 2] | 0;
   171328     $add_ptr4150 = $10 + 2 | 0;
   171329     HEAP32[$ptr_addr >> 2] = $add_ptr4150;
   171330     $cmp4251 = ($add_ptr4150 | 0) == ($end | 0);
   171331     if ($cmp4251) {
   171332       $retval_0 = -1;
   171333       label = 79;
   171334       break;
   171335     } else {
   171336       $19 = $10;
   171337       $add_ptr4152 = $add_ptr4150;
   171338       label = 17;
   171339       break;
   171340     }
   171341    case 9:
   171342     $11 = HEAP32[$ptr_addr >> 2] | 0;
   171343     $arrayidx7 = $11 + 1 | 0;
   171344     $12 = HEAP8[$arrayidx7] | 0;
   171345     $idxprom8 = $12 & 255;
   171346     $arrayidx9 = $idxprom8 + 10824 | 0;
   171347     $13 = HEAP8[$arrayidx9] | 0;
   171348     $conv10 = $13 & 255;
   171349     $shl = $conv10 << 3;
   171350     $14 = HEAP8[$11] | 0;
   171351     $conv12 = $14 & 255;
   171352     $shr49 = $conv12 >>> 5;
   171353     $add = $shr49 | $shl;
   171354     $arrayidx13 = 9544 + ($add << 2) | 0;
   171355     $15 = HEAP32[$arrayidx13 >> 2] | 0;
   171356     $and = $conv12 & 31;
   171357     $shl16 = 1 << $and;
   171358     $and17 = $shl16 & $15;
   171359     $tobool = ($and17 | 0) == 0;
   171360     if ($tobool) {
   171361       label = 10;
   171362       break;
   171363     } else {
   171364       label = 64;
   171365       break;
   171366     }
   171367    case 10:
   171368     HEAP32[$nextTokPtr >> 2] = $11;
   171369     $retval_0 = 0;
   171370     label = 79;
   171371     break;
   171372    case 11:
   171373     $16 = HEAP32[$ptr_addr >> 2] | 0;
   171374     $sub_ptr_lhs_cast = $end;
   171375     $sub_ptr_rhs_cast = $16;
   171376     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   171377     $cmp20 = ($sub_ptr_sub | 0) < 2;
   171378     if ($cmp20) {
   171379       $retval_0 = -2;
   171380       label = 79;
   171381       break;
   171382     } else {
   171383       label = 12;
   171384       break;
   171385     }
   171386    case 12:
   171387     HEAP32[$nextTokPtr >> 2] = $16;
   171388     $retval_0 = 0;
   171389     label = 79;
   171390     break;
   171391    case 13:
   171392     $17 = HEAP32[$ptr_addr >> 2] | 0;
   171393     $sub_ptr_lhs_cast25 = $end;
   171394     $sub_ptr_rhs_cast26 = $17;
   171395     $sub_ptr_sub27 = $sub_ptr_lhs_cast25 - $sub_ptr_rhs_cast26 | 0;
   171396     $cmp28 = ($sub_ptr_sub27 | 0) < 3;
   171397     if ($cmp28) {
   171398       $retval_0 = -2;
   171399       label = 79;
   171400       break;
   171401     } else {
   171402       label = 14;
   171403       break;
   171404     }
   171405    case 14:
   171406     HEAP32[$nextTokPtr >> 2] = $17;
   171407     $retval_0 = 0;
   171408     label = 79;
   171409     break;
   171410    case 15:
   171411     $18 = HEAP32[$ptr_addr >> 2] | 0;
   171412     $sub_ptr_lhs_cast33 = $end;
   171413     $sub_ptr_rhs_cast34 = $18;
   171414     $sub_ptr_sub35 = $sub_ptr_lhs_cast33 - $sub_ptr_rhs_cast34 | 0;
   171415     $cmp36 = ($sub_ptr_sub35 | 0) < 4;
   171416     if ($cmp36) {
   171417       $retval_0 = -2;
   171418       label = 79;
   171419       break;
   171420     } else {
   171421       label = 16;
   171422       break;
   171423     }
   171424    case 16:
   171425     HEAP32[$nextTokPtr >> 2] = $18;
   171426     $retval_0 = 0;
   171427     label = 79;
   171428     break;
   171429    case 17:
   171430     $arrayidx46 = $19 + 3 | 0;
   171431     $20 = HEAP8[$arrayidx46] | 0;
   171432     $cmp48 = $20 << 24 >> 24 == 0;
   171433     $21 = HEAP8[$add_ptr4152] | 0;
   171434     if ($cmp48) {
   171435       label = 18;
   171436       break;
   171437     } else {
   171438       label = 19;
   171439       break;
   171440     }
   171441    case 18:
   171442     $idxprom51 = $21 & 255;
   171443     $arrayidx53 = $1 + $idxprom51 | 0;
   171444     $22 = HEAP8[$arrayidx53] | 0;
   171445     $conv54 = $22 & 255;
   171446     $cond60 = $conv54;
   171447     label = 20;
   171448     break;
   171449    case 19:
   171450     $call58 = _unicode_byte_type($20, $21) | 0;
   171451     $cond60 = $call58;
   171452     label = 20;
   171453     break;
   171454    case 20:
   171455     if (($cond60 | 0) == 21 | ($cond60 | 0) == 10 | ($cond60 | 0) == 9) {
   171456       label = 21;
   171457       break;
   171458     } else if (($cond60 | 0) == 14) {
   171459       label = 23;
   171460       break;
   171461     } else {
   171462       label = 22;
   171463       break;
   171464     }
   171465    case 21:
   171466     $23 = HEAP32[$ptr_addr >> 2] | 0;
   171467     $add_ptr41 = $23 + 2 | 0;
   171468     HEAP32[$ptr_addr >> 2] = $add_ptr41;
   171469     $cmp42 = ($add_ptr41 | 0) == ($end | 0);
   171470     if ($cmp42) {
   171471       $retval_0 = -1;
   171472       label = 79;
   171473       break;
   171474     } else {
   171475       $19 = $23;
   171476       $add_ptr4152 = $add_ptr41;
   171477       label = 17;
   171478       break;
   171479     }
   171480    case 22:
   171481     $24 = HEAP32[$ptr_addr >> 2] | 0;
   171482     HEAP32[$nextTokPtr >> 2] = $24;
   171483     $retval_0 = 0;
   171484     label = 79;
   171485     break;
   171486    case 23:
   171487     $25 = HEAP32[$ptr_addr >> 2] | 0;
   171488     $add_ptr6855 = $25 + 2 | 0;
   171489     HEAP32[$ptr_addr >> 2] = $add_ptr6855;
   171490     $cmp6956 = ($add_ptr6855 | 0) == ($end | 0);
   171491     if ($cmp6956) {
   171492       $retval_0 = -1;
   171493       label = 79;
   171494       break;
   171495     } else {
   171496       $26 = $25;
   171497       $add_ptr6857 = $add_ptr6855;
   171498       label = 24;
   171499       break;
   171500     }
   171501    case 24:
   171502     $arrayidx73 = $26 + 3 | 0;
   171503     $27 = HEAP8[$arrayidx73] | 0;
   171504     $cmp75 = $27 << 24 >> 24 == 0;
   171505     $28 = HEAP8[$add_ptr6857] | 0;
   171506     if ($cmp75) {
   171507       label = 25;
   171508       break;
   171509     } else {
   171510       label = 26;
   171511       break;
   171512     }
   171513    case 25:
   171514     $idxprom78 = $28 & 255;
   171515     $arrayidx80 = $2 + $idxprom78 | 0;
   171516     $29 = HEAP8[$arrayidx80] | 0;
   171517     $conv81 = $29 & 255;
   171518     $cond87 = $conv81;
   171519     label = 27;
   171520     break;
   171521    case 26:
   171522     $call85 = _unicode_byte_type($27, $28) | 0;
   171523     $cond87 = $call85;
   171524     label = 27;
   171525     break;
   171526    case 27:
   171527     $cond87_off = $cond87 - 12 | 0;
   171528     $30 = $cond87_off >>> 0 < 2;
   171529     if ($30) {
   171530       label = 31;
   171531       break;
   171532     } else {
   171533       label = 28;
   171534       break;
   171535     }
   171536    case 28:
   171537     if (($cond87 | 0) == 21 | ($cond87 | 0) == 10 | ($cond87 | 0) == 9) {
   171538       label = 29;
   171539       break;
   171540     } else {
   171541       label = 30;
   171542       break;
   171543     }
   171544    case 29:
   171545     $31 = HEAP32[$ptr_addr >> 2] | 0;
   171546     $add_ptr68 = $31 + 2 | 0;
   171547     HEAP32[$ptr_addr >> 2] = $add_ptr68;
   171548     $cmp69 = ($add_ptr68 | 0) == ($end | 0);
   171549     if ($cmp69) {
   171550       $retval_0 = -1;
   171551       label = 79;
   171552       break;
   171553     } else {
   171554       $26 = $31;
   171555       $add_ptr6857 = $add_ptr68;
   171556       label = 24;
   171557       break;
   171558     }
   171559    case 30:
   171560     $32 = HEAP32[$ptr_addr >> 2] | 0;
   171561     HEAP32[$nextTokPtr >> 2] = $32;
   171562     $retval_0 = 0;
   171563     label = 79;
   171564     break;
   171565    case 31:
   171566     $33 = HEAP32[$ptr_addr >> 2] | 0;
   171567     $add_ptr98 = $33 + 2 | 0;
   171568     HEAP32[$ptr_addr >> 2] = $add_ptr98;
   171569     $cmp10159 = ($add_ptr98 | 0) == ($end | 0);
   171570     if ($cmp10159) {
   171571       $retval_0 = -1;
   171572       label = 79;
   171573       break;
   171574     } else {
   171575       $34 = $add_ptr98;
   171576       label = 32;
   171577       break;
   171578     }
   171579    case 32:
   171580     $arrayidx105 = $34 + 1 | 0;
   171581     $35 = HEAP8[$arrayidx105] | 0;
   171582     $cmp107 = $35 << 24 >> 24 == 0;
   171583     $36 = HEAP8[$34] | 0;
   171584     if ($cmp107) {
   171585       label = 33;
   171586       break;
   171587     } else {
   171588       label = 34;
   171589       break;
   171590     }
   171591    case 33:
   171592     $idxprom110 = $36 & 255;
   171593     $arrayidx112 = $3 + $idxprom110 | 0;
   171594     $37 = HEAP8[$arrayidx112] | 0;
   171595     $conv113 = $37 & 255;
   171596     $cond119 = $conv113;
   171597     label = 35;
   171598     break;
   171599    case 34:
   171600     $call117 = _unicode_byte_type($35, $36) | 0;
   171601     $cond119 = $call117;
   171602     label = 35;
   171603     break;
   171604    case 35:
   171605     $cmp120 = ($cond119 | 0) == ($cond87 | 0);
   171606     if ($cmp120) {
   171607       label = 50;
   171608       break;
   171609     } else {
   171610       label = 36;
   171611       break;
   171612     }
   171613    case 36:
   171614     if (($cond119 | 0) == 5) {
   171615       label = 37;
   171616       break;
   171617     } else if (($cond119 | 0) == 6) {
   171618       label = 39;
   171619       break;
   171620     } else if (($cond119 | 0) == 7) {
   171621       label = 41;
   171622       break;
   171623     } else if (($cond119 | 0) == 0 | ($cond119 | 0) == 1 | ($cond119 | 0) == 8) {
   171624       label = 43;
   171625       break;
   171626     } else if (($cond119 | 0) == 3) {
   171627       label = 44;
   171628       break;
   171629     } else if (($cond119 | 0) == 2) {
   171630       label = 48;
   171631       break;
   171632     } else {
   171633       label = 49;
   171634       break;
   171635     }
   171636    case 37:
   171637     $38 = HEAP32[$ptr_addr >> 2] | 0;
   171638     $sub_ptr_rhs_cast126 = $38;
   171639     $sub_ptr_sub127 = $sub_ptr_lhs_cast125 - $sub_ptr_rhs_cast126 | 0;
   171640     $cmp128 = ($sub_ptr_sub127 | 0) < 2;
   171641     if ($cmp128) {
   171642       $retval_0 = -2;
   171643       label = 79;
   171644       break;
   171645     } else {
   171646       label = 38;
   171647       break;
   171648     }
   171649    case 38:
   171650     $add_ptr132 = $38 + 2 | 0;
   171651     HEAP32[$ptr_addr >> 2] = $add_ptr132;
   171652     label = 45;
   171653     break;
   171654    case 39:
   171655     $39 = HEAP32[$ptr_addr >> 2] | 0;
   171656     $sub_ptr_rhs_cast135 = $39;
   171657     $sub_ptr_sub136 = $sub_ptr_lhs_cast134 - $sub_ptr_rhs_cast135 | 0;
   171658     $cmp137 = ($sub_ptr_sub136 | 0) < 3;
   171659     if ($cmp137) {
   171660       $retval_0 = -2;
   171661       label = 79;
   171662       break;
   171663     } else {
   171664       label = 40;
   171665       break;
   171666     }
   171667    case 40:
   171668     $add_ptr141 = $39 + 3 | 0;
   171669     HEAP32[$ptr_addr >> 2] = $add_ptr141;
   171670     label = 45;
   171671     break;
   171672    case 41:
   171673     $40 = HEAP32[$ptr_addr >> 2] | 0;
   171674     $sub_ptr_rhs_cast144 = $40;
   171675     $sub_ptr_sub145 = $sub_ptr_lhs_cast143 - $sub_ptr_rhs_cast144 | 0;
   171676     $cmp146 = ($sub_ptr_sub145 | 0) < 4;
   171677     if ($cmp146) {
   171678       $retval_0 = -2;
   171679       label = 79;
   171680       break;
   171681     } else {
   171682       label = 42;
   171683       break;
   171684     }
   171685    case 42:
   171686     $add_ptr150 = $40 + 4 | 0;
   171687     HEAP32[$ptr_addr >> 2] = $add_ptr150;
   171688     label = 45;
   171689     break;
   171690    case 43:
   171691     $41 = HEAP32[$ptr_addr >> 2] | 0;
   171692     HEAP32[$nextTokPtr >> 2] = $41;
   171693     $retval_0 = 0;
   171694     label = 79;
   171695     break;
   171696    case 44:
   171697     $42 = HEAP32[$ptr_addr >> 2] | 0;
   171698     $add_ptr153 = $42 + 2 | 0;
   171699     $call154 = _little2_scanRef($enc, $add_ptr153, $end, $ptr_addr) | 0;
   171700     $cmp155 = ($call154 | 0) < 1;
   171701     if ($cmp155) {
   171702       label = 46;
   171703       break;
   171704     } else {
   171705       label = 45;
   171706       break;
   171707     }
   171708    case 45:
   171709     $43 = HEAP32[$ptr_addr >> 2] | 0;
   171710     $cmp101 = ($43 | 0) == ($end | 0);
   171711     if ($cmp101) {
   171712       $retval_0 = -1;
   171713       label = 79;
   171714       break;
   171715     } else {
   171716       $34 = $43;
   171717       label = 32;
   171718       break;
   171719     }
   171720    case 46:
   171721     $cmp158 = ($call154 | 0) == 0;
   171722     if ($cmp158) {
   171723       label = 47;
   171724       break;
   171725     } else {
   171726       $retval_0 = $call154;
   171727       label = 79;
   171728       break;
   171729     }
   171730    case 47:
   171731     $44 = HEAP32[$ptr_addr >> 2] | 0;
   171732     HEAP32[$nextTokPtr >> 2] = $44;
   171733     $retval_0 = 0;
   171734     label = 79;
   171735     break;
   171736    case 48:
   171737     $45 = HEAP32[$ptr_addr >> 2] | 0;
   171738     HEAP32[$nextTokPtr >> 2] = $45;
   171739     $retval_0 = 0;
   171740     label = 79;
   171741     break;
   171742    case 49:
   171743     $46 = HEAP32[$ptr_addr >> 2] | 0;
   171744     $add_ptr165 = $46 + 2 | 0;
   171745     HEAP32[$ptr_addr >> 2] = $add_ptr165;
   171746     label = 45;
   171747     break;
   171748    case 50:
   171749     $47 = HEAP32[$ptr_addr >> 2] | 0;
   171750     $add_ptr168 = $47 + 2 | 0;
   171751     HEAP32[$ptr_addr >> 2] = $add_ptr168;
   171752     $cmp169 = ($add_ptr168 | 0) == ($end | 0);
   171753     if ($cmp169) {
   171754       $retval_0 = -1;
   171755       label = 79;
   171756       break;
   171757     } else {
   171758       label = 51;
   171759       break;
   171760     }
   171761    case 51:
   171762     $arrayidx173 = $47 + 3 | 0;
   171763     $48 = HEAP8[$arrayidx173] | 0;
   171764     $cmp175 = $48 << 24 >> 24 == 0;
   171765     $49 = HEAP8[$add_ptr168] | 0;
   171766     if ($cmp175) {
   171767       label = 52;
   171768       break;
   171769     } else {
   171770       label = 53;
   171771       break;
   171772     }
   171773    case 52:
   171774     $idxprom178 = $49 & 255;
   171775     $arrayidx180 = $4 + $idxprom178 | 0;
   171776     $50 = HEAP8[$arrayidx180] | 0;
   171777     $conv181 = $50 & 255;
   171778     $cond187 = $conv181;
   171779     label = 54;
   171780     break;
   171781    case 53:
   171782     $call185 = _unicode_byte_type($48, $49) | 0;
   171783     $cond187 = $call185;
   171784     label = 54;
   171785     break;
   171786    case 54:
   171787     if (($cond187 | 0) == 21 | ($cond187 | 0) == 9 | ($cond187 | 0) == 10) {
   171788       label = 55;
   171789       break;
   171790     } else if (($cond187 | 0) == 11) {
   171791       label = 71;
   171792       break;
   171793     } else if (($cond187 | 0) == 17) {
   171794       label = 72;
   171795       break;
   171796     } else {
   171797       label = 56;
   171798       break;
   171799     }
   171800    case 55:
   171801     $51 = HEAP32[$ptr_addr >> 2] | 0;
   171802     $add_ptr19461 = $51 + 2 | 0;
   171803     HEAP32[$ptr_addr >> 2] = $add_ptr19461;
   171804     $cmp19562 = ($add_ptr19461 | 0) == ($end | 0);
   171805     if ($cmp19562) {
   171806       $retval_0 = -1;
   171807       label = 79;
   171808       break;
   171809     } else {
   171810       $53 = $51;
   171811       $add_ptr19463 = $add_ptr19461;
   171812       label = 57;
   171813       break;
   171814     }
   171815    case 56:
   171816     $52 = HEAP32[$ptr_addr >> 2] | 0;
   171817     HEAP32[$nextTokPtr >> 2] = $52;
   171818     $retval_0 = 0;
   171819     label = 79;
   171820     break;
   171821    case 57:
   171822     $arrayidx199 = $53 + 3 | 0;
   171823     $54 = HEAP8[$arrayidx199] | 0;
   171824     $cmp201 = $54 << 24 >> 24 == 0;
   171825     $55 = HEAP8[$add_ptr19463] | 0;
   171826     if ($cmp201) {
   171827       label = 58;
   171828       break;
   171829     } else {
   171830       label = 59;
   171831       break;
   171832     }
   171833    case 58:
   171834     $idxprom204 = $55 & 255;
   171835     $arrayidx206 = $5 + $idxprom204 | 0;
   171836     $56 = HEAP8[$arrayidx206] | 0;
   171837     $conv207 = $56 & 255;
   171838     $cond213 = $conv207;
   171839     label = 60;
   171840     break;
   171841    case 59:
   171842     $call211 = _unicode_byte_type($54, $55) | 0;
   171843     $cond213 = $call211;
   171844     label = 60;
   171845     break;
   171846    case 60:
   171847     if (($cond213 | 0) == 21 | ($cond213 | 0) == 9 | ($cond213 | 0) == 10) {
   171848       label = 61;
   171849       break;
   171850     } else if (($cond213 | 0) == 29) {
   171851       label = 62;
   171852       break;
   171853     } else if (($cond213 | 0) == 22 | ($cond213 | 0) == 24) {
   171854       label = 64;
   171855       break;
   171856     } else if (($cond213 | 0) == 5) {
   171857       label = 65;
   171858       break;
   171859     } else if (($cond213 | 0) == 6) {
   171860       label = 67;
   171861       break;
   171862     } else if (($cond213 | 0) == 7) {
   171863       label = 69;
   171864       break;
   171865     } else if (($cond213 | 0) == 11) {
   171866       label = 71;
   171867       break;
   171868     } else if (($cond213 | 0) == 17) {
   171869       label = 72;
   171870       break;
   171871     } else {
   171872       label = 77;
   171873       break;
   171874     }
   171875    case 61:
   171876     $57 = HEAP32[$ptr_addr >> 2] | 0;
   171877     $add_ptr194 = $57 + 2 | 0;
   171878     HEAP32[$ptr_addr >> 2] = $add_ptr194;
   171879     $cmp195 = ($add_ptr194 | 0) == ($end | 0);
   171880     if ($cmp195) {
   171881       $retval_0 = -1;
   171882       label = 79;
   171883       break;
   171884     } else {
   171885       $53 = $57;
   171886       $add_ptr19463 = $add_ptr194;
   171887       label = 57;
   171888       break;
   171889     }
   171890    case 62:
   171891     $58 = HEAP32[$ptr_addr >> 2] | 0;
   171892     $arrayidx215 = $58 + 1 | 0;
   171893     $59 = HEAP8[$arrayidx215] | 0;
   171894     $idxprom216 = $59 & 255;
   171895     $arrayidx217 = $idxprom216 + 9272 | 0;
   171896     $60 = HEAP8[$arrayidx217] | 0;
   171897     $conv218 = $60 & 255;
   171898     $shl219 = $conv218 << 3;
   171899     $61 = HEAP8[$58] | 0;
   171900     $conv221 = $61 & 255;
   171901     $shr22248 = $conv221 >>> 5;
   171902     $add223 = $shr22248 | $shl219;
   171903     $arrayidx224 = 9544 + ($add223 << 2) | 0;
   171904     $62 = HEAP32[$arrayidx224 >> 2] | 0;
   171905     $and227 = $conv221 & 31;
   171906     $shl228 = 1 << $and227;
   171907     $and229 = $shl228 & $62;
   171908     $tobool230 = ($and229 | 0) == 0;
   171909     if ($tobool230) {
   171910       label = 63;
   171911       break;
   171912     } else {
   171913       label = 64;
   171914       break;
   171915     }
   171916    case 63:
   171917     HEAP32[$nextTokPtr >> 2] = $58;
   171918     $retval_0 = 0;
   171919     label = 79;
   171920     break;
   171921    case 64:
   171922     $_pn = HEAP32[$ptr_addr >> 2] | 0;
   171923     $storemerge = $_pn + 2 | 0;
   171924     HEAP32[$ptr_addr >> 2] = $storemerge;
   171925     $cmp = ($storemerge | 0) == ($end | 0);
   171926     if ($cmp) {
   171927       $retval_0 = -1;
   171928       label = 79;
   171929       break;
   171930     } else {
   171931       $6 = $storemerge;
   171932       label = 4;
   171933       break;
   171934     }
   171935    case 65:
   171936     $63 = HEAP32[$ptr_addr >> 2] | 0;
   171937     $sub_ptr_lhs_cast236 = $end;
   171938     $sub_ptr_rhs_cast237 = $63;
   171939     $sub_ptr_sub238 = $sub_ptr_lhs_cast236 - $sub_ptr_rhs_cast237 | 0;
   171940     $cmp239 = ($sub_ptr_sub238 | 0) < 2;
   171941     if ($cmp239) {
   171942       $retval_0 = -2;
   171943       label = 79;
   171944       break;
   171945     } else {
   171946       label = 66;
   171947       break;
   171948     }
   171949    case 66:
   171950     HEAP32[$nextTokPtr >> 2] = $63;
   171951     $retval_0 = 0;
   171952     label = 79;
   171953     break;
   171954    case 67:
   171955     $64 = HEAP32[$ptr_addr >> 2] | 0;
   171956     $sub_ptr_lhs_cast244 = $end;
   171957     $sub_ptr_rhs_cast245 = $64;
   171958     $sub_ptr_sub246 = $sub_ptr_lhs_cast244 - $sub_ptr_rhs_cast245 | 0;
   171959     $cmp247 = ($sub_ptr_sub246 | 0) < 3;
   171960     if ($cmp247) {
   171961       $retval_0 = -2;
   171962       label = 79;
   171963       break;
   171964     } else {
   171965       label = 68;
   171966       break;
   171967     }
   171968    case 68:
   171969     HEAP32[$nextTokPtr >> 2] = $64;
   171970     $retval_0 = 0;
   171971     label = 79;
   171972     break;
   171973    case 69:
   171974     $65 = HEAP32[$ptr_addr >> 2] | 0;
   171975     $sub_ptr_lhs_cast252 = $end;
   171976     $sub_ptr_rhs_cast253 = $65;
   171977     $sub_ptr_sub254 = $sub_ptr_lhs_cast252 - $sub_ptr_rhs_cast253 | 0;
   171978     $cmp255 = ($sub_ptr_sub254 | 0) < 4;
   171979     if ($cmp255) {
   171980       $retval_0 = -2;
   171981       label = 79;
   171982       break;
   171983     } else {
   171984       label = 70;
   171985       break;
   171986     }
   171987    case 70:
   171988     HEAP32[$nextTokPtr >> 2] = $65;
   171989     $retval_0 = 0;
   171990     label = 79;
   171991     break;
   171992    case 71:
   171993     $66 = HEAP32[$ptr_addr >> 2] | 0;
   171994     $add_ptr261 = $66 + 2 | 0;
   171995     HEAP32[$nextTokPtr >> 2] = $add_ptr261;
   171996     $retval_0 = 1;
   171997     label = 79;
   171998     break;
   171999    case 72:
   172000     $67 = HEAP32[$ptr_addr >> 2] | 0;
   172001     $add_ptr263 = $67 + 2 | 0;
   172002     HEAP32[$ptr_addr >> 2] = $add_ptr263;
   172003     $cmp264 = ($add_ptr263 | 0) == ($end | 0);
   172004     if ($cmp264) {
   172005       $retval_0 = -1;
   172006       label = 79;
   172007       break;
   172008     } else {
   172009       label = 73;
   172010       break;
   172011     }
   172012    case 73:
   172013     $arrayidx268 = $67 + 3 | 0;
   172014     $68 = HEAP8[$arrayidx268] | 0;
   172015     $cmp270 = $68 << 24 >> 24 == 0;
   172016     if ($cmp270) {
   172017       label = 74;
   172018       break;
   172019     } else {
   172020       label = 75;
   172021       break;
   172022     }
   172023    case 74:
   172024     $69 = HEAP8[$add_ptr263] | 0;
   172025     $cmp274 = $69 << 24 >> 24 == 62;
   172026     if ($cmp274) {
   172027       label = 76;
   172028       break;
   172029     } else {
   172030       label = 75;
   172031       break;
   172032     }
   172033    case 75:
   172034     $70 = HEAP32[$ptr_addr >> 2] | 0;
   172035     HEAP32[$nextTokPtr >> 2] = $70;
   172036     $retval_0 = 0;
   172037     label = 79;
   172038     break;
   172039    case 76:
   172040     $add_ptr278 = $67 + 4 | 0;
   172041     HEAP32[$nextTokPtr >> 2] = $add_ptr278;
   172042     $retval_0 = 3;
   172043     label = 79;
   172044     break;
   172045    case 77:
   172046     $71 = HEAP32[$ptr_addr >> 2] | 0;
   172047     HEAP32[$nextTokPtr >> 2] = $71;
   172048     $retval_0 = 0;
   172049     label = 79;
   172050     break;
   172051    case 78:
   172052     $72 = HEAP32[$ptr_addr >> 2] | 0;
   172053     HEAP32[$nextTokPtr >> 2] = $72;
   172054     $retval_0 = 0;
   172055     label = 79;
   172056     break;
   172057    case 79:
   172058     STACKTOP = __stackBase__;
   172059     return $retval_0 | 0;
   172060   }
   172061   return 0;
   172062 }
   172063 function _little2_scanLit($open, $enc, $ptr, $end, $nextTokPtr) {
   172064   $open = $open | 0;
   172065   $enc = $enc | 0;
   172066   $ptr = $ptr | 0;
   172067   $end = $end | 0;
   172068   $nextTokPtr = $nextTokPtr | 0;
   172069   var $cmp27 = 0, $type = 0, $0 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_lhs_cast10 = 0, $sub_ptr_lhs_cast19 = 0, $ptr_addr_028 = 0, $arrayidx = 0, $1 = 0, $cmp1 = 0, $2 = 0, $idxprom = 0, $arrayidx3 = 0, $3 = 0, $conv4 = 0, $call = 0, $cond = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp7 = 0, $add_ptr = 0, $sub_ptr_rhs_cast11 = 0, $sub_ptr_sub12 = 0, $cmp13 = 0, $add_ptr17 = 0, $sub_ptr_rhs_cast20 = 0, $sub_ptr_sub21 = 0, $cmp22 = 0, $add_ptr26 = 0, $add_ptr29 = 0, $cmp30 = 0, $cmp34 = 0, $arrayidx38 = 0, $4 = 0, $cmp40 = 0, $5 = 0, $idxprom43 = 0, $type44 = 0, $6 = 0, $arrayidx45 = 0, $7 = 0, $conv46 = 0, $call50 = 0, $cond52 = 0, $add_ptr55 = 0, $ptr_addr_0_be = 0, $cmp = 0, $retval_0 = 0, label = 0;
   172070   label = 2;
   172071   while (1) switch (label | 0) {
   172072    case 2:
   172073     $cmp27 = ($ptr | 0) == ($end | 0);
   172074     if ($cmp27) {
   172075       $retval_0 = -1;
   172076       label = 24;
   172077       break;
   172078     } else {
   172079       label = 3;
   172080       break;
   172081     }
   172082    case 3:
   172083     $type = $enc + 72 | 0;
   172084     $0 = $type;
   172085     $sub_ptr_lhs_cast = $end;
   172086     $sub_ptr_lhs_cast10 = $end;
   172087     $sub_ptr_lhs_cast19 = $end;
   172088     $ptr_addr_028 = $ptr;
   172089     label = 4;
   172090     break;
   172091    case 4:
   172092     $arrayidx = $ptr_addr_028 + 1 | 0;
   172093     $1 = HEAP8[$arrayidx] | 0;
   172094     $cmp1 = $1 << 24 >> 24 == 0;
   172095     $2 = HEAP8[$ptr_addr_028] | 0;
   172096     if ($cmp1) {
   172097       label = 5;
   172098       break;
   172099     } else {
   172100       label = 6;
   172101       break;
   172102     }
   172103    case 5:
   172104     $idxprom = $2 & 255;
   172105     $arrayidx3 = $0 + $idxprom | 0;
   172106     $3 = HEAP8[$arrayidx3] | 0;
   172107     $conv4 = $3 & 255;
   172108     $cond = $conv4;
   172109     label = 7;
   172110     break;
   172111    case 6:
   172112     $call = _unicode_byte_type($1, $2) | 0;
   172113     $cond = $call;
   172114     label = 7;
   172115     break;
   172116    case 7:
   172117     if (($cond | 0) == 5) {
   172118       label = 8;
   172119       break;
   172120     } else if (($cond | 0) == 6) {
   172121       label = 10;
   172122       break;
   172123     } else if (($cond | 0) == 7) {
   172124       label = 12;
   172125       break;
   172126     } else if (($cond | 0) == 0 | ($cond | 0) == 1 | ($cond | 0) == 8) {
   172127       label = 14;
   172128       break;
   172129     } else if (($cond | 0) == 12 | ($cond | 0) == 13) {
   172130       label = 15;
   172131       break;
   172132     } else {
   172133       label = 22;
   172134       break;
   172135     }
   172136    case 8:
   172137     $sub_ptr_rhs_cast = $ptr_addr_028;
   172138     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   172139     $cmp7 = ($sub_ptr_sub | 0) < 2;
   172140     if ($cmp7) {
   172141       $retval_0 = -2;
   172142       label = 24;
   172143       break;
   172144     } else {
   172145       label = 9;
   172146       break;
   172147     }
   172148    case 9:
   172149     $add_ptr = $ptr_addr_028 + 2 | 0;
   172150     $ptr_addr_0_be = $add_ptr;
   172151     label = 23;
   172152     break;
   172153    case 10:
   172154     $sub_ptr_rhs_cast11 = $ptr_addr_028;
   172155     $sub_ptr_sub12 = $sub_ptr_lhs_cast10 - $sub_ptr_rhs_cast11 | 0;
   172156     $cmp13 = ($sub_ptr_sub12 | 0) < 3;
   172157     if ($cmp13) {
   172158       $retval_0 = -2;
   172159       label = 24;
   172160       break;
   172161     } else {
   172162       label = 11;
   172163       break;
   172164     }
   172165    case 11:
   172166     $add_ptr17 = $ptr_addr_028 + 3 | 0;
   172167     $ptr_addr_0_be = $add_ptr17;
   172168     label = 23;
   172169     break;
   172170    case 12:
   172171     $sub_ptr_rhs_cast20 = $ptr_addr_028;
   172172     $sub_ptr_sub21 = $sub_ptr_lhs_cast19 - $sub_ptr_rhs_cast20 | 0;
   172173     $cmp22 = ($sub_ptr_sub21 | 0) < 4;
   172174     if ($cmp22) {
   172175       $retval_0 = -2;
   172176       label = 24;
   172177       break;
   172178     } else {
   172179       label = 13;
   172180       break;
   172181     }
   172182    case 13:
   172183     $add_ptr26 = $ptr_addr_028 + 4 | 0;
   172184     $ptr_addr_0_be = $add_ptr26;
   172185     label = 23;
   172186     break;
   172187    case 14:
   172188     HEAP32[$nextTokPtr >> 2] = $ptr_addr_028;
   172189     $retval_0 = 0;
   172190     label = 24;
   172191     break;
   172192    case 15:
   172193     $add_ptr29 = $ptr_addr_028 + 2 | 0;
   172194     $cmp30 = ($cond | 0) == ($open | 0);
   172195     if ($cmp30) {
   172196       label = 16;
   172197       break;
   172198     } else {
   172199       $ptr_addr_0_be = $add_ptr29;
   172200       label = 23;
   172201       break;
   172202     }
   172203    case 16:
   172204     $cmp34 = ($add_ptr29 | 0) == ($end | 0);
   172205     if ($cmp34) {
   172206       $retval_0 = -27;
   172207       label = 24;
   172208       break;
   172209     } else {
   172210       label = 17;
   172211       break;
   172212     }
   172213    case 17:
   172214     HEAP32[$nextTokPtr >> 2] = $add_ptr29;
   172215     $arrayidx38 = $ptr_addr_028 + 3 | 0;
   172216     $4 = HEAP8[$arrayidx38] | 0;
   172217     $cmp40 = $4 << 24 >> 24 == 0;
   172218     $5 = HEAP8[$add_ptr29] | 0;
   172219     if ($cmp40) {
   172220       label = 18;
   172221       break;
   172222     } else {
   172223       label = 19;
   172224       break;
   172225     }
   172226    case 18:
   172227     $idxprom43 = $5 & 255;
   172228     $type44 = $enc + 72 | 0;
   172229     $6 = $type44;
   172230     $arrayidx45 = $6 + $idxprom43 | 0;
   172231     $7 = HEAP8[$arrayidx45] | 0;
   172232     $conv46 = $7 & 255;
   172233     $cond52 = $conv46;
   172234     label = 20;
   172235     break;
   172236    case 19:
   172237     $call50 = _unicode_byte_type($4, $5) | 0;
   172238     $cond52 = $call50;
   172239     label = 20;
   172240     break;
   172241    case 20:
   172242     if (($cond52 | 0) == 21 | ($cond52 | 0) == 9 | ($cond52 | 0) == 10 | ($cond52 | 0) == 11 | ($cond52 | 0) == 30 | ($cond52 | 0) == 20) {
   172243       $retval_0 = 27;
   172244       label = 24;
   172245       break;
   172246     } else {
   172247       label = 21;
   172248       break;
   172249     }
   172250    case 21:
   172251     $retval_0 = 0;
   172252     label = 24;
   172253     break;
   172254    case 22:
   172255     $add_ptr55 = $ptr_addr_028 + 2 | 0;
   172256     $ptr_addr_0_be = $add_ptr55;
   172257     label = 23;
   172258     break;
   172259    case 23:
   172260     $cmp = ($ptr_addr_0_be | 0) == ($end | 0);
   172261     if ($cmp) {
   172262       $retval_0 = -1;
   172263       label = 24;
   172264       break;
   172265     } else {
   172266       $ptr_addr_028 = $ptr_addr_0_be;
   172267       label = 4;
   172268       break;
   172269     }
   172270    case 24:
   172271     return $retval_0 | 0;
   172272   }
   172273   return 0;
   172274 }
   172275 function _little2_scanDecl($enc, $ptr, $end, $nextTokPtr) {
   172276   $enc = $enc | 0;
   172277   $ptr = $ptr | 0;
   172278   $end = $end | 0;
   172279   $nextTokPtr = $nextTokPtr | 0;
   172280   var $cmp = 0, $arrayidx = 0, $0 = 0, $cmp1 = 0, $1 = 0, $idxprom = 0, $type = 0, $2 = 0, $arrayidx3 = 0, $3 = 0, $conv4 = 0, $call = 0, $cond = 0, $ptr_addr_038 = 0, $cmp1239 = 0, $type20 = 0, $4 = 0, $add_ptr = 0, $call7 = 0, $add_ptr9 = 0, $ptr_addr_041 = 0, $ptr_pn40 = 0, $arrayidx14 = 0, $5 = 0, $cmp16 = 0, $6 = 0, $idxprom19 = 0, $arrayidx21 = 0, $7 = 0, $conv22 = 0, $call26 = 0, $cond28 = 0, $ptr_addr_0 = 0, $cmp12 = 0, $add_ptr30 = 0, $cmp31 = 0, $arrayidx36 = 0, $8 = 0, $cmp38 = 0, $9 = 0, $idxprom42 = 0, $type43 = 0, $10 = 0, $arrayidx44 = 0, $11 = 0, $conv45 = 0, $call51 = 0, $cond53 = 0, $retval_0 = 0, label = 0;
   172281   label = 2;
   172282   while (1) switch (label | 0) {
   172283    case 2:
   172284     $cmp = ($ptr | 0) == ($end | 0);
   172285     if ($cmp) {
   172286       $retval_0 = -1;
   172287       label = 25;
   172288       break;
   172289     } else {
   172290       label = 3;
   172291       break;
   172292     }
   172293    case 3:
   172294     $arrayidx = $ptr + 1 | 0;
   172295     $0 = HEAP8[$arrayidx] | 0;
   172296     $cmp1 = $0 << 24 >> 24 == 0;
   172297     $1 = HEAP8[$ptr] | 0;
   172298     if ($cmp1) {
   172299       label = 4;
   172300       break;
   172301     } else {
   172302       label = 5;
   172303       break;
   172304     }
   172305    case 4:
   172306     $idxprom = $1 & 255;
   172307     $type = $enc + 72 | 0;
   172308     $2 = $type;
   172309     $arrayidx3 = $2 + $idxprom | 0;
   172310     $3 = HEAP8[$arrayidx3] | 0;
   172311     $conv4 = $3 & 255;
   172312     $cond = $conv4;
   172313     label = 6;
   172314     break;
   172315    case 5:
   172316     $call = _unicode_byte_type($0, $1) | 0;
   172317     $cond = $call;
   172318     label = 6;
   172319     break;
   172320    case 6:
   172321     if (($cond | 0) == 22 | ($cond | 0) == 24) {
   172322       label = 7;
   172323       break;
   172324     } else if (($cond | 0) == 27) {
   172325       label = 9;
   172326       break;
   172327     } else if (($cond | 0) == 20) {
   172328       label = 10;
   172329       break;
   172330     } else {
   172331       label = 11;
   172332       break;
   172333     }
   172334    case 7:
   172335     $ptr_addr_038 = $ptr + 2 | 0;
   172336     $cmp1239 = ($ptr_addr_038 | 0) == ($end | 0);
   172337     if ($cmp1239) {
   172338       $retval_0 = -1;
   172339       label = 25;
   172340       break;
   172341     } else {
   172342       label = 8;
   172343       break;
   172344     }
   172345    case 8:
   172346     $type20 = $enc + 72 | 0;
   172347     $4 = $type20;
   172348     $ptr_pn40 = $ptr;
   172349     $ptr_addr_041 = $ptr_addr_038;
   172350     label = 12;
   172351     break;
   172352    case 9:
   172353     $add_ptr = $ptr + 2 | 0;
   172354     $call7 = _little2_scanComment($enc, $add_ptr, $end, $nextTokPtr) | 0;
   172355     $retval_0 = $call7;
   172356     label = 25;
   172357     break;
   172358    case 10:
   172359     $add_ptr9 = $ptr + 2 | 0;
   172360     HEAP32[$nextTokPtr >> 2] = $add_ptr9;
   172361     $retval_0 = 33;
   172362     label = 25;
   172363     break;
   172364    case 11:
   172365     HEAP32[$nextTokPtr >> 2] = $ptr;
   172366     $retval_0 = 0;
   172367     label = 25;
   172368     break;
   172369    case 12:
   172370     $arrayidx14 = $ptr_pn40 + 3 | 0;
   172371     $5 = HEAP8[$arrayidx14] | 0;
   172372     $cmp16 = $5 << 24 >> 24 == 0;
   172373     $6 = HEAP8[$ptr_addr_041] | 0;
   172374     if ($cmp16) {
   172375       label = 13;
   172376       break;
   172377     } else {
   172378       label = 14;
   172379       break;
   172380     }
   172381    case 13:
   172382     $idxprom19 = $6 & 255;
   172383     $arrayidx21 = $4 + $idxprom19 | 0;
   172384     $7 = HEAP8[$arrayidx21] | 0;
   172385     $conv22 = $7 & 255;
   172386     $cond28 = $conv22;
   172387     label = 15;
   172388     break;
   172389    case 14:
   172390     $call26 = _unicode_byte_type($5, $6) | 0;
   172391     $cond28 = $call26;
   172392     label = 15;
   172393     break;
   172394    case 15:
   172395     if (($cond28 | 0) == 22 | ($cond28 | 0) == 24) {
   172396       label = 16;
   172397       break;
   172398     } else if (($cond28 | 0) == 30) {
   172399       label = 17;
   172400       break;
   172401     } else if (($cond28 | 0) == 21 | ($cond28 | 0) == 9 | ($cond28 | 0) == 10) {
   172402       label = 23;
   172403       break;
   172404     } else {
   172405       label = 24;
   172406       break;
   172407     }
   172408    case 16:
   172409     $ptr_addr_0 = $ptr_addr_041 + 2 | 0;
   172410     $cmp12 = ($ptr_addr_0 | 0) == ($end | 0);
   172411     if ($cmp12) {
   172412       $retval_0 = -1;
   172413       label = 25;
   172414       break;
   172415     } else {
   172416       $ptr_pn40 = $ptr_addr_041;
   172417       $ptr_addr_041 = $ptr_addr_0;
   172418       label = 12;
   172419       break;
   172420     }
   172421    case 17:
   172422     $add_ptr30 = $ptr_pn40 + 4 | 0;
   172423     $cmp31 = ($add_ptr30 | 0) == ($end | 0);
   172424     if ($cmp31) {
   172425       $retval_0 = -1;
   172426       label = 25;
   172427       break;
   172428     } else {
   172429       label = 18;
   172430       break;
   172431     }
   172432    case 18:
   172433     $arrayidx36 = $ptr_pn40 + 5 | 0;
   172434     $8 = HEAP8[$arrayidx36] | 0;
   172435     $cmp38 = $8 << 24 >> 24 == 0;
   172436     $9 = HEAP8[$add_ptr30] | 0;
   172437     if ($cmp38) {
   172438       label = 19;
   172439       break;
   172440     } else {
   172441       label = 20;
   172442       break;
   172443     }
   172444    case 19:
   172445     $idxprom42 = $9 & 255;
   172446     $type43 = $enc + 72 | 0;
   172447     $10 = $type43;
   172448     $arrayidx44 = $10 + $idxprom42 | 0;
   172449     $11 = HEAP8[$arrayidx44] | 0;
   172450     $conv45 = $11 & 255;
   172451     $cond53 = $conv45;
   172452     label = 21;
   172453     break;
   172454    case 20:
   172455     $call51 = _unicode_byte_type($8, $9) | 0;
   172456     $cond53 = $call51;
   172457     label = 21;
   172458     break;
   172459    case 21:
   172460     if (($cond53 | 0) == 21 | ($cond53 | 0) == 9 | ($cond53 | 0) == 10 | ($cond53 | 0) == 30) {
   172461       label = 22;
   172462       break;
   172463     } else {
   172464       label = 23;
   172465       break;
   172466     }
   172467    case 22:
   172468     HEAP32[$nextTokPtr >> 2] = $ptr_addr_041;
   172469     $retval_0 = 0;
   172470     label = 25;
   172471     break;
   172472    case 23:
   172473     HEAP32[$nextTokPtr >> 2] = $ptr_addr_041;
   172474     $retval_0 = 16;
   172475     label = 25;
   172476     break;
   172477    case 24:
   172478     HEAP32[$nextTokPtr >> 2] = $ptr_addr_041;
   172479     $retval_0 = 0;
   172480     label = 25;
   172481     break;
   172482    case 25:
   172483     return $retval_0 | 0;
   172484   }
   172485   return 0;
   172486 }
   172487 function _little2_scanPoundName($enc, $ptr, $end, $nextTokPtr) {
   172488   $enc = $enc | 0;
   172489   $ptr = $ptr | 0;
   172490   $end = $end | 0;
   172491   $nextTokPtr = $nextTokPtr | 0;
   172492   var $cmp = 0, $arrayidx = 0, $0 = 0, $cmp1 = 0, $1 = 0, $idxprom = 0, $type = 0, $2 = 0, $arrayidx3 = 0, $3 = 0, $conv4 = 0, $call = 0, $cond = 0, $ptr_addr_059 = 0, $cmp4260 = 0, $type50 = 0, $4 = 0, $5 = 0, $idxprom8 = 0, $arrayidx9 = 0, $6 = 0, $conv10 = 0, $shl = 0, $7 = 0, $conv12 = 0, $shr52 = 0, $add = 0, $arrayidx13 = 0, $8 = 0, $and = 0, $shl16 = 0, $and17 = 0, $tobool = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp22 = 0, $sub_ptr_lhs_cast27 = 0, $sub_ptr_rhs_cast28 = 0, $sub_ptr_sub29 = 0, $cmp30 = 0, $sub_ptr_lhs_cast35 = 0, $sub_ptr_rhs_cast36 = 0, $sub_ptr_sub37 = 0, $cmp38 = 0, $ptr_addr_062 = 0, $ptr_pn61 = 0, $arrayidx44 = 0, $9 = 0, $cmp46 = 0, $10 = 0, $idxprom49 = 0, $arrayidx51 = 0, $11 = 0, $conv52 = 0, $call56 = 0, $cond58 = 0, $12 = 0, $idxprom61 = 0, $arrayidx62 = 0, $13 = 0, $conv63 = 0, $shl64 = 0, $14 = 0, $conv66 = 0, $shr6751 = 0, $add68 = 0, $arrayidx69 = 0, $15 = 0, $and72 = 0, $shl73 = 0, $and74 = 0, $tobool75 = 0, $ptr_addr_0 = 0, $cmp42 = 0, $sub_ptr_lhs_cast81 = 0, $sub_ptr_rhs_cast82 = 0, $sub_ptr_sub83 = 0, $cmp84 = 0, $sub_ptr_lhs_cast89 = 0, $sub_ptr_rhs_cast90 = 0, $sub_ptr_sub91 = 0, $cmp92 = 0, $sub_ptr_lhs_cast97 = 0, $sub_ptr_rhs_cast98 = 0, $sub_ptr_sub99 = 0, $cmp100 = 0, $retval_0 = 0, label = 0;
   172493   label = 2;
   172494   while (1) switch (label | 0) {
   172495    case 2:
   172496     $cmp = ($ptr | 0) == ($end | 0);
   172497     if ($cmp) {
   172498       $retval_0 = -1;
   172499       label = 33;
   172500       break;
   172501     } else {
   172502       label = 3;
   172503       break;
   172504     }
   172505    case 3:
   172506     $arrayidx = $ptr + 1 | 0;
   172507     $0 = HEAP8[$arrayidx] | 0;
   172508     $cmp1 = $0 << 24 >> 24 == 0;
   172509     $1 = HEAP8[$ptr] | 0;
   172510     if ($cmp1) {
   172511       label = 4;
   172512       break;
   172513     } else {
   172514       label = 5;
   172515       break;
   172516     }
   172517    case 4:
   172518     $idxprom = $1 & 255;
   172519     $type = $enc + 72 | 0;
   172520     $2 = $type;
   172521     $arrayidx3 = $2 + $idxprom | 0;
   172522     $3 = HEAP8[$arrayidx3] | 0;
   172523     $conv4 = $3 & 255;
   172524     $cond = $conv4;
   172525     label = 6;
   172526     break;
   172527    case 5:
   172528     $call = _unicode_byte_type($0, $1) | 0;
   172529     $cond = $call;
   172530     label = 6;
   172531     break;
   172532    case 6:
   172533     if (($cond | 0) == 22 | ($cond | 0) == 24) {
   172534       label = 7;
   172535       break;
   172536     } else if (($cond | 0) == 29) {
   172537       label = 9;
   172538       break;
   172539     } else if (($cond | 0) == 5) {
   172540       label = 11;
   172541       break;
   172542     } else if (($cond | 0) == 6) {
   172543       label = 13;
   172544       break;
   172545     } else if (($cond | 0) == 7) {
   172546       label = 15;
   172547       break;
   172548     } else {
   172549       label = 17;
   172550       break;
   172551     }
   172552    case 7:
   172553     $ptr_addr_059 = $ptr + 2 | 0;
   172554     $cmp4260 = ($ptr_addr_059 | 0) == ($end | 0);
   172555     if ($cmp4260) {
   172556       $retval_0 = -20;
   172557       label = 33;
   172558       break;
   172559     } else {
   172560       label = 8;
   172561       break;
   172562     }
   172563    case 8:
   172564     $type50 = $enc + 72 | 0;
   172565     $4 = $type50;
   172566     $ptr_pn61 = $ptr;
   172567     $ptr_addr_062 = $ptr_addr_059;
   172568     label = 18;
   172569     break;
   172570    case 9:
   172571     $5 = HEAP8[$arrayidx] | 0;
   172572     $idxprom8 = $5 & 255;
   172573     $arrayidx9 = $idxprom8 + 9272 | 0;
   172574     $6 = HEAP8[$arrayidx9] | 0;
   172575     $conv10 = $6 & 255;
   172576     $shl = $conv10 << 3;
   172577     $7 = HEAP8[$ptr] | 0;
   172578     $conv12 = $7 & 255;
   172579     $shr52 = $conv12 >>> 5;
   172580     $add = $shr52 | $shl;
   172581     $arrayidx13 = 9544 + ($add << 2) | 0;
   172582     $8 = HEAP32[$arrayidx13 >> 2] | 0;
   172583     $and = $conv12 & 31;
   172584     $shl16 = 1 << $and;
   172585     $and17 = $shl16 & $8;
   172586     $tobool = ($and17 | 0) == 0;
   172587     if ($tobool) {
   172588       label = 10;
   172589       break;
   172590     } else {
   172591       label = 7;
   172592       break;
   172593     }
   172594    case 10:
   172595     HEAP32[$nextTokPtr >> 2] = $ptr;
   172596     $retval_0 = 0;
   172597     label = 33;
   172598     break;
   172599    case 11:
   172600     $sub_ptr_lhs_cast = $end;
   172601     $sub_ptr_rhs_cast = $ptr;
   172602     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   172603     $cmp22 = ($sub_ptr_sub | 0) < 2;
   172604     if ($cmp22) {
   172605       $retval_0 = -2;
   172606       label = 33;
   172607       break;
   172608     } else {
   172609       label = 12;
   172610       break;
   172611     }
   172612    case 12:
   172613     HEAP32[$nextTokPtr >> 2] = $ptr;
   172614     $retval_0 = 0;
   172615     label = 33;
   172616     break;
   172617    case 13:
   172618     $sub_ptr_lhs_cast27 = $end;
   172619     $sub_ptr_rhs_cast28 = $ptr;
   172620     $sub_ptr_sub29 = $sub_ptr_lhs_cast27 - $sub_ptr_rhs_cast28 | 0;
   172621     $cmp30 = ($sub_ptr_sub29 | 0) < 3;
   172622     if ($cmp30) {
   172623       $retval_0 = -2;
   172624       label = 33;
   172625       break;
   172626     } else {
   172627       label = 14;
   172628       break;
   172629     }
   172630    case 14:
   172631     HEAP32[$nextTokPtr >> 2] = $ptr;
   172632     $retval_0 = 0;
   172633     label = 33;
   172634     break;
   172635    case 15:
   172636     $sub_ptr_lhs_cast35 = $end;
   172637     $sub_ptr_rhs_cast36 = $ptr;
   172638     $sub_ptr_sub37 = $sub_ptr_lhs_cast35 - $sub_ptr_rhs_cast36 | 0;
   172639     $cmp38 = ($sub_ptr_sub37 | 0) < 4;
   172640     if ($cmp38) {
   172641       $retval_0 = -2;
   172642       label = 33;
   172643       break;
   172644     } else {
   172645       label = 16;
   172646       break;
   172647     }
   172648    case 16:
   172649     HEAP32[$nextTokPtr >> 2] = $ptr;
   172650     $retval_0 = 0;
   172651     label = 33;
   172652     break;
   172653    case 17:
   172654     HEAP32[$nextTokPtr >> 2] = $ptr;
   172655     $retval_0 = 0;
   172656     label = 33;
   172657     break;
   172658    case 18:
   172659     $arrayidx44 = $ptr_pn61 + 3 | 0;
   172660     $9 = HEAP8[$arrayidx44] | 0;
   172661     $cmp46 = $9 << 24 >> 24 == 0;
   172662     $10 = HEAP8[$ptr_addr_062] | 0;
   172663     if ($cmp46) {
   172664       label = 19;
   172665       break;
   172666     } else {
   172667       label = 20;
   172668       break;
   172669     }
   172670    case 19:
   172671     $idxprom49 = $10 & 255;
   172672     $arrayidx51 = $4 + $idxprom49 | 0;
   172673     $11 = HEAP8[$arrayidx51] | 0;
   172674     $conv52 = $11 & 255;
   172675     $cond58 = $conv52;
   172676     label = 21;
   172677     break;
   172678    case 20:
   172679     $call56 = _unicode_byte_type($9, $10) | 0;
   172680     $cond58 = $call56;
   172681     label = 21;
   172682     break;
   172683    case 21:
   172684     if (($cond58 | 0) == 29) {
   172685       label = 22;
   172686       break;
   172687     } else if (($cond58 | 0) == 22 | ($cond58 | 0) == 24 | ($cond58 | 0) == 25 | ($cond58 | 0) == 26 | ($cond58 | 0) == 27) {
   172688       label = 23;
   172689       break;
   172690     } else if (($cond58 | 0) == 5) {
   172691       label = 25;
   172692       break;
   172693     } else if (($cond58 | 0) == 6) {
   172694       label = 27;
   172695       break;
   172696     } else if (($cond58 | 0) == 7) {
   172697       label = 29;
   172698       break;
   172699     } else if (($cond58 | 0) == 9 | ($cond58 | 0) == 10 | ($cond58 | 0) == 21 | ($cond58 | 0) == 32 | ($cond58 | 0) == 11 | ($cond58 | 0) == 30 | ($cond58 | 0) == 36) {
   172700       label = 31;
   172701       break;
   172702     } else {
   172703       label = 32;
   172704       break;
   172705     }
   172706    case 22:
   172707     $12 = HEAP8[$arrayidx44] | 0;
   172708     $idxprom61 = $12 & 255;
   172709     $arrayidx62 = $idxprom61 + 10824 | 0;
   172710     $13 = HEAP8[$arrayidx62] | 0;
   172711     $conv63 = $13 & 255;
   172712     $shl64 = $conv63 << 3;
   172713     $14 = HEAP8[$ptr_addr_062] | 0;
   172714     $conv66 = $14 & 255;
   172715     $shr6751 = $conv66 >>> 5;
   172716     $add68 = $shr6751 | $shl64;
   172717     $arrayidx69 = 9544 + ($add68 << 2) | 0;
   172718     $15 = HEAP32[$arrayidx69 >> 2] | 0;
   172719     $and72 = $conv66 & 31;
   172720     $shl73 = 1 << $and72;
   172721     $and74 = $shl73 & $15;
   172722     $tobool75 = ($and74 | 0) == 0;
   172723     if ($tobool75) {
   172724       label = 24;
   172725       break;
   172726     } else {
   172727       label = 23;
   172728       break;
   172729     }
   172730    case 23:
   172731     $ptr_addr_0 = $ptr_addr_062 + 2 | 0;
   172732     $cmp42 = ($ptr_addr_0 | 0) == ($end | 0);
   172733     if ($cmp42) {
   172734       $retval_0 = -20;
   172735       label = 33;
   172736       break;
   172737     } else {
   172738       $ptr_pn61 = $ptr_addr_062;
   172739       $ptr_addr_062 = $ptr_addr_0;
   172740       label = 18;
   172741       break;
   172742     }
   172743    case 24:
   172744     HEAP32[$nextTokPtr >> 2] = $ptr_addr_062;
   172745     $retval_0 = 0;
   172746     label = 33;
   172747     break;
   172748    case 25:
   172749     $sub_ptr_lhs_cast81 = $end;
   172750     $sub_ptr_rhs_cast82 = $ptr_addr_062;
   172751     $sub_ptr_sub83 = $sub_ptr_lhs_cast81 - $sub_ptr_rhs_cast82 | 0;
   172752     $cmp84 = ($sub_ptr_sub83 | 0) < 2;
   172753     if ($cmp84) {
   172754       $retval_0 = -2;
   172755       label = 33;
   172756       break;
   172757     } else {
   172758       label = 26;
   172759       break;
   172760     }
   172761    case 26:
   172762     HEAP32[$nextTokPtr >> 2] = $ptr_addr_062;
   172763     $retval_0 = 0;
   172764     label = 33;
   172765     break;
   172766    case 27:
   172767     $sub_ptr_lhs_cast89 = $end;
   172768     $sub_ptr_rhs_cast90 = $ptr_addr_062;
   172769     $sub_ptr_sub91 = $sub_ptr_lhs_cast89 - $sub_ptr_rhs_cast90 | 0;
   172770     $cmp92 = ($sub_ptr_sub91 | 0) < 3;
   172771     if ($cmp92) {
   172772       $retval_0 = -2;
   172773       label = 33;
   172774       break;
   172775     } else {
   172776       label = 28;
   172777       break;
   172778     }
   172779    case 28:
   172780     HEAP32[$nextTokPtr >> 2] = $ptr_addr_062;
   172781     $retval_0 = 0;
   172782     label = 33;
   172783     break;
   172784    case 29:
   172785     $sub_ptr_lhs_cast97 = $end;
   172786     $sub_ptr_rhs_cast98 = $ptr_addr_062;
   172787     $sub_ptr_sub99 = $sub_ptr_lhs_cast97 - $sub_ptr_rhs_cast98 | 0;
   172788     $cmp100 = ($sub_ptr_sub99 | 0) < 4;
   172789     if ($cmp100) {
   172790       $retval_0 = -2;
   172791       label = 33;
   172792       break;
   172793     } else {
   172794       label = 30;
   172795       break;
   172796     }
   172797    case 30:
   172798     HEAP32[$nextTokPtr >> 2] = $ptr_addr_062;
   172799     $retval_0 = 0;
   172800     label = 33;
   172801     break;
   172802    case 31:
   172803     HEAP32[$nextTokPtr >> 2] = $ptr_addr_062;
   172804     $retval_0 = 20;
   172805     label = 33;
   172806     break;
   172807    case 32:
   172808     HEAP32[$nextTokPtr >> 2] = $ptr_addr_062;
   172809     $retval_0 = 0;
   172810     label = 33;
   172811     break;
   172812    case 33:
   172813     return $retval_0 | 0;
   172814   }
   172815   return 0;
   172816 }
   172817 function _big2_prologTok($enc, $ptr, $end, $nextTokPtr) {
   172818   $enc = $enc | 0;
   172819   $ptr = $ptr | 0;
   172820   $end = $end | 0;
   172821   $nextTokPtr = $nextTokPtr | 0;
   172822   var $cmp = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $and = 0, $tobool = 0, $and2 = 0, $cmp3 = 0, $add_ptr = 0, $end_addr_0 = 0, $0 = 0, $cmp7 = 0, $arrayidx9 = 0, $1 = 0, $idxprom = 0, $type = 0, $2 = 0, $arrayidx10 = 0, $3 = 0, $conv11 = 0, $call = 0, $cond = 0, $add_ptr56167 = 0, $cmp57168 = 0, $type68 = 0, $4 = 0, $add_ptr14 = 0, $call15 = 0, $add_ptr17 = 0, $call18 = 0, $add_ptr20 = 0, $cmp21 = 0, $5 = 0, $cmp27 = 0, $arrayidx30 = 0, $6 = 0, $idxprom31 = 0, $type32 = 0, $7 = 0, $arrayidx33 = 0, $8 = 0, $conv34 = 0, $call38 = 0, $cond40 = 0, $add_ptr42 = 0, $call43 = 0, $add_ptr45 = 0, $call46 = 0, $add_ptr50 = 0, $cmp51 = 0, $add_ptr56170 = 0, $ptr_addr_0169 = 0, $9 = 0, $cmp63 = 0, $arrayidx66 = 0, $10 = 0, $idxprom67 = 0, $arrayidx69 = 0, $11 = 0, $conv70 = 0, $call74 = 0, $cond76 = 0, $add_ptr56 = 0, $cmp57 = 0, $add_ptr79 = 0, $cmp80 = 0, $add_ptr56_lcssa = 0, $add_ptr86 = 0, $call87 = 0, $add_ptr89 = 0, $add_ptr91 = 0, $add_ptr93 = 0, $cmp94 = 0, $12 = 0, $cmp100 = 0, $arrayidx102 = 0, $13 = 0, $cmp104 = 0, $add_ptr107 = 0, $cmp108 = 0, $14 = 0, $cmp115 = 0, $arrayidx119 = 0, $15 = 0, $cmp121 = 0, $add_ptr124 = 0, $add_ptr128 = 0, $add_ptr130 = 0, $cmp131 = 0, $16 = 0, $cmp137 = 0, $arrayidx140 = 0, $17 = 0, $idxprom141 = 0, $type142 = 0, $18 = 0, $arrayidx143 = 0, $19 = 0, $conv144 = 0, $call148 = 0, $cond150 = 0, $add_ptr152 = 0, $add_ptr154 = 0, $add_ptr156 = 0, $add_ptr160 = 0, $add_ptr162 = 0, $add_ptr164 = 0, $call165 = 0, $sub_ptr_lhs_cast167 = 0, $sub_ptr_sub169 = 0, $cmp170 = 0, $sub_ptr_lhs_cast175 = 0, $sub_ptr_sub177 = 0, $cmp178 = 0, $sub_ptr_lhs_cast183 = 0, $sub_ptr_sub185 = 0, $cmp186 = 0, $20 = 0, $idxprom196 = 0, $arrayidx197 = 0, $21 = 0, $conv198 = 0, $shl = 0, $22 = 0, $conv200 = 0, $shr164 = 0, $add = 0, $arrayidx201 = 0, $23 = 0, $and204 = 0, $shl205 = 0, $and206 = 0, $tobool207 = 0, $arrayidx213 = 0, $24 = 0, $conv214 = 0, $shl215 = 0, $add219 = 0, $arrayidx220 = 0, $25 = 0, $and225 = 0, $tobool226 = 0, $tok_0_ph = 0, $ptr_addr_1189 = 0, $cmp232190 = 0, $type241 = 0, $26 = 0, $ptr_addr_1192 = 0, $ptr_pn191 = 0, $27 = 0, $cmp236 = 0, $arrayidx239 = 0, $28 = 0, $idxprom240 = 0, $arrayidx242 = 0, $29 = 0, $conv243 = 0, $call247 = 0, $cond249 = 0, $30 = 0, $idxprom252 = 0, $arrayidx253 = 0, $31 = 0, $conv254 = 0, $shl255 = 0, $32 = 0, $conv257 = 0, $shr258165 = 0, $add259 = 0, $arrayidx260 = 0, $33 = 0, $and263 = 0, $shl264 = 0, $and265 = 0, $tobool266 = 0, $ptr_addr_1 = 0, $cmp232 = 0, $sub_ptr_lhs_cast272 = 0, $sub_ptr_rhs_cast273 = 0, $sub_ptr_sub274 = 0, $cmp275 = 0, $sub_ptr_lhs_cast280 = 0, $sub_ptr_rhs_cast281 = 0, $sub_ptr_sub282 = 0, $cmp283 = 0, $sub_ptr_lhs_cast288 = 0, $sub_ptr_rhs_cast289 = 0, $sub_ptr_sub290 = 0, $cmp291 = 0, $cmp297 = 0, $add_ptr301 = 0, $cmp303 = 0, $add_ptr307 = 0, $cmp309 = 0, $add_ptr313 = 0, $sub = 0, $retval_0 = 0, label = 0;
   172823   label = 2;
   172824   while (1) switch (label | 0) {
   172825    case 2:
   172826     $cmp = ($ptr | 0) == ($end | 0);
   172827     if ($cmp) {
   172828       $retval_0 = -4;
   172829       label = 95;
   172830       break;
   172831     } else {
   172832       label = 3;
   172833       break;
   172834     }
   172835    case 3:
   172836     $sub_ptr_lhs_cast = $end;
   172837     $sub_ptr_rhs_cast = $ptr;
   172838     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   172839     $and = $sub_ptr_sub & 1;
   172840     $tobool = ($and | 0) == 0;
   172841     if ($tobool) {
   172842       $end_addr_0 = $end;
   172843       label = 6;
   172844       break;
   172845     } else {
   172846       label = 4;
   172847       break;
   172848     }
   172849    case 4:
   172850     $and2 = $sub_ptr_sub & -2;
   172851     $cmp3 = ($and2 | 0) == 0;
   172852     if ($cmp3) {
   172853       $retval_0 = -1;
   172854       label = 95;
   172855       break;
   172856     } else {
   172857       label = 5;
   172858       break;
   172859     }
   172860    case 5:
   172861     $add_ptr = $ptr + $and2 | 0;
   172862     $end_addr_0 = $add_ptr;
   172863     label = 6;
   172864     break;
   172865    case 6:
   172866     $0 = HEAP8[$ptr] | 0;
   172867     $cmp7 = $0 << 24 >> 24 == 0;
   172868     $arrayidx9 = $ptr + 1 | 0;
   172869     $1 = HEAP8[$arrayidx9] | 0;
   172870     if ($cmp7) {
   172871       label = 7;
   172872       break;
   172873     } else {
   172874       label = 8;
   172875       break;
   172876     }
   172877    case 7:
   172878     $idxprom = $1 & 255;
   172879     $type = $enc + 72 | 0;
   172880     $2 = $type;
   172881     $arrayidx10 = $2 + $idxprom | 0;
   172882     $3 = HEAP8[$arrayidx10] | 0;
   172883     $conv11 = $3 & 255;
   172884     $cond = $conv11;
   172885     label = 9;
   172886     break;
   172887    case 8:
   172888     $call = _unicode_byte_type($0, $1) | 0;
   172889     $cond = $call;
   172890     label = 9;
   172891     break;
   172892    case 9:
   172893     if (($cond | 0) == 21 | ($cond | 0) == 10) {
   172894       label = 10;
   172895       break;
   172896     } else if (($cond | 0) == 12) {
   172897       label = 12;
   172898       break;
   172899     } else if (($cond | 0) == 13) {
   172900       label = 13;
   172901       break;
   172902     } else if (($cond | 0) == 2) {
   172903       label = 14;
   172904       break;
   172905     } else if (($cond | 0) == 9) {
   172906       label = 23;
   172907       break;
   172908     } else if (($cond | 0) == 30) {
   172909       label = 33;
   172910       break;
   172911     } else if (($cond | 0) == 35) {
   172912       label = 34;
   172913       break;
   172914     } else if (($cond | 0) == 20) {
   172915       label = 35;
   172916       break;
   172917     } else if (($cond | 0) == 4) {
   172918       label = 36;
   172919       break;
   172920     } else if (($cond | 0) == 31) {
   172921       label = 44;
   172922       break;
   172923     } else if (($cond | 0) == 32) {
   172924       label = 45;
   172925       break;
   172926     } else if (($cond | 0) == 36) {
   172927       label = 55;
   172928       break;
   172929     } else if (($cond | 0) == 11) {
   172930       label = 56;
   172931       break;
   172932     } else if (($cond | 0) == 19) {
   172933       label = 57;
   172934       break;
   172935     } else if (($cond | 0) == 5) {
   172936       label = 58;
   172937       break;
   172938     } else if (($cond | 0) == 6) {
   172939       label = 60;
   172940       break;
   172941     } else if (($cond | 0) == 7) {
   172942       label = 62;
   172943       break;
   172944     } else if (($cond | 0) == 25 | ($cond | 0) == 26 | ($cond | 0) == 27) {
   172945       label = 64;
   172946       break;
   172947     } else if (($cond | 0) == 29) {
   172948       label = 65;
   172949       break;
   172950     } else if (($cond | 0) == 22 | ($cond | 0) == 24) {
   172951       $tok_0_ph = 18;
   172952       label = 67;
   172953       break;
   172954     } else {
   172955       label = 69;
   172956       break;
   172957     }
   172958    case 10:
   172959     $add_ptr56167 = $ptr + 2 | 0;
   172960     $cmp57168 = ($add_ptr56167 | 0) == ($end_addr_0 | 0);
   172961     if ($cmp57168) {
   172962       $add_ptr56_lcssa = $add_ptr56167;
   172963       label = 32;
   172964       break;
   172965     } else {
   172966       label = 11;
   172967       break;
   172968     }
   172969    case 11:
   172970     $type68 = $enc + 72 | 0;
   172971     $4 = $type68;
   172972     $ptr_addr_0169 = $ptr;
   172973     $add_ptr56170 = $add_ptr56167;
   172974     label = 25;
   172975     break;
   172976    case 12:
   172977     $add_ptr14 = $ptr + 2 | 0;
   172978     $call15 = _big2_scanLit(12, $enc, $add_ptr14, $end_addr_0, $nextTokPtr) | 0;
   172979     $retval_0 = $call15;
   172980     label = 95;
   172981     break;
   172982    case 13:
   172983     $add_ptr17 = $ptr + 2 | 0;
   172984     $call18 = _big2_scanLit(13, $enc, $add_ptr17, $end_addr_0, $nextTokPtr) | 0;
   172985     $retval_0 = $call18;
   172986     label = 95;
   172987     break;
   172988    case 14:
   172989     $add_ptr20 = $ptr + 2 | 0;
   172990     $cmp21 = ($add_ptr20 | 0) == ($end_addr_0 | 0);
   172991     if ($cmp21) {
   172992       $retval_0 = -1;
   172993       label = 95;
   172994       break;
   172995     } else {
   172996       label = 15;
   172997       break;
   172998     }
   172999    case 15:
   173000     $5 = HEAP8[$add_ptr20] | 0;
   173001     $cmp27 = $5 << 24 >> 24 == 0;
   173002     $arrayidx30 = $ptr + 3 | 0;
   173003     $6 = HEAP8[$arrayidx30] | 0;
   173004     if ($cmp27) {
   173005       label = 16;
   173006       break;
   173007     } else {
   173008       label = 17;
   173009       break;
   173010     }
   173011    case 16:
   173012     $idxprom31 = $6 & 255;
   173013     $type32 = $enc + 72 | 0;
   173014     $7 = $type32;
   173015     $arrayidx33 = $7 + $idxprom31 | 0;
   173016     $8 = HEAP8[$arrayidx33] | 0;
   173017     $conv34 = $8 & 255;
   173018     $cond40 = $conv34;
   173019     label = 18;
   173020     break;
   173021    case 17:
   173022     $call38 = _unicode_byte_type($5, $6) | 0;
   173023     $cond40 = $call38;
   173024     label = 18;
   173025     break;
   173026    case 18:
   173027     if (($cond40 | 0) == 16) {
   173028       label = 19;
   173029       break;
   173030     } else if (($cond40 | 0) == 15) {
   173031       label = 20;
   173032       break;
   173033     } else if (($cond40 | 0) == 22 | ($cond40 | 0) == 24 | ($cond40 | 0) == 29 | ($cond40 | 0) == 5 | ($cond40 | 0) == 6 | ($cond40 | 0) == 7) {
   173034       label = 21;
   173035       break;
   173036     } else {
   173037       label = 22;
   173038       break;
   173039     }
   173040    case 19:
   173041     $add_ptr42 = $ptr + 4 | 0;
   173042     $call43 = _big2_scanDecl($enc, $add_ptr42, $end_addr_0, $nextTokPtr) | 0;
   173043     $retval_0 = $call43;
   173044     label = 95;
   173045     break;
   173046    case 20:
   173047     $add_ptr45 = $ptr + 4 | 0;
   173048     $call46 = _big2_scanPi($enc, $add_ptr45, $end_addr_0, $nextTokPtr) | 0;
   173049     $retval_0 = $call46;
   173050     label = 95;
   173051     break;
   173052    case 21:
   173053     HEAP32[$nextTokPtr >> 2] = $ptr;
   173054     $retval_0 = 29;
   173055     label = 95;
   173056     break;
   173057    case 22:
   173058     HEAP32[$nextTokPtr >> 2] = $add_ptr20;
   173059     $retval_0 = 0;
   173060     label = 95;
   173061     break;
   173062    case 23:
   173063     $add_ptr50 = $ptr + 2 | 0;
   173064     $cmp51 = ($add_ptr50 | 0) == ($end_addr_0 | 0);
   173065     if ($cmp51) {
   173066       label = 24;
   173067       break;
   173068     } else {
   173069       label = 10;
   173070       break;
   173071     }
   173072    case 24:
   173073     HEAP32[$nextTokPtr >> 2] = $end_addr_0;
   173074     $retval_0 = -15;
   173075     label = 95;
   173076     break;
   173077    case 25:
   173078     $9 = HEAP8[$add_ptr56170] | 0;
   173079     $cmp63 = $9 << 24 >> 24 == 0;
   173080     $arrayidx66 = $ptr_addr_0169 + 3 | 0;
   173081     $10 = HEAP8[$arrayidx66] | 0;
   173082     if ($cmp63) {
   173083       label = 26;
   173084       break;
   173085     } else {
   173086       label = 27;
   173087       break;
   173088     }
   173089    case 26:
   173090     $idxprom67 = $10 & 255;
   173091     $arrayidx69 = $4 + $idxprom67 | 0;
   173092     $11 = HEAP8[$arrayidx69] | 0;
   173093     $conv70 = $11 & 255;
   173094     $cond76 = $conv70;
   173095     label = 28;
   173096     break;
   173097    case 27:
   173098     $call74 = _unicode_byte_type($9, $10) | 0;
   173099     $cond76 = $call74;
   173100     label = 28;
   173101     break;
   173102    case 28:
   173103     if (($cond76 | 0) == 21 | ($cond76 | 0) == 10) {
   173104       label = 29;
   173105       break;
   173106     } else if (($cond76 | 0) == 9) {
   173107       label = 30;
   173108       break;
   173109     } else {
   173110       label = 31;
   173111       break;
   173112     }
   173113    case 29:
   173114     $add_ptr56 = $add_ptr56170 + 2 | 0;
   173115     $cmp57 = ($add_ptr56 | 0) == ($end_addr_0 | 0);
   173116     if ($cmp57) {
   173117       $add_ptr56_lcssa = $add_ptr56;
   173118       label = 32;
   173119       break;
   173120     } else {
   173121       $ptr_addr_0169 = $add_ptr56170;
   173122       $add_ptr56170 = $add_ptr56;
   173123       label = 25;
   173124       break;
   173125     }
   173126    case 30:
   173127     $add_ptr79 = $ptr_addr_0169 + 4 | 0;
   173128     $cmp80 = ($add_ptr79 | 0) == ($end_addr_0 | 0);
   173129     if ($cmp80) {
   173130       label = 31;
   173131       break;
   173132     } else {
   173133       label = 29;
   173134       break;
   173135     }
   173136    case 31:
   173137     HEAP32[$nextTokPtr >> 2] = $add_ptr56170;
   173138     $retval_0 = 15;
   173139     label = 95;
   173140     break;
   173141    case 32:
   173142     HEAP32[$nextTokPtr >> 2] = $add_ptr56_lcssa;
   173143     $retval_0 = 15;
   173144     label = 95;
   173145     break;
   173146    case 33:
   173147     $add_ptr86 = $ptr + 2 | 0;
   173148     $call87 = _big2_scanPercent($enc, $add_ptr86, $end_addr_0, $nextTokPtr) | 0;
   173149     $retval_0 = $call87;
   173150     label = 95;
   173151     break;
   173152    case 34:
   173153     $add_ptr89 = $ptr + 2 | 0;
   173154     HEAP32[$nextTokPtr >> 2] = $add_ptr89;
   173155     $retval_0 = 38;
   173156     label = 95;
   173157     break;
   173158    case 35:
   173159     $add_ptr91 = $ptr + 2 | 0;
   173160     HEAP32[$nextTokPtr >> 2] = $add_ptr91;
   173161     $retval_0 = 25;
   173162     label = 95;
   173163     break;
   173164    case 36:
   173165     $add_ptr93 = $ptr + 2 | 0;
   173166     $cmp94 = ($add_ptr93 | 0) == ($end_addr_0 | 0);
   173167     if ($cmp94) {
   173168       $retval_0 = -26;
   173169       label = 95;
   173170       break;
   173171     } else {
   173172       label = 37;
   173173       break;
   173174     }
   173175    case 37:
   173176     $12 = HEAP8[$add_ptr93] | 0;
   173177     $cmp100 = $12 << 24 >> 24 == 0;
   173178     if ($cmp100) {
   173179       label = 38;
   173180       break;
   173181     } else {
   173182       label = 43;
   173183       break;
   173184     }
   173185    case 38:
   173186     $arrayidx102 = $ptr + 3 | 0;
   173187     $13 = HEAP8[$arrayidx102] | 0;
   173188     $cmp104 = $13 << 24 >> 24 == 93;
   173189     if ($cmp104) {
   173190       label = 39;
   173191       break;
   173192     } else {
   173193       label = 43;
   173194       break;
   173195     }
   173196    case 39:
   173197     $add_ptr107 = $ptr + 4 | 0;
   173198     $cmp108 = ($add_ptr107 | 0) == ($end_addr_0 | 0);
   173199     if ($cmp108) {
   173200       $retval_0 = -1;
   173201       label = 95;
   173202       break;
   173203     } else {
   173204       label = 40;
   173205       break;
   173206     }
   173207    case 40:
   173208     $14 = HEAP8[$add_ptr107] | 0;
   173209     $cmp115 = $14 << 24 >> 24 == 0;
   173210     if ($cmp115) {
   173211       label = 41;
   173212       break;
   173213     } else {
   173214       label = 43;
   173215       break;
   173216     }
   173217    case 41:
   173218     $arrayidx119 = $ptr + 5 | 0;
   173219     $15 = HEAP8[$arrayidx119] | 0;
   173220     $cmp121 = $15 << 24 >> 24 == 62;
   173221     if ($cmp121) {
   173222       label = 42;
   173223       break;
   173224     } else {
   173225       label = 43;
   173226       break;
   173227     }
   173228    case 42:
   173229     $add_ptr124 = $ptr + 6 | 0;
   173230     HEAP32[$nextTokPtr >> 2] = $add_ptr124;
   173231     $retval_0 = 34;
   173232     label = 95;
   173233     break;
   173234    case 43:
   173235     HEAP32[$nextTokPtr >> 2] = $add_ptr93;
   173236     $retval_0 = 26;
   173237     label = 95;
   173238     break;
   173239    case 44:
   173240     $add_ptr128 = $ptr + 2 | 0;
   173241     HEAP32[$nextTokPtr >> 2] = $add_ptr128;
   173242     $retval_0 = 23;
   173243     label = 95;
   173244     break;
   173245    case 45:
   173246     $add_ptr130 = $ptr + 2 | 0;
   173247     $cmp131 = ($add_ptr130 | 0) == ($end_addr_0 | 0);
   173248     if ($cmp131) {
   173249       $retval_0 = -24;
   173250       label = 95;
   173251       break;
   173252     } else {
   173253       label = 46;
   173254       break;
   173255     }
   173256    case 46:
   173257     $16 = HEAP8[$add_ptr130] | 0;
   173258     $cmp137 = $16 << 24 >> 24 == 0;
   173259     $arrayidx140 = $ptr + 3 | 0;
   173260     $17 = HEAP8[$arrayidx140] | 0;
   173261     if ($cmp137) {
   173262       label = 47;
   173263       break;
   173264     } else {
   173265       label = 48;
   173266       break;
   173267     }
   173268    case 47:
   173269     $idxprom141 = $17 & 255;
   173270     $type142 = $enc + 72 | 0;
   173271     $18 = $type142;
   173272     $arrayidx143 = $18 + $idxprom141 | 0;
   173273     $19 = HEAP8[$arrayidx143] | 0;
   173274     $conv144 = $19 & 255;
   173275     $cond150 = $conv144;
   173276     label = 49;
   173277     break;
   173278    case 48:
   173279     $call148 = _unicode_byte_type($16, $17) | 0;
   173280     $cond150 = $call148;
   173281     label = 49;
   173282     break;
   173283    case 49:
   173284     if (($cond150 | 0) == 33) {
   173285       label = 50;
   173286       break;
   173287     } else if (($cond150 | 0) == 15) {
   173288       label = 51;
   173289       break;
   173290     } else if (($cond150 | 0) == 34) {
   173291       label = 52;
   173292       break;
   173293     } else if (($cond150 | 0) == 9 | ($cond150 | 0) == 10 | ($cond150 | 0) == 21 | ($cond150 | 0) == 11 | ($cond150 | 0) == 35 | ($cond150 | 0) == 36 | ($cond150 | 0) == 32) {
   173294       label = 53;
   173295       break;
   173296     } else {
   173297       label = 54;
   173298       break;
   173299     }
   173300    case 50:
   173301     $add_ptr152 = $ptr + 4 | 0;
   173302     HEAP32[$nextTokPtr >> 2] = $add_ptr152;
   173303     $retval_0 = 36;
   173304     label = 95;
   173305     break;
   173306    case 51:
   173307     $add_ptr154 = $ptr + 4 | 0;
   173308     HEAP32[$nextTokPtr >> 2] = $add_ptr154;
   173309     $retval_0 = 35;
   173310     label = 95;
   173311     break;
   173312    case 52:
   173313     $add_ptr156 = $ptr + 4 | 0;
   173314     HEAP32[$nextTokPtr >> 2] = $add_ptr156;
   173315     $retval_0 = 37;
   173316     label = 95;
   173317     break;
   173318    case 53:
   173319     HEAP32[$nextTokPtr >> 2] = $add_ptr130;
   173320     $retval_0 = 24;
   173321     label = 95;
   173322     break;
   173323    case 54:
   173324     HEAP32[$nextTokPtr >> 2] = $add_ptr130;
   173325     $retval_0 = 0;
   173326     label = 95;
   173327     break;
   173328    case 55:
   173329     $add_ptr160 = $ptr + 2 | 0;
   173330     HEAP32[$nextTokPtr >> 2] = $add_ptr160;
   173331     $retval_0 = 21;
   173332     label = 95;
   173333     break;
   173334    case 56:
   173335     $add_ptr162 = $ptr + 2 | 0;
   173336     HEAP32[$nextTokPtr >> 2] = $add_ptr162;
   173337     $retval_0 = 17;
   173338     label = 95;
   173339     break;
   173340    case 57:
   173341     $add_ptr164 = $ptr + 2 | 0;
   173342     $call165 = _big2_scanPoundName($enc, $add_ptr164, $end_addr_0, $nextTokPtr) | 0;
   173343     $retval_0 = $call165;
   173344     label = 95;
   173345     break;
   173346    case 58:
   173347     $sub_ptr_lhs_cast167 = $end_addr_0;
   173348     $sub_ptr_sub169 = $sub_ptr_lhs_cast167 - $sub_ptr_rhs_cast | 0;
   173349     $cmp170 = ($sub_ptr_sub169 | 0) < 2;
   173350     if ($cmp170) {
   173351       $retval_0 = -2;
   173352       label = 95;
   173353       break;
   173354     } else {
   173355       label = 59;
   173356       break;
   173357     }
   173358    case 59:
   173359     HEAP32[$nextTokPtr >> 2] = $ptr;
   173360     $retval_0 = 0;
   173361     label = 95;
   173362     break;
   173363    case 60:
   173364     $sub_ptr_lhs_cast175 = $end_addr_0;
   173365     $sub_ptr_sub177 = $sub_ptr_lhs_cast175 - $sub_ptr_rhs_cast | 0;
   173366     $cmp178 = ($sub_ptr_sub177 | 0) < 3;
   173367     if ($cmp178) {
   173368       $retval_0 = -2;
   173369       label = 95;
   173370       break;
   173371     } else {
   173372       label = 61;
   173373       break;
   173374     }
   173375    case 61:
   173376     HEAP32[$nextTokPtr >> 2] = $ptr;
   173377     $retval_0 = 0;
   173378     label = 95;
   173379     break;
   173380    case 62:
   173381     $sub_ptr_lhs_cast183 = $end_addr_0;
   173382     $sub_ptr_sub185 = $sub_ptr_lhs_cast183 - $sub_ptr_rhs_cast | 0;
   173383     $cmp186 = ($sub_ptr_sub185 | 0) < 4;
   173384     if ($cmp186) {
   173385       $retval_0 = -2;
   173386       label = 95;
   173387       break;
   173388     } else {
   173389       label = 63;
   173390       break;
   173391     }
   173392    case 63:
   173393     HEAP32[$nextTokPtr >> 2] = $ptr;
   173394     $retval_0 = 0;
   173395     label = 95;
   173396     break;
   173397    case 64:
   173398     $tok_0_ph = 19;
   173399     label = 67;
   173400     break;
   173401    case 65:
   173402     $20 = HEAP8[$ptr] | 0;
   173403     $idxprom196 = $20 & 255;
   173404     $arrayidx197 = $idxprom196 + 9272 | 0;
   173405     $21 = HEAP8[$arrayidx197] | 0;
   173406     $conv198 = $21 & 255;
   173407     $shl = $conv198 << 3;
   173408     $22 = HEAP8[$arrayidx9] | 0;
   173409     $conv200 = $22 & 255;
   173410     $shr164 = $conv200 >>> 5;
   173411     $add = $shr164 | $shl;
   173412     $arrayidx201 = 9544 + ($add << 2) | 0;
   173413     $23 = HEAP32[$arrayidx201 >> 2] | 0;
   173414     $and204 = $conv200 & 31;
   173415     $shl205 = 1 << $and204;
   173416     $and206 = $shl205 & $23;
   173417     $tobool207 = ($and206 | 0) == 0;
   173418     if ($tobool207) {
   173419       label = 66;
   173420       break;
   173421     } else {
   173422       $tok_0_ph = 18;
   173423       label = 67;
   173424       break;
   173425     }
   173426    case 66:
   173427     $arrayidx213 = $idxprom196 + 10824 | 0;
   173428     $24 = HEAP8[$arrayidx213] | 0;
   173429     $conv214 = $24 & 255;
   173430     $shl215 = $conv214 << 3;
   173431     $add219 = $shl215 | $shr164;
   173432     $arrayidx220 = 9544 + ($add219 << 2) | 0;
   173433     $25 = HEAP32[$arrayidx220 >> 2] | 0;
   173434     $and225 = $25 & $shl205;
   173435     $tobool226 = ($and225 | 0) == 0;
   173436     if ($tobool226) {
   173437       label = 69;
   173438       break;
   173439     } else {
   173440       $tok_0_ph = 19;
   173441       label = 67;
   173442       break;
   173443     }
   173444    case 67:
   173445     $ptr_addr_1189 = $ptr + 2 | 0;
   173446     $cmp232190 = ($ptr_addr_1189 | 0) == ($end_addr_0 | 0);
   173447     if ($cmp232190) {
   173448       label = 94;
   173449       break;
   173450     } else {
   173451       label = 68;
   173452       break;
   173453     }
   173454    case 68:
   173455     $type241 = $enc + 72 | 0;
   173456     $26 = $type241;
   173457     $ptr_pn191 = $ptr;
   173458     $ptr_addr_1192 = $ptr_addr_1189;
   173459     label = 70;
   173460     break;
   173461    case 69:
   173462     HEAP32[$nextTokPtr >> 2] = $ptr;
   173463     $retval_0 = 0;
   173464     label = 95;
   173465     break;
   173466    case 70:
   173467     $27 = HEAP8[$ptr_addr_1192] | 0;
   173468     $cmp236 = $27 << 24 >> 24 == 0;
   173469     $arrayidx239 = $ptr_pn191 + 3 | 0;
   173470     $28 = HEAP8[$arrayidx239] | 0;
   173471     if ($cmp236) {
   173472       label = 71;
   173473       break;
   173474     } else {
   173475       label = 72;
   173476       break;
   173477     }
   173478    case 71:
   173479     $idxprom240 = $28 & 255;
   173480     $arrayidx242 = $26 + $idxprom240 | 0;
   173481     $29 = HEAP8[$arrayidx242] | 0;
   173482     $conv243 = $29 & 255;
   173483     $cond249 = $conv243;
   173484     label = 73;
   173485     break;
   173486    case 72:
   173487     $call247 = _unicode_byte_type($27, $28) | 0;
   173488     $cond249 = $call247;
   173489     label = 73;
   173490     break;
   173491    case 73:
   173492     if (($cond249 | 0) == 29) {
   173493       label = 74;
   173494       break;
   173495     } else if (($cond249 | 0) == 22 | ($cond249 | 0) == 24 | ($cond249 | 0) == 25 | ($cond249 | 0) == 26 | ($cond249 | 0) == 27) {
   173496       label = 75;
   173497       break;
   173498     } else if (($cond249 | 0) == 5) {
   173499       label = 77;
   173500       break;
   173501     } else if (($cond249 | 0) == 6) {
   173502       label = 79;
   173503       break;
   173504     } else if (($cond249 | 0) == 7) {
   173505       label = 81;
   173506       break;
   173507     } else if (($cond249 | 0) == 11 | ($cond249 | 0) == 32 | ($cond249 | 0) == 35 | ($cond249 | 0) == 36 | ($cond249 | 0) == 20 | ($cond249 | 0) == 30 | ($cond249 | 0) == 21 | ($cond249 | 0) == 9 | ($cond249 | 0) == 10) {
   173508       label = 83;
   173509       break;
   173510     } else if (($cond249 | 0) == 34) {
   173511       label = 84;
   173512       break;
   173513     } else if (($cond249 | 0) == 33) {
   173514       label = 87;
   173515       break;
   173516     } else if (($cond249 | 0) == 15) {
   173517       label = 90;
   173518       break;
   173519     } else {
   173520       label = 93;
   173521       break;
   173522     }
   173523    case 74:
   173524     $30 = HEAP8[$ptr_addr_1192] | 0;
   173525     $idxprom252 = $30 & 255;
   173526     $arrayidx253 = $idxprom252 + 10824 | 0;
   173527     $31 = HEAP8[$arrayidx253] | 0;
   173528     $conv254 = $31 & 255;
   173529     $shl255 = $conv254 << 3;
   173530     $32 = HEAP8[$arrayidx239] | 0;
   173531     $conv257 = $32 & 255;
   173532     $shr258165 = $conv257 >>> 5;
   173533     $add259 = $shr258165 | $shl255;
   173534     $arrayidx260 = 9544 + ($add259 << 2) | 0;
   173535     $33 = HEAP32[$arrayidx260 >> 2] | 0;
   173536     $and263 = $conv257 & 31;
   173537     $shl264 = 1 << $and263;
   173538     $and265 = $shl264 & $33;
   173539     $tobool266 = ($and265 | 0) == 0;
   173540     if ($tobool266) {
   173541       label = 76;
   173542       break;
   173543     } else {
   173544       label = 75;
   173545       break;
   173546     }
   173547    case 75:
   173548     $ptr_addr_1 = $ptr_addr_1192 + 2 | 0;
   173549     $cmp232 = ($ptr_addr_1 | 0) == ($end_addr_0 | 0);
   173550     if ($cmp232) {
   173551       label = 94;
   173552       break;
   173553     } else {
   173554       $ptr_pn191 = $ptr_addr_1192;
   173555       $ptr_addr_1192 = $ptr_addr_1;
   173556       label = 70;
   173557       break;
   173558     }
   173559    case 76:
   173560     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1192;
   173561     $retval_0 = 0;
   173562     label = 95;
   173563     break;
   173564    case 77:
   173565     $sub_ptr_lhs_cast272 = $end_addr_0;
   173566     $sub_ptr_rhs_cast273 = $ptr_addr_1192;
   173567     $sub_ptr_sub274 = $sub_ptr_lhs_cast272 - $sub_ptr_rhs_cast273 | 0;
   173568     $cmp275 = ($sub_ptr_sub274 | 0) < 2;
   173569     if ($cmp275) {
   173570       $retval_0 = -2;
   173571       label = 95;
   173572       break;
   173573     } else {
   173574       label = 78;
   173575       break;
   173576     }
   173577    case 78:
   173578     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1192;
   173579     $retval_0 = 0;
   173580     label = 95;
   173581     break;
   173582    case 79:
   173583     $sub_ptr_lhs_cast280 = $end_addr_0;
   173584     $sub_ptr_rhs_cast281 = $ptr_addr_1192;
   173585     $sub_ptr_sub282 = $sub_ptr_lhs_cast280 - $sub_ptr_rhs_cast281 | 0;
   173586     $cmp283 = ($sub_ptr_sub282 | 0) < 3;
   173587     if ($cmp283) {
   173588       $retval_0 = -2;
   173589       label = 95;
   173590       break;
   173591     } else {
   173592       label = 80;
   173593       break;
   173594     }
   173595    case 80:
   173596     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1192;
   173597     $retval_0 = 0;
   173598     label = 95;
   173599     break;
   173600    case 81:
   173601     $sub_ptr_lhs_cast288 = $end_addr_0;
   173602     $sub_ptr_rhs_cast289 = $ptr_addr_1192;
   173603     $sub_ptr_sub290 = $sub_ptr_lhs_cast288 - $sub_ptr_rhs_cast289 | 0;
   173604     $cmp291 = ($sub_ptr_sub290 | 0) < 4;
   173605     if ($cmp291) {
   173606       $retval_0 = -2;
   173607       label = 95;
   173608       break;
   173609     } else {
   173610       label = 82;
   173611       break;
   173612     }
   173613    case 82:
   173614     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1192;
   173615     $retval_0 = 0;
   173616     label = 95;
   173617     break;
   173618    case 83:
   173619     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1192;
   173620     $retval_0 = $tok_0_ph;
   173621     label = 95;
   173622     break;
   173623    case 84:
   173624     $cmp297 = ($tok_0_ph | 0) == 19;
   173625     if ($cmp297) {
   173626       label = 85;
   173627       break;
   173628     } else {
   173629       label = 86;
   173630       break;
   173631     }
   173632    case 85:
   173633     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1192;
   173634     $retval_0 = 0;
   173635     label = 95;
   173636     break;
   173637    case 86:
   173638     $add_ptr301 = $ptr_pn191 + 4 | 0;
   173639     HEAP32[$nextTokPtr >> 2] = $add_ptr301;
   173640     $retval_0 = 32;
   173641     label = 95;
   173642     break;
   173643    case 87:
   173644     $cmp303 = ($tok_0_ph | 0) == 19;
   173645     if ($cmp303) {
   173646       label = 88;
   173647       break;
   173648     } else {
   173649       label = 89;
   173650       break;
   173651     }
   173652    case 88:
   173653     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1192;
   173654     $retval_0 = 0;
   173655     label = 95;
   173656     break;
   173657    case 89:
   173658     $add_ptr307 = $ptr_pn191 + 4 | 0;
   173659     HEAP32[$nextTokPtr >> 2] = $add_ptr307;
   173660     $retval_0 = 31;
   173661     label = 95;
   173662     break;
   173663    case 90:
   173664     $cmp309 = ($tok_0_ph | 0) == 19;
   173665     if ($cmp309) {
   173666       label = 91;
   173667       break;
   173668     } else {
   173669       label = 92;
   173670       break;
   173671     }
   173672    case 91:
   173673     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1192;
   173674     $retval_0 = 0;
   173675     label = 95;
   173676     break;
   173677    case 92:
   173678     $add_ptr313 = $ptr_pn191 + 4 | 0;
   173679     HEAP32[$nextTokPtr >> 2] = $add_ptr313;
   173680     $retval_0 = 30;
   173681     label = 95;
   173682     break;
   173683    case 93:
   173684     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1192;
   173685     $retval_0 = 0;
   173686     label = 95;
   173687     break;
   173688    case 94:
   173689     $sub = -$tok_0_ph | 0;
   173690     $retval_0 = $sub;
   173691     label = 95;
   173692     break;
   173693    case 95:
   173694     return $retval_0 | 0;
   173695   }
   173696   return 0;
   173697 }
   173698 function _big2_contentTok($enc, $ptr, $end, $nextTokPtr) {
   173699   $enc = $enc | 0;
   173700   $ptr = $ptr | 0;
   173701   $end = $end | 0;
   173702   $nextTokPtr = $nextTokPtr | 0;
   173703   var $cmp = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $and = 0, $tobool = 0, $and2 = 0, $cmp3 = 0, $add_ptr = 0, $end_addr_0 = 0, $0 = 0, $cmp7 = 0, $arrayidx9 = 0, $1 = 0, $idxprom = 0, $type = 0, $2 = 0, $arrayidx10 = 0, $3 = 0, $conv11 = 0, $call = 0, $cond = 0, $add_ptr14 = 0, $call15 = 0, $add_ptr17 = 0, $call18 = 0, $add_ptr20 = 0, $cmp21 = 0, $4 = 0, $cmp27 = 0, $arrayidx30 = 0, $5 = 0, $idxprom31 = 0, $type32 = 0, $6 = 0, $arrayidx33 = 0, $7 = 0, $conv34 = 0, $call38 = 0, $cond40 = 0, $cmp41 = 0, $add_ptr44 = 0, $add_ptr44_add_ptr20 = 0, $add_ptr47 = 0, $add_ptr49 = 0, $cmp50 = 0, $8 = 0, $cmp56 = 0, $arrayidx58 = 0, $9 = 0, $cmp60 = 0, $add_ptr64 = 0, $cmp65 = 0, $10 = 0, $cmp71 = 0, $arrayidx74 = 0, $11 = 0, $cmp76 = 0, $sub_ptr_lhs_cast82 = 0, $sub_ptr_sub84 = 0, $cmp85 = 0, $add_ptr89 = 0, $sub_ptr_lhs_cast91 = 0, $sub_ptr_sub93 = 0, $cmp94 = 0, $add_ptr98 = 0, $sub_ptr_lhs_cast100 = 0, $sub_ptr_sub102 = 0, $cmp103 = 0, $add_ptr107 = 0, $add_ptr109 = 0, $ptr_addr_1_ph = 0, $cmp11093 = 0, $type119 = 0, $12 = 0, $sub_ptr_lhs_cast129 = 0, $sub_ptr_lhs_cast138 = 0, $sub_ptr_lhs_cast147 = 0, $ptr_addr_194 = 0, $13 = 0, $cmp114 = 0, $arrayidx117 = 0, $14 = 0, $idxprom118 = 0, $arrayidx120 = 0, $15 = 0, $conv121 = 0, $call125 = 0, $cond127 = 0, $sub_ptr_rhs_cast130 = 0, $sub_ptr_sub131 = 0, $cmp132 = 0, $add_ptr136 = 0, $sub_ptr_rhs_cast139 = 0, $sub_ptr_sub140 = 0, $cmp141 = 0, $add_ptr145 = 0, $sub_ptr_rhs_cast148 = 0, $sub_ptr_sub149 = 0, $cmp150 = 0, $add_ptr154 = 0, $add_ptr156 = 0, $cmp157 = 0, $16 = 0, $cmp163 = 0, $arrayidx167 = 0, $17 = 0, $cmp169 = 0, $add_ptr174 = 0, $cmp175 = 0, $18 = 0, $cmp181 = 0, $arrayidx185 = 0, $19 = 0, $cmp187 = 0, $add_ptr197 = 0, $ptr_addr_1_be = 0, $cmp110 = 0, $ptr_addr_1_lcssa = 0, $retval_0 = 0, label = 0;
   173704   label = 2;
   173705   while (1) switch (label | 0) {
   173706    case 2:
   173707     $cmp = ($ptr | 0) == ($end | 0);
   173708     if ($cmp) {
   173709       $retval_0 = -4;
   173710       label = 59;
   173711       break;
   173712     } else {
   173713       label = 3;
   173714       break;
   173715     }
   173716    case 3:
   173717     $sub_ptr_lhs_cast = $end;
   173718     $sub_ptr_rhs_cast = $ptr;
   173719     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   173720     $and = $sub_ptr_sub & 1;
   173721     $tobool = ($and | 0) == 0;
   173722     if ($tobool) {
   173723       $end_addr_0 = $end;
   173724       label = 6;
   173725       break;
   173726     } else {
   173727       label = 4;
   173728       break;
   173729     }
   173730    case 4:
   173731     $and2 = $sub_ptr_sub & -2;
   173732     $cmp3 = ($and2 | 0) == 0;
   173733     if ($cmp3) {
   173734       $retval_0 = -1;
   173735       label = 59;
   173736       break;
   173737     } else {
   173738       label = 5;
   173739       break;
   173740     }
   173741    case 5:
   173742     $add_ptr = $ptr + $and2 | 0;
   173743     $end_addr_0 = $add_ptr;
   173744     label = 6;
   173745     break;
   173746    case 6:
   173747     $0 = HEAP8[$ptr] | 0;
   173748     $cmp7 = $0 << 24 >> 24 == 0;
   173749     $arrayidx9 = $ptr + 1 | 0;
   173750     $1 = HEAP8[$arrayidx9] | 0;
   173751     if ($cmp7) {
   173752       label = 7;
   173753       break;
   173754     } else {
   173755       label = 8;
   173756       break;
   173757     }
   173758    case 7:
   173759     $idxprom = $1 & 255;
   173760     $type = $enc + 72 | 0;
   173761     $2 = $type;
   173762     $arrayidx10 = $2 + $idxprom | 0;
   173763     $3 = HEAP8[$arrayidx10] | 0;
   173764     $conv11 = $3 & 255;
   173765     $cond = $conv11;
   173766     label = 9;
   173767     break;
   173768    case 8:
   173769     $call = _unicode_byte_type($0, $1) | 0;
   173770     $cond = $call;
   173771     label = 9;
   173772     break;
   173773    case 9:
   173774     if (($cond | 0) == 2) {
   173775       label = 10;
   173776       break;
   173777     } else if (($cond | 0) == 3) {
   173778       label = 11;
   173779       break;
   173780     } else if (($cond | 0) == 9) {
   173781       label = 12;
   173782       break;
   173783     } else if (($cond | 0) == 10) {
   173784       label = 17;
   173785       break;
   173786     } else if (($cond | 0) == 4) {
   173787       label = 18;
   173788       break;
   173789     } else if (($cond | 0) == 5) {
   173790       label = 25;
   173791       break;
   173792     } else if (($cond | 0) == 6) {
   173793       label = 27;
   173794       break;
   173795     } else if (($cond | 0) == 7) {
   173796       label = 29;
   173797       break;
   173798     } else if (($cond | 0) == 0 | ($cond | 0) == 1 | ($cond | 0) == 8) {
   173799       label = 31;
   173800       break;
   173801     } else {
   173802       label = 32;
   173803       break;
   173804     }
   173805    case 10:
   173806     $add_ptr14 = $ptr + 2 | 0;
   173807     $call15 = _big2_scanLt($enc, $add_ptr14, $end_addr_0, $nextTokPtr) | 0;
   173808     $retval_0 = $call15;
   173809     label = 59;
   173810     break;
   173811    case 11:
   173812     $add_ptr17 = $ptr + 2 | 0;
   173813     $call18 = _big2_scanRef($enc, $add_ptr17, $end_addr_0, $nextTokPtr) | 0;
   173814     $retval_0 = $call18;
   173815     label = 59;
   173816     break;
   173817    case 12:
   173818     $add_ptr20 = $ptr + 2 | 0;
   173819     $cmp21 = ($add_ptr20 | 0) == ($end_addr_0 | 0);
   173820     if ($cmp21) {
   173821       $retval_0 = -3;
   173822       label = 59;
   173823       break;
   173824     } else {
   173825       label = 13;
   173826       break;
   173827     }
   173828    case 13:
   173829     $4 = HEAP8[$add_ptr20] | 0;
   173830     $cmp27 = $4 << 24 >> 24 == 0;
   173831     $arrayidx30 = $ptr + 3 | 0;
   173832     $5 = HEAP8[$arrayidx30] | 0;
   173833     if ($cmp27) {
   173834       label = 14;
   173835       break;
   173836     } else {
   173837       label = 15;
   173838       break;
   173839     }
   173840    case 14:
   173841     $idxprom31 = $5 & 255;
   173842     $type32 = $enc + 72 | 0;
   173843     $6 = $type32;
   173844     $arrayidx33 = $6 + $idxprom31 | 0;
   173845     $7 = HEAP8[$arrayidx33] | 0;
   173846     $conv34 = $7 & 255;
   173847     $cond40 = $conv34;
   173848     label = 16;
   173849     break;
   173850    case 15:
   173851     $call38 = _unicode_byte_type($4, $5) | 0;
   173852     $cond40 = $call38;
   173853     label = 16;
   173854     break;
   173855    case 16:
   173856     $cmp41 = ($cond40 | 0) == 10;
   173857     $add_ptr44 = $ptr + 4 | 0;
   173858     $add_ptr44_add_ptr20 = $cmp41 ? $add_ptr44 : $add_ptr20;
   173859     HEAP32[$nextTokPtr >> 2] = $add_ptr44_add_ptr20;
   173860     $retval_0 = 7;
   173861     label = 59;
   173862     break;
   173863    case 17:
   173864     $add_ptr47 = $ptr + 2 | 0;
   173865     HEAP32[$nextTokPtr >> 2] = $add_ptr47;
   173866     $retval_0 = 7;
   173867     label = 59;
   173868     break;
   173869    case 18:
   173870     $add_ptr49 = $ptr + 2 | 0;
   173871     $cmp50 = ($add_ptr49 | 0) == ($end_addr_0 | 0);
   173872     if ($cmp50) {
   173873       $retval_0 = -5;
   173874       label = 59;
   173875       break;
   173876     } else {
   173877       label = 19;
   173878       break;
   173879     }
   173880    case 19:
   173881     $8 = HEAP8[$add_ptr49] | 0;
   173882     $cmp56 = $8 << 24 >> 24 == 0;
   173883     if ($cmp56) {
   173884       label = 20;
   173885       break;
   173886     } else {
   173887       $ptr_addr_1_ph = $add_ptr49;
   173888       label = 33;
   173889       break;
   173890     }
   173891    case 20:
   173892     $arrayidx58 = $ptr + 3 | 0;
   173893     $9 = HEAP8[$arrayidx58] | 0;
   173894     $cmp60 = $9 << 24 >> 24 == 93;
   173895     if ($cmp60) {
   173896       label = 21;
   173897       break;
   173898     } else {
   173899       $ptr_addr_1_ph = $add_ptr49;
   173900       label = 33;
   173901       break;
   173902     }
   173903    case 21:
   173904     $add_ptr64 = $ptr + 4 | 0;
   173905     $cmp65 = ($add_ptr64 | 0) == ($end_addr_0 | 0);
   173906     if ($cmp65) {
   173907       $retval_0 = -5;
   173908       label = 59;
   173909       break;
   173910     } else {
   173911       label = 22;
   173912       break;
   173913     }
   173914    case 22:
   173915     $10 = HEAP8[$add_ptr64] | 0;
   173916     $cmp71 = $10 << 24 >> 24 == 0;
   173917     if ($cmp71) {
   173918       label = 23;
   173919       break;
   173920     } else {
   173921       $ptr_addr_1_ph = $add_ptr49;
   173922       label = 33;
   173923       break;
   173924     }
   173925    case 23:
   173926     $arrayidx74 = $ptr + 5 | 0;
   173927     $11 = HEAP8[$arrayidx74] | 0;
   173928     $cmp76 = $11 << 24 >> 24 == 62;
   173929     if ($cmp76) {
   173930       label = 24;
   173931       break;
   173932     } else {
   173933       $ptr_addr_1_ph = $add_ptr49;
   173934       label = 33;
   173935       break;
   173936     }
   173937    case 24:
   173938     HEAP32[$nextTokPtr >> 2] = $add_ptr64;
   173939     $retval_0 = 0;
   173940     label = 59;
   173941     break;
   173942    case 25:
   173943     $sub_ptr_lhs_cast82 = $end_addr_0;
   173944     $sub_ptr_sub84 = $sub_ptr_lhs_cast82 - $sub_ptr_rhs_cast | 0;
   173945     $cmp85 = ($sub_ptr_sub84 | 0) < 2;
   173946     if ($cmp85) {
   173947       $retval_0 = -2;
   173948       label = 59;
   173949       break;
   173950     } else {
   173951       label = 26;
   173952       break;
   173953     }
   173954    case 26:
   173955     $add_ptr89 = $ptr + 2 | 0;
   173956     $ptr_addr_1_ph = $add_ptr89;
   173957     label = 33;
   173958     break;
   173959    case 27:
   173960     $sub_ptr_lhs_cast91 = $end_addr_0;
   173961     $sub_ptr_sub93 = $sub_ptr_lhs_cast91 - $sub_ptr_rhs_cast | 0;
   173962     $cmp94 = ($sub_ptr_sub93 | 0) < 3;
   173963     if ($cmp94) {
   173964       $retval_0 = -2;
   173965       label = 59;
   173966       break;
   173967     } else {
   173968       label = 28;
   173969       break;
   173970     }
   173971    case 28:
   173972     $add_ptr98 = $ptr + 3 | 0;
   173973     $ptr_addr_1_ph = $add_ptr98;
   173974     label = 33;
   173975     break;
   173976    case 29:
   173977     $sub_ptr_lhs_cast100 = $end_addr_0;
   173978     $sub_ptr_sub102 = $sub_ptr_lhs_cast100 - $sub_ptr_rhs_cast | 0;
   173979     $cmp103 = ($sub_ptr_sub102 | 0) < 4;
   173980     if ($cmp103) {
   173981       $retval_0 = -2;
   173982       label = 59;
   173983       break;
   173984     } else {
   173985       label = 30;
   173986       break;
   173987     }
   173988    case 30:
   173989     $add_ptr107 = $ptr + 4 | 0;
   173990     $ptr_addr_1_ph = $add_ptr107;
   173991     label = 33;
   173992     break;
   173993    case 31:
   173994     HEAP32[$nextTokPtr >> 2] = $ptr;
   173995     $retval_0 = 0;
   173996     label = 59;
   173997     break;
   173998    case 32:
   173999     $add_ptr109 = $ptr + 2 | 0;
   174000     $ptr_addr_1_ph = $add_ptr109;
   174001     label = 33;
   174002     break;
   174003    case 33:
   174004     $cmp11093 = ($ptr_addr_1_ph | 0) == ($end_addr_0 | 0);
   174005     if ($cmp11093) {
   174006       $ptr_addr_1_lcssa = $ptr_addr_1_ph;
   174007       label = 58;
   174008       break;
   174009     } else {
   174010       label = 34;
   174011       break;
   174012     }
   174013    case 34:
   174014     $type119 = $enc + 72 | 0;
   174015     $12 = $type119;
   174016     $sub_ptr_lhs_cast129 = $end_addr_0;
   174017     $sub_ptr_lhs_cast138 = $end_addr_0;
   174018     $sub_ptr_lhs_cast147 = $end_addr_0;
   174019     $ptr_addr_194 = $ptr_addr_1_ph;
   174020     label = 35;
   174021     break;
   174022    case 35:
   174023     $13 = HEAP8[$ptr_addr_194] | 0;
   174024     $cmp114 = $13 << 24 >> 24 == 0;
   174025     $arrayidx117 = $ptr_addr_194 + 1 | 0;
   174026     $14 = HEAP8[$arrayidx117] | 0;
   174027     if ($cmp114) {
   174028       label = 36;
   174029       break;
   174030     } else {
   174031       label = 37;
   174032       break;
   174033     }
   174034    case 36:
   174035     $idxprom118 = $14 & 255;
   174036     $arrayidx120 = $12 + $idxprom118 | 0;
   174037     $15 = HEAP8[$arrayidx120] | 0;
   174038     $conv121 = $15 & 255;
   174039     $cond127 = $conv121;
   174040     label = 38;
   174041     break;
   174042    case 37:
   174043     $call125 = _unicode_byte_type($13, $14) | 0;
   174044     $cond127 = $call125;
   174045     label = 38;
   174046     break;
   174047    case 38:
   174048     if (($cond127 | 0) == 5) {
   174049       label = 39;
   174050       break;
   174051     } else if (($cond127 | 0) == 6) {
   174052       label = 42;
   174053       break;
   174054     } else if (($cond127 | 0) == 7) {
   174055       label = 45;
   174056       break;
   174057     } else if (($cond127 | 0) == 4) {
   174058       label = 48;
   174059       break;
   174060     } else if (($cond127 | 0) == 3 | ($cond127 | 0) == 2 | ($cond127 | 0) == 0 | ($cond127 | 0) == 1 | ($cond127 | 0) == 8 | ($cond127 | 0) == 9 | ($cond127 | 0) == 10) {
   174061       label = 55;
   174062       break;
   174063     } else {
   174064       label = 56;
   174065       break;
   174066     }
   174067    case 39:
   174068     $sub_ptr_rhs_cast130 = $ptr_addr_194;
   174069     $sub_ptr_sub131 = $sub_ptr_lhs_cast129 - $sub_ptr_rhs_cast130 | 0;
   174070     $cmp132 = ($sub_ptr_sub131 | 0) < 2;
   174071     if ($cmp132) {
   174072       label = 40;
   174073       break;
   174074     } else {
   174075       label = 41;
   174076       break;
   174077     }
   174078    case 40:
   174079     HEAP32[$nextTokPtr >> 2] = $ptr_addr_194;
   174080     $retval_0 = 6;
   174081     label = 59;
   174082     break;
   174083    case 41:
   174084     $add_ptr136 = $ptr_addr_194 + 2 | 0;
   174085     $ptr_addr_1_be = $add_ptr136;
   174086     label = 57;
   174087     break;
   174088    case 42:
   174089     $sub_ptr_rhs_cast139 = $ptr_addr_194;
   174090     $sub_ptr_sub140 = $sub_ptr_lhs_cast138 - $sub_ptr_rhs_cast139 | 0;
   174091     $cmp141 = ($sub_ptr_sub140 | 0) < 3;
   174092     if ($cmp141) {
   174093       label = 43;
   174094       break;
   174095     } else {
   174096       label = 44;
   174097       break;
   174098     }
   174099    case 43:
   174100     HEAP32[$nextTokPtr >> 2] = $ptr_addr_194;
   174101     $retval_0 = 6;
   174102     label = 59;
   174103     break;
   174104    case 44:
   174105     $add_ptr145 = $ptr_addr_194 + 3 | 0;
   174106     $ptr_addr_1_be = $add_ptr145;
   174107     label = 57;
   174108     break;
   174109    case 45:
   174110     $sub_ptr_rhs_cast148 = $ptr_addr_194;
   174111     $sub_ptr_sub149 = $sub_ptr_lhs_cast147 - $sub_ptr_rhs_cast148 | 0;
   174112     $cmp150 = ($sub_ptr_sub149 | 0) < 4;
   174113     if ($cmp150) {
   174114       label = 46;
   174115       break;
   174116     } else {
   174117       label = 47;
   174118       break;
   174119     }
   174120    case 46:
   174121     HEAP32[$nextTokPtr >> 2] = $ptr_addr_194;
   174122     $retval_0 = 6;
   174123     label = 59;
   174124     break;
   174125    case 47:
   174126     $add_ptr154 = $ptr_addr_194 + 4 | 0;
   174127     $ptr_addr_1_be = $add_ptr154;
   174128     label = 57;
   174129     break;
   174130    case 48:
   174131     $add_ptr156 = $ptr_addr_194 + 2 | 0;
   174132     $cmp157 = ($add_ptr156 | 0) == ($end_addr_0 | 0);
   174133     if ($cmp157) {
   174134       label = 55;
   174135       break;
   174136     } else {
   174137       label = 49;
   174138       break;
   174139     }
   174140    case 49:
   174141     $16 = HEAP8[$add_ptr156] | 0;
   174142     $cmp163 = $16 << 24 >> 24 == 0;
   174143     if ($cmp163) {
   174144       label = 50;
   174145       break;
   174146     } else {
   174147       $ptr_addr_1_be = $add_ptr156;
   174148       label = 57;
   174149       break;
   174150     }
   174151    case 50:
   174152     $arrayidx167 = $ptr_addr_194 + 3 | 0;
   174153     $17 = HEAP8[$arrayidx167] | 0;
   174154     $cmp169 = $17 << 24 >> 24 == 93;
   174155     if ($cmp169) {
   174156       label = 51;
   174157       break;
   174158     } else {
   174159       $ptr_addr_1_be = $add_ptr156;
   174160       label = 57;
   174161       break;
   174162     }
   174163    case 51:
   174164     $add_ptr174 = $ptr_addr_194 + 4 | 0;
   174165     $cmp175 = ($add_ptr174 | 0) == ($end_addr_0 | 0);
   174166     if ($cmp175) {
   174167       label = 55;
   174168       break;
   174169     } else {
   174170       label = 52;
   174171       break;
   174172     }
   174173    case 52:
   174174     $18 = HEAP8[$add_ptr174] | 0;
   174175     $cmp181 = $18 << 24 >> 24 == 0;
   174176     if ($cmp181) {
   174177       label = 53;
   174178       break;
   174179     } else {
   174180       $ptr_addr_1_be = $add_ptr156;
   174181       label = 57;
   174182       break;
   174183     }
   174184    case 53:
   174185     $arrayidx185 = $ptr_addr_194 + 5 | 0;
   174186     $19 = HEAP8[$arrayidx185] | 0;
   174187     $cmp187 = $19 << 24 >> 24 == 62;
   174188     if ($cmp187) {
   174189       label = 54;
   174190       break;
   174191     } else {
   174192       $ptr_addr_1_be = $add_ptr156;
   174193       label = 57;
   174194       break;
   174195     }
   174196    case 54:
   174197     HEAP32[$nextTokPtr >> 2] = $add_ptr174;
   174198     $retval_0 = 0;
   174199     label = 59;
   174200     break;
   174201    case 55:
   174202     HEAP32[$nextTokPtr >> 2] = $ptr_addr_194;
   174203     $retval_0 = 6;
   174204     label = 59;
   174205     break;
   174206    case 56:
   174207     $add_ptr197 = $ptr_addr_194 + 2 | 0;
   174208     $ptr_addr_1_be = $add_ptr197;
   174209     label = 57;
   174210     break;
   174211    case 57:
   174212     $cmp110 = ($ptr_addr_1_be | 0) == ($end_addr_0 | 0);
   174213     if ($cmp110) {
   174214       $ptr_addr_1_lcssa = $ptr_addr_1_be;
   174215       label = 58;
   174216       break;
   174217     } else {
   174218       $ptr_addr_194 = $ptr_addr_1_be;
   174219       label = 35;
   174220       break;
   174221     }
   174222    case 58:
   174223     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1_lcssa;
   174224     $retval_0 = 6;
   174225     label = 59;
   174226     break;
   174227    case 59:
   174228     return $retval_0 | 0;
   174229   }
   174230   return 0;
   174231 }
   174232 function _big2_cdataSectionTok($enc, $ptr, $end, $nextTokPtr) {
   174233   $enc = $enc | 0;
   174234   $ptr = $ptr | 0;
   174235   $end = $end | 0;
   174236   $nextTokPtr = $nextTokPtr | 0;
   174237   var $cmp = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $and = 0, $tobool = 0, $and2 = 0, $cmp3 = 0, $add_ptr = 0, $end_addr_0 = 0, $0 = 0, $cmp7 = 0, $arrayidx9 = 0, $1 = 0, $idxprom = 0, $type = 0, $2 = 0, $arrayidx10 = 0, $3 = 0, $conv11 = 0, $call = 0, $cond = 0, $add_ptr14 = 0, $cmp15 = 0, $4 = 0, $cmp21 = 0, $arrayidx23 = 0, $5 = 0, $cmp25 = 0, $add_ptr29 = 0, $cmp30 = 0, $6 = 0, $cmp36 = 0, $arrayidx39 = 0, $7 = 0, $cmp41 = 0, $add_ptr46 = 0, $add_ptr48 = 0, $cmp49 = 0, $8 = 0, $cmp55 = 0, $arrayidx58 = 0, $9 = 0, $idxprom59 = 0, $type60 = 0, $10 = 0, $arrayidx61 = 0, $11 = 0, $conv62 = 0, $call66 = 0, $cond68 = 0, $cmp69 = 0, $add_ptr72 = 0, $add_ptr72_add_ptr48 = 0, $add_ptr75 = 0, $sub_ptr_lhs_cast77 = 0, $sub_ptr_sub79 = 0, $cmp80 = 0, $add_ptr84 = 0, $sub_ptr_lhs_cast86 = 0, $sub_ptr_sub88 = 0, $cmp89 = 0, $add_ptr93 = 0, $sub_ptr_lhs_cast95 = 0, $sub_ptr_sub97 = 0, $cmp98 = 0, $add_ptr102 = 0, $add_ptr104 = 0, $ptr_addr_1_ph = 0, $cmp10572 = 0, $type114 = 0, $12 = 0, $sub_ptr_lhs_cast124 = 0, $sub_ptr_lhs_cast133 = 0, $sub_ptr_lhs_cast142 = 0, $ptr_addr_173 = 0, $13 = 0, $cmp109 = 0, $arrayidx112 = 0, $14 = 0, $idxprom113 = 0, $arrayidx115 = 0, $15 = 0, $conv116 = 0, $call120 = 0, $cond122 = 0, $sub_ptr_rhs_cast125 = 0, $sub_ptr_sub126 = 0, $cmp127 = 0, $add_ptr131 = 0, $sub_ptr_rhs_cast134 = 0, $sub_ptr_sub135 = 0, $cmp136 = 0, $add_ptr140 = 0, $sub_ptr_rhs_cast143 = 0, $sub_ptr_sub144 = 0, $cmp145 = 0, $add_ptr149 = 0, $add_ptr152 = 0, $ptr_addr_1_be = 0, $cmp105 = 0, $ptr_addr_1_lcssa = 0, $retval_0 = 0, label = 0;
   174238   label = 2;
   174239   while (1) switch (label | 0) {
   174240    case 2:
   174241     $cmp = ($ptr | 0) == ($end | 0);
   174242     if ($cmp) {
   174243       $retval_0 = -4;
   174244       label = 50;
   174245       break;
   174246     } else {
   174247       label = 3;
   174248       break;
   174249     }
   174250    case 3:
   174251     $sub_ptr_lhs_cast = $end;
   174252     $sub_ptr_rhs_cast = $ptr;
   174253     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   174254     $and = $sub_ptr_sub & 1;
   174255     $tobool = ($and | 0) == 0;
   174256     if ($tobool) {
   174257       $end_addr_0 = $end;
   174258       label = 6;
   174259       break;
   174260     } else {
   174261       label = 4;
   174262       break;
   174263     }
   174264    case 4:
   174265     $and2 = $sub_ptr_sub & -2;
   174266     $cmp3 = ($and2 | 0) == 0;
   174267     if ($cmp3) {
   174268       $retval_0 = -1;
   174269       label = 50;
   174270       break;
   174271     } else {
   174272       label = 5;
   174273       break;
   174274     }
   174275    case 5:
   174276     $add_ptr = $ptr + $and2 | 0;
   174277     $end_addr_0 = $add_ptr;
   174278     label = 6;
   174279     break;
   174280    case 6:
   174281     $0 = HEAP8[$ptr] | 0;
   174282     $cmp7 = $0 << 24 >> 24 == 0;
   174283     $arrayidx9 = $ptr + 1 | 0;
   174284     $1 = HEAP8[$arrayidx9] | 0;
   174285     if ($cmp7) {
   174286       label = 7;
   174287       break;
   174288     } else {
   174289       label = 8;
   174290       break;
   174291     }
   174292    case 7:
   174293     $idxprom = $1 & 255;
   174294     $type = $enc + 72 | 0;
   174295     $2 = $type;
   174296     $arrayidx10 = $2 + $idxprom | 0;
   174297     $3 = HEAP8[$arrayidx10] | 0;
   174298     $conv11 = $3 & 255;
   174299     $cond = $conv11;
   174300     label = 9;
   174301     break;
   174302    case 8:
   174303     $call = _unicode_byte_type($0, $1) | 0;
   174304     $cond = $call;
   174305     label = 9;
   174306     break;
   174307    case 9:
   174308     if (($cond | 0) == 4) {
   174309       label = 10;
   174310       break;
   174311     } else if (($cond | 0) == 9) {
   174312       label = 17;
   174313       break;
   174314     } else if (($cond | 0) == 10) {
   174315       label = 22;
   174316       break;
   174317     } else if (($cond | 0) == 5) {
   174318       label = 23;
   174319       break;
   174320     } else if (($cond | 0) == 6) {
   174321       label = 25;
   174322       break;
   174323     } else if (($cond | 0) == 7) {
   174324       label = 27;
   174325       break;
   174326     } else if (($cond | 0) == 0 | ($cond | 0) == 1 | ($cond | 0) == 8) {
   174327       label = 29;
   174328       break;
   174329     } else {
   174330       label = 30;
   174331       break;
   174332     }
   174333    case 10:
   174334     $add_ptr14 = $ptr + 2 | 0;
   174335     $cmp15 = ($add_ptr14 | 0) == ($end_addr_0 | 0);
   174336     if ($cmp15) {
   174337       $retval_0 = -1;
   174338       label = 50;
   174339       break;
   174340     } else {
   174341       label = 11;
   174342       break;
   174343     }
   174344    case 11:
   174345     $4 = HEAP8[$add_ptr14] | 0;
   174346     $cmp21 = $4 << 24 >> 24 == 0;
   174347     if ($cmp21) {
   174348       label = 12;
   174349       break;
   174350     } else {
   174351       $ptr_addr_1_ph = $add_ptr14;
   174352       label = 31;
   174353       break;
   174354     }
   174355    case 12:
   174356     $arrayidx23 = $ptr + 3 | 0;
   174357     $5 = HEAP8[$arrayidx23] | 0;
   174358     $cmp25 = $5 << 24 >> 24 == 93;
   174359     if ($cmp25) {
   174360       label = 13;
   174361       break;
   174362     } else {
   174363       $ptr_addr_1_ph = $add_ptr14;
   174364       label = 31;
   174365       break;
   174366     }
   174367    case 13:
   174368     $add_ptr29 = $ptr + 4 | 0;
   174369     $cmp30 = ($add_ptr29 | 0) == ($end_addr_0 | 0);
   174370     if ($cmp30) {
   174371       $retval_0 = -1;
   174372       label = 50;
   174373       break;
   174374     } else {
   174375       label = 14;
   174376       break;
   174377     }
   174378    case 14:
   174379     $6 = HEAP8[$add_ptr29] | 0;
   174380     $cmp36 = $6 << 24 >> 24 == 0;
   174381     if ($cmp36) {
   174382       label = 15;
   174383       break;
   174384     } else {
   174385       $ptr_addr_1_ph = $add_ptr14;
   174386       label = 31;
   174387       break;
   174388     }
   174389    case 15:
   174390     $arrayidx39 = $ptr + 5 | 0;
   174391     $7 = HEAP8[$arrayidx39] | 0;
   174392     $cmp41 = $7 << 24 >> 24 == 62;
   174393     if ($cmp41) {
   174394       label = 16;
   174395       break;
   174396     } else {
   174397       $ptr_addr_1_ph = $add_ptr14;
   174398       label = 31;
   174399       break;
   174400     }
   174401    case 16:
   174402     $add_ptr46 = $ptr + 6 | 0;
   174403     HEAP32[$nextTokPtr >> 2] = $add_ptr46;
   174404     $retval_0 = 40;
   174405     label = 50;
   174406     break;
   174407    case 17:
   174408     $add_ptr48 = $ptr + 2 | 0;
   174409     $cmp49 = ($add_ptr48 | 0) == ($end_addr_0 | 0);
   174410     if ($cmp49) {
   174411       $retval_0 = -1;
   174412       label = 50;
   174413       break;
   174414     } else {
   174415       label = 18;
   174416       break;
   174417     }
   174418    case 18:
   174419     $8 = HEAP8[$add_ptr48] | 0;
   174420     $cmp55 = $8 << 24 >> 24 == 0;
   174421     $arrayidx58 = $ptr + 3 | 0;
   174422     $9 = HEAP8[$arrayidx58] | 0;
   174423     if ($cmp55) {
   174424       label = 19;
   174425       break;
   174426     } else {
   174427       label = 20;
   174428       break;
   174429     }
   174430    case 19:
   174431     $idxprom59 = $9 & 255;
   174432     $type60 = $enc + 72 | 0;
   174433     $10 = $type60;
   174434     $arrayidx61 = $10 + $idxprom59 | 0;
   174435     $11 = HEAP8[$arrayidx61] | 0;
   174436     $conv62 = $11 & 255;
   174437     $cond68 = $conv62;
   174438     label = 21;
   174439     break;
   174440    case 20:
   174441     $call66 = _unicode_byte_type($8, $9) | 0;
   174442     $cond68 = $call66;
   174443     label = 21;
   174444     break;
   174445    case 21:
   174446     $cmp69 = ($cond68 | 0) == 10;
   174447     $add_ptr72 = $ptr + 4 | 0;
   174448     $add_ptr72_add_ptr48 = $cmp69 ? $add_ptr72 : $add_ptr48;
   174449     HEAP32[$nextTokPtr >> 2] = $add_ptr72_add_ptr48;
   174450     $retval_0 = 7;
   174451     label = 50;
   174452     break;
   174453    case 22:
   174454     $add_ptr75 = $ptr + 2 | 0;
   174455     HEAP32[$nextTokPtr >> 2] = $add_ptr75;
   174456     $retval_0 = 7;
   174457     label = 50;
   174458     break;
   174459    case 23:
   174460     $sub_ptr_lhs_cast77 = $end_addr_0;
   174461     $sub_ptr_sub79 = $sub_ptr_lhs_cast77 - $sub_ptr_rhs_cast | 0;
   174462     $cmp80 = ($sub_ptr_sub79 | 0) < 2;
   174463     if ($cmp80) {
   174464       $retval_0 = -2;
   174465       label = 50;
   174466       break;
   174467     } else {
   174468       label = 24;
   174469       break;
   174470     }
   174471    case 24:
   174472     $add_ptr84 = $ptr + 2 | 0;
   174473     $ptr_addr_1_ph = $add_ptr84;
   174474     label = 31;
   174475     break;
   174476    case 25:
   174477     $sub_ptr_lhs_cast86 = $end_addr_0;
   174478     $sub_ptr_sub88 = $sub_ptr_lhs_cast86 - $sub_ptr_rhs_cast | 0;
   174479     $cmp89 = ($sub_ptr_sub88 | 0) < 3;
   174480     if ($cmp89) {
   174481       $retval_0 = -2;
   174482       label = 50;
   174483       break;
   174484     } else {
   174485       label = 26;
   174486       break;
   174487     }
   174488    case 26:
   174489     $add_ptr93 = $ptr + 3 | 0;
   174490     $ptr_addr_1_ph = $add_ptr93;
   174491     label = 31;
   174492     break;
   174493    case 27:
   174494     $sub_ptr_lhs_cast95 = $end_addr_0;
   174495     $sub_ptr_sub97 = $sub_ptr_lhs_cast95 - $sub_ptr_rhs_cast | 0;
   174496     $cmp98 = ($sub_ptr_sub97 | 0) < 4;
   174497     if ($cmp98) {
   174498       $retval_0 = -2;
   174499       label = 50;
   174500       break;
   174501     } else {
   174502       label = 28;
   174503       break;
   174504     }
   174505    case 28:
   174506     $add_ptr102 = $ptr + 4 | 0;
   174507     $ptr_addr_1_ph = $add_ptr102;
   174508     label = 31;
   174509     break;
   174510    case 29:
   174511     HEAP32[$nextTokPtr >> 2] = $ptr;
   174512     $retval_0 = 0;
   174513     label = 50;
   174514     break;
   174515    case 30:
   174516     $add_ptr104 = $ptr + 2 | 0;
   174517     $ptr_addr_1_ph = $add_ptr104;
   174518     label = 31;
   174519     break;
   174520    case 31:
   174521     $cmp10572 = ($ptr_addr_1_ph | 0) == ($end_addr_0 | 0);
   174522     if ($cmp10572) {
   174523       $ptr_addr_1_lcssa = $ptr_addr_1_ph;
   174524       label = 49;
   174525       break;
   174526     } else {
   174527       label = 32;
   174528       break;
   174529     }
   174530    case 32:
   174531     $type114 = $enc + 72 | 0;
   174532     $12 = $type114;
   174533     $sub_ptr_lhs_cast124 = $end_addr_0;
   174534     $sub_ptr_lhs_cast133 = $end_addr_0;
   174535     $sub_ptr_lhs_cast142 = $end_addr_0;
   174536     $ptr_addr_173 = $ptr_addr_1_ph;
   174537     label = 33;
   174538     break;
   174539    case 33:
   174540     $13 = HEAP8[$ptr_addr_173] | 0;
   174541     $cmp109 = $13 << 24 >> 24 == 0;
   174542     $arrayidx112 = $ptr_addr_173 + 1 | 0;
   174543     $14 = HEAP8[$arrayidx112] | 0;
   174544     if ($cmp109) {
   174545       label = 34;
   174546       break;
   174547     } else {
   174548       label = 35;
   174549       break;
   174550     }
   174551    case 34:
   174552     $idxprom113 = $14 & 255;
   174553     $arrayidx115 = $12 + $idxprom113 | 0;
   174554     $15 = HEAP8[$arrayidx115] | 0;
   174555     $conv116 = $15 & 255;
   174556     $cond122 = $conv116;
   174557     label = 36;
   174558     break;
   174559    case 35:
   174560     $call120 = _unicode_byte_type($13, $14) | 0;
   174561     $cond122 = $call120;
   174562     label = 36;
   174563     break;
   174564    case 36:
   174565     if (($cond122 | 0) == 5) {
   174566       label = 37;
   174567       break;
   174568     } else if (($cond122 | 0) == 6) {
   174569       label = 40;
   174570       break;
   174571     } else if (($cond122 | 0) == 7) {
   174572       label = 43;
   174573       break;
   174574     } else if (($cond122 | 0) == 0 | ($cond122 | 0) == 1 | ($cond122 | 0) == 8 | ($cond122 | 0) == 9 | ($cond122 | 0) == 10 | ($cond122 | 0) == 4) {
   174575       label = 46;
   174576       break;
   174577     } else {
   174578       label = 47;
   174579       break;
   174580     }
   174581    case 37:
   174582     $sub_ptr_rhs_cast125 = $ptr_addr_173;
   174583     $sub_ptr_sub126 = $sub_ptr_lhs_cast124 - $sub_ptr_rhs_cast125 | 0;
   174584     $cmp127 = ($sub_ptr_sub126 | 0) < 2;
   174585     if ($cmp127) {
   174586       label = 38;
   174587       break;
   174588     } else {
   174589       label = 39;
   174590       break;
   174591     }
   174592    case 38:
   174593     HEAP32[$nextTokPtr >> 2] = $ptr_addr_173;
   174594     $retval_0 = 6;
   174595     label = 50;
   174596     break;
   174597    case 39:
   174598     $add_ptr131 = $ptr_addr_173 + 2 | 0;
   174599     $ptr_addr_1_be = $add_ptr131;
   174600     label = 48;
   174601     break;
   174602    case 40:
   174603     $sub_ptr_rhs_cast134 = $ptr_addr_173;
   174604     $sub_ptr_sub135 = $sub_ptr_lhs_cast133 - $sub_ptr_rhs_cast134 | 0;
   174605     $cmp136 = ($sub_ptr_sub135 | 0) < 3;
   174606     if ($cmp136) {
   174607       label = 41;
   174608       break;
   174609     } else {
   174610       label = 42;
   174611       break;
   174612     }
   174613    case 41:
   174614     HEAP32[$nextTokPtr >> 2] = $ptr_addr_173;
   174615     $retval_0 = 6;
   174616     label = 50;
   174617     break;
   174618    case 42:
   174619     $add_ptr140 = $ptr_addr_173 + 3 | 0;
   174620     $ptr_addr_1_be = $add_ptr140;
   174621     label = 48;
   174622     break;
   174623    case 43:
   174624     $sub_ptr_rhs_cast143 = $ptr_addr_173;
   174625     $sub_ptr_sub144 = $sub_ptr_lhs_cast142 - $sub_ptr_rhs_cast143 | 0;
   174626     $cmp145 = ($sub_ptr_sub144 | 0) < 4;
   174627     if ($cmp145) {
   174628       label = 44;
   174629       break;
   174630     } else {
   174631       label = 45;
   174632       break;
   174633     }
   174634    case 44:
   174635     HEAP32[$nextTokPtr >> 2] = $ptr_addr_173;
   174636     $retval_0 = 6;
   174637     label = 50;
   174638     break;
   174639    case 45:
   174640     $add_ptr149 = $ptr_addr_173 + 4 | 0;
   174641     $ptr_addr_1_be = $add_ptr149;
   174642     label = 48;
   174643     break;
   174644    case 46:
   174645     HEAP32[$nextTokPtr >> 2] = $ptr_addr_173;
   174646     $retval_0 = 6;
   174647     label = 50;
   174648     break;
   174649    case 47:
   174650     $add_ptr152 = $ptr_addr_173 + 2 | 0;
   174651     $ptr_addr_1_be = $add_ptr152;
   174652     label = 48;
   174653     break;
   174654    case 48:
   174655     $cmp105 = ($ptr_addr_1_be | 0) == ($end_addr_0 | 0);
   174656     if ($cmp105) {
   174657       $ptr_addr_1_lcssa = $ptr_addr_1_be;
   174658       label = 49;
   174659       break;
   174660     } else {
   174661       $ptr_addr_173 = $ptr_addr_1_be;
   174662       label = 33;
   174663       break;
   174664     }
   174665    case 49:
   174666     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1_lcssa;
   174667     $retval_0 = 6;
   174668     label = 50;
   174669     break;
   174670    case 50:
   174671     return $retval_0 | 0;
   174672   }
   174673   return 0;
   174674 }
   174675 function _big2_attributeValueTok($enc, $ptr, $end, $nextTokPtr) {
   174676   $enc = $enc | 0;
   174677   $ptr = $ptr | 0;
   174678   $end = $end | 0;
   174679   $nextTokPtr = $nextTokPtr | 0;
   174680   var $cmp = 0, $cmp148 = 0, $type = 0, $0 = 0, $ptr_addr_049 = 0, $1 = 0, $cmp2 = 0, $arrayidx4 = 0, $2 = 0, $idxprom = 0, $arrayidx5 = 0, $3 = 0, $conv6 = 0, $call = 0, $cond = 0, $add_ptr = 0, $add_ptr10 = 0, $add_ptr12 = 0, $cmp14 = 0, $add_ptr17 = 0, $call18 = 0, $cmp22 = 0, $add_ptr25 = 0, $cmp28 = 0, $add_ptr31 = 0, $cmp32 = 0, $4 = 0, $cmp38 = 0, $arrayidx41 = 0, $5 = 0, $idxprom42 = 0, $type43 = 0, $6 = 0, $arrayidx44 = 0, $7 = 0, $conv45 = 0, $call49 = 0, $cond51 = 0, $cmp52 = 0, $add_ptr55 = 0, $add_ptr55_add_ptr31 = 0, $cmp59 = 0, $add_ptr62 = 0, $add_ptr64 = 0, $ptr_addr_0_be = 0, $cmp1 = 0, $ptr_addr_0_lcssa = 0, $retval_0 = 0, label = 0;
   174681   label = 2;
   174682   while (1) switch (label | 0) {
   174683    case 2:
   174684     $cmp = ($ptr | 0) == ($end | 0);
   174685     if ($cmp) {
   174686       $retval_0 = -4;
   174687       label = 32;
   174688       break;
   174689     } else {
   174690       label = 3;
   174691       break;
   174692     }
   174693    case 3:
   174694     $cmp148 = ($ptr | 0) == ($end | 0);
   174695     if ($cmp148) {
   174696       $ptr_addr_0_lcssa = $ptr;
   174697       label = 31;
   174698       break;
   174699     } else {
   174700       label = 4;
   174701       break;
   174702     }
   174703    case 4:
   174704     $type = $enc + 72 | 0;
   174705     $0 = $type;
   174706     $ptr_addr_049 = $ptr;
   174707     label = 5;
   174708     break;
   174709    case 5:
   174710     $1 = HEAP8[$ptr_addr_049] | 0;
   174711     $cmp2 = $1 << 24 >> 24 == 0;
   174712     $arrayidx4 = $ptr_addr_049 + 1 | 0;
   174713     $2 = HEAP8[$arrayidx4] | 0;
   174714     if ($cmp2) {
   174715       label = 6;
   174716       break;
   174717     } else {
   174718       label = 7;
   174719       break;
   174720     }
   174721    case 6:
   174722     $idxprom = $2 & 255;
   174723     $arrayidx5 = $0 + $idxprom | 0;
   174724     $3 = HEAP8[$arrayidx5] | 0;
   174725     $conv6 = $3 & 255;
   174726     $cond = $conv6;
   174727     label = 8;
   174728     break;
   174729    case 7:
   174730     $call = _unicode_byte_type($1, $2) | 0;
   174731     $cond = $call;
   174732     label = 8;
   174733     break;
   174734    case 8:
   174735     if (($cond | 0) == 5) {
   174736       label = 9;
   174737       break;
   174738     } else if (($cond | 0) == 6) {
   174739       label = 10;
   174740       break;
   174741     } else if (($cond | 0) == 7) {
   174742       label = 11;
   174743       break;
   174744     } else if (($cond | 0) == 3) {
   174745       label = 12;
   174746       break;
   174747     } else if (($cond | 0) == 2) {
   174748       label = 15;
   174749       break;
   174750     } else if (($cond | 0) == 10) {
   174751       label = 16;
   174752       break;
   174753     } else if (($cond | 0) == 9) {
   174754       label = 19;
   174755       break;
   174756     } else if (($cond | 0) == 21) {
   174757       label = 26;
   174758       break;
   174759     } else {
   174760       label = 29;
   174761       break;
   174762     }
   174763    case 9:
   174764     $add_ptr = $ptr_addr_049 + 2 | 0;
   174765     $ptr_addr_0_be = $add_ptr;
   174766     label = 30;
   174767     break;
   174768    case 10:
   174769     $add_ptr10 = $ptr_addr_049 + 3 | 0;
   174770     $ptr_addr_0_be = $add_ptr10;
   174771     label = 30;
   174772     break;
   174773    case 11:
   174774     $add_ptr12 = $ptr_addr_049 + 4 | 0;
   174775     $ptr_addr_0_be = $add_ptr12;
   174776     label = 30;
   174777     break;
   174778    case 12:
   174779     $cmp14 = ($ptr_addr_049 | 0) == ($ptr | 0);
   174780     if ($cmp14) {
   174781       label = 13;
   174782       break;
   174783     } else {
   174784       label = 14;
   174785       break;
   174786     }
   174787    case 13:
   174788     $add_ptr17 = $ptr_addr_049 + 2 | 0;
   174789     $call18 = _big2_scanRef($enc, $add_ptr17, $end, $nextTokPtr) | 0;
   174790     $retval_0 = $call18;
   174791     label = 32;
   174792     break;
   174793    case 14:
   174794     HEAP32[$nextTokPtr >> 2] = $ptr_addr_049;
   174795     $retval_0 = 6;
   174796     label = 32;
   174797     break;
   174798    case 15:
   174799     HEAP32[$nextTokPtr >> 2] = $ptr_addr_049;
   174800     $retval_0 = 0;
   174801     label = 32;
   174802     break;
   174803    case 16:
   174804     $cmp22 = ($ptr_addr_049 | 0) == ($ptr | 0);
   174805     if ($cmp22) {
   174806       label = 17;
   174807       break;
   174808     } else {
   174809       label = 18;
   174810       break;
   174811     }
   174812    case 17:
   174813     $add_ptr25 = $ptr_addr_049 + 2 | 0;
   174814     HEAP32[$nextTokPtr >> 2] = $add_ptr25;
   174815     $retval_0 = 7;
   174816     label = 32;
   174817     break;
   174818    case 18:
   174819     HEAP32[$nextTokPtr >> 2] = $ptr_addr_049;
   174820     $retval_0 = 6;
   174821     label = 32;
   174822     break;
   174823    case 19:
   174824     $cmp28 = ($ptr_addr_049 | 0) == ($ptr | 0);
   174825     if ($cmp28) {
   174826       label = 20;
   174827       break;
   174828     } else {
   174829       label = 25;
   174830       break;
   174831     }
   174832    case 20:
   174833     $add_ptr31 = $ptr_addr_049 + 2 | 0;
   174834     $cmp32 = ($add_ptr31 | 0) == ($end | 0);
   174835     if ($cmp32) {
   174836       $retval_0 = -3;
   174837       label = 32;
   174838       break;
   174839     } else {
   174840       label = 21;
   174841       break;
   174842     }
   174843    case 21:
   174844     $4 = HEAP8[$add_ptr31] | 0;
   174845     $cmp38 = $4 << 24 >> 24 == 0;
   174846     $arrayidx41 = $ptr_addr_049 + 3 | 0;
   174847     $5 = HEAP8[$arrayidx41] | 0;
   174848     if ($cmp38) {
   174849       label = 22;
   174850       break;
   174851     } else {
   174852       label = 23;
   174853       break;
   174854     }
   174855    case 22:
   174856     $idxprom42 = $5 & 255;
   174857     $type43 = $enc + 72 | 0;
   174858     $6 = $type43;
   174859     $arrayidx44 = $6 + $idxprom42 | 0;
   174860     $7 = HEAP8[$arrayidx44] | 0;
   174861     $conv45 = $7 & 255;
   174862     $cond51 = $conv45;
   174863     label = 24;
   174864     break;
   174865    case 23:
   174866     $call49 = _unicode_byte_type($4, $5) | 0;
   174867     $cond51 = $call49;
   174868     label = 24;
   174869     break;
   174870    case 24:
   174871     $cmp52 = ($cond51 | 0) == 10;
   174872     $add_ptr55 = $ptr_addr_049 + 4 | 0;
   174873     $add_ptr55_add_ptr31 = $cmp52 ? $add_ptr55 : $add_ptr31;
   174874     HEAP32[$nextTokPtr >> 2] = $add_ptr55_add_ptr31;
   174875     $retval_0 = 7;
   174876     label = 32;
   174877     break;
   174878    case 25:
   174879     HEAP32[$nextTokPtr >> 2] = $ptr_addr_049;
   174880     $retval_0 = 6;
   174881     label = 32;
   174882     break;
   174883    case 26:
   174884     $cmp59 = ($ptr_addr_049 | 0) == ($ptr | 0);
   174885     if ($cmp59) {
   174886       label = 27;
   174887       break;
   174888     } else {
   174889       label = 28;
   174890       break;
   174891     }
   174892    case 27:
   174893     $add_ptr62 = $ptr_addr_049 + 2 | 0;
   174894     HEAP32[$nextTokPtr >> 2] = $add_ptr62;
   174895     $retval_0 = 39;
   174896     label = 32;
   174897     break;
   174898    case 28:
   174899     HEAP32[$nextTokPtr >> 2] = $ptr_addr_049;
   174900     $retval_0 = 6;
   174901     label = 32;
   174902     break;
   174903    case 29:
   174904     $add_ptr64 = $ptr_addr_049 + 2 | 0;
   174905     $ptr_addr_0_be = $add_ptr64;
   174906     label = 30;
   174907     break;
   174908    case 30:
   174909     $cmp1 = ($ptr_addr_0_be | 0) == ($end | 0);
   174910     if ($cmp1) {
   174911       $ptr_addr_0_lcssa = $ptr_addr_0_be;
   174912       label = 31;
   174913       break;
   174914     } else {
   174915       $ptr_addr_049 = $ptr_addr_0_be;
   174916       label = 5;
   174917       break;
   174918     }
   174919    case 31:
   174920     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0_lcssa;
   174921     $retval_0 = 6;
   174922     label = 32;
   174923     break;
   174924    case 32:
   174925     return $retval_0 | 0;
   174926   }
   174927   return 0;
   174928 }
   174929 function _big2_entityValueTok($enc, $ptr, $end, $nextTokPtr) {
   174930   $enc = $enc | 0;
   174931   $ptr = $ptr | 0;
   174932   $end = $end | 0;
   174933   $nextTokPtr = $nextTokPtr | 0;
   174934   var $cmp = 0, $cmp149 = 0, $type = 0, $0 = 0, $ptr_addr_050 = 0, $1 = 0, $cmp2 = 0, $arrayidx4 = 0, $2 = 0, $idxprom = 0, $arrayidx5 = 0, $3 = 0, $conv6 = 0, $call = 0, $cond = 0, $add_ptr = 0, $add_ptr10 = 0, $add_ptr12 = 0, $cmp14 = 0, $add_ptr17 = 0, $call18 = 0, $cmp21 = 0, $add_ptr24 = 0, $call25 = 0, $cmp26 = 0, $cond31 = 0, $cmp34 = 0, $add_ptr37 = 0, $cmp40 = 0, $add_ptr43 = 0, $cmp44 = 0, $4 = 0, $cmp50 = 0, $arrayidx53 = 0, $5 = 0, $idxprom54 = 0, $type55 = 0, $6 = 0, $arrayidx56 = 0, $7 = 0, $conv57 = 0, $call61 = 0, $cond63 = 0, $cmp64 = 0, $add_ptr67 = 0, $add_ptr67_add_ptr43 = 0, $add_ptr70 = 0, $ptr_addr_0_be = 0, $cmp1 = 0, $ptr_addr_0_lcssa = 0, $retval_0 = 0, label = 0;
   174935   label = 2;
   174936   while (1) switch (label | 0) {
   174937    case 2:
   174938     $cmp = ($ptr | 0) == ($end | 0);
   174939     if ($cmp) {
   174940       $retval_0 = -4;
   174941       label = 31;
   174942       break;
   174943     } else {
   174944       label = 3;
   174945       break;
   174946     }
   174947    case 3:
   174948     $cmp149 = ($ptr | 0) == ($end | 0);
   174949     if ($cmp149) {
   174950       $ptr_addr_0_lcssa = $ptr;
   174951       label = 30;
   174952       break;
   174953     } else {
   174954       label = 4;
   174955       break;
   174956     }
   174957    case 4:
   174958     $type = $enc + 72 | 0;
   174959     $0 = $type;
   174960     $ptr_addr_050 = $ptr;
   174961     label = 5;
   174962     break;
   174963    case 5:
   174964     $1 = HEAP8[$ptr_addr_050] | 0;
   174965     $cmp2 = $1 << 24 >> 24 == 0;
   174966     $arrayidx4 = $ptr_addr_050 + 1 | 0;
   174967     $2 = HEAP8[$arrayidx4] | 0;
   174968     if ($cmp2) {
   174969       label = 6;
   174970       break;
   174971     } else {
   174972       label = 7;
   174973       break;
   174974     }
   174975    case 6:
   174976     $idxprom = $2 & 255;
   174977     $arrayidx5 = $0 + $idxprom | 0;
   174978     $3 = HEAP8[$arrayidx5] | 0;
   174979     $conv6 = $3 & 255;
   174980     $cond = $conv6;
   174981     label = 8;
   174982     break;
   174983    case 7:
   174984     $call = _unicode_byte_type($1, $2) | 0;
   174985     $cond = $call;
   174986     label = 8;
   174987     break;
   174988    case 8:
   174989     if (($cond | 0) == 5) {
   174990       label = 9;
   174991       break;
   174992     } else if (($cond | 0) == 6) {
   174993       label = 10;
   174994       break;
   174995     } else if (($cond | 0) == 7) {
   174996       label = 11;
   174997       break;
   174998     } else if (($cond | 0) == 3) {
   174999       label = 12;
   175000       break;
   175001     } else if (($cond | 0) == 30) {
   175002       label = 15;
   175003       break;
   175004     } else if (($cond | 0) == 10) {
   175005       label = 18;
   175006       break;
   175007     } else if (($cond | 0) == 9) {
   175008       label = 21;
   175009       break;
   175010     } else {
   175011       label = 28;
   175012       break;
   175013     }
   175014    case 9:
   175015     $add_ptr = $ptr_addr_050 + 2 | 0;
   175016     $ptr_addr_0_be = $add_ptr;
   175017     label = 29;
   175018     break;
   175019    case 10:
   175020     $add_ptr10 = $ptr_addr_050 + 3 | 0;
   175021     $ptr_addr_0_be = $add_ptr10;
   175022     label = 29;
   175023     break;
   175024    case 11:
   175025     $add_ptr12 = $ptr_addr_050 + 4 | 0;
   175026     $ptr_addr_0_be = $add_ptr12;
   175027     label = 29;
   175028     break;
   175029    case 12:
   175030     $cmp14 = ($ptr_addr_050 | 0) == ($ptr | 0);
   175031     if ($cmp14) {
   175032       label = 13;
   175033       break;
   175034     } else {
   175035       label = 14;
   175036       break;
   175037     }
   175038    case 13:
   175039     $add_ptr17 = $ptr_addr_050 + 2 | 0;
   175040     $call18 = _big2_scanRef($enc, $add_ptr17, $end, $nextTokPtr) | 0;
   175041     $retval_0 = $call18;
   175042     label = 31;
   175043     break;
   175044    case 14:
   175045     HEAP32[$nextTokPtr >> 2] = $ptr_addr_050;
   175046     $retval_0 = 6;
   175047     label = 31;
   175048     break;
   175049    case 15:
   175050     $cmp21 = ($ptr_addr_050 | 0) == ($ptr | 0);
   175051     if ($cmp21) {
   175052       label = 16;
   175053       break;
   175054     } else {
   175055       label = 17;
   175056       break;
   175057     }
   175058    case 16:
   175059     $add_ptr24 = $ptr_addr_050 + 2 | 0;
   175060     $call25 = _big2_scanPercent($enc, $add_ptr24, $end, $nextTokPtr) | 0;
   175061     $cmp26 = ($call25 | 0) == 22;
   175062     $cond31 = $cmp26 ? 0 : $call25;
   175063     $retval_0 = $cond31;
   175064     label = 31;
   175065     break;
   175066    case 17:
   175067     HEAP32[$nextTokPtr >> 2] = $ptr_addr_050;
   175068     $retval_0 = 6;
   175069     label = 31;
   175070     break;
   175071    case 18:
   175072     $cmp34 = ($ptr_addr_050 | 0) == ($ptr | 0);
   175073     if ($cmp34) {
   175074       label = 19;
   175075       break;
   175076     } else {
   175077       label = 20;
   175078       break;
   175079     }
   175080    case 19:
   175081     $add_ptr37 = $ptr_addr_050 + 2 | 0;
   175082     HEAP32[$nextTokPtr >> 2] = $add_ptr37;
   175083     $retval_0 = 7;
   175084     label = 31;
   175085     break;
   175086    case 20:
   175087     HEAP32[$nextTokPtr >> 2] = $ptr_addr_050;
   175088     $retval_0 = 6;
   175089     label = 31;
   175090     break;
   175091    case 21:
   175092     $cmp40 = ($ptr_addr_050 | 0) == ($ptr | 0);
   175093     if ($cmp40) {
   175094       label = 22;
   175095       break;
   175096     } else {
   175097       label = 27;
   175098       break;
   175099     }
   175100    case 22:
   175101     $add_ptr43 = $ptr_addr_050 + 2 | 0;
   175102     $cmp44 = ($add_ptr43 | 0) == ($end | 0);
   175103     if ($cmp44) {
   175104       $retval_0 = -3;
   175105       label = 31;
   175106       break;
   175107     } else {
   175108       label = 23;
   175109       break;
   175110     }
   175111    case 23:
   175112     $4 = HEAP8[$add_ptr43] | 0;
   175113     $cmp50 = $4 << 24 >> 24 == 0;
   175114     $arrayidx53 = $ptr_addr_050 + 3 | 0;
   175115     $5 = HEAP8[$arrayidx53] | 0;
   175116     if ($cmp50) {
   175117       label = 24;
   175118       break;
   175119     } else {
   175120       label = 25;
   175121       break;
   175122     }
   175123    case 24:
   175124     $idxprom54 = $5 & 255;
   175125     $type55 = $enc + 72 | 0;
   175126     $6 = $type55;
   175127     $arrayidx56 = $6 + $idxprom54 | 0;
   175128     $7 = HEAP8[$arrayidx56] | 0;
   175129     $conv57 = $7 & 255;
   175130     $cond63 = $conv57;
   175131     label = 26;
   175132     break;
   175133    case 25:
   175134     $call61 = _unicode_byte_type($4, $5) | 0;
   175135     $cond63 = $call61;
   175136     label = 26;
   175137     break;
   175138    case 26:
   175139     $cmp64 = ($cond63 | 0) == 10;
   175140     $add_ptr67 = $ptr_addr_050 + 4 | 0;
   175141     $add_ptr67_add_ptr43 = $cmp64 ? $add_ptr67 : $add_ptr43;
   175142     HEAP32[$nextTokPtr >> 2] = $add_ptr67_add_ptr43;
   175143     $retval_0 = 7;
   175144     label = 31;
   175145     break;
   175146    case 27:
   175147     HEAP32[$nextTokPtr >> 2] = $ptr_addr_050;
   175148     $retval_0 = 6;
   175149     label = 31;
   175150     break;
   175151    case 28:
   175152     $add_ptr70 = $ptr_addr_050 + 2 | 0;
   175153     $ptr_addr_0_be = $add_ptr70;
   175154     label = 29;
   175155     break;
   175156    case 29:
   175157     $cmp1 = ($ptr_addr_0_be | 0) == ($end | 0);
   175158     if ($cmp1) {
   175159       $ptr_addr_0_lcssa = $ptr_addr_0_be;
   175160       label = 30;
   175161       break;
   175162     } else {
   175163       $ptr_addr_050 = $ptr_addr_0_be;
   175164       label = 5;
   175165       break;
   175166     }
   175167    case 30:
   175168     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0_lcssa;
   175169     $retval_0 = 6;
   175170     label = 31;
   175171     break;
   175172    case 31:
   175173     return $retval_0 | 0;
   175174   }
   175175   return 0;
   175176 }
   175177 function _big2_nameMatchesAscii($enc, $ptr1, $end1, $ptr2) {
   175178   $enc = $enc | 0;
   175179   $ptr1 = $ptr1 | 0;
   175180   $end1 = $end1 | 0;
   175181   $ptr2 = $ptr2 | 0;
   175182   var $0 = 0, $tobool7 = 0, $cmp8 = 0, $cmp11 = 0, $1 = 0, $ptr2_addr_010 = 0, $ptr1_addr_09 = 0, $2 = 0, $cmp1 = 0, $arrayidx3 = 0, $3 = 0, $cmp6 = 0, $add_ptr = 0, $incdec_ptr = 0, $4 = 0, $tobool = 0, $cmp = 0, $cmp_lcssa = 0, $conv11 = 0, $retval_0 = 0, label = 0;
   175183   label = 2;
   175184   while (1) switch (label | 0) {
   175185    case 2:
   175186     $0 = HEAP8[$ptr2] | 0;
   175187     $tobool7 = $0 << 24 >> 24 == 0;
   175188     $cmp8 = ($ptr1 | 0) == ($end1 | 0);
   175189     if ($tobool7) {
   175190       $cmp_lcssa = $cmp8;
   175191       label = 7;
   175192       break;
   175193     } else {
   175194       $ptr1_addr_09 = $ptr1;
   175195       $ptr2_addr_010 = $ptr2;
   175196       $1 = $0;
   175197       $cmp11 = $cmp8;
   175198       label = 3;
   175199       break;
   175200     }
   175201    case 3:
   175202     if ($cmp11) {
   175203       $retval_0 = 0;
   175204       label = 8;
   175205       break;
   175206     } else {
   175207       label = 4;
   175208       break;
   175209     }
   175210    case 4:
   175211     $2 = HEAP8[$ptr1_addr_09] | 0;
   175212     $cmp1 = $2 << 24 >> 24 == 0;
   175213     if ($cmp1) {
   175214       label = 5;
   175215       break;
   175216     } else {
   175217       $retval_0 = 0;
   175218       label = 8;
   175219       break;
   175220     }
   175221    case 5:
   175222     $arrayidx3 = $ptr1_addr_09 + 1 | 0;
   175223     $3 = HEAP8[$arrayidx3] | 0;
   175224     $cmp6 = $3 << 24 >> 24 == $1 << 24 >> 24;
   175225     if ($cmp6) {
   175226       label = 6;
   175227       break;
   175228     } else {
   175229       $retval_0 = 0;
   175230       label = 8;
   175231       break;
   175232     }
   175233    case 6:
   175234     $add_ptr = $ptr1_addr_09 + 2 | 0;
   175235     $incdec_ptr = $ptr2_addr_010 + 1 | 0;
   175236     $4 = HEAP8[$incdec_ptr] | 0;
   175237     $tobool = $4 << 24 >> 24 == 0;
   175238     $cmp = ($add_ptr | 0) == ($end1 | 0);
   175239     if ($tobool) {
   175240       $cmp_lcssa = $cmp;
   175241       label = 7;
   175242       break;
   175243     } else {
   175244       $ptr1_addr_09 = $add_ptr;
   175245       $ptr2_addr_010 = $incdec_ptr;
   175246       $1 = $4;
   175247       $cmp11 = $cmp;
   175248       label = 3;
   175249       break;
   175250     }
   175251    case 7:
   175252     $conv11 = $cmp_lcssa & 1;
   175253     $retval_0 = $conv11;
   175254     label = 8;
   175255     break;
   175256    case 8:
   175257     return $retval_0 | 0;
   175258   }
   175259   return 0;
   175260 }
   175261 function _big2_predefinedEntityName($enc, $ptr, $end) {
   175262   $enc = $enc | 0;
   175263   $ptr = $ptr | 0;
   175264   $end = $end | 0;
   175265   var $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $div = 0, $add_ptr = 0, $0 = 0, $cmp = 0, $arrayidx3 = 0, $1 = 0, $cmp5 = 0, $2 = 0, $cmp9 = 0, $arrayidx11 = 0, $3 = 0, $conv12 = 0, $4 = 0, $cmp18 = 0, $arrayidx21 = 0, $5 = 0, $cmp23 = 0, $add_ptr26 = 0, $6 = 0, $cmp29 = 0, $arrayidx32 = 0, $7 = 0, $cmp34 = 0, $add_ptr37 = 0, $8 = 0, $cmp40 = 0, $arrayidx43 = 0, $9 = 0, $cmp45 = 0, $10 = 0, $cmp54 = 0, $arrayidx57 = 0, $11 = 0, $conv58 = 0, $add_ptr63 = 0, $12 = 0, $cmp66 = 0, $arrayidx69 = 0, $13 = 0, $cmp71 = 0, $add_ptr74 = 0, $14 = 0, $cmp77 = 0, $arrayidx80 = 0, $15 = 0, $cmp82 = 0, $add_ptr85 = 0, $16 = 0, $cmp88 = 0, $arrayidx91 = 0, $17 = 0, $cmp93 = 0, $add_ptr100 = 0, $18 = 0, $cmp103 = 0, $arrayidx106 = 0, $19 = 0, $cmp108 = 0, $add_ptr111 = 0, $20 = 0, $cmp114 = 0, $arrayidx117 = 0, $21 = 0, $cmp119 = 0, $add_ptr122 = 0, $22 = 0, $cmp125 = 0, $arrayidx128 = 0, $23 = 0, $cmp130 = 0, $retval_0 = 0, label = 0;
   175266   label = 2;
   175267   while (1) switch (label | 0) {
   175268    case 2:
   175269     $sub_ptr_lhs_cast = $end;
   175270     $sub_ptr_rhs_cast = $ptr;
   175271     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   175272     $div = ($sub_ptr_sub | 0) / 2 & -1;
   175273     if (($div | 0) == 2) {
   175274       label = 3;
   175275       break;
   175276     } else if (($div | 0) == 3) {
   175277       label = 8;
   175278       break;
   175279     } else if (($div | 0) == 4) {
   175280       label = 14;
   175281       break;
   175282     } else {
   175283       label = 28;
   175284       break;
   175285     }
   175286    case 3:
   175287     $add_ptr = $ptr + 2 | 0;
   175288     $0 = HEAP8[$add_ptr] | 0;
   175289     $cmp = $0 << 24 >> 24 == 0;
   175290     if ($cmp) {
   175291       label = 4;
   175292       break;
   175293     } else {
   175294       label = 28;
   175295       break;
   175296     }
   175297    case 4:
   175298     $arrayidx3 = $ptr + 3 | 0;
   175299     $1 = HEAP8[$arrayidx3] | 0;
   175300     $cmp5 = $1 << 24 >> 24 == 116;
   175301     if ($cmp5) {
   175302       label = 5;
   175303       break;
   175304     } else {
   175305       label = 28;
   175306       break;
   175307     }
   175308    case 5:
   175309     $2 = HEAP8[$ptr] | 0;
   175310     $cmp9 = $2 << 24 >> 24 == 0;
   175311     if ($cmp9) {
   175312       label = 6;
   175313       break;
   175314     } else {
   175315       label = 28;
   175316       break;
   175317     }
   175318    case 6:
   175319     $arrayidx11 = $ptr + 1 | 0;
   175320     $3 = HEAP8[$arrayidx11] | 0;
   175321     $conv12 = $3 << 24 >> 24;
   175322     if (($conv12 | 0) == 103) {
   175323       label = 7;
   175324       break;
   175325     } else if (($conv12 | 0) == 108) {
   175326       $retval_0 = 60;
   175327       label = 29;
   175328       break;
   175329     } else {
   175330       label = 28;
   175331       break;
   175332     }
   175333    case 7:
   175334     $retval_0 = 62;
   175335     label = 29;
   175336     break;
   175337    case 8:
   175338     $4 = HEAP8[$ptr] | 0;
   175339     $cmp18 = $4 << 24 >> 24 == 0;
   175340     if ($cmp18) {
   175341       label = 9;
   175342       break;
   175343     } else {
   175344       label = 28;
   175345       break;
   175346     }
   175347    case 9:
   175348     $arrayidx21 = $ptr + 1 | 0;
   175349     $5 = HEAP8[$arrayidx21] | 0;
   175350     $cmp23 = $5 << 24 >> 24 == 97;
   175351     if ($cmp23) {
   175352       label = 10;
   175353       break;
   175354     } else {
   175355       label = 28;
   175356       break;
   175357     }
   175358    case 10:
   175359     $add_ptr26 = $ptr + 2 | 0;
   175360     $6 = HEAP8[$add_ptr26] | 0;
   175361     $cmp29 = $6 << 24 >> 24 == 0;
   175362     if ($cmp29) {
   175363       label = 11;
   175364       break;
   175365     } else {
   175366       label = 28;
   175367       break;
   175368     }
   175369    case 11:
   175370     $arrayidx32 = $ptr + 3 | 0;
   175371     $7 = HEAP8[$arrayidx32] | 0;
   175372     $cmp34 = $7 << 24 >> 24 == 109;
   175373     if ($cmp34) {
   175374       label = 12;
   175375       break;
   175376     } else {
   175377       label = 28;
   175378       break;
   175379     }
   175380    case 12:
   175381     $add_ptr37 = $ptr + 4 | 0;
   175382     $8 = HEAP8[$add_ptr37] | 0;
   175383     $cmp40 = $8 << 24 >> 24 == 0;
   175384     if ($cmp40) {
   175385       label = 13;
   175386       break;
   175387     } else {
   175388       label = 28;
   175389       break;
   175390     }
   175391    case 13:
   175392     $arrayidx43 = $ptr + 5 | 0;
   175393     $9 = HEAP8[$arrayidx43] | 0;
   175394     $cmp45 = $9 << 24 >> 24 == 112;
   175395     if ($cmp45) {
   175396       $retval_0 = 38;
   175397       label = 29;
   175398       break;
   175399     } else {
   175400       label = 28;
   175401       break;
   175402     }
   175403    case 14:
   175404     $10 = HEAP8[$ptr] | 0;
   175405     $cmp54 = $10 << 24 >> 24 == 0;
   175406     if ($cmp54) {
   175407       label = 15;
   175408       break;
   175409     } else {
   175410       label = 28;
   175411       break;
   175412     }
   175413    case 15:
   175414     $arrayidx57 = $ptr + 1 | 0;
   175415     $11 = HEAP8[$arrayidx57] | 0;
   175416     $conv58 = $11 << 24 >> 24;
   175417     if (($conv58 | 0) == 113) {
   175418       label = 16;
   175419       break;
   175420     } else if (($conv58 | 0) == 97) {
   175421       label = 22;
   175422       break;
   175423     } else {
   175424       label = 28;
   175425       break;
   175426     }
   175427    case 16:
   175428     $add_ptr63 = $ptr + 2 | 0;
   175429     $12 = HEAP8[$add_ptr63] | 0;
   175430     $cmp66 = $12 << 24 >> 24 == 0;
   175431     if ($cmp66) {
   175432       label = 17;
   175433       break;
   175434     } else {
   175435       label = 28;
   175436       break;
   175437     }
   175438    case 17:
   175439     $arrayidx69 = $ptr + 3 | 0;
   175440     $13 = HEAP8[$arrayidx69] | 0;
   175441     $cmp71 = $13 << 24 >> 24 == 117;
   175442     if ($cmp71) {
   175443       label = 18;
   175444       break;
   175445     } else {
   175446       label = 28;
   175447       break;
   175448     }
   175449    case 18:
   175450     $add_ptr74 = $ptr + 4 | 0;
   175451     $14 = HEAP8[$add_ptr74] | 0;
   175452     $cmp77 = $14 << 24 >> 24 == 0;
   175453     if ($cmp77) {
   175454       label = 19;
   175455       break;
   175456     } else {
   175457       label = 28;
   175458       break;
   175459     }
   175460    case 19:
   175461     $arrayidx80 = $ptr + 5 | 0;
   175462     $15 = HEAP8[$arrayidx80] | 0;
   175463     $cmp82 = $15 << 24 >> 24 == 111;
   175464     if ($cmp82) {
   175465       label = 20;
   175466       break;
   175467     } else {
   175468       label = 28;
   175469       break;
   175470     }
   175471    case 20:
   175472     $add_ptr85 = $ptr + 6 | 0;
   175473     $16 = HEAP8[$add_ptr85] | 0;
   175474     $cmp88 = $16 << 24 >> 24 == 0;
   175475     if ($cmp88) {
   175476       label = 21;
   175477       break;
   175478     } else {
   175479       label = 28;
   175480       break;
   175481     }
   175482    case 21:
   175483     $arrayidx91 = $ptr + 7 | 0;
   175484     $17 = HEAP8[$arrayidx91] | 0;
   175485     $cmp93 = $17 << 24 >> 24 == 116;
   175486     if ($cmp93) {
   175487       $retval_0 = 34;
   175488       label = 29;
   175489       break;
   175490     } else {
   175491       label = 28;
   175492       break;
   175493     }
   175494    case 22:
   175495     $add_ptr100 = $ptr + 2 | 0;
   175496     $18 = HEAP8[$add_ptr100] | 0;
   175497     $cmp103 = $18 << 24 >> 24 == 0;
   175498     if ($cmp103) {
   175499       label = 23;
   175500       break;
   175501     } else {
   175502       label = 28;
   175503       break;
   175504     }
   175505    case 23:
   175506     $arrayidx106 = $ptr + 3 | 0;
   175507     $19 = HEAP8[$arrayidx106] | 0;
   175508     $cmp108 = $19 << 24 >> 24 == 112;
   175509     if ($cmp108) {
   175510       label = 24;
   175511       break;
   175512     } else {
   175513       label = 28;
   175514       break;
   175515     }
   175516    case 24:
   175517     $add_ptr111 = $ptr + 4 | 0;
   175518     $20 = HEAP8[$add_ptr111] | 0;
   175519     $cmp114 = $20 << 24 >> 24 == 0;
   175520     if ($cmp114) {
   175521       label = 25;
   175522       break;
   175523     } else {
   175524       label = 28;
   175525       break;
   175526     }
   175527    case 25:
   175528     $arrayidx117 = $ptr + 5 | 0;
   175529     $21 = HEAP8[$arrayidx117] | 0;
   175530     $cmp119 = $21 << 24 >> 24 == 111;
   175531     if ($cmp119) {
   175532       label = 26;
   175533       break;
   175534     } else {
   175535       label = 28;
   175536       break;
   175537     }
   175538    case 26:
   175539     $add_ptr122 = $ptr + 6 | 0;
   175540     $22 = HEAP8[$add_ptr122] | 0;
   175541     $cmp125 = $22 << 24 >> 24 == 0;
   175542     if ($cmp125) {
   175543       label = 27;
   175544       break;
   175545     } else {
   175546       label = 28;
   175547       break;
   175548     }
   175549    case 27:
   175550     $arrayidx128 = $ptr + 7 | 0;
   175551     $23 = HEAP8[$arrayidx128] | 0;
   175552     $cmp130 = $23 << 24 >> 24 == 115;
   175553     if ($cmp130) {
   175554       $retval_0 = 39;
   175555       label = 29;
   175556       break;
   175557     } else {
   175558       label = 28;
   175559       break;
   175560     }
   175561    case 28:
   175562     $retval_0 = 0;
   175563     label = 29;
   175564     break;
   175565    case 29:
   175566     return $retval_0 | 0;
   175567   }
   175568   return 0;
   175569 }
   175570 function _big2_sameName($enc, $ptr1, $ptr2) {
   175571   $enc = $enc | 0;
   175572   $ptr1 = $ptr1 | 0;
   175573   $ptr2 = $ptr2 | 0;
   175574   var $type = 0, $0 = 0, $ptr1_addr_0 = 0, $ptr2_addr_0 = 0, $1 = 0, $cmp = 0, $arrayidx2 = 0, $2 = 0, $idxprom = 0, $arrayidx3 = 0, $3 = 0, $conv4 = 0, $call = 0, $cond = 0, $4 = 0, $incdec_ptr8 = 0, $5 = 0, $cmp10 = 0, $ptr1_addr_1 = 0, $ptr2_addr_1 = 0, $incdec_ptr13 = 0, $6 = 0, $incdec_ptr15 = 0, $7 = 0, $cmp17 = 0, $ptr1_addr_2 = 0, $ptr2_addr_2 = 0, $8 = 0, $9 = 0, $cmp26 = 0, $incdec_ptr24 = 0, $incdec_ptr22 = 0, $10 = 0, $11 = 0, $cmp34 = 0, $12 = 0, $13 = 0, $cmp43 = 0, $incdec_ptr39 = 0, $14 = 0, $15 = 0, $cmp51 = 0, $ptr1_addr_0_pn = 0, $ptr2_addr_0_pn = 0, $ptr2_addr_0_be = 0, $ptr1_addr_0_be = 0, $16 = 0, $cmp57 = 0, $arrayidx60 = 0, $17 = 0, $idxprom61 = 0, $type62 = 0, $18 = 0, $arrayidx63 = 0, $19 = 0, $conv64 = 0, $call68 = 0, $cond70 = 0, $retval_0 = 0, label = 0;
   175575   label = 2;
   175576   while (1) switch (label | 0) {
   175577    case 2:
   175578     $type = $enc + 72 | 0;
   175579     $0 = $type;
   175580     $ptr2_addr_0 = $ptr2;
   175581     $ptr1_addr_0 = $ptr1;
   175582     label = 3;
   175583     break;
   175584    case 3:
   175585     $1 = HEAP8[$ptr1_addr_0] | 0;
   175586     $cmp = $1 << 24 >> 24 == 0;
   175587     $arrayidx2 = $ptr1_addr_0 + 1 | 0;
   175588     $2 = HEAP8[$arrayidx2] | 0;
   175589     if ($cmp) {
   175590       label = 4;
   175591       break;
   175592     } else {
   175593       label = 5;
   175594       break;
   175595     }
   175596    case 4:
   175597     $idxprom = $2 & 255;
   175598     $arrayidx3 = $0 + $idxprom | 0;
   175599     $3 = HEAP8[$arrayidx3] | 0;
   175600     $conv4 = $3 & 255;
   175601     $cond = $conv4;
   175602     label = 6;
   175603     break;
   175604    case 5:
   175605     $call = _unicode_byte_type($1, $2) | 0;
   175606     $cond = $call;
   175607     label = 6;
   175608     break;
   175609    case 6:
   175610     if (($cond | 0) == 7) {
   175611       label = 7;
   175612       break;
   175613     } else if (($cond | 0) == 6) {
   175614       $ptr2_addr_1 = $ptr2_addr_0;
   175615       $ptr1_addr_1 = $ptr1_addr_0;
   175616       label = 8;
   175617       break;
   175618     } else if (($cond | 0) == 5) {
   175619       $ptr2_addr_2 = $ptr2_addr_0;
   175620       $ptr1_addr_2 = $ptr1_addr_0;
   175621       label = 9;
   175622       break;
   175623     } else if (($cond | 0) == 29 | ($cond | 0) == 22 | ($cond | 0) == 24 | ($cond | 0) == 25 | ($cond | 0) == 26 | ($cond | 0) == 27) {
   175624       label = 11;
   175625       break;
   175626     } else {
   175627       label = 14;
   175628       break;
   175629     }
   175630    case 7:
   175631     $4 = HEAP8[$ptr1_addr_0] | 0;
   175632     $incdec_ptr8 = $ptr2_addr_0 + 1 | 0;
   175633     $5 = HEAP8[$ptr2_addr_0] | 0;
   175634     $cmp10 = $4 << 24 >> 24 == $5 << 24 >> 24;
   175635     if ($cmp10) {
   175636       $ptr2_addr_1 = $incdec_ptr8;
   175637       $ptr1_addr_1 = $arrayidx2;
   175638       label = 8;
   175639       break;
   175640     } else {
   175641       $retval_0 = 0;
   175642       label = 19;
   175643       break;
   175644     }
   175645    case 8:
   175646     $incdec_ptr13 = $ptr1_addr_1 + 1 | 0;
   175647     $6 = HEAP8[$ptr1_addr_1] | 0;
   175648     $incdec_ptr15 = $ptr2_addr_1 + 1 | 0;
   175649     $7 = HEAP8[$ptr2_addr_1] | 0;
   175650     $cmp17 = $6 << 24 >> 24 == $7 << 24 >> 24;
   175651     if ($cmp17) {
   175652       $ptr2_addr_2 = $incdec_ptr15;
   175653       $ptr1_addr_2 = $incdec_ptr13;
   175654       label = 9;
   175655       break;
   175656     } else {
   175657       $retval_0 = 0;
   175658       label = 19;
   175659       break;
   175660     }
   175661    case 9:
   175662     $8 = HEAP8[$ptr1_addr_2] | 0;
   175663     $9 = HEAP8[$ptr2_addr_2] | 0;
   175664     $cmp26 = $8 << 24 >> 24 == $9 << 24 >> 24;
   175665     if ($cmp26) {
   175666       label = 10;
   175667       break;
   175668     } else {
   175669       $retval_0 = 0;
   175670       label = 19;
   175671       break;
   175672     }
   175673    case 10:
   175674     $incdec_ptr24 = $ptr2_addr_2 + 1 | 0;
   175675     $incdec_ptr22 = $ptr1_addr_2 + 1 | 0;
   175676     $10 = HEAP8[$incdec_ptr22] | 0;
   175677     $11 = HEAP8[$incdec_ptr24] | 0;
   175678     $cmp34 = $10 << 24 >> 24 == $11 << 24 >> 24;
   175679     if ($cmp34) {
   175680       $ptr2_addr_0_pn = $ptr2_addr_2;
   175681       $ptr1_addr_0_pn = $ptr1_addr_2;
   175682       label = 13;
   175683       break;
   175684     } else {
   175685       $retval_0 = 0;
   175686       label = 19;
   175687       break;
   175688     }
   175689    case 11:
   175690     $12 = HEAP8[$ptr2_addr_0] | 0;
   175691     $13 = HEAP8[$ptr1_addr_0] | 0;
   175692     $cmp43 = $12 << 24 >> 24 == $13 << 24 >> 24;
   175693     if ($cmp43) {
   175694       label = 12;
   175695       break;
   175696     } else {
   175697       $retval_0 = 0;
   175698       label = 19;
   175699       break;
   175700     }
   175701    case 12:
   175702     $incdec_ptr39 = $ptr2_addr_0 + 1 | 0;
   175703     $14 = HEAP8[$incdec_ptr39] | 0;
   175704     $15 = HEAP8[$arrayidx2] | 0;
   175705     $cmp51 = $14 << 24 >> 24 == $15 << 24 >> 24;
   175706     if ($cmp51) {
   175707       $ptr2_addr_0_pn = $ptr2_addr_0;
   175708       $ptr1_addr_0_pn = $ptr1_addr_0;
   175709       label = 13;
   175710       break;
   175711     } else {
   175712       $retval_0 = 0;
   175713       label = 19;
   175714       break;
   175715     }
   175716    case 13:
   175717     $ptr2_addr_0_be = $ptr2_addr_0_pn + 2 | 0;
   175718     $ptr1_addr_0_be = $ptr1_addr_0_pn + 2 | 0;
   175719     $ptr2_addr_0 = $ptr2_addr_0_be;
   175720     $ptr1_addr_0 = $ptr1_addr_0_be;
   175721     label = 3;
   175722     break;
   175723    case 14:
   175724     $16 = HEAP8[$ptr2_addr_0] | 0;
   175725     $cmp57 = $16 << 24 >> 24 == 0;
   175726     $arrayidx60 = $ptr2_addr_0 + 1 | 0;
   175727     $17 = HEAP8[$arrayidx60] | 0;
   175728     if ($cmp57) {
   175729       label = 15;
   175730       break;
   175731     } else {
   175732       label = 16;
   175733       break;
   175734     }
   175735    case 15:
   175736     $idxprom61 = $17 & 255;
   175737     $type62 = $enc + 72 | 0;
   175738     $18 = $type62;
   175739     $arrayidx63 = $18 + $idxprom61 | 0;
   175740     $19 = HEAP8[$arrayidx63] | 0;
   175741     $conv64 = $19 & 255;
   175742     $cond70 = $conv64;
   175743     label = 17;
   175744     break;
   175745    case 16:
   175746     $call68 = _unicode_byte_type($16, $17) | 0;
   175747     $cond70 = $call68;
   175748     label = 17;
   175749     break;
   175750    case 17:
   175751     if (($cond70 | 0) == 5 | ($cond70 | 0) == 6 | ($cond70 | 0) == 7 | ($cond70 | 0) == 29 | ($cond70 | 0) == 22 | ($cond70 | 0) == 24 | ($cond70 | 0) == 25 | ($cond70 | 0) == 26 | ($cond70 | 0) == 27) {
   175752       $retval_0 = 0;
   175753       label = 19;
   175754       break;
   175755     } else {
   175756       label = 18;
   175757       break;
   175758     }
   175759    case 18:
   175760     $retval_0 = 1;
   175761     label = 19;
   175762     break;
   175763    case 19:
   175764     return $retval_0 | 0;
   175765   }
   175766   return 0;
   175767 }
   175768 function _big2_nameLength($enc, $ptr) {
   175769   $enc = $enc | 0;
   175770   $ptr = $ptr | 0;
   175771   var $type = 0, $0 = 0, $ptr_addr_0 = 0, $1 = 0, $cmp = 0, $arrayidx2 = 0, $2 = 0, $idxprom = 0, $arrayidx3 = 0, $3 = 0, $conv4 = 0, $call = 0, $cond = 0, $add_ptr = 0, $add_ptr8 = 0, $add_ptr10 = 0, $add_ptr12 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, label = 0;
   175772   label = 2;
   175773   while (1) switch (label | 0) {
   175774    case 2:
   175775     $type = $enc + 72 | 0;
   175776     $0 = $type;
   175777     $ptr_addr_0 = $ptr;
   175778     label = 3;
   175779     break;
   175780    case 3:
   175781     $1 = HEAP8[$ptr_addr_0] | 0;
   175782     $cmp = $1 << 24 >> 24 == 0;
   175783     $arrayidx2 = $ptr_addr_0 + 1 | 0;
   175784     $2 = HEAP8[$arrayidx2] | 0;
   175785     if ($cmp) {
   175786       label = 4;
   175787       break;
   175788     } else {
   175789       label = 5;
   175790       break;
   175791     }
   175792    case 4:
   175793     $idxprom = $2 & 255;
   175794     $arrayidx3 = $0 + $idxprom | 0;
   175795     $3 = HEAP8[$arrayidx3] | 0;
   175796     $conv4 = $3 & 255;
   175797     $cond = $conv4;
   175798     label = 6;
   175799     break;
   175800    case 5:
   175801     $call = _unicode_byte_type($1, $2) | 0;
   175802     $cond = $call;
   175803     label = 6;
   175804     break;
   175805    case 6:
   175806     if (($cond | 0) == 5) {
   175807       label = 7;
   175808       break;
   175809     } else if (($cond | 0) == 6) {
   175810       label = 8;
   175811       break;
   175812     } else if (($cond | 0) == 7) {
   175813       label = 9;
   175814       break;
   175815     } else if (($cond | 0) == 29 | ($cond | 0) == 22 | ($cond | 0) == 24 | ($cond | 0) == 25 | ($cond | 0) == 26 | ($cond | 0) == 27) {
   175816       label = 10;
   175817       break;
   175818     } else {
   175819       label = 11;
   175820       break;
   175821     }
   175822    case 7:
   175823     $add_ptr = $ptr_addr_0 + 2 | 0;
   175824     $ptr_addr_0 = $add_ptr;
   175825     label = 3;
   175826     break;
   175827    case 8:
   175828     $add_ptr8 = $ptr_addr_0 + 3 | 0;
   175829     $ptr_addr_0 = $add_ptr8;
   175830     label = 3;
   175831     break;
   175832    case 9:
   175833     $add_ptr10 = $ptr_addr_0 + 4 | 0;
   175834     $ptr_addr_0 = $add_ptr10;
   175835     label = 3;
   175836     break;
   175837    case 10:
   175838     $add_ptr12 = $ptr_addr_0 + 2 | 0;
   175839     $ptr_addr_0 = $add_ptr12;
   175840     label = 3;
   175841     break;
   175842    case 11:
   175843     $sub_ptr_lhs_cast = $ptr_addr_0;
   175844     $sub_ptr_rhs_cast = $ptr;
   175845     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   175846     return $sub_ptr_sub | 0;
   175847   }
   175848   return 0;
   175849 }
   175850 function _big2_skipS($enc, $ptr) {
   175851   $enc = $enc | 0;
   175852   $ptr = $ptr | 0;
   175853   var $type = 0, $0 = 0, $ptr_addr_0 = 0, $1 = 0, $cmp = 0, $arrayidx2 = 0, $2 = 0, $idxprom = 0, $arrayidx3 = 0, $3 = 0, $conv4 = 0, $call = 0, $cond = 0, $add_ptr = 0, label = 0;
   175854   label = 2;
   175855   while (1) switch (label | 0) {
   175856    case 2:
   175857     $type = $enc + 72 | 0;
   175858     $0 = $type;
   175859     $ptr_addr_0 = $ptr;
   175860     label = 3;
   175861     break;
   175862    case 3:
   175863     $1 = HEAP8[$ptr_addr_0] | 0;
   175864     $cmp = $1 << 24 >> 24 == 0;
   175865     $arrayidx2 = $ptr_addr_0 + 1 | 0;
   175866     $2 = HEAP8[$arrayidx2] | 0;
   175867     if ($cmp) {
   175868       label = 4;
   175869       break;
   175870     } else {
   175871       label = 5;
   175872       break;
   175873     }
   175874    case 4:
   175875     $idxprom = $2 & 255;
   175876     $arrayidx3 = $0 + $idxprom | 0;
   175877     $3 = HEAP8[$arrayidx3] | 0;
   175878     $conv4 = $3 & 255;
   175879     $cond = $conv4;
   175880     label = 6;
   175881     break;
   175882    case 5:
   175883     $call = _unicode_byte_type($1, $2) | 0;
   175884     $cond = $call;
   175885     label = 6;
   175886     break;
   175887    case 6:
   175888     if (($cond | 0) == 10 | ($cond | 0) == 9 | ($cond | 0) == 21) {
   175889       label = 7;
   175890       break;
   175891     } else {
   175892       label = 8;
   175893       break;
   175894     }
   175895    case 7:
   175896     $add_ptr = $ptr_addr_0 + 2 | 0;
   175897     $ptr_addr_0 = $add_ptr;
   175898     label = 3;
   175899     break;
   175900    case 8:
   175901     return $ptr_addr_0 | 0;
   175902   }
   175903   return 0;
   175904 }
   175905 function _big2_getAtts($enc, $ptr, $attsMax, $atts) {
   175906   $enc = $enc | 0;
   175907   $ptr = $ptr | 0;
   175908   $attsMax = $attsMax | 0;
   175909   $atts = $atts | 0;
   175910   var $type = 0, $0 = 0, $type163 = 0, $1 = 0, $ptr_pn = 0, $state_0 = 0, $nAtts_0 = 0, $open_0 = 0, $ptr_addr_0 = 0, $2 = 0, $cmp = 0, $arrayidx2 = 0, $3 = 0, $idxprom = 0, $arrayidx3 = 0, $4 = 0, $conv4 = 0, $call = 0, $cond = 0, $cmp7 = 0, $cmp9 = 0, $name = 0, $normalized = 0, $cmp17 = 0, $cmp20 = 0, $name24 = 0, $normalized26 = 0, $cmp31 = 0, $cmp34 = 0, $name38 = 0, $normalized40 = 0, $state_3 = 0, $add_ptr43 = 0, $cmp45 = 0, $cmp48 = 0, $name52 = 0, $normalized54 = 0, $cmp58 = 0, $cmp61 = 0, $add_ptr64 = 0, $valuePtr = 0, $cmp67 = 0, $cmp70 = 0, $valueEnd = 0, $inc = 0, $cmp78 = 0, $cmp81 = 0, $add_ptr84 = 0, $valuePtr86 = 0, $cmp89 = 0, $cmp92 = 0, $valueEnd96 = 0, $inc98 = 0, $cmp102 = 0, $normalized106 = 0, $cmp109 = 0, $cmp113 = 0, $cmp115 = 0, $or_cond = 0, $normalized119 = 0, $5 = 0, $tobool = 0, $valuePtr123 = 0, $6 = 0, $cmp124 = 0, $7 = 0, $cmp128 = 0, $8 = 0, $phitmp = 0, $add_ptr139 = 0, $9 = 0, $cmp142 = 0, $arrayidx146 = 0, $10 = 0, $phitmp93 = 0, $_pr = 0, $cmp157 = 0, $arrayidx161 = 0, $11 = 0, $idxprom162 = 0, $arrayidx164 = 0, $12 = 0, $conv165 = 0, $13 = 0, $14 = 0, $call171 = 0, $cond173 = 0, $cmp174 = 0, $cmp182 = 0, $cmp186 = 0, $cmp189 = 0, $or_cond94 = 0, $normalized193 = 0, $cmp197 = 0, label = 0;
   175911   label = 2;
   175912   while (1) switch (label | 0) {
   175913    case 2:
   175914     $type = $enc + 72 | 0;
   175915     $0 = $type;
   175916     $type163 = $enc + 72 | 0;
   175917     $1 = $type163;
   175918     $open_0 = 0;
   175919     $nAtts_0 = 0;
   175920     $state_0 = 1;
   175921     $ptr_pn = $ptr;
   175922     label = 3;
   175923     break;
   175924    case 3:
   175925     $ptr_addr_0 = $ptr_pn + 2 | 0;
   175926     $2 = HEAP8[$ptr_addr_0] | 0;
   175927     $cmp = $2 << 24 >> 24 == 0;
   175928     $arrayidx2 = $ptr_pn + 3 | 0;
   175929     $3 = HEAP8[$arrayidx2] | 0;
   175930     if ($cmp) {
   175931       label = 4;
   175932       break;
   175933     } else {
   175934       label = 5;
   175935       break;
   175936     }
   175937    case 4:
   175938     $idxprom = $3 & 255;
   175939     $arrayidx3 = $0 + $idxprom | 0;
   175940     $4 = HEAP8[$arrayidx3] | 0;
   175941     $conv4 = $4 & 255;
   175942     $cond = $conv4;
   175943     label = 6;
   175944     break;
   175945    case 5:
   175946     $call = _unicode_byte_type($2, $3) | 0;
   175947     $cond = $call;
   175948     label = 6;
   175949     break;
   175950    case 6:
   175951     if (($cond | 0) == 5) {
   175952       label = 7;
   175953       break;
   175954     } else if (($cond | 0) == 6) {
   175955       label = 10;
   175956       break;
   175957     } else if (($cond | 0) == 7) {
   175958       label = 13;
   175959       break;
   175960     } else if (($cond | 0) == 29 | ($cond | 0) == 22 | ($cond | 0) == 24) {
   175961       label = 17;
   175962       break;
   175963     } else if (($cond | 0) == 12) {
   175964       label = 20;
   175965       break;
   175966     } else if (($cond | 0) == 13) {
   175967       label = 27;
   175968       break;
   175969     } else if (($cond | 0) == 3) {
   175970       label = 34;
   175971       break;
   175972     } else if (($cond | 0) == 21) {
   175973       label = 36;
   175974       break;
   175975     } else if (($cond | 0) == 9 | ($cond | 0) == 10) {
   175976       label = 49;
   175977       break;
   175978     } else if (($cond | 0) == 11 | ($cond | 0) == 17) {
   175979       label = 52;
   175980       break;
   175981     } else {
   175982       $open_0 = $open_0;
   175983       $nAtts_0 = $nAtts_0;
   175984       $state_0 = $state_0;
   175985       $ptr_pn = $ptr_addr_0;
   175986       label = 3;
   175987       break;
   175988     }
   175989    case 7:
   175990     $cmp7 = ($state_0 | 0) == 0;
   175991     if ($cmp7) {
   175992       label = 8;
   175993       break;
   175994     } else {
   175995       $open_0 = $open_0;
   175996       $nAtts_0 = $nAtts_0;
   175997       $state_0 = $state_0;
   175998       $ptr_pn = $ptr_addr_0;
   175999       label = 3;
   176000       break;
   176001     }
   176002    case 8:
   176003     $cmp9 = ($nAtts_0 | 0) < ($attsMax | 0);
   176004     if ($cmp9) {
   176005       label = 9;
   176006       break;
   176007     } else {
   176008       $open_0 = $open_0;
   176009       $nAtts_0 = $nAtts_0;
   176010       $state_0 = 1;
   176011       $ptr_pn = $ptr_addr_0;
   176012       label = 3;
   176013       break;
   176014     }
   176015    case 9:
   176016     $name = $atts + ($nAtts_0 << 4) | 0;
   176017     HEAP32[$name >> 2] = $ptr_addr_0;
   176018     $normalized = $atts + ($nAtts_0 << 4) + 12 | 0;
   176019     HEAP8[$normalized] = 1;
   176020     $open_0 = $open_0;
   176021     $nAtts_0 = $nAtts_0;
   176022     $state_0 = 1;
   176023     $ptr_pn = $ptr_addr_0;
   176024     label = 3;
   176025     break;
   176026    case 10:
   176027     $cmp17 = ($state_0 | 0) == 0;
   176028     if ($cmp17) {
   176029       label = 11;
   176030       break;
   176031     } else {
   176032       $open_0 = $open_0;
   176033       $nAtts_0 = $nAtts_0;
   176034       $state_0 = $state_0;
   176035       $ptr_pn = $arrayidx2;
   176036       label = 3;
   176037       break;
   176038     }
   176039    case 11:
   176040     $cmp20 = ($nAtts_0 | 0) < ($attsMax | 0);
   176041     if ($cmp20) {
   176042       label = 12;
   176043       break;
   176044     } else {
   176045       $open_0 = $open_0;
   176046       $nAtts_0 = $nAtts_0;
   176047       $state_0 = 1;
   176048       $ptr_pn = $arrayidx2;
   176049       label = 3;
   176050       break;
   176051     }
   176052    case 12:
   176053     $name24 = $atts + ($nAtts_0 << 4) | 0;
   176054     HEAP32[$name24 >> 2] = $ptr_addr_0;
   176055     $normalized26 = $atts + ($nAtts_0 << 4) + 12 | 0;
   176056     HEAP8[$normalized26] = 1;
   176057     $open_0 = $open_0;
   176058     $nAtts_0 = $nAtts_0;
   176059     $state_0 = 1;
   176060     $ptr_pn = $arrayidx2;
   176061     label = 3;
   176062     break;
   176063    case 13:
   176064     $cmp31 = ($state_0 | 0) == 0;
   176065     if ($cmp31) {
   176066       label = 14;
   176067       break;
   176068     } else {
   176069       $state_3 = $state_0;
   176070       label = 16;
   176071       break;
   176072     }
   176073    case 14:
   176074     $cmp34 = ($nAtts_0 | 0) < ($attsMax | 0);
   176075     if ($cmp34) {
   176076       label = 15;
   176077       break;
   176078     } else {
   176079       $state_3 = 1;
   176080       label = 16;
   176081       break;
   176082     }
   176083    case 15:
   176084     $name38 = $atts + ($nAtts_0 << 4) | 0;
   176085     HEAP32[$name38 >> 2] = $ptr_addr_0;
   176086     $normalized40 = $atts + ($nAtts_0 << 4) + 12 | 0;
   176087     HEAP8[$normalized40] = 1;
   176088     $state_3 = 1;
   176089     label = 16;
   176090     break;
   176091    case 16:
   176092     $add_ptr43 = $ptr_pn + 4 | 0;
   176093     $open_0 = $open_0;
   176094     $nAtts_0 = $nAtts_0;
   176095     $state_0 = $state_3;
   176096     $ptr_pn = $add_ptr43;
   176097     label = 3;
   176098     break;
   176099    case 17:
   176100     $cmp45 = ($state_0 | 0) == 0;
   176101     if ($cmp45) {
   176102       label = 18;
   176103       break;
   176104     } else {
   176105       $open_0 = $open_0;
   176106       $nAtts_0 = $nAtts_0;
   176107       $state_0 = $state_0;
   176108       $ptr_pn = $ptr_addr_0;
   176109       label = 3;
   176110       break;
   176111     }
   176112    case 18:
   176113     $cmp48 = ($nAtts_0 | 0) < ($attsMax | 0);
   176114     if ($cmp48) {
   176115       label = 19;
   176116       break;
   176117     } else {
   176118       $open_0 = $open_0;
   176119       $nAtts_0 = $nAtts_0;
   176120       $state_0 = 1;
   176121       $ptr_pn = $ptr_addr_0;
   176122       label = 3;
   176123       break;
   176124     }
   176125    case 19:
   176126     $name52 = $atts + ($nAtts_0 << 4) | 0;
   176127     HEAP32[$name52 >> 2] = $ptr_addr_0;
   176128     $normalized54 = $atts + ($nAtts_0 << 4) + 12 | 0;
   176129     HEAP8[$normalized54] = 1;
   176130     $open_0 = $open_0;
   176131     $nAtts_0 = $nAtts_0;
   176132     $state_0 = 1;
   176133     $ptr_pn = $ptr_addr_0;
   176134     label = 3;
   176135     break;
   176136    case 20:
   176137     $cmp58 = ($state_0 | 0) == 2;
   176138     if ($cmp58) {
   176139       label = 23;
   176140       break;
   176141     } else {
   176142       label = 21;
   176143       break;
   176144     }
   176145    case 21:
   176146     $cmp61 = ($nAtts_0 | 0) < ($attsMax | 0);
   176147     if ($cmp61) {
   176148       label = 22;
   176149       break;
   176150     } else {
   176151       $open_0 = 12;
   176152       $nAtts_0 = $nAtts_0;
   176153       $state_0 = 2;
   176154       $ptr_pn = $ptr_addr_0;
   176155       label = 3;
   176156       break;
   176157     }
   176158    case 22:
   176159     $add_ptr64 = $ptr_pn + 4 | 0;
   176160     $valuePtr = $atts + ($nAtts_0 << 4) + 4 | 0;
   176161     HEAP32[$valuePtr >> 2] = $add_ptr64;
   176162     $open_0 = 12;
   176163     $nAtts_0 = $nAtts_0;
   176164     $state_0 = 2;
   176165     $ptr_pn = $ptr_addr_0;
   176166     label = 3;
   176167     break;
   176168    case 23:
   176169     $cmp67 = ($open_0 | 0) == 12;
   176170     if ($cmp67) {
   176171       label = 24;
   176172       break;
   176173     } else {
   176174       $open_0 = $open_0;
   176175       $nAtts_0 = $nAtts_0;
   176176       $state_0 = 2;
   176177       $ptr_pn = $ptr_addr_0;
   176178       label = 3;
   176179       break;
   176180     }
   176181    case 24:
   176182     $cmp70 = ($nAtts_0 | 0) < ($attsMax | 0);
   176183     if ($cmp70) {
   176184       label = 25;
   176185       break;
   176186     } else {
   176187       label = 26;
   176188       break;
   176189     }
   176190    case 25:
   176191     $valueEnd = $atts + ($nAtts_0 << 4) + 8 | 0;
   176192     HEAP32[$valueEnd >> 2] = $ptr_addr_0;
   176193     label = 26;
   176194     break;
   176195    case 26:
   176196     $inc = $nAtts_0 + 1 | 0;
   176197     $open_0 = $open_0;
   176198     $nAtts_0 = $inc;
   176199     $state_0 = 0;
   176200     $ptr_pn = $ptr_addr_0;
   176201     label = 3;
   176202     break;
   176203    case 27:
   176204     $cmp78 = ($state_0 | 0) == 2;
   176205     if ($cmp78) {
   176206       label = 30;
   176207       break;
   176208     } else {
   176209       label = 28;
   176210       break;
   176211     }
   176212    case 28:
   176213     $cmp81 = ($nAtts_0 | 0) < ($attsMax | 0);
   176214     if ($cmp81) {
   176215       label = 29;
   176216       break;
   176217     } else {
   176218       $open_0 = 13;
   176219       $nAtts_0 = $nAtts_0;
   176220       $state_0 = 2;
   176221       $ptr_pn = $ptr_addr_0;
   176222       label = 3;
   176223       break;
   176224     }
   176225    case 29:
   176226     $add_ptr84 = $ptr_pn + 4 | 0;
   176227     $valuePtr86 = $atts + ($nAtts_0 << 4) + 4 | 0;
   176228     HEAP32[$valuePtr86 >> 2] = $add_ptr84;
   176229     $open_0 = 13;
   176230     $nAtts_0 = $nAtts_0;
   176231     $state_0 = 2;
   176232     $ptr_pn = $ptr_addr_0;
   176233     label = 3;
   176234     break;
   176235    case 30:
   176236     $cmp89 = ($open_0 | 0) == 13;
   176237     if ($cmp89) {
   176238       label = 31;
   176239       break;
   176240     } else {
   176241       $open_0 = $open_0;
   176242       $nAtts_0 = $nAtts_0;
   176243       $state_0 = 2;
   176244       $ptr_pn = $ptr_addr_0;
   176245       label = 3;
   176246       break;
   176247     }
   176248    case 31:
   176249     $cmp92 = ($nAtts_0 | 0) < ($attsMax | 0);
   176250     if ($cmp92) {
   176251       label = 32;
   176252       break;
   176253     } else {
   176254       label = 33;
   176255       break;
   176256     }
   176257    case 32:
   176258     $valueEnd96 = $atts + ($nAtts_0 << 4) + 8 | 0;
   176259     HEAP32[$valueEnd96 >> 2] = $ptr_addr_0;
   176260     label = 33;
   176261     break;
   176262    case 33:
   176263     $inc98 = $nAtts_0 + 1 | 0;
   176264     $open_0 = $open_0;
   176265     $nAtts_0 = $inc98;
   176266     $state_0 = 0;
   176267     $ptr_pn = $ptr_addr_0;
   176268     label = 3;
   176269     break;
   176270    case 34:
   176271     $cmp102 = ($nAtts_0 | 0) < ($attsMax | 0);
   176272     if ($cmp102) {
   176273       label = 35;
   176274       break;
   176275     } else {
   176276       $open_0 = $open_0;
   176277       $nAtts_0 = $nAtts_0;
   176278       $state_0 = $state_0;
   176279       $ptr_pn = $ptr_addr_0;
   176280       label = 3;
   176281       break;
   176282     }
   176283    case 35:
   176284     $normalized106 = $atts + ($nAtts_0 << 4) + 12 | 0;
   176285     HEAP8[$normalized106] = 0;
   176286     $open_0 = $open_0;
   176287     $nAtts_0 = $nAtts_0;
   176288     $state_0 = $state_0;
   176289     $ptr_pn = $ptr_addr_0;
   176290     label = 3;
   176291     break;
   176292    case 36:
   176293     $cmp109 = ($state_0 | 0) == 1;
   176294     if ($cmp109) {
   176295       $open_0 = $open_0;
   176296       $nAtts_0 = $nAtts_0;
   176297       $state_0 = 0;
   176298       $ptr_pn = $ptr_addr_0;
   176299       label = 3;
   176300       break;
   176301     } else {
   176302       label = 37;
   176303       break;
   176304     }
   176305    case 37:
   176306     $cmp113 = ($state_0 | 0) == 2;
   176307     $cmp115 = ($nAtts_0 | 0) < ($attsMax | 0);
   176308     $or_cond = $cmp113 & $cmp115;
   176309     if ($or_cond) {
   176310       label = 38;
   176311       break;
   176312     } else {
   176313       $open_0 = $open_0;
   176314       $nAtts_0 = $nAtts_0;
   176315       $state_0 = $state_0;
   176316       $ptr_pn = $ptr_addr_0;
   176317       label = 3;
   176318       break;
   176319     }
   176320    case 38:
   176321     $normalized119 = $atts + ($nAtts_0 << 4) + 12 | 0;
   176322     $5 = HEAP8[$normalized119] | 0;
   176323     $tobool = $5 << 24 >> 24 == 0;
   176324     if ($tobool) {
   176325       $open_0 = $open_0;
   176326       $nAtts_0 = $nAtts_0;
   176327       $state_0 = $state_0;
   176328       $ptr_pn = $ptr_addr_0;
   176329       label = 3;
   176330       break;
   176331     } else {
   176332       label = 39;
   176333       break;
   176334     }
   176335    case 39:
   176336     $valuePtr123 = $atts + ($nAtts_0 << 4) + 4 | 0;
   176337     $6 = HEAP32[$valuePtr123 >> 2] | 0;
   176338     $cmp124 = ($ptr_addr_0 | 0) == ($6 | 0);
   176339     if ($cmp124) {
   176340       label = 48;
   176341       break;
   176342     } else {
   176343       label = 40;
   176344       break;
   176345     }
   176346    case 40:
   176347     $7 = HEAP8[$ptr_addr_0] | 0;
   176348     $cmp128 = $7 << 24 >> 24 == 0;
   176349     if ($cmp128) {
   176350       label = 41;
   176351       break;
   176352     } else {
   176353       label = 48;
   176354       break;
   176355     }
   176356    case 41:
   176357     $8 = HEAP8[$arrayidx2] | 0;
   176358     $phitmp = $8 << 24 >> 24 == 32;
   176359     if ($phitmp) {
   176360       label = 42;
   176361       break;
   176362     } else {
   176363       label = 48;
   176364       break;
   176365     }
   176366    case 42:
   176367     $add_ptr139 = $ptr_pn + 4 | 0;
   176368     $9 = HEAP8[$add_ptr139] | 0;
   176369     $cmp142 = $9 << 24 >> 24 == 0;
   176370     $arrayidx146 = $ptr_pn + 5 | 0;
   176371     $10 = HEAP8[$arrayidx146] | 0;
   176372     if ($cmp142) {
   176373       label = 43;
   176374       break;
   176375     } else {
   176376       $14 = $9;
   176377       $13 = $10;
   176378       label = 46;
   176379       break;
   176380     }
   176381    case 43:
   176382     $phitmp93 = $10 << 24 >> 24 == 32;
   176383     if ($phitmp93) {
   176384       label = 48;
   176385       break;
   176386     } else {
   176387       label = 44;
   176388       break;
   176389     }
   176390    case 44:
   176391     $_pr = HEAP8[$add_ptr139] | 0;
   176392     $cmp157 = $_pr << 24 >> 24 == 0;
   176393     $arrayidx161 = $ptr_pn + 5 | 0;
   176394     $11 = HEAP8[$arrayidx161] | 0;
   176395     if ($cmp157) {
   176396       label = 45;
   176397       break;
   176398     } else {
   176399       $14 = $_pr;
   176400       $13 = $11;
   176401       label = 46;
   176402       break;
   176403     }
   176404    case 45:
   176405     $idxprom162 = $11 & 255;
   176406     $arrayidx164 = $1 + $idxprom162 | 0;
   176407     $12 = HEAP8[$arrayidx164] | 0;
   176408     $conv165 = $12 & 255;
   176409     $cond173 = $conv165;
   176410     label = 47;
   176411     break;
   176412    case 46:
   176413     $call171 = _unicode_byte_type($14, $13) | 0;
   176414     $cond173 = $call171;
   176415     label = 47;
   176416     break;
   176417    case 47:
   176418     $cmp174 = ($cond173 | 0) == ($open_0 | 0);
   176419     if ($cmp174) {
   176420       label = 48;
   176421       break;
   176422     } else {
   176423       $open_0 = $open_0;
   176424       $nAtts_0 = $nAtts_0;
   176425       $state_0 = $state_0;
   176426       $ptr_pn = $ptr_addr_0;
   176427       label = 3;
   176428       break;
   176429     }
   176430    case 48:
   176431     HEAP8[$normalized119] = 0;
   176432     $open_0 = $open_0;
   176433     $nAtts_0 = $nAtts_0;
   176434     $state_0 = $state_0;
   176435     $ptr_pn = $ptr_addr_0;
   176436     label = 3;
   176437     break;
   176438    case 49:
   176439     $cmp182 = ($state_0 | 0) == 1;
   176440     if ($cmp182) {
   176441       $open_0 = $open_0;
   176442       $nAtts_0 = $nAtts_0;
   176443       $state_0 = 0;
   176444       $ptr_pn = $ptr_addr_0;
   176445       label = 3;
   176446       break;
   176447     } else {
   176448       label = 50;
   176449       break;
   176450     }
   176451    case 50:
   176452     $cmp186 = ($state_0 | 0) == 2;
   176453     $cmp189 = ($nAtts_0 | 0) < ($attsMax | 0);
   176454     $or_cond94 = $cmp186 & $cmp189;
   176455     if ($or_cond94) {
   176456       label = 51;
   176457       break;
   176458     } else {
   176459       $open_0 = $open_0;
   176460       $nAtts_0 = $nAtts_0;
   176461       $state_0 = $state_0;
   176462       $ptr_pn = $ptr_addr_0;
   176463       label = 3;
   176464       break;
   176465     }
   176466    case 51:
   176467     $normalized193 = $atts + ($nAtts_0 << 4) + 12 | 0;
   176468     HEAP8[$normalized193] = 0;
   176469     $open_0 = $open_0;
   176470     $nAtts_0 = $nAtts_0;
   176471     $state_0 = $state_0;
   176472     $ptr_pn = $ptr_addr_0;
   176473     label = 3;
   176474     break;
   176475    case 52:
   176476     $cmp197 = ($state_0 | 0) == 2;
   176477     if ($cmp197) {
   176478       $open_0 = $open_0;
   176479       $nAtts_0 = $nAtts_0;
   176480       $state_0 = 2;
   176481       $ptr_pn = $ptr_addr_0;
   176482       label = 3;
   176483       break;
   176484     } else {
   176485       label = 53;
   176486       break;
   176487     }
   176488    case 53:
   176489     return $nAtts_0 | 0;
   176490   }
   176491   return 0;
   176492 }
   176493 function _big2_charRefNumber($enc, $ptr) {
   176494   $enc = $enc | 0;
   176495   $ptr = $ptr | 0;
   176496   var $add_ptr = 0, $0 = 0, $cmp = 0, $arrayidx2 = 0, $1 = 0, $cmp4 = 0, $add_ptr6 = 0, $result_0 = 0, $ptr_addr_0 = 0, $2 = 0, $cmp9 = 0, $arrayidx11 = 0, $3 = 0, $phitmp28 = 0, $_pr = 0, $cmp17 = 0, $arrayidx19 = 0, $4 = 0, $conv20 = 0, $shl = 0, $sub = 0, $or = 0, $shl22 = 0, $add = 0, $add24 = 0, $shl26 = 0, $add28 = 0, $add29 = 0, $result_1 = 0, $cmp30 = 0, $add_ptr33 = 0, $result_2 = 0, $ptr_addr_1 = 0, $5 = 0, $cmp37 = 0, $arrayidx40 = 0, $6 = 0, $phitmp = 0, $_pr30 = 0, $cmp50 = 0, $arrayidx53 = 0, $7 = 0, $conv54 = 0, $phitmp27 = 0, $cond57 = 0, $mul = 0, $add59 = 0, $cmp60 = 0, $add_ptr65 = 0, $result_3 = 0, $call = 0, $retval_0 = 0, label = 0;
   176497   label = 2;
   176498   while (1) switch (label | 0) {
   176499    case 2:
   176500     $add_ptr = $ptr + 4 | 0;
   176501     $0 = HEAP8[$add_ptr] | 0;
   176502     $cmp = $0 << 24 >> 24 == 0;
   176503     if ($cmp) {
   176504       label = 3;
   176505       break;
   176506     } else {
   176507       $ptr_addr_1 = $add_ptr;
   176508       $result_2 = 0;
   176509       label = 13;
   176510       break;
   176511     }
   176512    case 3:
   176513     $arrayidx2 = $ptr + 5 | 0;
   176514     $1 = HEAP8[$arrayidx2] | 0;
   176515     $cmp4 = $1 << 24 >> 24 == 120;
   176516     if ($cmp4) {
   176517       label = 4;
   176518       break;
   176519     } else {
   176520       $ptr_addr_1 = $add_ptr;
   176521       $result_2 = 0;
   176522       label = 13;
   176523       break;
   176524     }
   176525    case 4:
   176526     $add_ptr6 = $ptr + 6 | 0;
   176527     $ptr_addr_0 = $add_ptr6;
   176528     $result_0 = 0;
   176529     label = 5;
   176530     break;
   176531    case 5:
   176532     $2 = HEAP8[$ptr_addr_0] | 0;
   176533     $cmp9 = $2 << 24 >> 24 == 0;
   176534     if ($cmp9) {
   176535       label = 6;
   176536       break;
   176537     } else {
   176538       $result_1 = $result_0;
   176539       label = 12;
   176540       break;
   176541     }
   176542    case 6:
   176543     $arrayidx11 = $ptr_addr_0 + 1 | 0;
   176544     $3 = HEAP8[$arrayidx11] | 0;
   176545     $phitmp28 = $3 << 24 >> 24 == 59;
   176546     if ($phitmp28) {
   176547       $result_3 = $result_0;
   176548       label = 18;
   176549       break;
   176550     } else {
   176551       label = 7;
   176552       break;
   176553     }
   176554    case 7:
   176555     $_pr = HEAP8[$ptr_addr_0] | 0;
   176556     $cmp17 = $_pr << 24 >> 24 == 0;
   176557     if ($cmp17) {
   176558       label = 8;
   176559       break;
   176560     } else {
   176561       $result_1 = $result_0;
   176562       label = 12;
   176563       break;
   176564     }
   176565    case 8:
   176566     $arrayidx19 = $ptr_addr_0 + 1 | 0;
   176567     $4 = HEAP8[$arrayidx19] | 0;
   176568     $conv20 = $4 << 24 >> 24;
   176569     if (($conv20 | 0) == 48 | ($conv20 | 0) == 49 | ($conv20 | 0) == 50 | ($conv20 | 0) == 51 | ($conv20 | 0) == 52 | ($conv20 | 0) == 53 | ($conv20 | 0) == 54 | ($conv20 | 0) == 55 | ($conv20 | 0) == 56 | ($conv20 | 0) == 57) {
   176570       label = 9;
   176571       break;
   176572     } else if (($conv20 | 0) == 65 | ($conv20 | 0) == 66 | ($conv20 | 0) == 67 | ($conv20 | 0) == 68 | ($conv20 | 0) == 69 | ($conv20 | 0) == 70) {
   176573       label = 10;
   176574       break;
   176575     } else if (($conv20 | 0) == 97 | ($conv20 | 0) == 98 | ($conv20 | 0) == 99 | ($conv20 | 0) == 100 | ($conv20 | 0) == 101 | ($conv20 | 0) == 102) {
   176576       label = 11;
   176577       break;
   176578     } else {
   176579       $result_1 = $result_0;
   176580       label = 12;
   176581       break;
   176582     }
   176583    case 9:
   176584     $shl = $result_0 << 4;
   176585     $sub = $conv20 - 48 | 0;
   176586     $or = $sub | $shl;
   176587     $result_1 = $or;
   176588     label = 12;
   176589     break;
   176590    case 10:
   176591     $shl22 = $result_0 << 4;
   176592     $add = $shl22 - 55 | 0;
   176593     $add24 = $add + $conv20 | 0;
   176594     $result_1 = $add24;
   176595     label = 12;
   176596     break;
   176597    case 11:
   176598     $shl26 = $result_0 << 4;
   176599     $add28 = $shl26 - 87 | 0;
   176600     $add29 = $add28 + $conv20 | 0;
   176601     $result_1 = $add29;
   176602     label = 12;
   176603     break;
   176604    case 12:
   176605     $cmp30 = ($result_1 | 0) > 1114111;
   176606     $add_ptr33 = $ptr_addr_0 + 2 | 0;
   176607     if ($cmp30) {
   176608       $retval_0 = -1;
   176609       label = 19;
   176610       break;
   176611     } else {
   176612       $ptr_addr_0 = $add_ptr33;
   176613       $result_0 = $result_1;
   176614       label = 5;
   176615       break;
   176616     }
   176617    case 13:
   176618     $5 = HEAP8[$ptr_addr_1] | 0;
   176619     $cmp37 = $5 << 24 >> 24 == 0;
   176620     if ($cmp37) {
   176621       label = 14;
   176622       break;
   176623     } else {
   176624       $cond57 = -49;
   176625       label = 17;
   176626       break;
   176627     }
   176628    case 14:
   176629     $arrayidx40 = $ptr_addr_1 + 1 | 0;
   176630     $6 = HEAP8[$arrayidx40] | 0;
   176631     $phitmp = $6 << 24 >> 24 == 59;
   176632     if ($phitmp) {
   176633       $result_3 = $result_2;
   176634       label = 18;
   176635       break;
   176636     } else {
   176637       label = 15;
   176638       break;
   176639     }
   176640    case 15:
   176641     $_pr30 = HEAP8[$ptr_addr_1] | 0;
   176642     $cmp50 = $_pr30 << 24 >> 24 == 0;
   176643     if ($cmp50) {
   176644       label = 16;
   176645       break;
   176646     } else {
   176647       $cond57 = -49;
   176648       label = 17;
   176649       break;
   176650     }
   176651    case 16:
   176652     $arrayidx53 = $ptr_addr_1 + 1 | 0;
   176653     $7 = HEAP8[$arrayidx53] | 0;
   176654     $conv54 = $7 << 24 >> 24;
   176655     $phitmp27 = $conv54 - 48 | 0;
   176656     $cond57 = $phitmp27;
   176657     label = 17;
   176658     break;
   176659    case 17:
   176660     $mul = $result_2 * 10 & -1;
   176661     $add59 = $cond57 + $mul | 0;
   176662     $cmp60 = ($add59 | 0) > 1114111;
   176663     $add_ptr65 = $ptr_addr_1 + 2 | 0;
   176664     if ($cmp60) {
   176665       $retval_0 = -1;
   176666       label = 19;
   176667       break;
   176668     } else {
   176669       $ptr_addr_1 = $add_ptr65;
   176670       $result_2 = $add59;
   176671       label = 13;
   176672       break;
   176673     }
   176674    case 18:
   176675     $call = _checkCharRefNumber($result_3) | 0;
   176676     $retval_0 = $call;
   176677     label = 19;
   176678     break;
   176679    case 19:
   176680     return $retval_0 | 0;
   176681   }
   176682   return 0;
   176683 }
   176684 function _big2_updatePosition($enc, $ptr, $end, $pos) {
   176685   $enc = $enc | 0;
   176686   $ptr = $ptr | 0;
   176687   $end = $end | 0;
   176688   $pos = $pos | 0;
   176689   var $cmp21 = 0, $type = 0, $0 = 0, $columnNumber41 = 0, $columnNumber = 0, $lineNumber = 0, $lineNumber15 = 0, $columnNumber39 = 0, $type27 = 0, $1 = 0, $ptr_addr_022 = 0, $2 = 0, $cmp1 = 0, $arrayidx3 = 0, $3 = 0, $idxprom = 0, $arrayidx4 = 0, $4 = 0, $conv5 = 0, $call = 0, $cond = 0, $add_ptr = 0, $add_ptr9 = 0, $add_ptr11 = 0, $5 = 0, $inc = 0, $add_ptr13 = 0, $6 = 0, $inc16 = 0, $add_ptr17 = 0, $cmp18 = 0, $7 = 0, $cmp22 = 0, $arrayidx25 = 0, $8 = 0, $idxprom26 = 0, $arrayidx28 = 0, $9 = 0, $conv29 = 0, $call33 = 0, $cond35 = 0, $cmp36 = 0, $add_ptr38 = 0, $add_ptr38_add_ptr17 = 0, $ptr_addr_1 = 0, $add_ptr40 = 0, $ptr_addr_2 = 0, $10 = 0, $inc42 = 0, $cmp = 0, label = 0;
   176690   label = 2;
   176691   while (1) switch (label | 0) {
   176692    case 2:
   176693     $cmp21 = $ptr >>> 0 < $end >>> 0;
   176694     if ($cmp21) {
   176695       label = 3;
   176696       break;
   176697     } else {
   176698       label = 20;
   176699       break;
   176700     }
   176701    case 3:
   176702     $type = $enc + 72 | 0;
   176703     $0 = $type;
   176704     $columnNumber41 = $pos + 4 | 0;
   176705     $columnNumber = $pos + 4 | 0;
   176706     $lineNumber = $pos | 0;
   176707     $lineNumber15 = $pos | 0;
   176708     $columnNumber39 = $pos + 4 | 0;
   176709     $type27 = $enc + 72 | 0;
   176710     $1 = $type27;
   176711     $ptr_addr_022 = $ptr;
   176712     label = 4;
   176713     break;
   176714    case 4:
   176715     $2 = HEAP8[$ptr_addr_022] | 0;
   176716     $cmp1 = $2 << 24 >> 24 == 0;
   176717     $arrayidx3 = $ptr_addr_022 + 1 | 0;
   176718     $3 = HEAP8[$arrayidx3] | 0;
   176719     if ($cmp1) {
   176720       label = 5;
   176721       break;
   176722     } else {
   176723       label = 6;
   176724       break;
   176725     }
   176726    case 5:
   176727     $idxprom = $3 & 255;
   176728     $arrayidx4 = $0 + $idxprom | 0;
   176729     $4 = HEAP8[$arrayidx4] | 0;
   176730     $conv5 = $4 & 255;
   176731     $cond = $conv5;
   176732     label = 7;
   176733     break;
   176734    case 6:
   176735     $call = _unicode_byte_type($2, $3) | 0;
   176736     $cond = $call;
   176737     label = 7;
   176738     break;
   176739    case 7:
   176740     if (($cond | 0) == 5) {
   176741       label = 8;
   176742       break;
   176743     } else if (($cond | 0) == 6) {
   176744       label = 9;
   176745       break;
   176746     } else if (($cond | 0) == 7) {
   176747       label = 10;
   176748       break;
   176749     } else if (($cond | 0) == 10) {
   176750       label = 11;
   176751       break;
   176752     } else if (($cond | 0) == 9) {
   176753       label = 12;
   176754       break;
   176755     } else {
   176756       label = 18;
   176757       break;
   176758     }
   176759    case 8:
   176760     $add_ptr = $ptr_addr_022 + 2 | 0;
   176761     $ptr_addr_2 = $add_ptr;
   176762     label = 19;
   176763     break;
   176764    case 9:
   176765     $add_ptr9 = $ptr_addr_022 + 3 | 0;
   176766     $ptr_addr_2 = $add_ptr9;
   176767     label = 19;
   176768     break;
   176769    case 10:
   176770     $add_ptr11 = $ptr_addr_022 + 4 | 0;
   176771     $ptr_addr_2 = $add_ptr11;
   176772     label = 19;
   176773     break;
   176774    case 11:
   176775     HEAP32[$columnNumber >> 2] = -1;
   176776     $5 = HEAP32[$lineNumber >> 2] | 0;
   176777     $inc = $5 + 1 | 0;
   176778     HEAP32[$lineNumber >> 2] = $inc;
   176779     $add_ptr13 = $ptr_addr_022 + 2 | 0;
   176780     $ptr_addr_2 = $add_ptr13;
   176781     label = 19;
   176782     break;
   176783    case 12:
   176784     $6 = HEAP32[$lineNumber15 >> 2] | 0;
   176785     $inc16 = $6 + 1 | 0;
   176786     HEAP32[$lineNumber15 >> 2] = $inc16;
   176787     $add_ptr17 = $ptr_addr_022 + 2 | 0;
   176788     $cmp18 = ($add_ptr17 | 0) == ($end | 0);
   176789     if ($cmp18) {
   176790       $ptr_addr_1 = $add_ptr17;
   176791       label = 17;
   176792       break;
   176793     } else {
   176794       label = 13;
   176795       break;
   176796     }
   176797    case 13:
   176798     $7 = HEAP8[$add_ptr17] | 0;
   176799     $cmp22 = $7 << 24 >> 24 == 0;
   176800     $arrayidx25 = $ptr_addr_022 + 3 | 0;
   176801     $8 = HEAP8[$arrayidx25] | 0;
   176802     if ($cmp22) {
   176803       label = 14;
   176804       break;
   176805     } else {
   176806       label = 15;
   176807       break;
   176808     }
   176809    case 14:
   176810     $idxprom26 = $8 & 255;
   176811     $arrayidx28 = $1 + $idxprom26 | 0;
   176812     $9 = HEAP8[$arrayidx28] | 0;
   176813     $conv29 = $9 & 255;
   176814     $cond35 = $conv29;
   176815     label = 16;
   176816     break;
   176817    case 15:
   176818     $call33 = _unicode_byte_type($7, $8) | 0;
   176819     $cond35 = $call33;
   176820     label = 16;
   176821     break;
   176822    case 16:
   176823     $cmp36 = ($cond35 | 0) == 10;
   176824     $add_ptr38 = $ptr_addr_022 + 4 | 0;
   176825     $add_ptr38_add_ptr17 = $cmp36 ? $add_ptr38 : $add_ptr17;
   176826     $ptr_addr_1 = $add_ptr38_add_ptr17;
   176827     label = 17;
   176828     break;
   176829    case 17:
   176830     HEAP32[$columnNumber39 >> 2] = -1;
   176831     $ptr_addr_2 = $ptr_addr_1;
   176832     label = 19;
   176833     break;
   176834    case 18:
   176835     $add_ptr40 = $ptr_addr_022 + 2 | 0;
   176836     $ptr_addr_2 = $add_ptr40;
   176837     label = 19;
   176838     break;
   176839    case 19:
   176840     $10 = HEAP32[$columnNumber41 >> 2] | 0;
   176841     $inc42 = $10 + 1 | 0;
   176842     HEAP32[$columnNumber41 >> 2] = $inc42;
   176843     $cmp = $ptr_addr_2 >>> 0 < $end >>> 0;
   176844     if ($cmp) {
   176845       $ptr_addr_022 = $ptr_addr_2;
   176846       label = 4;
   176847       break;
   176848     } else {
   176849       label = 20;
   176850       break;
   176851     }
   176852    case 20:
   176853     return;
   176854   }
   176855 }
   176856 function _big2_toUtf8($enc, $fromP, $fromLim, $toP, $toLim) {
   176857   $enc = $enc | 0;
   176858   $fromP = $fromP | 0;
   176859   $fromLim = $fromLim | 0;
   176860   $toP = $toP | 0;
   176861   $toLim = $toLim | 0;
   176862   var $0 = 0, $cmp57 = 0, $sub_ptr_lhs_cast23 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_lhs_cast50 = 0, $from_058 = 0, $arrayidx = 0, $1 = 0, $2 = 0, $conv = 0, $cmp3 = 0, $3 = 0, $cmp5 = 0, $incdec_ptr = 0, $4 = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp10 = 0, $shr50 = 0, $shl = 0, $or = 0, $or16 = 0, $incdec_ptr18 = 0, $and = 0, $or20 = 0, $5 = 0, $incdec_ptr22 = 0, $6 = 0, $sub_ptr_rhs_cast24 = 0, $sub_ptr_sub25 = 0, $cmp26 = 0, $shr3151 = 0, $or32 = 0, $incdec_ptr34 = 0, $and36 = 0, $shl37 = 0, $shr3952 = 0, $or40 = 0, $or41 = 0, $7 = 0, $incdec_ptr43 = 0, $and45 = 0, $or46 = 0, $8 = 0, $incdec_ptr48 = 0, $9 = 0, $sub_ptr_rhs_cast51 = 0, $sub_ptr_sub52 = 0, $cmp53 = 0, $and58 = 0, $shl59 = 0, $conv60 = 0, $shr6146 = 0, $or63 = 0, $add = 0, $shr6447 = 0, $or65 = 0, $conv66 = 0, $incdec_ptr67 = 0, $shr6948 = 0, $and70 = 0, $and71 = 0, $shl72 = 0, $or73 = 0, $or74 = 0, $conv75 = 0, $10 = 0, $incdec_ptr76 = 0, $add_ptr = 0, $arrayidx77 = 0, $11 = 0, $and79 = 0, $shl80 = 0, $12 = 0, $and83 = 0, $shl84 = 0, $shr8749 = 0, $or85 = 0, $or88 = 0, $or89 = 0, $13 = 0, $incdec_ptr91 = 0, $and93 = 0, $or94 = 0, $14 = 0, $incdec_ptr96 = 0, $from_1 = 0, $add_ptr97 = 0, $cmp = 0, $from_0_lcssa = 0, label = 0;
   176863   label = 2;
   176864   while (1) switch (label | 0) {
   176865    case 2:
   176866     $0 = HEAP32[$fromP >> 2] | 0;
   176867     $cmp57 = ($0 | 0) == ($fromLim | 0);
   176868     if ($cmp57) {
   176869       $from_0_lcssa = $0;
   176870       label = 19;
   176871       break;
   176872     } else {
   176873       label = 3;
   176874       break;
   176875     }
   176876    case 3:
   176877     $sub_ptr_lhs_cast23 = $toLim;
   176878     $sub_ptr_lhs_cast = $toLim;
   176879     $sub_ptr_lhs_cast50 = $toLim;
   176880     $from_058 = $0;
   176881     label = 4;
   176882     break;
   176883    case 4:
   176884     $arrayidx = $from_058 + 1 | 0;
   176885     $1 = HEAP8[$arrayidx] | 0;
   176886     $2 = HEAP8[$from_058] | 0;
   176887     $conv = $2 & 255;
   176888     if (($conv | 0) == 0) {
   176889       label = 5;
   176890       break;
   176891     } else if (($conv | 0) == 1 | ($conv | 0) == 2 | ($conv | 0) == 3 | ($conv | 0) == 4 | ($conv | 0) == 5 | ($conv | 0) == 6 | ($conv | 0) == 7) {
   176892       label = 9;
   176893       break;
   176894     } else if (($conv | 0) == 216 | ($conv | 0) == 217 | ($conv | 0) == 218 | ($conv | 0) == 219) {
   176895       label = 15;
   176896       break;
   176897     } else {
   176898       label = 12;
   176899       break;
   176900     }
   176901    case 5:
   176902     $cmp3 = $1 << 24 >> 24 > -1;
   176903     if ($cmp3) {
   176904       label = 6;
   176905       break;
   176906     } else {
   176907       label = 9;
   176908       break;
   176909     }
   176910    case 6:
   176911     $3 = HEAP32[$toP >> 2] | 0;
   176912     $cmp5 = ($3 | 0) == ($toLim | 0);
   176913     if ($cmp5) {
   176914       label = 7;
   176915       break;
   176916     } else {
   176917       label = 8;
   176918       break;
   176919     }
   176920    case 7:
   176921     HEAP32[$fromP >> 2] = $from_058;
   176922     label = 20;
   176923     break;
   176924    case 8:
   176925     $incdec_ptr = $3 + 1 | 0;
   176926     HEAP32[$toP >> 2] = $incdec_ptr;
   176927     HEAP8[$3] = $1;
   176928     $from_1 = $from_058;
   176929     label = 18;
   176930     break;
   176931    case 9:
   176932     $4 = HEAP32[$toP >> 2] | 0;
   176933     $sub_ptr_rhs_cast = $4;
   176934     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   176935     $cmp10 = ($sub_ptr_sub | 0) < 2;
   176936     if ($cmp10) {
   176937       label = 10;
   176938       break;
   176939     } else {
   176940       label = 11;
   176941       break;
   176942     }
   176943    case 10:
   176944     HEAP32[$fromP >> 2] = $from_058;
   176945     label = 20;
   176946     break;
   176947    case 11:
   176948     $shr50 = ($1 & 255) >>> 6;
   176949     $shl = $2 << 2;
   176950     $or = $shr50 | $shl;
   176951     $or16 = $or | -64;
   176952     $incdec_ptr18 = $4 + 1 | 0;
   176953     HEAP32[$toP >> 2] = $incdec_ptr18;
   176954     HEAP8[$4] = $or16;
   176955     $and = $1 & 63;
   176956     $or20 = $and | -128;
   176957     $5 = HEAP32[$toP >> 2] | 0;
   176958     $incdec_ptr22 = $5 + 1 | 0;
   176959     HEAP32[$toP >> 2] = $incdec_ptr22;
   176960     HEAP8[$5] = $or20;
   176961     $from_1 = $from_058;
   176962     label = 18;
   176963     break;
   176964    case 12:
   176965     $6 = HEAP32[$toP >> 2] | 0;
   176966     $sub_ptr_rhs_cast24 = $6;
   176967     $sub_ptr_sub25 = $sub_ptr_lhs_cast23 - $sub_ptr_rhs_cast24 | 0;
   176968     $cmp26 = ($sub_ptr_sub25 | 0) < 3;
   176969     if ($cmp26) {
   176970       label = 13;
   176971       break;
   176972     } else {
   176973       label = 14;
   176974       break;
   176975     }
   176976    case 13:
   176977     HEAP32[$fromP >> 2] = $from_058;
   176978     label = 20;
   176979     break;
   176980    case 14:
   176981     $shr3151 = ($2 & 255) >>> 4;
   176982     $or32 = $shr3151 | -32;
   176983     $incdec_ptr34 = $6 + 1 | 0;
   176984     HEAP32[$toP >> 2] = $incdec_ptr34;
   176985     HEAP8[$6] = $or32;
   176986     $and36 = $2 << 2;
   176987     $shl37 = $and36 & 60;
   176988     $shr3952 = ($1 & 255) >>> 6;
   176989     $or40 = $shr3952 | $shl37;
   176990     $or41 = $or40 | -128;
   176991     $7 = HEAP32[$toP >> 2] | 0;
   176992     $incdec_ptr43 = $7 + 1 | 0;
   176993     HEAP32[$toP >> 2] = $incdec_ptr43;
   176994     HEAP8[$7] = $or41;
   176995     $and45 = $1 & 63;
   176996     $or46 = $and45 | -128;
   176997     $8 = HEAP32[$toP >> 2] | 0;
   176998     $incdec_ptr48 = $8 + 1 | 0;
   176999     HEAP32[$toP >> 2] = $incdec_ptr48;
   177000     HEAP8[$8] = $or46;
   177001     $from_1 = $from_058;
   177002     label = 18;
   177003     break;
   177004    case 15:
   177005     $9 = HEAP32[$toP >> 2] | 0;
   177006     $sub_ptr_rhs_cast51 = $9;
   177007     $sub_ptr_sub52 = $sub_ptr_lhs_cast50 - $sub_ptr_rhs_cast51 | 0;
   177008     $cmp53 = ($sub_ptr_sub52 | 0) < 4;
   177009     if ($cmp53) {
   177010       label = 16;
   177011       break;
   177012     } else {
   177013       label = 17;
   177014       break;
   177015     }
   177016    case 16:
   177017     HEAP32[$fromP >> 2] = $from_058;
   177018     label = 20;
   177019     break;
   177020    case 17:
   177021     $and58 = $conv << 2;
   177022     $shl59 = $and58 & 12;
   177023     $conv60 = $1 & 255;
   177024     $shr6146 = $conv60 >>> 6;
   177025     $or63 = $shl59 | $shr6146;
   177026     $add = $or63 + 1 | 0;
   177027     $shr6447 = $add >>> 2;
   177028     $or65 = $shr6447 | 240;
   177029     $conv66 = $or65 & 255;
   177030     $incdec_ptr67 = $9 + 1 | 0;
   177031     HEAP32[$toP >> 2] = $incdec_ptr67;
   177032     HEAP8[$9] = $conv66;
   177033     $shr6948 = $conv60 >>> 2;
   177034     $and70 = $shr6948 & 15;
   177035     $and71 = $add << 4;
   177036     $shl72 = $and71 & 48;
   177037     $or73 = $and70 | $shl72;
   177038     $or74 = $or73 | 128;
   177039     $conv75 = $or74 & 255;
   177040     $10 = HEAP32[$toP >> 2] | 0;
   177041     $incdec_ptr76 = $10 + 1 | 0;
   177042     HEAP32[$toP >> 2] = $incdec_ptr76;
   177043     HEAP8[$10] = $conv75;
   177044     $add_ptr = $from_058 + 2 | 0;
   177045     $arrayidx77 = $from_058 + 3 | 0;
   177046     $11 = HEAP8[$arrayidx77] | 0;
   177047     $and79 = $1 << 4;
   177048     $shl80 = $and79 & 48;
   177049     $12 = HEAP8[$add_ptr] | 0;
   177050     $and83 = $12 << 2;
   177051     $shl84 = $and83 & 12;
   177052     $shr8749 = ($11 & 255) >>> 6;
   177053     $or85 = $shl80 | $shr8749;
   177054     $or88 = $or85 | $shl84;
   177055     $or89 = $or88 | -128;
   177056     $13 = HEAP32[$toP >> 2] | 0;
   177057     $incdec_ptr91 = $13 + 1 | 0;
   177058     HEAP32[$toP >> 2] = $incdec_ptr91;
   177059     HEAP8[$13] = $or89;
   177060     $and93 = $11 & 63;
   177061     $or94 = $and93 | -128;
   177062     $14 = HEAP32[$toP >> 2] | 0;
   177063     $incdec_ptr96 = $14 + 1 | 0;
   177064     HEAP32[$toP >> 2] = $incdec_ptr96;
   177065     HEAP8[$14] = $or94;
   177066     $from_1 = $add_ptr;
   177067     label = 18;
   177068     break;
   177069    case 18:
   177070     $add_ptr97 = $from_1 + 2 | 0;
   177071     $cmp = ($add_ptr97 | 0) == ($fromLim | 0);
   177072     if ($cmp) {
   177073       $from_0_lcssa = $add_ptr97;
   177074       label = 19;
   177075       break;
   177076     } else {
   177077       $from_058 = $add_ptr97;
   177078       label = 4;
   177079       break;
   177080     }
   177081    case 19:
   177082     HEAP32[$fromP >> 2] = $from_0_lcssa;
   177083     label = 20;
   177084     break;
   177085    case 20:
   177086     return;
   177087   }
   177088 }
   177089 function _big2_toUtf16($enc, $fromP, $fromLim, $toP, $toLim) {
   177090   $enc = $enc | 0;
   177091   $fromP = $fromP | 0;
   177092   $fromLim = $fromLim | 0;
   177093   $toP = $toP | 0;
   177094   $toLim = $toLim | 0;
   177095   var $0 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $1 = 0, $sub_ptr_lhs_cast1 = 0, $sub_ptr_rhs_cast2 = 0, $sub_ptr_sub3 = 0, $cmp = 0, $add_ptr = 0, $2 = 0, $and = 0, $cmp4 = 0, $add_ptr_fromLim = 0, $fromLim_addr_0_ph = 0, $3 = 0, $cmp711 = 0, $4 = 0, $5 = 0, $cmp9 = 0, $6 = 0, $conv12 = 0, $shl13 = 0, $arrayidx14 = 0, $7 = 0, $conv15 = 0, $or = 0, $incdec_ptr = 0, $8 = 0, $add_ptr17 = 0, $cmp7 = 0, label = 0;
   177096   label = 2;
   177097   while (1) switch (label | 0) {
   177098    case 2:
   177099     $0 = HEAP32[$fromP >> 2] | 0;
   177100     $sub_ptr_lhs_cast = $fromLim;
   177101     $sub_ptr_rhs_cast = $0;
   177102     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   177103     $1 = HEAP32[$toP >> 2] | 0;
   177104     $sub_ptr_lhs_cast1 = $toLim;
   177105     $sub_ptr_rhs_cast2 = $1;
   177106     $sub_ptr_sub3 = $sub_ptr_lhs_cast1 - $sub_ptr_rhs_cast2 | 0;
   177107     $cmp = ($sub_ptr_sub | 0) > ($sub_ptr_sub3 | 0);
   177108     if ($cmp) {
   177109       label = 3;
   177110       break;
   177111     } else {
   177112       $fromLim_addr_0_ph = $fromLim;
   177113       label = 4;
   177114       break;
   177115     }
   177116    case 3:
   177117     $add_ptr = $fromLim - 2 | 0;
   177118     $2 = HEAP8[$add_ptr] | 0;
   177119     $and = $2 & -8;
   177120     $cmp4 = $and << 24 >> 24 == -40;
   177121     $add_ptr_fromLim = $cmp4 ? $add_ptr : $fromLim;
   177122     $fromLim_addr_0_ph = $add_ptr_fromLim;
   177123     label = 4;
   177124     break;
   177125    case 4:
   177126     $3 = HEAP32[$fromP >> 2] | 0;
   177127     $cmp711 = ($3 | 0) == ($fromLim_addr_0_ph | 0);
   177128     if ($cmp711) {
   177129       label = 7;
   177130       break;
   177131     } else {
   177132       $4 = $3;
   177133       label = 5;
   177134       break;
   177135     }
   177136    case 5:
   177137     $5 = HEAP32[$toP >> 2] | 0;
   177138     $cmp9 = ($5 | 0) == ($toLim | 0);
   177139     if ($cmp9) {
   177140       label = 7;
   177141       break;
   177142     } else {
   177143       label = 6;
   177144       break;
   177145     }
   177146    case 6:
   177147     $6 = HEAP8[$4] | 0;
   177148     $conv12 = $6 & 255;
   177149     $shl13 = $conv12 << 8;
   177150     $arrayidx14 = $4 + 1 | 0;
   177151     $7 = HEAP8[$arrayidx14] | 0;
   177152     $conv15 = $7 & 255;
   177153     $or = $shl13 | $conv15;
   177154     $incdec_ptr = $5 + 2 | 0;
   177155     HEAP32[$toP >> 2] = $incdec_ptr;
   177156     HEAP16[$5 >> 1] = $or;
   177157     $8 = HEAP32[$fromP >> 2] | 0;
   177158     $add_ptr17 = $8 + 2 | 0;
   177159     HEAP32[$fromP >> 2] = $add_ptr17;
   177160     $cmp7 = ($add_ptr17 | 0) == ($fromLim_addr_0_ph | 0);
   177161     if ($cmp7) {
   177162       label = 7;
   177163       break;
   177164     } else {
   177165       $4 = $add_ptr17;
   177166       label = 5;
   177167       break;
   177168     }
   177169    case 7:
   177170     return;
   177171   }
   177172 }
   177173 function _big2_isPublicId($enc, $ptr, $end, $badPtr) {
   177174   $enc = $enc | 0;
   177175   $ptr = $ptr | 0;
   177176   $end = $end | 0;
   177177   $badPtr = $badPtr | 0;
   177178   var $add_ptr1 = 0, $ptr_addr_020 = 0, $cmp22 = 0, $type = 0, $0 = 0, $ptr_addr_024 = 0, $ptr_pn23 = 0, $1 = 0, $cmp2 = 0, $arrayidx4 = 0, $2 = 0, $idxprom = 0, $arrayidx5 = 0, $3 = 0, $conv6 = 0, $call = 0, $cond = 0, $ptr_addr_0 = 0, $cmp = 0, $4 = 0, $cmp12 = 0, $5 = 0, $cmp16 = 0, $6 = 0, $cmp21 = 0, $7 = 0, $phitmp16 = 0, $_pr = 0, $cmp33 = 0, $8 = 0, $conv37 = 0, $retval_0 = 0, label = 0;
   177179   label = 2;
   177180   while (1) switch (label | 0) {
   177181    case 2:
   177182     $add_ptr1 = $end - 2 | 0;
   177183     $ptr_addr_020 = $ptr + 2 | 0;
   177184     $cmp22 = ($ptr_addr_020 | 0) == ($add_ptr1 | 0);
   177185     if ($cmp22) {
   177186       $retval_0 = 1;
   177187       label = 17;
   177188       break;
   177189     } else {
   177190       label = 3;
   177191       break;
   177192     }
   177193    case 3:
   177194     $type = $enc + 72 | 0;
   177195     $0 = $type;
   177196     $ptr_pn23 = $ptr;
   177197     $ptr_addr_024 = $ptr_addr_020;
   177198     label = 4;
   177199     break;
   177200    case 4:
   177201     $1 = HEAP8[$ptr_addr_024] | 0;
   177202     $cmp2 = $1 << 24 >> 24 == 0;
   177203     $arrayidx4 = $ptr_pn23 + 3 | 0;
   177204     $2 = HEAP8[$arrayidx4] | 0;
   177205     if ($cmp2) {
   177206       label = 5;
   177207       break;
   177208     } else {
   177209       label = 6;
   177210       break;
   177211     }
   177212    case 5:
   177213     $idxprom = $2 & 255;
   177214     $arrayidx5 = $0 + $idxprom | 0;
   177215     $3 = HEAP8[$arrayidx5] | 0;
   177216     $conv6 = $3 & 255;
   177217     $cond = $conv6;
   177218     label = 7;
   177219     break;
   177220    case 6:
   177221     $call = _unicode_byte_type($1, $2) | 0;
   177222     $cond = $call;
   177223     label = 7;
   177224     break;
   177225    case 7:
   177226     if (($cond | 0) == 25 | ($cond | 0) == 24 | ($cond | 0) == 27 | ($cond | 0) == 13 | ($cond | 0) == 31 | ($cond | 0) == 32 | ($cond | 0) == 34 | ($cond | 0) == 35 | ($cond | 0) == 17 | ($cond | 0) == 14 | ($cond | 0) == 15 | ($cond | 0) == 9 | ($cond | 0) == 10 | ($cond | 0) == 18 | ($cond | 0) == 16 | ($cond | 0) == 33 | ($cond | 0) == 30 | ($cond | 0) == 19) {
   177227       label = 8;
   177228       break;
   177229     } else if (($cond | 0) == 21) {
   177230       label = 9;
   177231       break;
   177232     } else if (($cond | 0) == 26 | ($cond | 0) == 22) {
   177233       label = 12;
   177234       break;
   177235     } else {
   177236       label = 14;
   177237       break;
   177238     }
   177239    case 8:
   177240     $ptr_addr_0 = $ptr_addr_024 + 2 | 0;
   177241     $cmp = ($ptr_addr_0 | 0) == ($add_ptr1 | 0);
   177242     if ($cmp) {
   177243       $retval_0 = 1;
   177244       label = 17;
   177245       break;
   177246     } else {
   177247       $ptr_pn23 = $ptr_addr_024;
   177248       $ptr_addr_024 = $ptr_addr_0;
   177249       label = 4;
   177250       break;
   177251     }
   177252    case 9:
   177253     $4 = HEAP8[$ptr_addr_024] | 0;
   177254     $cmp12 = $4 << 24 >> 24 == 0;
   177255     if ($cmp12) {
   177256       label = 10;
   177257       break;
   177258     } else {
   177259       label = 8;
   177260       break;
   177261     }
   177262    case 10:
   177263     $5 = HEAP8[$arrayidx4] | 0;
   177264     $cmp16 = $5 << 24 >> 24 == 9;
   177265     if ($cmp16) {
   177266       label = 11;
   177267       break;
   177268     } else {
   177269       label = 8;
   177270       break;
   177271     }
   177272    case 11:
   177273     HEAP32[$badPtr >> 2] = $ptr_addr_024;
   177274     $retval_0 = 0;
   177275     label = 17;
   177276     break;
   177277    case 12:
   177278     $6 = HEAP8[$ptr_addr_024] | 0;
   177279     $cmp21 = $6 << 24 >> 24 == 0;
   177280     if ($cmp21) {
   177281       label = 13;
   177282       break;
   177283     } else {
   177284       label = 16;
   177285       break;
   177286     }
   177287    case 13:
   177288     $7 = HEAP8[$arrayidx4] | 0;
   177289     $phitmp16 = $7 << 24 >> 24 < 0;
   177290     if ($phitmp16) {
   177291       label = 14;
   177292       break;
   177293     } else {
   177294       label = 8;
   177295       break;
   177296     }
   177297    case 14:
   177298     $_pr = HEAP8[$ptr_addr_024] | 0;
   177299     $cmp33 = $_pr << 24 >> 24 == 0;
   177300     if ($cmp33) {
   177301       label = 15;
   177302       break;
   177303     } else {
   177304       label = 16;
   177305       break;
   177306     }
   177307    case 15:
   177308     $8 = HEAP8[$arrayidx4] | 0;
   177309     $conv37 = $8 << 24 >> 24;
   177310     if (($conv37 | 0) == 36 | ($conv37 | 0) == 64) {
   177311       label = 8;
   177312       break;
   177313     } else {
   177314       label = 16;
   177315       break;
   177316     }
   177317    case 16:
   177318     HEAP32[$badPtr >> 2] = $ptr_addr_024;
   177319     $retval_0 = 0;
   177320     label = 17;
   177321     break;
   177322    case 17:
   177323     return $retval_0 | 0;
   177324   }
   177325   return 0;
   177326 }
   177327 function _big2_scanRef($enc, $ptr, $end, $nextTokPtr) {
   177328   $enc = $enc | 0;
   177329   $ptr = $ptr | 0;
   177330   $end = $end | 0;
   177331   $nextTokPtr = $nextTokPtr | 0;
   177332   var $cmp = 0, $0 = 0, $cmp1 = 0, $arrayidx3 = 0, $1 = 0, $idxprom = 0, $type = 0, $2 = 0, $arrayidx4 = 0, $3 = 0, $conv5 = 0, $call = 0, $cond = 0, $ptr_addr_069 = 0, $cmp4670 = 0, $type55 = 0, $4 = 0, $5 = 0, $idxprom9 = 0, $arrayidx10 = 0, $6 = 0, $conv11 = 0, $shl = 0, $7 = 0, $conv13 = 0, $shr56 = 0, $add = 0, $arrayidx14 = 0, $8 = 0, $and = 0, $shl17 = 0, $and18 = 0, $tobool = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp23 = 0, $sub_ptr_lhs_cast28 = 0, $sub_ptr_rhs_cast29 = 0, $sub_ptr_sub30 = 0, $cmp31 = 0, $sub_ptr_lhs_cast36 = 0, $sub_ptr_rhs_cast37 = 0, $sub_ptr_sub38 = 0, $cmp39 = 0, $add_ptr44 = 0, $call45 = 0, $ptr_addr_072 = 0, $ptr_pn71 = 0, $9 = 0, $cmp50 = 0, $arrayidx53 = 0, $10 = 0, $idxprom54 = 0, $arrayidx56 = 0, $11 = 0, $conv57 = 0, $call61 = 0, $cond63 = 0, $12 = 0, $idxprom66 = 0, $arrayidx67 = 0, $13 = 0, $conv68 = 0, $shl69 = 0, $14 = 0, $conv71 = 0, $shr7255 = 0, $add73 = 0, $arrayidx74 = 0, $15 = 0, $and77 = 0, $shl78 = 0, $and79 = 0, $tobool80 = 0, $ptr_addr_0 = 0, $cmp46 = 0, $sub_ptr_lhs_cast86 = 0, $sub_ptr_rhs_cast87 = 0, $sub_ptr_sub88 = 0, $cmp89 = 0, $sub_ptr_lhs_cast94 = 0, $sub_ptr_rhs_cast95 = 0, $sub_ptr_sub96 = 0, $cmp97 = 0, $sub_ptr_lhs_cast102 = 0, $sub_ptr_rhs_cast103 = 0, $sub_ptr_sub104 = 0, $cmp105 = 0, $add_ptr110 = 0, $retval_0 = 0, label = 0;
   177333   label = 2;
   177334   while (1) switch (label | 0) {
   177335    case 2:
   177336     $cmp = ($ptr | 0) == ($end | 0);
   177337     if ($cmp) {
   177338       $retval_0 = -1;
   177339       label = 34;
   177340       break;
   177341     } else {
   177342       label = 3;
   177343       break;
   177344     }
   177345    case 3:
   177346     $0 = HEAP8[$ptr] | 0;
   177347     $cmp1 = $0 << 24 >> 24 == 0;
   177348     $arrayidx3 = $ptr + 1 | 0;
   177349     $1 = HEAP8[$arrayidx3] | 0;
   177350     if ($cmp1) {
   177351       label = 4;
   177352       break;
   177353     } else {
   177354       label = 5;
   177355       break;
   177356     }
   177357    case 4:
   177358     $idxprom = $1 & 255;
   177359     $type = $enc + 72 | 0;
   177360     $2 = $type;
   177361     $arrayidx4 = $2 + $idxprom | 0;
   177362     $3 = HEAP8[$arrayidx4] | 0;
   177363     $conv5 = $3 & 255;
   177364     $cond = $conv5;
   177365     label = 6;
   177366     break;
   177367    case 5:
   177368     $call = _unicode_byte_type($0, $1) | 0;
   177369     $cond = $call;
   177370     label = 6;
   177371     break;
   177372    case 6:
   177373     if (($cond | 0) == 22 | ($cond | 0) == 24) {
   177374       label = 7;
   177375       break;
   177376     } else if (($cond | 0) == 29) {
   177377       label = 9;
   177378       break;
   177379     } else if (($cond | 0) == 5) {
   177380       label = 11;
   177381       break;
   177382     } else if (($cond | 0) == 6) {
   177383       label = 13;
   177384       break;
   177385     } else if (($cond | 0) == 7) {
   177386       label = 15;
   177387       break;
   177388     } else if (($cond | 0) == 19) {
   177389       label = 17;
   177390       break;
   177391     } else {
   177392       label = 18;
   177393       break;
   177394     }
   177395    case 7:
   177396     $ptr_addr_069 = $ptr + 2 | 0;
   177397     $cmp4670 = ($ptr_addr_069 | 0) == ($end | 0);
   177398     if ($cmp4670) {
   177399       $retval_0 = -1;
   177400       label = 34;
   177401       break;
   177402     } else {
   177403       label = 8;
   177404       break;
   177405     }
   177406    case 8:
   177407     $type55 = $enc + 72 | 0;
   177408     $4 = $type55;
   177409     $ptr_pn71 = $ptr;
   177410     $ptr_addr_072 = $ptr_addr_069;
   177411     label = 19;
   177412     break;
   177413    case 9:
   177414     $5 = HEAP8[$ptr] | 0;
   177415     $idxprom9 = $5 & 255;
   177416     $arrayidx10 = $idxprom9 + 9272 | 0;
   177417     $6 = HEAP8[$arrayidx10] | 0;
   177418     $conv11 = $6 & 255;
   177419     $shl = $conv11 << 3;
   177420     $7 = HEAP8[$arrayidx3] | 0;
   177421     $conv13 = $7 & 255;
   177422     $shr56 = $conv13 >>> 5;
   177423     $add = $shr56 | $shl;
   177424     $arrayidx14 = 9544 + ($add << 2) | 0;
   177425     $8 = HEAP32[$arrayidx14 >> 2] | 0;
   177426     $and = $conv13 & 31;
   177427     $shl17 = 1 << $and;
   177428     $and18 = $shl17 & $8;
   177429     $tobool = ($and18 | 0) == 0;
   177430     if ($tobool) {
   177431       label = 10;
   177432       break;
   177433     } else {
   177434       label = 7;
   177435       break;
   177436     }
   177437    case 10:
   177438     HEAP32[$nextTokPtr >> 2] = $ptr;
   177439     $retval_0 = 0;
   177440     label = 34;
   177441     break;
   177442    case 11:
   177443     $sub_ptr_lhs_cast = $end;
   177444     $sub_ptr_rhs_cast = $ptr;
   177445     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   177446     $cmp23 = ($sub_ptr_sub | 0) < 2;
   177447     if ($cmp23) {
   177448       $retval_0 = -2;
   177449       label = 34;
   177450       break;
   177451     } else {
   177452       label = 12;
   177453       break;
   177454     }
   177455    case 12:
   177456     HEAP32[$nextTokPtr >> 2] = $ptr;
   177457     $retval_0 = 0;
   177458     label = 34;
   177459     break;
   177460    case 13:
   177461     $sub_ptr_lhs_cast28 = $end;
   177462     $sub_ptr_rhs_cast29 = $ptr;
   177463     $sub_ptr_sub30 = $sub_ptr_lhs_cast28 - $sub_ptr_rhs_cast29 | 0;
   177464     $cmp31 = ($sub_ptr_sub30 | 0) < 3;
   177465     if ($cmp31) {
   177466       $retval_0 = -2;
   177467       label = 34;
   177468       break;
   177469     } else {
   177470       label = 14;
   177471       break;
   177472     }
   177473    case 14:
   177474     HEAP32[$nextTokPtr >> 2] = $ptr;
   177475     $retval_0 = 0;
   177476     label = 34;
   177477     break;
   177478    case 15:
   177479     $sub_ptr_lhs_cast36 = $end;
   177480     $sub_ptr_rhs_cast37 = $ptr;
   177481     $sub_ptr_sub38 = $sub_ptr_lhs_cast36 - $sub_ptr_rhs_cast37 | 0;
   177482     $cmp39 = ($sub_ptr_sub38 | 0) < 4;
   177483     if ($cmp39) {
   177484       $retval_0 = -2;
   177485       label = 34;
   177486       break;
   177487     } else {
   177488       label = 16;
   177489       break;
   177490     }
   177491    case 16:
   177492     HEAP32[$nextTokPtr >> 2] = $ptr;
   177493     $retval_0 = 0;
   177494     label = 34;
   177495     break;
   177496    case 17:
   177497     $add_ptr44 = $ptr + 2 | 0;
   177498     $call45 = _big2_scanCharRef($enc, $add_ptr44, $end, $nextTokPtr) | 0;
   177499     $retval_0 = $call45;
   177500     label = 34;
   177501     break;
   177502    case 18:
   177503     HEAP32[$nextTokPtr >> 2] = $ptr;
   177504     $retval_0 = 0;
   177505     label = 34;
   177506     break;
   177507    case 19:
   177508     $9 = HEAP8[$ptr_addr_072] | 0;
   177509     $cmp50 = $9 << 24 >> 24 == 0;
   177510     $arrayidx53 = $ptr_pn71 + 3 | 0;
   177511     $10 = HEAP8[$arrayidx53] | 0;
   177512     if ($cmp50) {
   177513       label = 20;
   177514       break;
   177515     } else {
   177516       label = 21;
   177517       break;
   177518     }
   177519    case 20:
   177520     $idxprom54 = $10 & 255;
   177521     $arrayidx56 = $4 + $idxprom54 | 0;
   177522     $11 = HEAP8[$arrayidx56] | 0;
   177523     $conv57 = $11 & 255;
   177524     $cond63 = $conv57;
   177525     label = 22;
   177526     break;
   177527    case 21:
   177528     $call61 = _unicode_byte_type($9, $10) | 0;
   177529     $cond63 = $call61;
   177530     label = 22;
   177531     break;
   177532    case 22:
   177533     if (($cond63 | 0) == 29) {
   177534       label = 23;
   177535       break;
   177536     } else if (($cond63 | 0) == 22 | ($cond63 | 0) == 24 | ($cond63 | 0) == 25 | ($cond63 | 0) == 26 | ($cond63 | 0) == 27) {
   177537       label = 24;
   177538       break;
   177539     } else if (($cond63 | 0) == 5) {
   177540       label = 26;
   177541       break;
   177542     } else if (($cond63 | 0) == 6) {
   177543       label = 28;
   177544       break;
   177545     } else if (($cond63 | 0) == 7) {
   177546       label = 30;
   177547       break;
   177548     } else if (($cond63 | 0) == 18) {
   177549       label = 32;
   177550       break;
   177551     } else {
   177552       label = 33;
   177553       break;
   177554     }
   177555    case 23:
   177556     $12 = HEAP8[$ptr_addr_072] | 0;
   177557     $idxprom66 = $12 & 255;
   177558     $arrayidx67 = $idxprom66 + 10824 | 0;
   177559     $13 = HEAP8[$arrayidx67] | 0;
   177560     $conv68 = $13 & 255;
   177561     $shl69 = $conv68 << 3;
   177562     $14 = HEAP8[$arrayidx53] | 0;
   177563     $conv71 = $14 & 255;
   177564     $shr7255 = $conv71 >>> 5;
   177565     $add73 = $shr7255 | $shl69;
   177566     $arrayidx74 = 9544 + ($add73 << 2) | 0;
   177567     $15 = HEAP32[$arrayidx74 >> 2] | 0;
   177568     $and77 = $conv71 & 31;
   177569     $shl78 = 1 << $and77;
   177570     $and79 = $shl78 & $15;
   177571     $tobool80 = ($and79 | 0) == 0;
   177572     if ($tobool80) {
   177573       label = 25;
   177574       break;
   177575     } else {
   177576       label = 24;
   177577       break;
   177578     }
   177579    case 24:
   177580     $ptr_addr_0 = $ptr_addr_072 + 2 | 0;
   177581     $cmp46 = ($ptr_addr_0 | 0) == ($end | 0);
   177582     if ($cmp46) {
   177583       $retval_0 = -1;
   177584       label = 34;
   177585       break;
   177586     } else {
   177587       $ptr_pn71 = $ptr_addr_072;
   177588       $ptr_addr_072 = $ptr_addr_0;
   177589       label = 19;
   177590       break;
   177591     }
   177592    case 25:
   177593     HEAP32[$nextTokPtr >> 2] = $ptr_addr_072;
   177594     $retval_0 = 0;
   177595     label = 34;
   177596     break;
   177597    case 26:
   177598     $sub_ptr_lhs_cast86 = $end;
   177599     $sub_ptr_rhs_cast87 = $ptr_addr_072;
   177600     $sub_ptr_sub88 = $sub_ptr_lhs_cast86 - $sub_ptr_rhs_cast87 | 0;
   177601     $cmp89 = ($sub_ptr_sub88 | 0) < 2;
   177602     if ($cmp89) {
   177603       $retval_0 = -2;
   177604       label = 34;
   177605       break;
   177606     } else {
   177607       label = 27;
   177608       break;
   177609     }
   177610    case 27:
   177611     HEAP32[$nextTokPtr >> 2] = $ptr_addr_072;
   177612     $retval_0 = 0;
   177613     label = 34;
   177614     break;
   177615    case 28:
   177616     $sub_ptr_lhs_cast94 = $end;
   177617     $sub_ptr_rhs_cast95 = $ptr_addr_072;
   177618     $sub_ptr_sub96 = $sub_ptr_lhs_cast94 - $sub_ptr_rhs_cast95 | 0;
   177619     $cmp97 = ($sub_ptr_sub96 | 0) < 3;
   177620     if ($cmp97) {
   177621       $retval_0 = -2;
   177622       label = 34;
   177623       break;
   177624     } else {
   177625       label = 29;
   177626       break;
   177627     }
   177628    case 29:
   177629     HEAP32[$nextTokPtr >> 2] = $ptr_addr_072;
   177630     $retval_0 = 0;
   177631     label = 34;
   177632     break;
   177633    case 30:
   177634     $sub_ptr_lhs_cast102 = $end;
   177635     $sub_ptr_rhs_cast103 = $ptr_addr_072;
   177636     $sub_ptr_sub104 = $sub_ptr_lhs_cast102 - $sub_ptr_rhs_cast103 | 0;
   177637     $cmp105 = ($sub_ptr_sub104 | 0) < 4;
   177638     if ($cmp105) {
   177639       $retval_0 = -2;
   177640       label = 34;
   177641       break;
   177642     } else {
   177643       label = 31;
   177644       break;
   177645     }
   177646    case 31:
   177647     HEAP32[$nextTokPtr >> 2] = $ptr_addr_072;
   177648     $retval_0 = 0;
   177649     label = 34;
   177650     break;
   177651    case 32:
   177652     $add_ptr110 = $ptr_pn71 + 4 | 0;
   177653     HEAP32[$nextTokPtr >> 2] = $add_ptr110;
   177654     $retval_0 = 9;
   177655     label = 34;
   177656     break;
   177657    case 33:
   177658     HEAP32[$nextTokPtr >> 2] = $ptr_addr_072;
   177659     $retval_0 = 0;
   177660     label = 34;
   177661     break;
   177662    case 34:
   177663     return $retval_0 | 0;
   177664   }
   177665   return 0;
   177666 }
   177667 function _big2_scanPercent($enc, $ptr, $end, $nextTokPtr) {
   177668   $enc = $enc | 0;
   177669   $ptr = $ptr | 0;
   177670   $end = $end | 0;
   177671   $nextTokPtr = $nextTokPtr | 0;
   177672   var $cmp = 0, $0 = 0, $cmp1 = 0, $arrayidx3 = 0, $1 = 0, $idxprom = 0, $type = 0, $2 = 0, $arrayidx4 = 0, $3 = 0, $conv5 = 0, $call = 0, $cond = 0, $ptr_addr_067 = 0, $cmp4468 = 0, $type53 = 0, $4 = 0, $5 = 0, $idxprom9 = 0, $arrayidx10 = 0, $6 = 0, $conv11 = 0, $shl = 0, $7 = 0, $conv13 = 0, $shr54 = 0, $add = 0, $arrayidx14 = 0, $8 = 0, $and = 0, $shl17 = 0, $and18 = 0, $tobool = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp23 = 0, $sub_ptr_lhs_cast28 = 0, $sub_ptr_rhs_cast29 = 0, $sub_ptr_sub30 = 0, $cmp31 = 0, $sub_ptr_lhs_cast36 = 0, $sub_ptr_rhs_cast37 = 0, $sub_ptr_sub38 = 0, $cmp39 = 0, $ptr_addr_070 = 0, $ptr_pn69 = 0, $9 = 0, $cmp48 = 0, $arrayidx51 = 0, $10 = 0, $idxprom52 = 0, $arrayidx54 = 0, $11 = 0, $conv55 = 0, $call59 = 0, $cond61 = 0, $12 = 0, $idxprom64 = 0, $arrayidx65 = 0, $13 = 0, $conv66 = 0, $shl67 = 0, $14 = 0, $conv69 = 0, $shr7053 = 0, $add71 = 0, $arrayidx72 = 0, $15 = 0, $and75 = 0, $shl76 = 0, $and77 = 0, $tobool78 = 0, $ptr_addr_0 = 0, $cmp44 = 0, $sub_ptr_lhs_cast84 = 0, $sub_ptr_rhs_cast85 = 0, $sub_ptr_sub86 = 0, $cmp87 = 0, $sub_ptr_lhs_cast92 = 0, $sub_ptr_rhs_cast93 = 0, $sub_ptr_sub94 = 0, $cmp95 = 0, $sub_ptr_lhs_cast100 = 0, $sub_ptr_rhs_cast101 = 0, $sub_ptr_sub102 = 0, $cmp103 = 0, $add_ptr108 = 0, $retval_0 = 0, label = 0;
   177673   label = 2;
   177674   while (1) switch (label | 0) {
   177675    case 2:
   177676     $cmp = ($ptr | 0) == ($end | 0);
   177677     if ($cmp) {
   177678       $retval_0 = -1;
   177679       label = 34;
   177680       break;
   177681     } else {
   177682       label = 3;
   177683       break;
   177684     }
   177685    case 3:
   177686     $0 = HEAP8[$ptr] | 0;
   177687     $cmp1 = $0 << 24 >> 24 == 0;
   177688     $arrayidx3 = $ptr + 1 | 0;
   177689     $1 = HEAP8[$arrayidx3] | 0;
   177690     if ($cmp1) {
   177691       label = 4;
   177692       break;
   177693     } else {
   177694       label = 5;
   177695       break;
   177696     }
   177697    case 4:
   177698     $idxprom = $1 & 255;
   177699     $type = $enc + 72 | 0;
   177700     $2 = $type;
   177701     $arrayidx4 = $2 + $idxprom | 0;
   177702     $3 = HEAP8[$arrayidx4] | 0;
   177703     $conv5 = $3 & 255;
   177704     $cond = $conv5;
   177705     label = 6;
   177706     break;
   177707    case 5:
   177708     $call = _unicode_byte_type($0, $1) | 0;
   177709     $cond = $call;
   177710     label = 6;
   177711     break;
   177712    case 6:
   177713     if (($cond | 0) == 22 | ($cond | 0) == 24) {
   177714       label = 7;
   177715       break;
   177716     } else if (($cond | 0) == 29) {
   177717       label = 9;
   177718       break;
   177719     } else if (($cond | 0) == 5) {
   177720       label = 11;
   177721       break;
   177722     } else if (($cond | 0) == 6) {
   177723       label = 13;
   177724       break;
   177725     } else if (($cond | 0) == 7) {
   177726       label = 15;
   177727       break;
   177728     } else if (($cond | 0) == 21 | ($cond | 0) == 10 | ($cond | 0) == 9 | ($cond | 0) == 30) {
   177729       label = 17;
   177730       break;
   177731     } else {
   177732       label = 18;
   177733       break;
   177734     }
   177735    case 7:
   177736     $ptr_addr_067 = $ptr + 2 | 0;
   177737     $cmp4468 = ($ptr_addr_067 | 0) == ($end | 0);
   177738     if ($cmp4468) {
   177739       $retval_0 = -1;
   177740       label = 34;
   177741       break;
   177742     } else {
   177743       label = 8;
   177744       break;
   177745     }
   177746    case 8:
   177747     $type53 = $enc + 72 | 0;
   177748     $4 = $type53;
   177749     $ptr_pn69 = $ptr;
   177750     $ptr_addr_070 = $ptr_addr_067;
   177751     label = 19;
   177752     break;
   177753    case 9:
   177754     $5 = HEAP8[$ptr] | 0;
   177755     $idxprom9 = $5 & 255;
   177756     $arrayidx10 = $idxprom9 + 9272 | 0;
   177757     $6 = HEAP8[$arrayidx10] | 0;
   177758     $conv11 = $6 & 255;
   177759     $shl = $conv11 << 3;
   177760     $7 = HEAP8[$arrayidx3] | 0;
   177761     $conv13 = $7 & 255;
   177762     $shr54 = $conv13 >>> 5;
   177763     $add = $shr54 | $shl;
   177764     $arrayidx14 = 9544 + ($add << 2) | 0;
   177765     $8 = HEAP32[$arrayidx14 >> 2] | 0;
   177766     $and = $conv13 & 31;
   177767     $shl17 = 1 << $and;
   177768     $and18 = $shl17 & $8;
   177769     $tobool = ($and18 | 0) == 0;
   177770     if ($tobool) {
   177771       label = 10;
   177772       break;
   177773     } else {
   177774       label = 7;
   177775       break;
   177776     }
   177777    case 10:
   177778     HEAP32[$nextTokPtr >> 2] = $ptr;
   177779     $retval_0 = 0;
   177780     label = 34;
   177781     break;
   177782    case 11:
   177783     $sub_ptr_lhs_cast = $end;
   177784     $sub_ptr_rhs_cast = $ptr;
   177785     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   177786     $cmp23 = ($sub_ptr_sub | 0) < 2;
   177787     if ($cmp23) {
   177788       $retval_0 = -2;
   177789       label = 34;
   177790       break;
   177791     } else {
   177792       label = 12;
   177793       break;
   177794     }
   177795    case 12:
   177796     HEAP32[$nextTokPtr >> 2] = $ptr;
   177797     $retval_0 = 0;
   177798     label = 34;
   177799     break;
   177800    case 13:
   177801     $sub_ptr_lhs_cast28 = $end;
   177802     $sub_ptr_rhs_cast29 = $ptr;
   177803     $sub_ptr_sub30 = $sub_ptr_lhs_cast28 - $sub_ptr_rhs_cast29 | 0;
   177804     $cmp31 = ($sub_ptr_sub30 | 0) < 3;
   177805     if ($cmp31) {
   177806       $retval_0 = -2;
   177807       label = 34;
   177808       break;
   177809     } else {
   177810       label = 14;
   177811       break;
   177812     }
   177813    case 14:
   177814     HEAP32[$nextTokPtr >> 2] = $ptr;
   177815     $retval_0 = 0;
   177816     label = 34;
   177817     break;
   177818    case 15:
   177819     $sub_ptr_lhs_cast36 = $end;
   177820     $sub_ptr_rhs_cast37 = $ptr;
   177821     $sub_ptr_sub38 = $sub_ptr_lhs_cast36 - $sub_ptr_rhs_cast37 | 0;
   177822     $cmp39 = ($sub_ptr_sub38 | 0) < 4;
   177823     if ($cmp39) {
   177824       $retval_0 = -2;
   177825       label = 34;
   177826       break;
   177827     } else {
   177828       label = 16;
   177829       break;
   177830     }
   177831    case 16:
   177832     HEAP32[$nextTokPtr >> 2] = $ptr;
   177833     $retval_0 = 0;
   177834     label = 34;
   177835     break;
   177836    case 17:
   177837     HEAP32[$nextTokPtr >> 2] = $ptr;
   177838     $retval_0 = 22;
   177839     label = 34;
   177840     break;
   177841    case 18:
   177842     HEAP32[$nextTokPtr >> 2] = $ptr;
   177843     $retval_0 = 0;
   177844     label = 34;
   177845     break;
   177846    case 19:
   177847     $9 = HEAP8[$ptr_addr_070] | 0;
   177848     $cmp48 = $9 << 24 >> 24 == 0;
   177849     $arrayidx51 = $ptr_pn69 + 3 | 0;
   177850     $10 = HEAP8[$arrayidx51] | 0;
   177851     if ($cmp48) {
   177852       label = 20;
   177853       break;
   177854     } else {
   177855       label = 21;
   177856       break;
   177857     }
   177858    case 20:
   177859     $idxprom52 = $10 & 255;
   177860     $arrayidx54 = $4 + $idxprom52 | 0;
   177861     $11 = HEAP8[$arrayidx54] | 0;
   177862     $conv55 = $11 & 255;
   177863     $cond61 = $conv55;
   177864     label = 22;
   177865     break;
   177866    case 21:
   177867     $call59 = _unicode_byte_type($9, $10) | 0;
   177868     $cond61 = $call59;
   177869     label = 22;
   177870     break;
   177871    case 22:
   177872     if (($cond61 | 0) == 29) {
   177873       label = 23;
   177874       break;
   177875     } else if (($cond61 | 0) == 22 | ($cond61 | 0) == 24 | ($cond61 | 0) == 25 | ($cond61 | 0) == 26 | ($cond61 | 0) == 27) {
   177876       label = 24;
   177877       break;
   177878     } else if (($cond61 | 0) == 5) {
   177879       label = 26;
   177880       break;
   177881     } else if (($cond61 | 0) == 6) {
   177882       label = 28;
   177883       break;
   177884     } else if (($cond61 | 0) == 7) {
   177885       label = 30;
   177886       break;
   177887     } else if (($cond61 | 0) == 18) {
   177888       label = 32;
   177889       break;
   177890     } else {
   177891       label = 33;
   177892       break;
   177893     }
   177894    case 23:
   177895     $12 = HEAP8[$ptr_addr_070] | 0;
   177896     $idxprom64 = $12 & 255;
   177897     $arrayidx65 = $idxprom64 + 10824 | 0;
   177898     $13 = HEAP8[$arrayidx65] | 0;
   177899     $conv66 = $13 & 255;
   177900     $shl67 = $conv66 << 3;
   177901     $14 = HEAP8[$arrayidx51] | 0;
   177902     $conv69 = $14 & 255;
   177903     $shr7053 = $conv69 >>> 5;
   177904     $add71 = $shr7053 | $shl67;
   177905     $arrayidx72 = 9544 + ($add71 << 2) | 0;
   177906     $15 = HEAP32[$arrayidx72 >> 2] | 0;
   177907     $and75 = $conv69 & 31;
   177908     $shl76 = 1 << $and75;
   177909     $and77 = $shl76 & $15;
   177910     $tobool78 = ($and77 | 0) == 0;
   177911     if ($tobool78) {
   177912       label = 25;
   177913       break;
   177914     } else {
   177915       label = 24;
   177916       break;
   177917     }
   177918    case 24:
   177919     $ptr_addr_0 = $ptr_addr_070 + 2 | 0;
   177920     $cmp44 = ($ptr_addr_0 | 0) == ($end | 0);
   177921     if ($cmp44) {
   177922       $retval_0 = -1;
   177923       label = 34;
   177924       break;
   177925     } else {
   177926       $ptr_pn69 = $ptr_addr_070;
   177927       $ptr_addr_070 = $ptr_addr_0;
   177928       label = 19;
   177929       break;
   177930     }
   177931    case 25:
   177932     HEAP32[$nextTokPtr >> 2] = $ptr_addr_070;
   177933     $retval_0 = 0;
   177934     label = 34;
   177935     break;
   177936    case 26:
   177937     $sub_ptr_lhs_cast84 = $end;
   177938     $sub_ptr_rhs_cast85 = $ptr_addr_070;
   177939     $sub_ptr_sub86 = $sub_ptr_lhs_cast84 - $sub_ptr_rhs_cast85 | 0;
   177940     $cmp87 = ($sub_ptr_sub86 | 0) < 2;
   177941     if ($cmp87) {
   177942       $retval_0 = -2;
   177943       label = 34;
   177944       break;
   177945     } else {
   177946       label = 27;
   177947       break;
   177948     }
   177949    case 27:
   177950     HEAP32[$nextTokPtr >> 2] = $ptr_addr_070;
   177951     $retval_0 = 0;
   177952     label = 34;
   177953     break;
   177954    case 28:
   177955     $sub_ptr_lhs_cast92 = $end;
   177956     $sub_ptr_rhs_cast93 = $ptr_addr_070;
   177957     $sub_ptr_sub94 = $sub_ptr_lhs_cast92 - $sub_ptr_rhs_cast93 | 0;
   177958     $cmp95 = ($sub_ptr_sub94 | 0) < 3;
   177959     if ($cmp95) {
   177960       $retval_0 = -2;
   177961       label = 34;
   177962       break;
   177963     } else {
   177964       label = 29;
   177965       break;
   177966     }
   177967    case 29:
   177968     HEAP32[$nextTokPtr >> 2] = $ptr_addr_070;
   177969     $retval_0 = 0;
   177970     label = 34;
   177971     break;
   177972    case 30:
   177973     $sub_ptr_lhs_cast100 = $end;
   177974     $sub_ptr_rhs_cast101 = $ptr_addr_070;
   177975     $sub_ptr_sub102 = $sub_ptr_lhs_cast100 - $sub_ptr_rhs_cast101 | 0;
   177976     $cmp103 = ($sub_ptr_sub102 | 0) < 4;
   177977     if ($cmp103) {
   177978       $retval_0 = -2;
   177979       label = 34;
   177980       break;
   177981     } else {
   177982       label = 31;
   177983       break;
   177984     }
   177985    case 31:
   177986     HEAP32[$nextTokPtr >> 2] = $ptr_addr_070;
   177987     $retval_0 = 0;
   177988     label = 34;
   177989     break;
   177990    case 32:
   177991     $add_ptr108 = $ptr_pn69 + 4 | 0;
   177992     HEAP32[$nextTokPtr >> 2] = $add_ptr108;
   177993     $retval_0 = 28;
   177994     label = 34;
   177995     break;
   177996    case 33:
   177997     HEAP32[$nextTokPtr >> 2] = $ptr_addr_070;
   177998     $retval_0 = 0;
   177999     label = 34;
   178000     break;
   178001    case 34:
   178002     return $retval_0 | 0;
   178003   }
   178004   return 0;
   178005 }
   178006 function _big2_scanCharRef($enc, $ptr, $end, $nextTokPtr) {
   178007   $enc = $enc | 0;
   178008   $ptr = $ptr | 0;
   178009   $end = $end | 0;
   178010   $nextTokPtr = $nextTokPtr | 0;
   178011   var $cmp = 0, $0 = 0, $cmp1 = 0, $arrayidx3 = 0, $1 = 0, $cmp5 = 0, $add_ptr = 0, $call = 0, $_pr = 0, $cmp10 = 0, $arrayidx12 = 0, $2 = 0, $idxprom = 0, $type = 0, $3 = 0, $arrayidx13 = 0, $4 = 0, $conv14 = 0, $5 = 0, $6 = 0, $call17 = 0, $cond = 0, $cond1 = 0, $type28 = 0, $7 = 0, $ptr_pn = 0, $ptr_addr_0 = 0, $cmp19 = 0, $8 = 0, $cmp23 = 0, $arrayidx26 = 0, $9 = 0, $idxprom27 = 0, $arrayidx29 = 0, $10 = 0, $conv30 = 0, $call34 = 0, $cond36 = 0, $add_ptr39 = 0, $retval_0 = 0, label = 0;
   178012   label = 2;
   178013   while (1) switch (label | 0) {
   178014    case 2:
   178015     $cmp = ($ptr | 0) == ($end | 0);
   178016     if ($cmp) {
   178017       $retval_0 = -1;
   178018       label = 19;
   178019       break;
   178020     } else {
   178021       label = 3;
   178022       break;
   178023     }
   178024    case 3:
   178025     $0 = HEAP8[$ptr] | 0;
   178026     $cmp1 = $0 << 24 >> 24 == 0;
   178027     $arrayidx3 = $ptr + 1 | 0;
   178028     $1 = HEAP8[$arrayidx3] | 0;
   178029     if ($cmp1) {
   178030       label = 4;
   178031       break;
   178032     } else {
   178033       $6 = $0;
   178034       $5 = $1;
   178035       label = 8;
   178036       break;
   178037     }
   178038    case 4:
   178039     $cmp5 = $1 << 24 >> 24 == 120;
   178040     if ($cmp5) {
   178041       label = 5;
   178042       break;
   178043     } else {
   178044       label = 6;
   178045       break;
   178046     }
   178047    case 5:
   178048     $add_ptr = $ptr + 2 | 0;
   178049     $call = _big2_scanHexCharRef($enc, $add_ptr, $end, $nextTokPtr) | 0;
   178050     $retval_0 = $call;
   178051     label = 19;
   178052     break;
   178053    case 6:
   178054     $_pr = HEAP8[$ptr] | 0;
   178055     $cmp10 = $_pr << 24 >> 24 == 0;
   178056     $arrayidx12 = $ptr + 1 | 0;
   178057     $2 = HEAP8[$arrayidx12] | 0;
   178058     if ($cmp10) {
   178059       label = 7;
   178060       break;
   178061     } else {
   178062       $6 = $_pr;
   178063       $5 = $2;
   178064       label = 8;
   178065       break;
   178066     }
   178067    case 7:
   178068     $idxprom = $2 & 255;
   178069     $type = $enc + 72 | 0;
   178070     $3 = $type;
   178071     $arrayidx13 = $3 + $idxprom | 0;
   178072     $4 = HEAP8[$arrayidx13] | 0;
   178073     $conv14 = $4 & 255;
   178074     $cond = $conv14;
   178075     label = 9;
   178076     break;
   178077    case 8:
   178078     $call17 = _unicode_byte_type($6, $5) | 0;
   178079     $cond = $call17;
   178080     label = 9;
   178081     break;
   178082    case 9:
   178083     $cond1 = ($cond | 0) == 25;
   178084     if ($cond1) {
   178085       label = 10;
   178086       break;
   178087     } else {
   178088       label = 11;
   178089       break;
   178090     }
   178091    case 10:
   178092     $type28 = $enc + 72 | 0;
   178093     $7 = $type28;
   178094     $ptr_pn = $ptr;
   178095     label = 12;
   178096     break;
   178097    case 11:
   178098     HEAP32[$nextTokPtr >> 2] = $ptr;
   178099     $retval_0 = 0;
   178100     label = 19;
   178101     break;
   178102    case 12:
   178103     $ptr_addr_0 = $ptr_pn + 2 | 0;
   178104     $cmp19 = ($ptr_addr_0 | 0) == ($end | 0);
   178105     if ($cmp19) {
   178106       $retval_0 = -1;
   178107       label = 19;
   178108       break;
   178109     } else {
   178110       label = 13;
   178111       break;
   178112     }
   178113    case 13:
   178114     $8 = HEAP8[$ptr_addr_0] | 0;
   178115     $cmp23 = $8 << 24 >> 24 == 0;
   178116     $arrayidx26 = $ptr_pn + 3 | 0;
   178117     $9 = HEAP8[$arrayidx26] | 0;
   178118     if ($cmp23) {
   178119       label = 14;
   178120       break;
   178121     } else {
   178122       label = 15;
   178123       break;
   178124     }
   178125    case 14:
   178126     $idxprom27 = $9 & 255;
   178127     $arrayidx29 = $7 + $idxprom27 | 0;
   178128     $10 = HEAP8[$arrayidx29] | 0;
   178129     $conv30 = $10 & 255;
   178130     $cond36 = $conv30;
   178131     label = 16;
   178132     break;
   178133    case 15:
   178134     $call34 = _unicode_byte_type($8, $9) | 0;
   178135     $cond36 = $call34;
   178136     label = 16;
   178137     break;
   178138    case 16:
   178139     if (($cond36 | 0) == 25) {
   178140       $ptr_pn = $ptr_addr_0;
   178141       label = 12;
   178142       break;
   178143     } else if (($cond36 | 0) == 18) {
   178144       label = 17;
   178145       break;
   178146     } else {
   178147       label = 18;
   178148       break;
   178149     }
   178150    case 17:
   178151     $add_ptr39 = $ptr_pn + 4 | 0;
   178152     HEAP32[$nextTokPtr >> 2] = $add_ptr39;
   178153     $retval_0 = 10;
   178154     label = 19;
   178155     break;
   178156    case 18:
   178157     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0;
   178158     $retval_0 = 0;
   178159     label = 19;
   178160     break;
   178161    case 19:
   178162     return $retval_0 | 0;
   178163   }
   178164   return 0;
   178165 }
   178166 function _big2_scanHexCharRef($enc, $ptr, $end, $nextTokPtr) {
   178167   $enc = $enc | 0;
   178168   $ptr = $ptr | 0;
   178169   $end = $end | 0;
   178170   $nextTokPtr = $nextTokPtr | 0;
   178171   var $cmp = 0, $0 = 0, $cmp1 = 0, $arrayidx3 = 0, $1 = 0, $idxprom = 0, $type = 0, $2 = 0, $arrayidx4 = 0, $3 = 0, $conv5 = 0, $call = 0, $cond = 0, $cond_off = 0, $switch = 0, $ptr_addr_020 = 0, $cmp821 = 0, $type17 = 0, $4 = 0, $ptr_addr_023 = 0, $ptr_pn22 = 0, $5 = 0, $cmp12 = 0, $arrayidx15 = 0, $6 = 0, $idxprom16 = 0, $arrayidx18 = 0, $7 = 0, $conv19 = 0, $call23 = 0, $cond25 = 0, $ptr_addr_0 = 0, $cmp8 = 0, $add_ptr28 = 0, $retval_0 = 0, label = 0;
   178172   label = 2;
   178173   while (1) switch (label | 0) {
   178174    case 2:
   178175     $cmp = ($ptr | 0) == ($end | 0);
   178176     if ($cmp) {
   178177       $retval_0 = -1;
   178178       label = 17;
   178179       break;
   178180     } else {
   178181       label = 3;
   178182       break;
   178183     }
   178184    case 3:
   178185     $0 = HEAP8[$ptr] | 0;
   178186     $cmp1 = $0 << 24 >> 24 == 0;
   178187     $arrayidx3 = $ptr + 1 | 0;
   178188     $1 = HEAP8[$arrayidx3] | 0;
   178189     if ($cmp1) {
   178190       label = 4;
   178191       break;
   178192     } else {
   178193       label = 5;
   178194       break;
   178195     }
   178196    case 4:
   178197     $idxprom = $1 & 255;
   178198     $type = $enc + 72 | 0;
   178199     $2 = $type;
   178200     $arrayidx4 = $2 + $idxprom | 0;
   178201     $3 = HEAP8[$arrayidx4] | 0;
   178202     $conv5 = $3 & 255;
   178203     $cond = $conv5;
   178204     label = 6;
   178205     break;
   178206    case 5:
   178207     $call = _unicode_byte_type($0, $1) | 0;
   178208     $cond = $call;
   178209     label = 6;
   178210     break;
   178211    case 6:
   178212     $cond_off = $cond - 24 | 0;
   178213     $switch = $cond_off >>> 0 < 2;
   178214     if ($switch) {
   178215       label = 7;
   178216       break;
   178217     } else {
   178218       label = 9;
   178219       break;
   178220     }
   178221    case 7:
   178222     $ptr_addr_020 = $ptr + 2 | 0;
   178223     $cmp821 = ($ptr_addr_020 | 0) == ($end | 0);
   178224     if ($cmp821) {
   178225       $retval_0 = -1;
   178226       label = 17;
   178227       break;
   178228     } else {
   178229       label = 8;
   178230       break;
   178231     }
   178232    case 8:
   178233     $type17 = $enc + 72 | 0;
   178234     $4 = $type17;
   178235     $ptr_pn22 = $ptr;
   178236     $ptr_addr_023 = $ptr_addr_020;
   178237     label = 10;
   178238     break;
   178239    case 9:
   178240     HEAP32[$nextTokPtr >> 2] = $ptr;
   178241     $retval_0 = 0;
   178242     label = 17;
   178243     break;
   178244    case 10:
   178245     $5 = HEAP8[$ptr_addr_023] | 0;
   178246     $cmp12 = $5 << 24 >> 24 == 0;
   178247     $arrayidx15 = $ptr_pn22 + 3 | 0;
   178248     $6 = HEAP8[$arrayidx15] | 0;
   178249     if ($cmp12) {
   178250       label = 11;
   178251       break;
   178252     } else {
   178253       label = 12;
   178254       break;
   178255     }
   178256    case 11:
   178257     $idxprom16 = $6 & 255;
   178258     $arrayidx18 = $4 + $idxprom16 | 0;
   178259     $7 = HEAP8[$arrayidx18] | 0;
   178260     $conv19 = $7 & 255;
   178261     $cond25 = $conv19;
   178262     label = 13;
   178263     break;
   178264    case 12:
   178265     $call23 = _unicode_byte_type($5, $6) | 0;
   178266     $cond25 = $call23;
   178267     label = 13;
   178268     break;
   178269    case 13:
   178270     if (($cond25 | 0) == 25 | ($cond25 | 0) == 24) {
   178271       label = 14;
   178272       break;
   178273     } else if (($cond25 | 0) == 18) {
   178274       label = 15;
   178275       break;
   178276     } else {
   178277       label = 16;
   178278       break;
   178279     }
   178280    case 14:
   178281     $ptr_addr_0 = $ptr_addr_023 + 2 | 0;
   178282     $cmp8 = ($ptr_addr_0 | 0) == ($end | 0);
   178283     if ($cmp8) {
   178284       $retval_0 = -1;
   178285       label = 17;
   178286       break;
   178287     } else {
   178288       $ptr_pn22 = $ptr_addr_023;
   178289       $ptr_addr_023 = $ptr_addr_0;
   178290       label = 10;
   178291       break;
   178292     }
   178293    case 15:
   178294     $add_ptr28 = $ptr_pn22 + 4 | 0;
   178295     HEAP32[$nextTokPtr >> 2] = $add_ptr28;
   178296     $retval_0 = 10;
   178297     label = 17;
   178298     break;
   178299    case 16:
   178300     HEAP32[$nextTokPtr >> 2] = $ptr_addr_023;
   178301     $retval_0 = 0;
   178302     label = 17;
   178303     break;
   178304    case 17:
   178305     return $retval_0 | 0;
   178306   }
   178307   return 0;
   178308 }
   178309 function _big2_scanCdataSection($ptr, $end, $nextTokPtr) {
   178310   $ptr = $ptr | 0;
   178311   $end = $end | 0;
   178312   $nextTokPtr = $nextTokPtr | 0;
   178313   var $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp = 0, $0 = 0, $cmp2 = 0, $arrayidx4 = 0, $1 = 0, $cmp8 = 0, $ptr_addr_02_lcssa = 0, $add_ptr = 0, $2 = 0, $cmp2_1 = 0, $retval_0 = 0, $arrayidx4_1 = 0, $3 = 0, $cmp8_1 = 0, $add_ptr_1 = 0, $4 = 0, $cmp2_2 = 0, $arrayidx4_2 = 0, $5 = 0, $cmp8_2 = 0, $add_ptr_2 = 0, $6 = 0, $cmp2_3 = 0, $arrayidx4_3 = 0, $7 = 0, $cmp8_3 = 0, $add_ptr_3 = 0, $8 = 0, $cmp2_4 = 0, $arrayidx4_4 = 0, $9 = 0, $cmp8_4 = 0, $add_ptr_4 = 0, $10 = 0, $cmp2_5 = 0, $arrayidx4_5 = 0, $11 = 0, $cmp8_5 = 0, $add_ptr_5 = 0, label = 0;
   178314   label = 2;
   178315   while (1) switch (label | 0) {
   178316    case 2:
   178317     $sub_ptr_lhs_cast = $end;
   178318     $sub_ptr_rhs_cast = $ptr;
   178319     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   178320     $cmp = ($sub_ptr_sub | 0) < 12;
   178321     if ($cmp) {
   178322       $retval_0 = -1;
   178323       label = 7;
   178324       break;
   178325     } else {
   178326       label = 3;
   178327       break;
   178328     }
   178329    case 3:
   178330     $0 = HEAP8[$ptr] | 0;
   178331     $cmp2 = $0 << 24 >> 24 == 0;
   178332     if ($cmp2) {
   178333       label = 4;
   178334       break;
   178335     } else {
   178336       $ptr_addr_02_lcssa = $ptr;
   178337       label = 5;
   178338       break;
   178339     }
   178340    case 4:
   178341     $arrayidx4 = $ptr + 1 | 0;
   178342     $1 = HEAP8[$arrayidx4] | 0;
   178343     $cmp8 = $1 << 24 >> 24 == 67;
   178344     if ($cmp8) {
   178345       label = 6;
   178346       break;
   178347     } else {
   178348       $ptr_addr_02_lcssa = $ptr;
   178349       label = 5;
   178350       break;
   178351     }
   178352    case 5:
   178353     HEAP32[$nextTokPtr >> 2] = $ptr_addr_02_lcssa;
   178354     $retval_0 = 0;
   178355     label = 7;
   178356     break;
   178357    case 6:
   178358     $add_ptr = $ptr + 2 | 0;
   178359     $2 = HEAP8[$add_ptr] | 0;
   178360     $cmp2_1 = $2 << 24 >> 24 == 0;
   178361     if ($cmp2_1) {
   178362       label = 8;
   178363       break;
   178364     } else {
   178365       $ptr_addr_02_lcssa = $add_ptr;
   178366       label = 5;
   178367       break;
   178368     }
   178369    case 7:
   178370     return $retval_0 | 0;
   178371    case 8:
   178372     $arrayidx4_1 = $ptr + 3 | 0;
   178373     $3 = HEAP8[$arrayidx4_1] | 0;
   178374     $cmp8_1 = $3 << 24 >> 24 == 68;
   178375     if ($cmp8_1) {
   178376       label = 9;
   178377       break;
   178378     } else {
   178379       $ptr_addr_02_lcssa = $add_ptr;
   178380       label = 5;
   178381       break;
   178382     }
   178383    case 9:
   178384     $add_ptr_1 = $ptr + 4 | 0;
   178385     $4 = HEAP8[$add_ptr_1] | 0;
   178386     $cmp2_2 = $4 << 24 >> 24 == 0;
   178387     if ($cmp2_2) {
   178388       label = 10;
   178389       break;
   178390     } else {
   178391       $ptr_addr_02_lcssa = $add_ptr_1;
   178392       label = 5;
   178393       break;
   178394     }
   178395    case 10:
   178396     $arrayidx4_2 = $ptr + 5 | 0;
   178397     $5 = HEAP8[$arrayidx4_2] | 0;
   178398     $cmp8_2 = $5 << 24 >> 24 == 65;
   178399     if ($cmp8_2) {
   178400       label = 11;
   178401       break;
   178402     } else {
   178403       $ptr_addr_02_lcssa = $add_ptr_1;
   178404       label = 5;
   178405       break;
   178406     }
   178407    case 11:
   178408     $add_ptr_2 = $ptr + 6 | 0;
   178409     $6 = HEAP8[$add_ptr_2] | 0;
   178410     $cmp2_3 = $6 << 24 >> 24 == 0;
   178411     if ($cmp2_3) {
   178412       label = 12;
   178413       break;
   178414     } else {
   178415       $ptr_addr_02_lcssa = $add_ptr_2;
   178416       label = 5;
   178417       break;
   178418     }
   178419    case 12:
   178420     $arrayidx4_3 = $ptr + 7 | 0;
   178421     $7 = HEAP8[$arrayidx4_3] | 0;
   178422     $cmp8_3 = $7 << 24 >> 24 == 84;
   178423     if ($cmp8_3) {
   178424       label = 13;
   178425       break;
   178426     } else {
   178427       $ptr_addr_02_lcssa = $add_ptr_2;
   178428       label = 5;
   178429       break;
   178430     }
   178431    case 13:
   178432     $add_ptr_3 = $ptr + 8 | 0;
   178433     $8 = HEAP8[$add_ptr_3] | 0;
   178434     $cmp2_4 = $8 << 24 >> 24 == 0;
   178435     if ($cmp2_4) {
   178436       label = 14;
   178437       break;
   178438     } else {
   178439       $ptr_addr_02_lcssa = $add_ptr_3;
   178440       label = 5;
   178441       break;
   178442     }
   178443    case 14:
   178444     $arrayidx4_4 = $ptr + 9 | 0;
   178445     $9 = HEAP8[$arrayidx4_4] | 0;
   178446     $cmp8_4 = $9 << 24 >> 24 == 65;
   178447     if ($cmp8_4) {
   178448       label = 15;
   178449       break;
   178450     } else {
   178451       $ptr_addr_02_lcssa = $add_ptr_3;
   178452       label = 5;
   178453       break;
   178454     }
   178455    case 15:
   178456     $add_ptr_4 = $ptr + 10 | 0;
   178457     $10 = HEAP8[$add_ptr_4] | 0;
   178458     $cmp2_5 = $10 << 24 >> 24 == 0;
   178459     if ($cmp2_5) {
   178460       label = 16;
   178461       break;
   178462     } else {
   178463       $ptr_addr_02_lcssa = $add_ptr_4;
   178464       label = 5;
   178465       break;
   178466     }
   178467    case 16:
   178468     $arrayidx4_5 = $ptr + 11 | 0;
   178469     $11 = HEAP8[$arrayidx4_5] | 0;
   178470     $cmp8_5 = $11 << 24 >> 24 == 91;
   178471     if ($cmp8_5) {
   178472       label = 17;
   178473       break;
   178474     } else {
   178475       $ptr_addr_02_lcssa = $add_ptr_4;
   178476       label = 5;
   178477       break;
   178478     }
   178479    case 17:
   178480     $add_ptr_5 = $ptr + 12 | 0;
   178481     HEAP32[$nextTokPtr >> 2] = $add_ptr_5;
   178482     $retval_0 = 8;
   178483     label = 7;
   178484     break;
   178485   }
   178486   return 0;
   178487 }
   178488 function _big2_scanLt($enc, $ptr, $end, $nextTokPtr) {
   178489   $enc = $enc | 0;
   178490   $ptr = $ptr | 0;
   178491   $end = $end | 0;
   178492   $nextTokPtr = $nextTokPtr | 0;
   178493   var $cmp = 0, $0 = 0, $cmp1 = 0, $arrayidx3 = 0, $1 = 0, $idxprom = 0, $type = 0, $2 = 0, $arrayidx4 = 0, $3 = 0, $conv5 = 0, $call = 0, $cond = 0, $ptr_addr_0156 = 0, $cmp78157 = 0, $type87 = 0, $4 = 0, $5 = 0, $idxprom9 = 0, $arrayidx10 = 0, $6 = 0, $conv11 = 0, $shl = 0, $7 = 0, $conv13 = 0, $shr118 = 0, $add = 0, $arrayidx14 = 0, $8 = 0, $and = 0, $shl17 = 0, $and18 = 0, $tobool = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp23 = 0, $sub_ptr_lhs_cast28 = 0, $sub_ptr_rhs_cast29 = 0, $sub_ptr_sub30 = 0, $cmp31 = 0, $sub_ptr_lhs_cast36 = 0, $sub_ptr_rhs_cast37 = 0, $sub_ptr_sub38 = 0, $cmp39 = 0, $add_ptr44 = 0, $cmp45 = 0, $9 = 0, $cmp51 = 0, $arrayidx54 = 0, $10 = 0, $idxprom55 = 0, $type56 = 0, $11 = 0, $arrayidx57 = 0, $12 = 0, $conv58 = 0, $call62 = 0, $cond64 = 0, $add_ptr66 = 0, $call67 = 0, $add_ptr69 = 0, $call70 = 0, $add_ptr72 = 0, $call73 = 0, $add_ptr75 = 0, $call76 = 0, $ptr_addr_0159 = 0, $ptr_pn158 = 0, $13 = 0, $cmp82 = 0, $arrayidx85 = 0, $14 = 0, $idxprom86 = 0, $arrayidx88 = 0, $15 = 0, $conv89 = 0, $call93 = 0, $cond95 = 0, $16 = 0, $idxprom98 = 0, $arrayidx99 = 0, $17 = 0, $conv100 = 0, $shl101 = 0, $18 = 0, $conv103 = 0, $shr104117 = 0, $add105 = 0, $arrayidx106 = 0, $19 = 0, $and109 = 0, $shl110 = 0, $and111 = 0, $tobool112 = 0, $ptr_addr_0 = 0, $cmp78 = 0, $sub_ptr_lhs_cast118 = 0, $sub_ptr_rhs_cast119 = 0, $sub_ptr_sub120 = 0, $cmp121 = 0, $sub_ptr_lhs_cast126 = 0, $sub_ptr_rhs_cast127 = 0, $sub_ptr_sub128 = 0, $cmp129 = 0, $sub_ptr_lhs_cast134 = 0, $sub_ptr_rhs_cast135 = 0, $sub_ptr_sub136 = 0, $cmp137 = 0, $add_ptr142 = 0, $cmp144135 = 0, $type154 = 0, $20 = 0, $ptr_addr_1136 = 0, $21 = 0, $cmp149 = 0, $arrayidx152 = 0, $22 = 0, $idxprom153 = 0, $arrayidx155 = 0, $23 = 0, $conv156 = 0, $call160 = 0, $cond162 = 0, $24 = 0, $idxprom165 = 0, $arrayidx166 = 0, $25 = 0, $conv167 = 0, $shl168 = 0, $26 = 0, $conv170 = 0, $shr171116 = 0, $add172 = 0, $arrayidx173 = 0, $27 = 0, $and176 = 0, $shl177 = 0, $and178 = 0, $tobool179 = 0, $add_ptr183 = 0, $call214 = 0, $sub_ptr_lhs_cast185 = 0, $sub_ptr_rhs_cast186 = 0, $sub_ptr_sub187 = 0, $cmp188 = 0, $sub_ptr_lhs_cast193 = 0, $sub_ptr_rhs_cast194 = 0, $sub_ptr_sub195 = 0, $cmp196 = 0, $sub_ptr_lhs_cast201 = 0, $sub_ptr_rhs_cast202 = 0, $sub_ptr_sub203 = 0, $cmp204 = 0, $add_ptr211 = 0, $cmp144 = 0, $ptr_addr_2 = 0, $add_ptr216 = 0, $ptr_addr_3 = 0, $add_ptr218 = 0, $cmp219 = 0, $28 = 0, $cmp225 = 0, $arrayidx227 = 0, $29 = 0, $cmp229 = 0, $add_ptr233 = 0, $retval_0 = 0, label = 0;
   178494   label = 2;
   178495   while (1) switch (label | 0) {
   178496    case 2:
   178497     $cmp = ($ptr | 0) == ($end | 0);
   178498     if ($cmp) {
   178499       $retval_0 = -1;
   178500       label = 65;
   178501       break;
   178502     } else {
   178503       label = 3;
   178504       break;
   178505     }
   178506    case 3:
   178507     $0 = HEAP8[$ptr] | 0;
   178508     $cmp1 = $0 << 24 >> 24 == 0;
   178509     $arrayidx3 = $ptr + 1 | 0;
   178510     $1 = HEAP8[$arrayidx3] | 0;
   178511     if ($cmp1) {
   178512       label = 4;
   178513       break;
   178514     } else {
   178515       label = 5;
   178516       break;
   178517     }
   178518    case 4:
   178519     $idxprom = $1 & 255;
   178520     $type = $enc + 72 | 0;
   178521     $2 = $type;
   178522     $arrayidx4 = $2 + $idxprom | 0;
   178523     $3 = HEAP8[$arrayidx4] | 0;
   178524     $conv5 = $3 & 255;
   178525     $cond = $conv5;
   178526     label = 6;
   178527     break;
   178528    case 5:
   178529     $call = _unicode_byte_type($0, $1) | 0;
   178530     $cond = $call;
   178531     label = 6;
   178532     break;
   178533    case 6:
   178534     if (($cond | 0) == 22 | ($cond | 0) == 24) {
   178535       label = 7;
   178536       break;
   178537     } else if (($cond | 0) == 29) {
   178538       label = 9;
   178539       break;
   178540     } else if (($cond | 0) == 5) {
   178541       label = 11;
   178542       break;
   178543     } else if (($cond | 0) == 6) {
   178544       label = 13;
   178545       break;
   178546     } else if (($cond | 0) == 7) {
   178547       label = 15;
   178548       break;
   178549     } else if (($cond | 0) == 16) {
   178550       label = 17;
   178551       break;
   178552     } else if (($cond | 0) == 15) {
   178553       label = 25;
   178554       break;
   178555     } else if (($cond | 0) == 17) {
   178556       label = 26;
   178557       break;
   178558     } else {
   178559       label = 27;
   178560       break;
   178561     }
   178562    case 7:
   178563     $ptr_addr_0156 = $ptr + 2 | 0;
   178564     $cmp78157 = ($ptr_addr_0156 | 0) == ($end | 0);
   178565     if ($cmp78157) {
   178566       $retval_0 = -1;
   178567       label = 65;
   178568       break;
   178569     } else {
   178570       label = 8;
   178571       break;
   178572     }
   178573    case 8:
   178574     $type87 = $enc + 72 | 0;
   178575     $4 = $type87;
   178576     $ptr_pn158 = $ptr;
   178577     $ptr_addr_0159 = $ptr_addr_0156;
   178578     label = 28;
   178579     break;
   178580    case 9:
   178581     $5 = HEAP8[$ptr] | 0;
   178582     $idxprom9 = $5 & 255;
   178583     $arrayidx10 = $idxprom9 + 9272 | 0;
   178584     $6 = HEAP8[$arrayidx10] | 0;
   178585     $conv11 = $6 & 255;
   178586     $shl = $conv11 << 3;
   178587     $7 = HEAP8[$arrayidx3] | 0;
   178588     $conv13 = $7 & 255;
   178589     $shr118 = $conv13 >>> 5;
   178590     $add = $shr118 | $shl;
   178591     $arrayidx14 = 9544 + ($add << 2) | 0;
   178592     $8 = HEAP32[$arrayidx14 >> 2] | 0;
   178593     $and = $conv13 & 31;
   178594     $shl17 = 1 << $and;
   178595     $and18 = $shl17 & $8;
   178596     $tobool = ($and18 | 0) == 0;
   178597     if ($tobool) {
   178598       label = 10;
   178599       break;
   178600     } else {
   178601       label = 7;
   178602       break;
   178603     }
   178604    case 10:
   178605     HEAP32[$nextTokPtr >> 2] = $ptr;
   178606     $retval_0 = 0;
   178607     label = 65;
   178608     break;
   178609    case 11:
   178610     $sub_ptr_lhs_cast = $end;
   178611     $sub_ptr_rhs_cast = $ptr;
   178612     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   178613     $cmp23 = ($sub_ptr_sub | 0) < 2;
   178614     if ($cmp23) {
   178615       $retval_0 = -2;
   178616       label = 65;
   178617       break;
   178618     } else {
   178619       label = 12;
   178620       break;
   178621     }
   178622    case 12:
   178623     HEAP32[$nextTokPtr >> 2] = $ptr;
   178624     $retval_0 = 0;
   178625     label = 65;
   178626     break;
   178627    case 13:
   178628     $sub_ptr_lhs_cast28 = $end;
   178629     $sub_ptr_rhs_cast29 = $ptr;
   178630     $sub_ptr_sub30 = $sub_ptr_lhs_cast28 - $sub_ptr_rhs_cast29 | 0;
   178631     $cmp31 = ($sub_ptr_sub30 | 0) < 3;
   178632     if ($cmp31) {
   178633       $retval_0 = -2;
   178634       label = 65;
   178635       break;
   178636     } else {
   178637       label = 14;
   178638       break;
   178639     }
   178640    case 14:
   178641     HEAP32[$nextTokPtr >> 2] = $ptr;
   178642     $retval_0 = 0;
   178643     label = 65;
   178644     break;
   178645    case 15:
   178646     $sub_ptr_lhs_cast36 = $end;
   178647     $sub_ptr_rhs_cast37 = $ptr;
   178648     $sub_ptr_sub38 = $sub_ptr_lhs_cast36 - $sub_ptr_rhs_cast37 | 0;
   178649     $cmp39 = ($sub_ptr_sub38 | 0) < 4;
   178650     if ($cmp39) {
   178651       $retval_0 = -2;
   178652       label = 65;
   178653       break;
   178654     } else {
   178655       label = 16;
   178656       break;
   178657     }
   178658    case 16:
   178659     HEAP32[$nextTokPtr >> 2] = $ptr;
   178660     $retval_0 = 0;
   178661     label = 65;
   178662     break;
   178663    case 17:
   178664     $add_ptr44 = $ptr + 2 | 0;
   178665     $cmp45 = ($add_ptr44 | 0) == ($end | 0);
   178666     if ($cmp45) {
   178667       $retval_0 = -1;
   178668       label = 65;
   178669       break;
   178670     } else {
   178671       label = 18;
   178672       break;
   178673     }
   178674    case 18:
   178675     $9 = HEAP8[$add_ptr44] | 0;
   178676     $cmp51 = $9 << 24 >> 24 == 0;
   178677     $arrayidx54 = $ptr + 3 | 0;
   178678     $10 = HEAP8[$arrayidx54] | 0;
   178679     if ($cmp51) {
   178680       label = 19;
   178681       break;
   178682     } else {
   178683       label = 20;
   178684       break;
   178685     }
   178686    case 19:
   178687     $idxprom55 = $10 & 255;
   178688     $type56 = $enc + 72 | 0;
   178689     $11 = $type56;
   178690     $arrayidx57 = $11 + $idxprom55 | 0;
   178691     $12 = HEAP8[$arrayidx57] | 0;
   178692     $conv58 = $12 & 255;
   178693     $cond64 = $conv58;
   178694     label = 21;
   178695     break;
   178696    case 20:
   178697     $call62 = _unicode_byte_type($9, $10) | 0;
   178698     $cond64 = $call62;
   178699     label = 21;
   178700     break;
   178701    case 21:
   178702     if (($cond64 | 0) == 27) {
   178703       label = 22;
   178704       break;
   178705     } else if (($cond64 | 0) == 20) {
   178706       label = 23;
   178707       break;
   178708     } else {
   178709       label = 24;
   178710       break;
   178711     }
   178712    case 22:
   178713     $add_ptr66 = $ptr + 4 | 0;
   178714     $call67 = _big2_scanComment($enc, $add_ptr66, $end, $nextTokPtr) | 0;
   178715     $retval_0 = $call67;
   178716     label = 65;
   178717     break;
   178718    case 23:
   178719     $add_ptr69 = $ptr + 4 | 0;
   178720     $call70 = _big2_scanCdataSection($add_ptr69, $end, $nextTokPtr) | 0;
   178721     $retval_0 = $call70;
   178722     label = 65;
   178723     break;
   178724    case 24:
   178725     HEAP32[$nextTokPtr >> 2] = $add_ptr44;
   178726     $retval_0 = 0;
   178727     label = 65;
   178728     break;
   178729    case 25:
   178730     $add_ptr72 = $ptr + 2 | 0;
   178731     $call73 = _big2_scanPi($enc, $add_ptr72, $end, $nextTokPtr) | 0;
   178732     $retval_0 = $call73;
   178733     label = 65;
   178734     break;
   178735    case 26:
   178736     $add_ptr75 = $ptr + 2 | 0;
   178737     $call76 = _big2_scanEndTag($enc, $add_ptr75, $end, $nextTokPtr) | 0;
   178738     $retval_0 = $call76;
   178739     label = 65;
   178740     break;
   178741    case 27:
   178742     HEAP32[$nextTokPtr >> 2] = $ptr;
   178743     $retval_0 = 0;
   178744     label = 65;
   178745     break;
   178746    case 28:
   178747     $13 = HEAP8[$ptr_addr_0159] | 0;
   178748     $cmp82 = $13 << 24 >> 24 == 0;
   178749     $arrayidx85 = $ptr_pn158 + 3 | 0;
   178750     $14 = HEAP8[$arrayidx85] | 0;
   178751     if ($cmp82) {
   178752       label = 29;
   178753       break;
   178754     } else {
   178755       label = 30;
   178756       break;
   178757     }
   178758    case 29:
   178759     $idxprom86 = $14 & 255;
   178760     $arrayidx88 = $4 + $idxprom86 | 0;
   178761     $15 = HEAP8[$arrayidx88] | 0;
   178762     $conv89 = $15 & 255;
   178763     $cond95 = $conv89;
   178764     label = 31;
   178765     break;
   178766    case 30:
   178767     $call93 = _unicode_byte_type($13, $14) | 0;
   178768     $cond95 = $call93;
   178769     label = 31;
   178770     break;
   178771    case 31:
   178772     if (($cond95 | 0) == 29) {
   178773       label = 32;
   178774       break;
   178775     } else if (($cond95 | 0) == 22 | ($cond95 | 0) == 24 | ($cond95 | 0) == 25 | ($cond95 | 0) == 26 | ($cond95 | 0) == 27) {
   178776       label = 33;
   178777       break;
   178778     } else if (($cond95 | 0) == 5) {
   178779       label = 35;
   178780       break;
   178781     } else if (($cond95 | 0) == 6) {
   178782       label = 37;
   178783       break;
   178784     } else if (($cond95 | 0) == 7) {
   178785       label = 39;
   178786       break;
   178787     } else if (($cond95 | 0) == 21 | ($cond95 | 0) == 9 | ($cond95 | 0) == 10) {
   178788       label = 41;
   178789       break;
   178790     } else if (($cond95 | 0) == 11) {
   178791       $ptr_addr_2 = $ptr_addr_0159;
   178792       label = 58;
   178793       break;
   178794     } else if (($cond95 | 0) == 17) {
   178795       $ptr_addr_3 = $ptr_addr_0159;
   178796       label = 59;
   178797       break;
   178798     } else {
   178799       label = 64;
   178800       break;
   178801     }
   178802    case 32:
   178803     $16 = HEAP8[$ptr_addr_0159] | 0;
   178804     $idxprom98 = $16 & 255;
   178805     $arrayidx99 = $idxprom98 + 10824 | 0;
   178806     $17 = HEAP8[$arrayidx99] | 0;
   178807     $conv100 = $17 & 255;
   178808     $shl101 = $conv100 << 3;
   178809     $18 = HEAP8[$arrayidx85] | 0;
   178810     $conv103 = $18 & 255;
   178811     $shr104117 = $conv103 >>> 5;
   178812     $add105 = $shr104117 | $shl101;
   178813     $arrayidx106 = 9544 + ($add105 << 2) | 0;
   178814     $19 = HEAP32[$arrayidx106 >> 2] | 0;
   178815     $and109 = $conv103 & 31;
   178816     $shl110 = 1 << $and109;
   178817     $and111 = $shl110 & $19;
   178818     $tobool112 = ($and111 | 0) == 0;
   178819     if ($tobool112) {
   178820       label = 34;
   178821       break;
   178822     } else {
   178823       label = 33;
   178824       break;
   178825     }
   178826    case 33:
   178827     $ptr_addr_0 = $ptr_addr_0159 + 2 | 0;
   178828     $cmp78 = ($ptr_addr_0 | 0) == ($end | 0);
   178829     if ($cmp78) {
   178830       $retval_0 = -1;
   178831       label = 65;
   178832       break;
   178833     } else {
   178834       $ptr_pn158 = $ptr_addr_0159;
   178835       $ptr_addr_0159 = $ptr_addr_0;
   178836       label = 28;
   178837       break;
   178838     }
   178839    case 34:
   178840     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0159;
   178841     $retval_0 = 0;
   178842     label = 65;
   178843     break;
   178844    case 35:
   178845     $sub_ptr_lhs_cast118 = $end;
   178846     $sub_ptr_rhs_cast119 = $ptr_addr_0159;
   178847     $sub_ptr_sub120 = $sub_ptr_lhs_cast118 - $sub_ptr_rhs_cast119 | 0;
   178848     $cmp121 = ($sub_ptr_sub120 | 0) < 2;
   178849     if ($cmp121) {
   178850       $retval_0 = -2;
   178851       label = 65;
   178852       break;
   178853     } else {
   178854       label = 36;
   178855       break;
   178856     }
   178857    case 36:
   178858     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0159;
   178859     $retval_0 = 0;
   178860     label = 65;
   178861     break;
   178862    case 37:
   178863     $sub_ptr_lhs_cast126 = $end;
   178864     $sub_ptr_rhs_cast127 = $ptr_addr_0159;
   178865     $sub_ptr_sub128 = $sub_ptr_lhs_cast126 - $sub_ptr_rhs_cast127 | 0;
   178866     $cmp129 = ($sub_ptr_sub128 | 0) < 3;
   178867     if ($cmp129) {
   178868       $retval_0 = -2;
   178869       label = 65;
   178870       break;
   178871     } else {
   178872       label = 38;
   178873       break;
   178874     }
   178875    case 38:
   178876     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0159;
   178877     $retval_0 = 0;
   178878     label = 65;
   178879     break;
   178880    case 39:
   178881     $sub_ptr_lhs_cast134 = $end;
   178882     $sub_ptr_rhs_cast135 = $ptr_addr_0159;
   178883     $sub_ptr_sub136 = $sub_ptr_lhs_cast134 - $sub_ptr_rhs_cast135 | 0;
   178884     $cmp137 = ($sub_ptr_sub136 | 0) < 4;
   178885     if ($cmp137) {
   178886       $retval_0 = -2;
   178887       label = 65;
   178888       break;
   178889     } else {
   178890       label = 40;
   178891       break;
   178892     }
   178893    case 40:
   178894     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0159;
   178895     $retval_0 = 0;
   178896     label = 65;
   178897     break;
   178898    case 41:
   178899     $add_ptr142 = $ptr_pn158 + 4 | 0;
   178900     $cmp144135 = ($add_ptr142 | 0) == ($end | 0);
   178901     if ($cmp144135) {
   178902       $retval_0 = -1;
   178903       label = 65;
   178904       break;
   178905     } else {
   178906       label = 42;
   178907       break;
   178908     }
   178909    case 42:
   178910     $type154 = $enc + 72 | 0;
   178911     $20 = $type154;
   178912     $ptr_addr_1136 = $add_ptr142;
   178913     label = 43;
   178914     break;
   178915    case 43:
   178916     $21 = HEAP8[$ptr_addr_1136] | 0;
   178917     $cmp149 = $21 << 24 >> 24 == 0;
   178918     $arrayidx152 = $ptr_addr_1136 + 1 | 0;
   178919     $22 = HEAP8[$arrayidx152] | 0;
   178920     if ($cmp149) {
   178921       label = 44;
   178922       break;
   178923     } else {
   178924       label = 45;
   178925       break;
   178926     }
   178927    case 44:
   178928     $idxprom153 = $22 & 255;
   178929     $arrayidx155 = $20 + $idxprom153 | 0;
   178930     $23 = HEAP8[$arrayidx155] | 0;
   178931     $conv156 = $23 & 255;
   178932     $cond162 = $conv156;
   178933     label = 46;
   178934     break;
   178935    case 45:
   178936     $call160 = _unicode_byte_type($21, $22) | 0;
   178937     $cond162 = $call160;
   178938     label = 46;
   178939     break;
   178940    case 46:
   178941     if (($cond162 | 0) == 29) {
   178942       label = 47;
   178943       break;
   178944     } else if (($cond162 | 0) == 22 | ($cond162 | 0) == 24) {
   178945       label = 49;
   178946       break;
   178947     } else if (($cond162 | 0) == 5) {
   178948       label = 50;
   178949       break;
   178950     } else if (($cond162 | 0) == 6) {
   178951       label = 52;
   178952       break;
   178953     } else if (($cond162 | 0) == 7) {
   178954       label = 54;
   178955       break;
   178956     } else if (($cond162 | 0) == 21 | ($cond162 | 0) == 9 | ($cond162 | 0) == 10) {
   178957       label = 56;
   178958       break;
   178959     } else if (($cond162 | 0) == 11) {
   178960       $ptr_addr_2 = $ptr_addr_1136;
   178961       label = 58;
   178962       break;
   178963     } else if (($cond162 | 0) == 17) {
   178964       $ptr_addr_3 = $ptr_addr_1136;
   178965       label = 59;
   178966       break;
   178967     } else {
   178968       label = 57;
   178969       break;
   178970     }
   178971    case 47:
   178972     $24 = HEAP8[$ptr_addr_1136] | 0;
   178973     $idxprom165 = $24 & 255;
   178974     $arrayidx166 = $idxprom165 + 9272 | 0;
   178975     $25 = HEAP8[$arrayidx166] | 0;
   178976     $conv167 = $25 & 255;
   178977     $shl168 = $conv167 << 3;
   178978     $26 = HEAP8[$arrayidx152] | 0;
   178979     $conv170 = $26 & 255;
   178980     $shr171116 = $conv170 >>> 5;
   178981     $add172 = $shr171116 | $shl168;
   178982     $arrayidx173 = 9544 + ($add172 << 2) | 0;
   178983     $27 = HEAP32[$arrayidx173 >> 2] | 0;
   178984     $and176 = $conv170 & 31;
   178985     $shl177 = 1 << $and176;
   178986     $and178 = $shl177 & $27;
   178987     $tobool179 = ($and178 | 0) == 0;
   178988     if ($tobool179) {
   178989       label = 48;
   178990       break;
   178991     } else {
   178992       label = 49;
   178993       break;
   178994     }
   178995    case 48:
   178996     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1136;
   178997     $retval_0 = 0;
   178998     label = 65;
   178999     break;
   179000    case 49:
   179001     $add_ptr183 = $ptr_addr_1136 + 2 | 0;
   179002     $call214 = _big2_scanAtts($enc, $add_ptr183, $end, $nextTokPtr) | 0;
   179003     $retval_0 = $call214;
   179004     label = 65;
   179005     break;
   179006    case 50:
   179007     $sub_ptr_lhs_cast185 = $end;
   179008     $sub_ptr_rhs_cast186 = $ptr_addr_1136;
   179009     $sub_ptr_sub187 = $sub_ptr_lhs_cast185 - $sub_ptr_rhs_cast186 | 0;
   179010     $cmp188 = ($sub_ptr_sub187 | 0) < 2;
   179011     if ($cmp188) {
   179012       $retval_0 = -2;
   179013       label = 65;
   179014       break;
   179015     } else {
   179016       label = 51;
   179017       break;
   179018     }
   179019    case 51:
   179020     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1136;
   179021     $retval_0 = 0;
   179022     label = 65;
   179023     break;
   179024    case 52:
   179025     $sub_ptr_lhs_cast193 = $end;
   179026     $sub_ptr_rhs_cast194 = $ptr_addr_1136;
   179027     $sub_ptr_sub195 = $sub_ptr_lhs_cast193 - $sub_ptr_rhs_cast194 | 0;
   179028     $cmp196 = ($sub_ptr_sub195 | 0) < 3;
   179029     if ($cmp196) {
   179030       $retval_0 = -2;
   179031       label = 65;
   179032       break;
   179033     } else {
   179034       label = 53;
   179035       break;
   179036     }
   179037    case 53:
   179038     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1136;
   179039     $retval_0 = 0;
   179040     label = 65;
   179041     break;
   179042    case 54:
   179043     $sub_ptr_lhs_cast201 = $end;
   179044     $sub_ptr_rhs_cast202 = $ptr_addr_1136;
   179045     $sub_ptr_sub203 = $sub_ptr_lhs_cast201 - $sub_ptr_rhs_cast202 | 0;
   179046     $cmp204 = ($sub_ptr_sub203 | 0) < 4;
   179047     if ($cmp204) {
   179048       $retval_0 = -2;
   179049       label = 65;
   179050       break;
   179051     } else {
   179052       label = 55;
   179053       break;
   179054     }
   179055    case 55:
   179056     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1136;
   179057     $retval_0 = 0;
   179058     label = 65;
   179059     break;
   179060    case 56:
   179061     $add_ptr211 = $ptr_addr_1136 + 2 | 0;
   179062     $cmp144 = ($add_ptr211 | 0) == ($end | 0);
   179063     if ($cmp144) {
   179064       $retval_0 = -1;
   179065       label = 65;
   179066       break;
   179067     } else {
   179068       $ptr_addr_1136 = $add_ptr211;
   179069       label = 43;
   179070       break;
   179071     }
   179072    case 57:
   179073     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1136;
   179074     $retval_0 = 0;
   179075     label = 65;
   179076     break;
   179077    case 58:
   179078     $add_ptr216 = $ptr_addr_2 + 2 | 0;
   179079     HEAP32[$nextTokPtr >> 2] = $add_ptr216;
   179080     $retval_0 = 2;
   179081     label = 65;
   179082     break;
   179083    case 59:
   179084     $add_ptr218 = $ptr_addr_3 + 2 | 0;
   179085     $cmp219 = ($add_ptr218 | 0) == ($end | 0);
   179086     if ($cmp219) {
   179087       $retval_0 = -1;
   179088       label = 65;
   179089       break;
   179090     } else {
   179091       label = 60;
   179092       break;
   179093     }
   179094    case 60:
   179095     $28 = HEAP8[$add_ptr218] | 0;
   179096     $cmp225 = $28 << 24 >> 24 == 0;
   179097     if ($cmp225) {
   179098       label = 61;
   179099       break;
   179100     } else {
   179101       label = 62;
   179102       break;
   179103     }
   179104    case 61:
   179105     $arrayidx227 = $ptr_addr_3 + 3 | 0;
   179106     $29 = HEAP8[$arrayidx227] | 0;
   179107     $cmp229 = $29 << 24 >> 24 == 62;
   179108     if ($cmp229) {
   179109       label = 63;
   179110       break;
   179111     } else {
   179112       label = 62;
   179113       break;
   179114     }
   179115    case 62:
   179116     HEAP32[$nextTokPtr >> 2] = $add_ptr218;
   179117     $retval_0 = 0;
   179118     label = 65;
   179119     break;
   179120    case 63:
   179121     $add_ptr233 = $ptr_addr_3 + 4 | 0;
   179122     HEAP32[$nextTokPtr >> 2] = $add_ptr233;
   179123     $retval_0 = 4;
   179124     label = 65;
   179125     break;
   179126    case 64:
   179127     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0159;
   179128     $retval_0 = 0;
   179129     label = 65;
   179130     break;
   179131    case 65:
   179132     return $retval_0 | 0;
   179133   }
   179134   return 0;
   179135 }
   179136 function _big2_scanComment($enc, $ptr, $end, $nextTokPtr) {
   179137   $enc = $enc | 0;
   179138   $ptr = $ptr | 0;
   179139   $end = $end | 0;
   179140   $nextTokPtr = $nextTokPtr | 0;
   179141   var $cmp = 0, $0 = 0, $cmp1 = 0, $arrayidx3 = 0, $1 = 0, $cmp5 = 0, $add_ptr = 0, $cmp836 = 0, $type = 0, $2 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_lhs_cast25 = 0, $sub_ptr_lhs_cast34 = 0, $ptr_addr_037 = 0, $3 = 0, $cmp12 = 0, $arrayidx14 = 0, $4 = 0, $idxprom = 0, $arrayidx15 = 0, $5 = 0, $conv16 = 0, $call = 0, $cond = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp19 = 0, $add_ptr23 = 0, $sub_ptr_rhs_cast26 = 0, $sub_ptr_sub27 = 0, $cmp28 = 0, $add_ptr32 = 0, $sub_ptr_rhs_cast35 = 0, $sub_ptr_sub36 = 0, $cmp37 = 0, $add_ptr41 = 0, $add_ptr44 = 0, $cmp45 = 0, $6 = 0, $cmp51 = 0, $ptr_addr_0_be = 0, $cmp8 = 0, $arrayidx54 = 0, $7 = 0, $cmp56 = 0, $add_ptr59 = 0, $cmp60 = 0, $8 = 0, $cmp66 = 0, $arrayidx69 = 0, $9 = 0, $cmp71 = 0, $add_ptr75 = 0, $add_ptr77 = 0, $retval_0 = 0, label = 0;
   179142   label = 2;
   179143   while (1) switch (label | 0) {
   179144    case 2:
   179145     $cmp = ($ptr | 0) == ($end | 0);
   179146     if ($cmp) {
   179147       $retval_0 = -1;
   179148       label = 29;
   179149       break;
   179150     } else {
   179151       label = 3;
   179152       break;
   179153     }
   179154    case 3:
   179155     $0 = HEAP8[$ptr] | 0;
   179156     $cmp1 = $0 << 24 >> 24 == 0;
   179157     if ($cmp1) {
   179158       label = 4;
   179159       break;
   179160     } else {
   179161       label = 5;
   179162       break;
   179163     }
   179164    case 4:
   179165     $arrayidx3 = $ptr + 1 | 0;
   179166     $1 = HEAP8[$arrayidx3] | 0;
   179167     $cmp5 = $1 << 24 >> 24 == 45;
   179168     if ($cmp5) {
   179169       label = 6;
   179170       break;
   179171     } else {
   179172       label = 5;
   179173       break;
   179174     }
   179175    case 5:
   179176     HEAP32[$nextTokPtr >> 2] = $ptr;
   179177     $retval_0 = 0;
   179178     label = 29;
   179179     break;
   179180    case 6:
   179181     $add_ptr = $ptr + 2 | 0;
   179182     $cmp836 = ($add_ptr | 0) == ($end | 0);
   179183     if ($cmp836) {
   179184       $retval_0 = -1;
   179185       label = 29;
   179186       break;
   179187     } else {
   179188       label = 7;
   179189       break;
   179190     }
   179191    case 7:
   179192     $type = $enc + 72 | 0;
   179193     $2 = $type;
   179194     $sub_ptr_lhs_cast = $end;
   179195     $sub_ptr_lhs_cast25 = $end;
   179196     $sub_ptr_lhs_cast34 = $end;
   179197     $ptr_addr_037 = $add_ptr;
   179198     label = 8;
   179199     break;
   179200    case 8:
   179201     $3 = HEAP8[$ptr_addr_037] | 0;
   179202     $cmp12 = $3 << 24 >> 24 == 0;
   179203     $arrayidx14 = $ptr_addr_037 + 1 | 0;
   179204     $4 = HEAP8[$arrayidx14] | 0;
   179205     if ($cmp12) {
   179206       label = 9;
   179207       break;
   179208     } else {
   179209       label = 10;
   179210       break;
   179211     }
   179212    case 9:
   179213     $idxprom = $4 & 255;
   179214     $arrayidx15 = $2 + $idxprom | 0;
   179215     $5 = HEAP8[$arrayidx15] | 0;
   179216     $conv16 = $5 & 255;
   179217     $cond = $conv16;
   179218     label = 11;
   179219     break;
   179220    case 10:
   179221     $call = _unicode_byte_type($3, $4) | 0;
   179222     $cond = $call;
   179223     label = 11;
   179224     break;
   179225    case 11:
   179226     if (($cond | 0) == 5) {
   179227       label = 12;
   179228       break;
   179229     } else if (($cond | 0) == 6) {
   179230       label = 14;
   179231       break;
   179232     } else if (($cond | 0) == 7) {
   179233       label = 16;
   179234       break;
   179235     } else if (($cond | 0) == 0 | ($cond | 0) == 1 | ($cond | 0) == 8) {
   179236       label = 18;
   179237       break;
   179238     } else if (($cond | 0) == 27) {
   179239       label = 19;
   179240       break;
   179241     } else {
   179242       label = 28;
   179243       break;
   179244     }
   179245    case 12:
   179246     $sub_ptr_rhs_cast = $ptr_addr_037;
   179247     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   179248     $cmp19 = ($sub_ptr_sub | 0) < 2;
   179249     if ($cmp19) {
   179250       $retval_0 = -2;
   179251       label = 29;
   179252       break;
   179253     } else {
   179254       label = 13;
   179255       break;
   179256     }
   179257    case 13:
   179258     $add_ptr23 = $ptr_addr_037 + 2 | 0;
   179259     $ptr_addr_0_be = $add_ptr23;
   179260     label = 21;
   179261     break;
   179262    case 14:
   179263     $sub_ptr_rhs_cast26 = $ptr_addr_037;
   179264     $sub_ptr_sub27 = $sub_ptr_lhs_cast25 - $sub_ptr_rhs_cast26 | 0;
   179265     $cmp28 = ($sub_ptr_sub27 | 0) < 3;
   179266     if ($cmp28) {
   179267       $retval_0 = -2;
   179268       label = 29;
   179269       break;
   179270     } else {
   179271       label = 15;
   179272       break;
   179273     }
   179274    case 15:
   179275     $add_ptr32 = $ptr_addr_037 + 3 | 0;
   179276     $ptr_addr_0_be = $add_ptr32;
   179277     label = 21;
   179278     break;
   179279    case 16:
   179280     $sub_ptr_rhs_cast35 = $ptr_addr_037;
   179281     $sub_ptr_sub36 = $sub_ptr_lhs_cast34 - $sub_ptr_rhs_cast35 | 0;
   179282     $cmp37 = ($sub_ptr_sub36 | 0) < 4;
   179283     if ($cmp37) {
   179284       $retval_0 = -2;
   179285       label = 29;
   179286       break;
   179287     } else {
   179288       label = 17;
   179289       break;
   179290     }
   179291    case 17:
   179292     $add_ptr41 = $ptr_addr_037 + 4 | 0;
   179293     $ptr_addr_0_be = $add_ptr41;
   179294     label = 21;
   179295     break;
   179296    case 18:
   179297     HEAP32[$nextTokPtr >> 2] = $ptr_addr_037;
   179298     $retval_0 = 0;
   179299     label = 29;
   179300     break;
   179301    case 19:
   179302     $add_ptr44 = $ptr_addr_037 + 2 | 0;
   179303     $cmp45 = ($add_ptr44 | 0) == ($end | 0);
   179304     if ($cmp45) {
   179305       $retval_0 = -1;
   179306       label = 29;
   179307       break;
   179308     } else {
   179309       label = 20;
   179310       break;
   179311     }
   179312    case 20:
   179313     $6 = HEAP8[$add_ptr44] | 0;
   179314     $cmp51 = $6 << 24 >> 24 == 0;
   179315     if ($cmp51) {
   179316       label = 22;
   179317       break;
   179318     } else {
   179319       $ptr_addr_0_be = $add_ptr44;
   179320       label = 21;
   179321       break;
   179322     }
   179323    case 21:
   179324     $cmp8 = ($ptr_addr_0_be | 0) == ($end | 0);
   179325     if ($cmp8) {
   179326       $retval_0 = -1;
   179327       label = 29;
   179328       break;
   179329     } else {
   179330       $ptr_addr_037 = $ptr_addr_0_be;
   179331       label = 8;
   179332       break;
   179333     }
   179334    case 22:
   179335     $arrayidx54 = $ptr_addr_037 + 3 | 0;
   179336     $7 = HEAP8[$arrayidx54] | 0;
   179337     $cmp56 = $7 << 24 >> 24 == 45;
   179338     if ($cmp56) {
   179339       label = 23;
   179340       break;
   179341     } else {
   179342       $ptr_addr_0_be = $add_ptr44;
   179343       label = 21;
   179344       break;
   179345     }
   179346    case 23:
   179347     $add_ptr59 = $ptr_addr_037 + 4 | 0;
   179348     $cmp60 = ($add_ptr59 | 0) == ($end | 0);
   179349     if ($cmp60) {
   179350       $retval_0 = -1;
   179351       label = 29;
   179352       break;
   179353     } else {
   179354       label = 24;
   179355       break;
   179356     }
   179357    case 24:
   179358     $8 = HEAP8[$add_ptr59] | 0;
   179359     $cmp66 = $8 << 24 >> 24 == 0;
   179360     if ($cmp66) {
   179361       label = 25;
   179362       break;
   179363     } else {
   179364       label = 26;
   179365       break;
   179366     }
   179367    case 25:
   179368     $arrayidx69 = $ptr_addr_037 + 5 | 0;
   179369     $9 = HEAP8[$arrayidx69] | 0;
   179370     $cmp71 = $9 << 24 >> 24 == 62;
   179371     if ($cmp71) {
   179372       label = 27;
   179373       break;
   179374     } else {
   179375       label = 26;
   179376       break;
   179377     }
   179378    case 26:
   179379     HEAP32[$nextTokPtr >> 2] = $add_ptr59;
   179380     $retval_0 = 0;
   179381     label = 29;
   179382     break;
   179383    case 27:
   179384     $add_ptr75 = $ptr_addr_037 + 6 | 0;
   179385     HEAP32[$nextTokPtr >> 2] = $add_ptr75;
   179386     $retval_0 = 13;
   179387     label = 29;
   179388     break;
   179389    case 28:
   179390     $add_ptr77 = $ptr_addr_037 + 2 | 0;
   179391     $ptr_addr_0_be = $add_ptr77;
   179392     label = 21;
   179393     break;
   179394    case 29:
   179395     return $retval_0 | 0;
   179396   }
   179397   return 0;
   179398 }
   179399 function _big2_scanPi($enc, $ptr, $end, $nextTokPtr) {
   179400   $enc = $enc | 0;
   179401   $ptr = $ptr | 0;
   179402   $end = $end | 0;
   179403   $nextTokPtr = $nextTokPtr | 0;
   179404   var $tok = 0, $cmp = 0, $0 = 0, $cmp1 = 0, $arrayidx3 = 0, $1 = 0, $idxprom = 0, $type = 0, $2 = 0, $arrayidx4 = 0, $3 = 0, $conv5 = 0, $call = 0, $cond = 0, $ptr_addr_0111 = 0, $cmp43112 = 0, $type52 = 0, $4 = 0, $5 = 0, $idxprom9 = 0, $arrayidx10 = 0, $6 = 0, $conv11 = 0, $shl = 0, $7 = 0, $conv13 = 0, $shr91 = 0, $add = 0, $arrayidx14 = 0, $8 = 0, $and = 0, $shl17 = 0, $and18 = 0, $tobool = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp23 = 0, $sub_ptr_lhs_cast28 = 0, $sub_ptr_rhs_cast29 = 0, $sub_ptr_sub30 = 0, $cmp31 = 0, $sub_ptr_lhs_cast36 = 0, $sub_ptr_rhs_cast37 = 0, $sub_ptr_sub38 = 0, $cmp39 = 0, $ptr_addr_0114 = 0, $ptr_pn113 = 0, $9 = 0, $cmp47 = 0, $arrayidx50 = 0, $10 = 0, $idxprom51 = 0, $arrayidx53 = 0, $11 = 0, $conv54 = 0, $call58 = 0, $cond60 = 0, $12 = 0, $idxprom63 = 0, $arrayidx64 = 0, $13 = 0, $conv65 = 0, $shl66 = 0, $14 = 0, $conv68 = 0, $shr6990 = 0, $add70 = 0, $arrayidx71 = 0, $15 = 0, $and74 = 0, $shl75 = 0, $and76 = 0, $tobool77 = 0, $ptr_addr_0 = 0, $cmp43 = 0, $sub_ptr_lhs_cast83 = 0, $sub_ptr_rhs_cast84 = 0, $sub_ptr_sub85 = 0, $cmp86 = 0, $sub_ptr_lhs_cast91 = 0, $sub_ptr_rhs_cast92 = 0, $sub_ptr_sub93 = 0, $cmp94 = 0, $sub_ptr_lhs_cast99 = 0, $sub_ptr_rhs_cast100 = 0, $sub_ptr_sub101 = 0, $cmp102 = 0, $call107 = 0, $tobool108 = 0, $add_ptr111 = 0, $cmp11394 = 0, $type123 = 0, $16 = 0, $sub_ptr_lhs_cast133 = 0, $sub_ptr_lhs_cast142 = 0, $sub_ptr_lhs_cast151 = 0, $ptr_addr_195 = 0, $17 = 0, $cmp118 = 0, $arrayidx121 = 0, $18 = 0, $idxprom122 = 0, $arrayidx124 = 0, $19 = 0, $conv125 = 0, $call129 = 0, $cond131 = 0, $sub_ptr_rhs_cast134 = 0, $sub_ptr_sub135 = 0, $cmp136 = 0, $add_ptr140 = 0, $sub_ptr_rhs_cast143 = 0, $sub_ptr_sub144 = 0, $cmp145 = 0, $add_ptr149 = 0, $sub_ptr_rhs_cast152 = 0, $sub_ptr_sub153 = 0, $cmp154 = 0, $add_ptr158 = 0, $add_ptr161 = 0, $cmp162 = 0, $20 = 0, $cmp168 = 0, $ptr_addr_1_be = 0, $cmp113 = 0, $arrayidx170 = 0, $21 = 0, $cmp172 = 0, $add_ptr175 = 0, $22 = 0, $add_ptr178 = 0, $call181 = 0, $tobool182 = 0, $add_ptr185 = 0, $cmp186 = 0, $23 = 0, $cmp192 = 0, $arrayidx195 = 0, $24 = 0, $cmp197 = 0, $add_ptr200 = 0, $25 = 0, $ptr_addr_2 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   179405   __stackBase__ = STACKTOP;
   179406   STACKTOP = STACKTOP + 8 | 0;
   179407   label = 2;
   179408   while (1) switch (label | 0) {
   179409    case 2:
   179410     $tok = __stackBase__ | 0;
   179411     $cmp = ($ptr | 0) == ($end | 0);
   179412     if ($cmp) {
   179413       $retval_0 = -1;
   179414       label = 59;
   179415       break;
   179416     } else {
   179417       label = 3;
   179418       break;
   179419     }
   179420    case 3:
   179421     $0 = HEAP8[$ptr] | 0;
   179422     $cmp1 = $0 << 24 >> 24 == 0;
   179423     $arrayidx3 = $ptr + 1 | 0;
   179424     $1 = HEAP8[$arrayidx3] | 0;
   179425     if ($cmp1) {
   179426       label = 4;
   179427       break;
   179428     } else {
   179429       label = 5;
   179430       break;
   179431     }
   179432    case 4:
   179433     $idxprom = $1 & 255;
   179434     $type = $enc + 72 | 0;
   179435     $2 = $type;
   179436     $arrayidx4 = $2 + $idxprom | 0;
   179437     $3 = HEAP8[$arrayidx4] | 0;
   179438     $conv5 = $3 & 255;
   179439     $cond = $conv5;
   179440     label = 6;
   179441     break;
   179442    case 5:
   179443     $call = _unicode_byte_type($0, $1) | 0;
   179444     $cond = $call;
   179445     label = 6;
   179446     break;
   179447    case 6:
   179448     if (($cond | 0) == 22 | ($cond | 0) == 24) {
   179449       label = 7;
   179450       break;
   179451     } else if (($cond | 0) == 29) {
   179452       label = 9;
   179453       break;
   179454     } else if (($cond | 0) == 5) {
   179455       label = 11;
   179456       break;
   179457     } else if (($cond | 0) == 6) {
   179458       label = 13;
   179459       break;
   179460     } else if (($cond | 0) == 7) {
   179461       label = 15;
   179462       break;
   179463     } else {
   179464       label = 17;
   179465       break;
   179466     }
   179467    case 7:
   179468     $ptr_addr_0111 = $ptr + 2 | 0;
   179469     $cmp43112 = ($ptr_addr_0111 | 0) == ($end | 0);
   179470     if ($cmp43112) {
   179471       $retval_0 = -1;
   179472       label = 59;
   179473       break;
   179474     } else {
   179475       label = 8;
   179476       break;
   179477     }
   179478    case 8:
   179479     $type52 = $enc + 72 | 0;
   179480     $4 = $type52;
   179481     $ptr_pn113 = $ptr;
   179482     $ptr_addr_0114 = $ptr_addr_0111;
   179483     label = 18;
   179484     break;
   179485    case 9:
   179486     $5 = HEAP8[$ptr] | 0;
   179487     $idxprom9 = $5 & 255;
   179488     $arrayidx10 = $idxprom9 + 9272 | 0;
   179489     $6 = HEAP8[$arrayidx10] | 0;
   179490     $conv11 = $6 & 255;
   179491     $shl = $conv11 << 3;
   179492     $7 = HEAP8[$arrayidx3] | 0;
   179493     $conv13 = $7 & 255;
   179494     $shr91 = $conv13 >>> 5;
   179495     $add = $shr91 | $shl;
   179496     $arrayidx14 = 9544 + ($add << 2) | 0;
   179497     $8 = HEAP32[$arrayidx14 >> 2] | 0;
   179498     $and = $conv13 & 31;
   179499     $shl17 = 1 << $and;
   179500     $and18 = $shl17 & $8;
   179501     $tobool = ($and18 | 0) == 0;
   179502     if ($tobool) {
   179503       label = 10;
   179504       break;
   179505     } else {
   179506       label = 7;
   179507       break;
   179508     }
   179509    case 10:
   179510     HEAP32[$nextTokPtr >> 2] = $ptr;
   179511     $retval_0 = 0;
   179512     label = 59;
   179513     break;
   179514    case 11:
   179515     $sub_ptr_lhs_cast = $end;
   179516     $sub_ptr_rhs_cast = $ptr;
   179517     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   179518     $cmp23 = ($sub_ptr_sub | 0) < 2;
   179519     if ($cmp23) {
   179520       $retval_0 = -2;
   179521       label = 59;
   179522       break;
   179523     } else {
   179524       label = 12;
   179525       break;
   179526     }
   179527    case 12:
   179528     HEAP32[$nextTokPtr >> 2] = $ptr;
   179529     $retval_0 = 0;
   179530     label = 59;
   179531     break;
   179532    case 13:
   179533     $sub_ptr_lhs_cast28 = $end;
   179534     $sub_ptr_rhs_cast29 = $ptr;
   179535     $sub_ptr_sub30 = $sub_ptr_lhs_cast28 - $sub_ptr_rhs_cast29 | 0;
   179536     $cmp31 = ($sub_ptr_sub30 | 0) < 3;
   179537     if ($cmp31) {
   179538       $retval_0 = -2;
   179539       label = 59;
   179540       break;
   179541     } else {
   179542       label = 14;
   179543       break;
   179544     }
   179545    case 14:
   179546     HEAP32[$nextTokPtr >> 2] = $ptr;
   179547     $retval_0 = 0;
   179548     label = 59;
   179549     break;
   179550    case 15:
   179551     $sub_ptr_lhs_cast36 = $end;
   179552     $sub_ptr_rhs_cast37 = $ptr;
   179553     $sub_ptr_sub38 = $sub_ptr_lhs_cast36 - $sub_ptr_rhs_cast37 | 0;
   179554     $cmp39 = ($sub_ptr_sub38 | 0) < 4;
   179555     if ($cmp39) {
   179556       $retval_0 = -2;
   179557       label = 59;
   179558       break;
   179559     } else {
   179560       label = 16;
   179561       break;
   179562     }
   179563    case 16:
   179564     HEAP32[$nextTokPtr >> 2] = $ptr;
   179565     $retval_0 = 0;
   179566     label = 59;
   179567     break;
   179568    case 17:
   179569     HEAP32[$nextTokPtr >> 2] = $ptr;
   179570     $retval_0 = 0;
   179571     label = 59;
   179572     break;
   179573    case 18:
   179574     $9 = HEAP8[$ptr_addr_0114] | 0;
   179575     $cmp47 = $9 << 24 >> 24 == 0;
   179576     $arrayidx50 = $ptr_pn113 + 3 | 0;
   179577     $10 = HEAP8[$arrayidx50] | 0;
   179578     if ($cmp47) {
   179579       label = 19;
   179580       break;
   179581     } else {
   179582       label = 20;
   179583       break;
   179584     }
   179585    case 19:
   179586     $idxprom51 = $10 & 255;
   179587     $arrayidx53 = $4 + $idxprom51 | 0;
   179588     $11 = HEAP8[$arrayidx53] | 0;
   179589     $conv54 = $11 & 255;
   179590     $cond60 = $conv54;
   179591     label = 21;
   179592     break;
   179593    case 20:
   179594     $call58 = _unicode_byte_type($9, $10) | 0;
   179595     $cond60 = $call58;
   179596     label = 21;
   179597     break;
   179598    case 21:
   179599     if (($cond60 | 0) == 29) {
   179600       label = 22;
   179601       break;
   179602     } else if (($cond60 | 0) == 22 | ($cond60 | 0) == 24 | ($cond60 | 0) == 25 | ($cond60 | 0) == 26 | ($cond60 | 0) == 27) {
   179603       label = 23;
   179604       break;
   179605     } else if (($cond60 | 0) == 5) {
   179606       label = 25;
   179607       break;
   179608     } else if (($cond60 | 0) == 6) {
   179609       label = 27;
   179610       break;
   179611     } else if (($cond60 | 0) == 7) {
   179612       label = 29;
   179613       break;
   179614     } else if (($cond60 | 0) == 21 | ($cond60 | 0) == 9 | ($cond60 | 0) == 10) {
   179615       label = 31;
   179616       break;
   179617     } else if (($cond60 | 0) == 15) {
   179618       label = 52;
   179619       break;
   179620     } else {
   179621       $ptr_addr_2 = $ptr_addr_0114;
   179622       label = 58;
   179623       break;
   179624     }
   179625    case 22:
   179626     $12 = HEAP8[$ptr_addr_0114] | 0;
   179627     $idxprom63 = $12 & 255;
   179628     $arrayidx64 = $idxprom63 + 10824 | 0;
   179629     $13 = HEAP8[$arrayidx64] | 0;
   179630     $conv65 = $13 & 255;
   179631     $shl66 = $conv65 << 3;
   179632     $14 = HEAP8[$arrayidx50] | 0;
   179633     $conv68 = $14 & 255;
   179634     $shr6990 = $conv68 >>> 5;
   179635     $add70 = $shr6990 | $shl66;
   179636     $arrayidx71 = 9544 + ($add70 << 2) | 0;
   179637     $15 = HEAP32[$arrayidx71 >> 2] | 0;
   179638     $and74 = $conv68 & 31;
   179639     $shl75 = 1 << $and74;
   179640     $and76 = $shl75 & $15;
   179641     $tobool77 = ($and76 | 0) == 0;
   179642     if ($tobool77) {
   179643       label = 24;
   179644       break;
   179645     } else {
   179646       label = 23;
   179647       break;
   179648     }
   179649    case 23:
   179650     $ptr_addr_0 = $ptr_addr_0114 + 2 | 0;
   179651     $cmp43 = ($ptr_addr_0 | 0) == ($end | 0);
   179652     if ($cmp43) {
   179653       $retval_0 = -1;
   179654       label = 59;
   179655       break;
   179656     } else {
   179657       $ptr_pn113 = $ptr_addr_0114;
   179658       $ptr_addr_0114 = $ptr_addr_0;
   179659       label = 18;
   179660       break;
   179661     }
   179662    case 24:
   179663     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0114;
   179664     $retval_0 = 0;
   179665     label = 59;
   179666     break;
   179667    case 25:
   179668     $sub_ptr_lhs_cast83 = $end;
   179669     $sub_ptr_rhs_cast84 = $ptr_addr_0114;
   179670     $sub_ptr_sub85 = $sub_ptr_lhs_cast83 - $sub_ptr_rhs_cast84 | 0;
   179671     $cmp86 = ($sub_ptr_sub85 | 0) < 2;
   179672     if ($cmp86) {
   179673       $retval_0 = -2;
   179674       label = 59;
   179675       break;
   179676     } else {
   179677       label = 26;
   179678       break;
   179679     }
   179680    case 26:
   179681     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0114;
   179682     $retval_0 = 0;
   179683     label = 59;
   179684     break;
   179685    case 27:
   179686     $sub_ptr_lhs_cast91 = $end;
   179687     $sub_ptr_rhs_cast92 = $ptr_addr_0114;
   179688     $sub_ptr_sub93 = $sub_ptr_lhs_cast91 - $sub_ptr_rhs_cast92 | 0;
   179689     $cmp94 = ($sub_ptr_sub93 | 0) < 3;
   179690     if ($cmp94) {
   179691       $retval_0 = -2;
   179692       label = 59;
   179693       break;
   179694     } else {
   179695       label = 28;
   179696       break;
   179697     }
   179698    case 28:
   179699     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0114;
   179700     $retval_0 = 0;
   179701     label = 59;
   179702     break;
   179703    case 29:
   179704     $sub_ptr_lhs_cast99 = $end;
   179705     $sub_ptr_rhs_cast100 = $ptr_addr_0114;
   179706     $sub_ptr_sub101 = $sub_ptr_lhs_cast99 - $sub_ptr_rhs_cast100 | 0;
   179707     $cmp102 = ($sub_ptr_sub101 | 0) < 4;
   179708     if ($cmp102) {
   179709       $retval_0 = -2;
   179710       label = 59;
   179711       break;
   179712     } else {
   179713       label = 30;
   179714       break;
   179715     }
   179716    case 30:
   179717     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0114;
   179718     $retval_0 = 0;
   179719     label = 59;
   179720     break;
   179721    case 31:
   179722     $call107 = _big2_checkPiTarget($ptr, $ptr_addr_0114, $tok) | 0;
   179723     $tobool108 = ($call107 | 0) == 0;
   179724     if ($tobool108) {
   179725       label = 32;
   179726       break;
   179727     } else {
   179728       label = 33;
   179729       break;
   179730     }
   179731    case 32:
   179732     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0114;
   179733     $retval_0 = 0;
   179734     label = 59;
   179735     break;
   179736    case 33:
   179737     $add_ptr111 = $ptr_pn113 + 4 | 0;
   179738     $cmp11394 = ($add_ptr111 | 0) == ($end | 0);
   179739     if ($cmp11394) {
   179740       $retval_0 = -1;
   179741       label = 59;
   179742       break;
   179743     } else {
   179744       label = 34;
   179745       break;
   179746     }
   179747    case 34:
   179748     $type123 = $enc + 72 | 0;
   179749     $16 = $type123;
   179750     $sub_ptr_lhs_cast133 = $end;
   179751     $sub_ptr_lhs_cast142 = $end;
   179752     $sub_ptr_lhs_cast151 = $end;
   179753     $ptr_addr_195 = $add_ptr111;
   179754     label = 35;
   179755     break;
   179756    case 35:
   179757     $17 = HEAP8[$ptr_addr_195] | 0;
   179758     $cmp118 = $17 << 24 >> 24 == 0;
   179759     $arrayidx121 = $ptr_addr_195 + 1 | 0;
   179760     $18 = HEAP8[$arrayidx121] | 0;
   179761     if ($cmp118) {
   179762       label = 36;
   179763       break;
   179764     } else {
   179765       label = 37;
   179766       break;
   179767     }
   179768    case 36:
   179769     $idxprom122 = $18 & 255;
   179770     $arrayidx124 = $16 + $idxprom122 | 0;
   179771     $19 = HEAP8[$arrayidx124] | 0;
   179772     $conv125 = $19 & 255;
   179773     $cond131 = $conv125;
   179774     label = 38;
   179775     break;
   179776    case 37:
   179777     $call129 = _unicode_byte_type($17, $18) | 0;
   179778     $cond131 = $call129;
   179779     label = 38;
   179780     break;
   179781    case 38:
   179782     if (($cond131 | 0) == 5) {
   179783       label = 39;
   179784       break;
   179785     } else if (($cond131 | 0) == 6) {
   179786       label = 41;
   179787       break;
   179788     } else if (($cond131 | 0) == 7) {
   179789       label = 43;
   179790       break;
   179791     } else if (($cond131 | 0) == 0 | ($cond131 | 0) == 1 | ($cond131 | 0) == 8) {
   179792       label = 45;
   179793       break;
   179794     } else if (($cond131 | 0) == 15) {
   179795       label = 46;
   179796       break;
   179797     } else {
   179798       label = 51;
   179799       break;
   179800     }
   179801    case 39:
   179802     $sub_ptr_rhs_cast134 = $ptr_addr_195;
   179803     $sub_ptr_sub135 = $sub_ptr_lhs_cast133 - $sub_ptr_rhs_cast134 | 0;
   179804     $cmp136 = ($sub_ptr_sub135 | 0) < 2;
   179805     if ($cmp136) {
   179806       $retval_0 = -2;
   179807       label = 59;
   179808       break;
   179809     } else {
   179810       label = 40;
   179811       break;
   179812     }
   179813    case 40:
   179814     $add_ptr140 = $ptr_addr_195 + 2 | 0;
   179815     $ptr_addr_1_be = $add_ptr140;
   179816     label = 48;
   179817     break;
   179818    case 41:
   179819     $sub_ptr_rhs_cast143 = $ptr_addr_195;
   179820     $sub_ptr_sub144 = $sub_ptr_lhs_cast142 - $sub_ptr_rhs_cast143 | 0;
   179821     $cmp145 = ($sub_ptr_sub144 | 0) < 3;
   179822     if ($cmp145) {
   179823       $retval_0 = -2;
   179824       label = 59;
   179825       break;
   179826     } else {
   179827       label = 42;
   179828       break;
   179829     }
   179830    case 42:
   179831     $add_ptr149 = $ptr_addr_195 + 3 | 0;
   179832     $ptr_addr_1_be = $add_ptr149;
   179833     label = 48;
   179834     break;
   179835    case 43:
   179836     $sub_ptr_rhs_cast152 = $ptr_addr_195;
   179837     $sub_ptr_sub153 = $sub_ptr_lhs_cast151 - $sub_ptr_rhs_cast152 | 0;
   179838     $cmp154 = ($sub_ptr_sub153 | 0) < 4;
   179839     if ($cmp154) {
   179840       $retval_0 = -2;
   179841       label = 59;
   179842       break;
   179843     } else {
   179844       label = 44;
   179845       break;
   179846     }
   179847    case 44:
   179848     $add_ptr158 = $ptr_addr_195 + 4 | 0;
   179849     $ptr_addr_1_be = $add_ptr158;
   179850     label = 48;
   179851     break;
   179852    case 45:
   179853     HEAP32[$nextTokPtr >> 2] = $ptr_addr_195;
   179854     $retval_0 = 0;
   179855     label = 59;
   179856     break;
   179857    case 46:
   179858     $add_ptr161 = $ptr_addr_195 + 2 | 0;
   179859     $cmp162 = ($add_ptr161 | 0) == ($end | 0);
   179860     if ($cmp162) {
   179861       $retval_0 = -1;
   179862       label = 59;
   179863       break;
   179864     } else {
   179865       label = 47;
   179866       break;
   179867     }
   179868    case 47:
   179869     $20 = HEAP8[$add_ptr161] | 0;
   179870     $cmp168 = $20 << 24 >> 24 == 0;
   179871     if ($cmp168) {
   179872       label = 49;
   179873       break;
   179874     } else {
   179875       $ptr_addr_1_be = $add_ptr161;
   179876       label = 48;
   179877       break;
   179878     }
   179879    case 48:
   179880     $cmp113 = ($ptr_addr_1_be | 0) == ($end | 0);
   179881     if ($cmp113) {
   179882       $retval_0 = -1;
   179883       label = 59;
   179884       break;
   179885     } else {
   179886       $ptr_addr_195 = $ptr_addr_1_be;
   179887       label = 35;
   179888       break;
   179889     }
   179890    case 49:
   179891     $arrayidx170 = $ptr_addr_195 + 3 | 0;
   179892     $21 = HEAP8[$arrayidx170] | 0;
   179893     $cmp172 = $21 << 24 >> 24 == 62;
   179894     if ($cmp172) {
   179895       label = 50;
   179896       break;
   179897     } else {
   179898       $ptr_addr_1_be = $add_ptr161;
   179899       label = 48;
   179900       break;
   179901     }
   179902    case 50:
   179903     $add_ptr175 = $ptr_addr_195 + 4 | 0;
   179904     HEAP32[$nextTokPtr >> 2] = $add_ptr175;
   179905     $22 = HEAP32[$tok >> 2] | 0;
   179906     $retval_0 = $22;
   179907     label = 59;
   179908     break;
   179909    case 51:
   179910     $add_ptr178 = $ptr_addr_195 + 2 | 0;
   179911     $ptr_addr_1_be = $add_ptr178;
   179912     label = 48;
   179913     break;
   179914    case 52:
   179915     $call181 = _big2_checkPiTarget($ptr, $ptr_addr_0114, $tok) | 0;
   179916     $tobool182 = ($call181 | 0) == 0;
   179917     if ($tobool182) {
   179918       label = 53;
   179919       break;
   179920     } else {
   179921       label = 54;
   179922       break;
   179923     }
   179924    case 53:
   179925     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0114;
   179926     $retval_0 = 0;
   179927     label = 59;
   179928     break;
   179929    case 54:
   179930     $add_ptr185 = $ptr_pn113 + 4 | 0;
   179931     $cmp186 = ($add_ptr185 | 0) == ($end | 0);
   179932     if ($cmp186) {
   179933       $retval_0 = -1;
   179934       label = 59;
   179935       break;
   179936     } else {
   179937       label = 55;
   179938       break;
   179939     }
   179940    case 55:
   179941     $23 = HEAP8[$add_ptr185] | 0;
   179942     $cmp192 = $23 << 24 >> 24 == 0;
   179943     if ($cmp192) {
   179944       label = 56;
   179945       break;
   179946     } else {
   179947       $ptr_addr_2 = $add_ptr185;
   179948       label = 58;
   179949       break;
   179950     }
   179951    case 56:
   179952     $arrayidx195 = $ptr_pn113 + 5 | 0;
   179953     $24 = HEAP8[$arrayidx195] | 0;
   179954     $cmp197 = $24 << 24 >> 24 == 62;
   179955     if ($cmp197) {
   179956       label = 57;
   179957       break;
   179958     } else {
   179959       $ptr_addr_2 = $add_ptr185;
   179960       label = 58;
   179961       break;
   179962     }
   179963    case 57:
   179964     $add_ptr200 = $ptr_pn113 + 6 | 0;
   179965     HEAP32[$nextTokPtr >> 2] = $add_ptr200;
   179966     $25 = HEAP32[$tok >> 2] | 0;
   179967     $retval_0 = $25;
   179968     label = 59;
   179969     break;
   179970    case 58:
   179971     HEAP32[$nextTokPtr >> 2] = $ptr_addr_2;
   179972     $retval_0 = 0;
   179973     label = 59;
   179974     break;
   179975    case 59:
   179976     STACKTOP = __stackBase__;
   179977     return $retval_0 | 0;
   179978   }
   179979   return 0;
   179980 }
   179981 function _big2_scanEndTag($enc, $ptr, $end, $nextTokPtr) {
   179982   $enc = $enc | 0;
   179983   $ptr = $ptr | 0;
   179984   $end = $end | 0;
   179985   $nextTokPtr = $nextTokPtr | 0;
   179986   var $cmp = 0, $0 = 0, $cmp1 = 0, $arrayidx3 = 0, $1 = 0, $idxprom = 0, $type = 0, $2 = 0, $arrayidx4 = 0, $3 = 0, $conv5 = 0, $call = 0, $cond = 0, $ptr_addr_084 = 0, $cmp4385 = 0, $type52 = 0, $4 = 0, $5 = 0, $idxprom9 = 0, $arrayidx10 = 0, $6 = 0, $conv11 = 0, $shl = 0, $7 = 0, $conv13 = 0, $shr64 = 0, $add = 0, $arrayidx14 = 0, $8 = 0, $and = 0, $shl17 = 0, $and18 = 0, $tobool = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp23 = 0, $sub_ptr_lhs_cast28 = 0, $sub_ptr_rhs_cast29 = 0, $sub_ptr_sub30 = 0, $cmp31 = 0, $sub_ptr_lhs_cast36 = 0, $sub_ptr_rhs_cast37 = 0, $sub_ptr_sub38 = 0, $cmp39 = 0, $ptr_addr_087 = 0, $ptr_pn86 = 0, $9 = 0, $cmp47 = 0, $arrayidx50 = 0, $10 = 0, $idxprom51 = 0, $arrayidx53 = 0, $11 = 0, $conv54 = 0, $call58 = 0, $cond60 = 0, $12 = 0, $idxprom63 = 0, $arrayidx64 = 0, $13 = 0, $conv65 = 0, $shl66 = 0, $14 = 0, $conv68 = 0, $shr6963 = 0, $add70 = 0, $arrayidx71 = 0, $15 = 0, $and74 = 0, $shl75 = 0, $and76 = 0, $tobool77 = 0, $ptr_addr_0 = 0, $cmp43 = 0, $sub_ptr_lhs_cast83 = 0, $sub_ptr_rhs_cast84 = 0, $sub_ptr_sub85 = 0, $cmp86 = 0, $sub_ptr_lhs_cast91 = 0, $sub_ptr_rhs_cast92 = 0, $sub_ptr_sub93 = 0, $cmp94 = 0, $sub_ptr_lhs_cast99 = 0, $sub_ptr_rhs_cast100 = 0, $sub_ptr_sub101 = 0, $cmp102 = 0, $add_ptr107 = 0, $cmp10867 = 0, $type117 = 0, $16 = 0, $ptr_addr_168 = 0, $17 = 0, $cmp112 = 0, $arrayidx115 = 0, $18 = 0, $idxprom116 = 0, $arrayidx118 = 0, $19 = 0, $conv119 = 0, $call123 = 0, $cond125 = 0, $add_ptr128 = 0, $add_ptr131 = 0, $cmp108 = 0, $add_ptr133 = 0, $retval_0 = 0, label = 0;
   179987   label = 2;
   179988   while (1) switch (label | 0) {
   179989    case 2:
   179990     $cmp = ($ptr | 0) == ($end | 0);
   179991     if ($cmp) {
   179992       $retval_0 = -1;
   179993       label = 42;
   179994       break;
   179995     } else {
   179996       label = 3;
   179997       break;
   179998     }
   179999    case 3:
   180000     $0 = HEAP8[$ptr] | 0;
   180001     $cmp1 = $0 << 24 >> 24 == 0;
   180002     $arrayidx3 = $ptr + 1 | 0;
   180003     $1 = HEAP8[$arrayidx3] | 0;
   180004     if ($cmp1) {
   180005       label = 4;
   180006       break;
   180007     } else {
   180008       label = 5;
   180009       break;
   180010     }
   180011    case 4:
   180012     $idxprom = $1 & 255;
   180013     $type = $enc + 72 | 0;
   180014     $2 = $type;
   180015     $arrayidx4 = $2 + $idxprom | 0;
   180016     $3 = HEAP8[$arrayidx4] | 0;
   180017     $conv5 = $3 & 255;
   180018     $cond = $conv5;
   180019     label = 6;
   180020     break;
   180021    case 5:
   180022     $call = _unicode_byte_type($0, $1) | 0;
   180023     $cond = $call;
   180024     label = 6;
   180025     break;
   180026    case 6:
   180027     if (($cond | 0) == 22 | ($cond | 0) == 24) {
   180028       label = 7;
   180029       break;
   180030     } else if (($cond | 0) == 29) {
   180031       label = 9;
   180032       break;
   180033     } else if (($cond | 0) == 5) {
   180034       label = 11;
   180035       break;
   180036     } else if (($cond | 0) == 6) {
   180037       label = 13;
   180038       break;
   180039     } else if (($cond | 0) == 7) {
   180040       label = 15;
   180041       break;
   180042     } else {
   180043       label = 17;
   180044       break;
   180045     }
   180046    case 7:
   180047     $ptr_addr_084 = $ptr + 2 | 0;
   180048     $cmp4385 = ($ptr_addr_084 | 0) == ($end | 0);
   180049     if ($cmp4385) {
   180050       $retval_0 = -1;
   180051       label = 42;
   180052       break;
   180053     } else {
   180054       label = 8;
   180055       break;
   180056     }
   180057    case 8:
   180058     $type52 = $enc + 72 | 0;
   180059     $4 = $type52;
   180060     $ptr_pn86 = $ptr;
   180061     $ptr_addr_087 = $ptr_addr_084;
   180062     label = 18;
   180063     break;
   180064    case 9:
   180065     $5 = HEAP8[$ptr] | 0;
   180066     $idxprom9 = $5 & 255;
   180067     $arrayidx10 = $idxprom9 + 9272 | 0;
   180068     $6 = HEAP8[$arrayidx10] | 0;
   180069     $conv11 = $6 & 255;
   180070     $shl = $conv11 << 3;
   180071     $7 = HEAP8[$arrayidx3] | 0;
   180072     $conv13 = $7 & 255;
   180073     $shr64 = $conv13 >>> 5;
   180074     $add = $shr64 | $shl;
   180075     $arrayidx14 = 9544 + ($add << 2) | 0;
   180076     $8 = HEAP32[$arrayidx14 >> 2] | 0;
   180077     $and = $conv13 & 31;
   180078     $shl17 = 1 << $and;
   180079     $and18 = $shl17 & $8;
   180080     $tobool = ($and18 | 0) == 0;
   180081     if ($tobool) {
   180082       label = 10;
   180083       break;
   180084     } else {
   180085       label = 7;
   180086       break;
   180087     }
   180088    case 10:
   180089     HEAP32[$nextTokPtr >> 2] = $ptr;
   180090     $retval_0 = 0;
   180091     label = 42;
   180092     break;
   180093    case 11:
   180094     $sub_ptr_lhs_cast = $end;
   180095     $sub_ptr_rhs_cast = $ptr;
   180096     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   180097     $cmp23 = ($sub_ptr_sub | 0) < 2;
   180098     if ($cmp23) {
   180099       $retval_0 = -2;
   180100       label = 42;
   180101       break;
   180102     } else {
   180103       label = 12;
   180104       break;
   180105     }
   180106    case 12:
   180107     HEAP32[$nextTokPtr >> 2] = $ptr;
   180108     $retval_0 = 0;
   180109     label = 42;
   180110     break;
   180111    case 13:
   180112     $sub_ptr_lhs_cast28 = $end;
   180113     $sub_ptr_rhs_cast29 = $ptr;
   180114     $sub_ptr_sub30 = $sub_ptr_lhs_cast28 - $sub_ptr_rhs_cast29 | 0;
   180115     $cmp31 = ($sub_ptr_sub30 | 0) < 3;
   180116     if ($cmp31) {
   180117       $retval_0 = -2;
   180118       label = 42;
   180119       break;
   180120     } else {
   180121       label = 14;
   180122       break;
   180123     }
   180124    case 14:
   180125     HEAP32[$nextTokPtr >> 2] = $ptr;
   180126     $retval_0 = 0;
   180127     label = 42;
   180128     break;
   180129    case 15:
   180130     $sub_ptr_lhs_cast36 = $end;
   180131     $sub_ptr_rhs_cast37 = $ptr;
   180132     $sub_ptr_sub38 = $sub_ptr_lhs_cast36 - $sub_ptr_rhs_cast37 | 0;
   180133     $cmp39 = ($sub_ptr_sub38 | 0) < 4;
   180134     if ($cmp39) {
   180135       $retval_0 = -2;
   180136       label = 42;
   180137       break;
   180138     } else {
   180139       label = 16;
   180140       break;
   180141     }
   180142    case 16:
   180143     HEAP32[$nextTokPtr >> 2] = $ptr;
   180144     $retval_0 = 0;
   180145     label = 42;
   180146     break;
   180147    case 17:
   180148     HEAP32[$nextTokPtr >> 2] = $ptr;
   180149     $retval_0 = 0;
   180150     label = 42;
   180151     break;
   180152    case 18:
   180153     $9 = HEAP8[$ptr_addr_087] | 0;
   180154     $cmp47 = $9 << 24 >> 24 == 0;
   180155     $arrayidx50 = $ptr_pn86 + 3 | 0;
   180156     $10 = HEAP8[$arrayidx50] | 0;
   180157     if ($cmp47) {
   180158       label = 19;
   180159       break;
   180160     } else {
   180161       label = 20;
   180162       break;
   180163     }
   180164    case 19:
   180165     $idxprom51 = $10 & 255;
   180166     $arrayidx53 = $4 + $idxprom51 | 0;
   180167     $11 = HEAP8[$arrayidx53] | 0;
   180168     $conv54 = $11 & 255;
   180169     $cond60 = $conv54;
   180170     label = 21;
   180171     break;
   180172    case 20:
   180173     $call58 = _unicode_byte_type($9, $10) | 0;
   180174     $cond60 = $call58;
   180175     label = 21;
   180176     break;
   180177    case 21:
   180178     if (($cond60 | 0) == 29) {
   180179       label = 22;
   180180       break;
   180181     } else if (($cond60 | 0) == 22 | ($cond60 | 0) == 24 | ($cond60 | 0) == 25 | ($cond60 | 0) == 26 | ($cond60 | 0) == 27) {
   180182       label = 23;
   180183       break;
   180184     } else if (($cond60 | 0) == 5) {
   180185       label = 25;
   180186       break;
   180187     } else if (($cond60 | 0) == 6) {
   180188       label = 27;
   180189       break;
   180190     } else if (($cond60 | 0) == 7) {
   180191       label = 29;
   180192       break;
   180193     } else if (($cond60 | 0) == 21 | ($cond60 | 0) == 9 | ($cond60 | 0) == 10) {
   180194       label = 31;
   180195       break;
   180196     } else if (($cond60 | 0) == 11) {
   180197       label = 40;
   180198       break;
   180199     } else {
   180200       label = 41;
   180201       break;
   180202     }
   180203    case 22:
   180204     $12 = HEAP8[$ptr_addr_087] | 0;
   180205     $idxprom63 = $12 & 255;
   180206     $arrayidx64 = $idxprom63 + 10824 | 0;
   180207     $13 = HEAP8[$arrayidx64] | 0;
   180208     $conv65 = $13 & 255;
   180209     $shl66 = $conv65 << 3;
   180210     $14 = HEAP8[$arrayidx50] | 0;
   180211     $conv68 = $14 & 255;
   180212     $shr6963 = $conv68 >>> 5;
   180213     $add70 = $shr6963 | $shl66;
   180214     $arrayidx71 = 9544 + ($add70 << 2) | 0;
   180215     $15 = HEAP32[$arrayidx71 >> 2] | 0;
   180216     $and74 = $conv68 & 31;
   180217     $shl75 = 1 << $and74;
   180218     $and76 = $shl75 & $15;
   180219     $tobool77 = ($and76 | 0) == 0;
   180220     if ($tobool77) {
   180221       label = 24;
   180222       break;
   180223     } else {
   180224       label = 23;
   180225       break;
   180226     }
   180227    case 23:
   180228     $ptr_addr_0 = $ptr_addr_087 + 2 | 0;
   180229     $cmp43 = ($ptr_addr_0 | 0) == ($end | 0);
   180230     if ($cmp43) {
   180231       $retval_0 = -1;
   180232       label = 42;
   180233       break;
   180234     } else {
   180235       $ptr_pn86 = $ptr_addr_087;
   180236       $ptr_addr_087 = $ptr_addr_0;
   180237       label = 18;
   180238       break;
   180239     }
   180240    case 24:
   180241     HEAP32[$nextTokPtr >> 2] = $ptr_addr_087;
   180242     $retval_0 = 0;
   180243     label = 42;
   180244     break;
   180245    case 25:
   180246     $sub_ptr_lhs_cast83 = $end;
   180247     $sub_ptr_rhs_cast84 = $ptr_addr_087;
   180248     $sub_ptr_sub85 = $sub_ptr_lhs_cast83 - $sub_ptr_rhs_cast84 | 0;
   180249     $cmp86 = ($sub_ptr_sub85 | 0) < 2;
   180250     if ($cmp86) {
   180251       $retval_0 = -2;
   180252       label = 42;
   180253       break;
   180254     } else {
   180255       label = 26;
   180256       break;
   180257     }
   180258    case 26:
   180259     HEAP32[$nextTokPtr >> 2] = $ptr_addr_087;
   180260     $retval_0 = 0;
   180261     label = 42;
   180262     break;
   180263    case 27:
   180264     $sub_ptr_lhs_cast91 = $end;
   180265     $sub_ptr_rhs_cast92 = $ptr_addr_087;
   180266     $sub_ptr_sub93 = $sub_ptr_lhs_cast91 - $sub_ptr_rhs_cast92 | 0;
   180267     $cmp94 = ($sub_ptr_sub93 | 0) < 3;
   180268     if ($cmp94) {
   180269       $retval_0 = -2;
   180270       label = 42;
   180271       break;
   180272     } else {
   180273       label = 28;
   180274       break;
   180275     }
   180276    case 28:
   180277     HEAP32[$nextTokPtr >> 2] = $ptr_addr_087;
   180278     $retval_0 = 0;
   180279     label = 42;
   180280     break;
   180281    case 29:
   180282     $sub_ptr_lhs_cast99 = $end;
   180283     $sub_ptr_rhs_cast100 = $ptr_addr_087;
   180284     $sub_ptr_sub101 = $sub_ptr_lhs_cast99 - $sub_ptr_rhs_cast100 | 0;
   180285     $cmp102 = ($sub_ptr_sub101 | 0) < 4;
   180286     if ($cmp102) {
   180287       $retval_0 = -2;
   180288       label = 42;
   180289       break;
   180290     } else {
   180291       label = 30;
   180292       break;
   180293     }
   180294    case 30:
   180295     HEAP32[$nextTokPtr >> 2] = $ptr_addr_087;
   180296     $retval_0 = 0;
   180297     label = 42;
   180298     break;
   180299    case 31:
   180300     $add_ptr107 = $ptr_pn86 + 4 | 0;
   180301     $cmp10867 = ($add_ptr107 | 0) == ($end | 0);
   180302     if ($cmp10867) {
   180303       $retval_0 = -1;
   180304       label = 42;
   180305       break;
   180306     } else {
   180307       label = 32;
   180308       break;
   180309     }
   180310    case 32:
   180311     $type117 = $enc + 72 | 0;
   180312     $16 = $type117;
   180313     $ptr_addr_168 = $add_ptr107;
   180314     label = 33;
   180315     break;
   180316    case 33:
   180317     $17 = HEAP8[$ptr_addr_168] | 0;
   180318     $cmp112 = $17 << 24 >> 24 == 0;
   180319     $arrayidx115 = $ptr_addr_168 + 1 | 0;
   180320     $18 = HEAP8[$arrayidx115] | 0;
   180321     if ($cmp112) {
   180322       label = 34;
   180323       break;
   180324     } else {
   180325       label = 35;
   180326       break;
   180327     }
   180328    case 34:
   180329     $idxprom116 = $18 & 255;
   180330     $arrayidx118 = $16 + $idxprom116 | 0;
   180331     $19 = HEAP8[$arrayidx118] | 0;
   180332     $conv119 = $19 & 255;
   180333     $cond125 = $conv119;
   180334     label = 36;
   180335     break;
   180336    case 35:
   180337     $call123 = _unicode_byte_type($17, $18) | 0;
   180338     $cond125 = $call123;
   180339     label = 36;
   180340     break;
   180341    case 36:
   180342     if (($cond125 | 0) == 11) {
   180343       label = 37;
   180344       break;
   180345     } else if (($cond125 | 0) == 21 | ($cond125 | 0) == 9 | ($cond125 | 0) == 10) {
   180346       label = 39;
   180347       break;
   180348     } else {
   180349       label = 38;
   180350       break;
   180351     }
   180352    case 37:
   180353     $add_ptr128 = $ptr_addr_168 + 2 | 0;
   180354     HEAP32[$nextTokPtr >> 2] = $add_ptr128;
   180355     $retval_0 = 5;
   180356     label = 42;
   180357     break;
   180358    case 38:
   180359     HEAP32[$nextTokPtr >> 2] = $ptr_addr_168;
   180360     $retval_0 = 0;
   180361     label = 42;
   180362     break;
   180363    case 39:
   180364     $add_ptr131 = $ptr_addr_168 + 2 | 0;
   180365     $cmp108 = ($add_ptr131 | 0) == ($end | 0);
   180366     if ($cmp108) {
   180367       $retval_0 = -1;
   180368       label = 42;
   180369       break;
   180370     } else {
   180371       $ptr_addr_168 = $add_ptr131;
   180372       label = 33;
   180373       break;
   180374     }
   180375    case 40:
   180376     $add_ptr133 = $ptr_pn86 + 4 | 0;
   180377     HEAP32[$nextTokPtr >> 2] = $add_ptr133;
   180378     $retval_0 = 5;
   180379     label = 42;
   180380     break;
   180381    case 41:
   180382     HEAP32[$nextTokPtr >> 2] = $ptr_addr_087;
   180383     $retval_0 = 0;
   180384     label = 42;
   180385     break;
   180386    case 42:
   180387     return $retval_0 | 0;
   180388   }
   180389   return 0;
   180390 }
   180391 function _big2_checkPiTarget($ptr, $end, $tokPtr) {
   180392   $ptr = $ptr | 0;
   180393   $end = $end | 0;
   180394   $tokPtr = $tokPtr | 0;
   180395   var $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp = 0, $0 = 0, $cmp1 = 0, $arrayidx3 = 0, $1 = 0, $conv4 = 0, $upper_0 = 0, $add_ptr = 0, $2 = 0, $cmp8 = 0, $arrayidx11 = 0, $3 = 0, $conv12 = 0, $upper_1 = 0, $add_ptr20 = 0, $4 = 0, $cmp23 = 0, $arrayidx26 = 0, $5 = 0, $conv27 = 0, $tobool = 0, $retval_0 = 0, label = 0;
   180396   label = 2;
   180397   while (1) switch (label | 0) {
   180398    case 2:
   180399     HEAP32[$tokPtr >> 2] = 11;
   180400     $sub_ptr_lhs_cast = $end;
   180401     $sub_ptr_rhs_cast = $ptr;
   180402     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   180403     $cmp = ($sub_ptr_sub | 0) == 6;
   180404     if ($cmp) {
   180405       label = 3;
   180406       break;
   180407     } else {
   180408       $retval_0 = 1;
   180409       label = 14;
   180410       break;
   180411     }
   180412    case 3:
   180413     $0 = HEAP8[$ptr] | 0;
   180414     $cmp1 = $0 << 24 >> 24 == 0;
   180415     if ($cmp1) {
   180416       label = 4;
   180417       break;
   180418     } else {
   180419       $retval_0 = 1;
   180420       label = 14;
   180421       break;
   180422     }
   180423    case 4:
   180424     $arrayidx3 = $ptr + 1 | 0;
   180425     $1 = HEAP8[$arrayidx3] | 0;
   180426     $conv4 = $1 << 24 >> 24;
   180427     if (($conv4 | 0) == 88) {
   180428       label = 5;
   180429       break;
   180430     } else if (($conv4 | 0) == 120) {
   180431       $upper_0 = 0;
   180432       label = 6;
   180433       break;
   180434     } else {
   180435       $retval_0 = 1;
   180436       label = 14;
   180437       break;
   180438     }
   180439    case 5:
   180440     $upper_0 = 1;
   180441     label = 6;
   180442     break;
   180443    case 6:
   180444     $add_ptr = $ptr + 2 | 0;
   180445     $2 = HEAP8[$add_ptr] | 0;
   180446     $cmp8 = $2 << 24 >> 24 == 0;
   180447     if ($cmp8) {
   180448       label = 7;
   180449       break;
   180450     } else {
   180451       $retval_0 = 1;
   180452       label = 14;
   180453       break;
   180454     }
   180455    case 7:
   180456     $arrayidx11 = $ptr + 3 | 0;
   180457     $3 = HEAP8[$arrayidx11] | 0;
   180458     $conv12 = $3 << 24 >> 24;
   180459     if (($conv12 | 0) == 77) {
   180460       label = 8;
   180461       break;
   180462     } else if (($conv12 | 0) == 109) {
   180463       $upper_1 = $upper_0;
   180464       label = 9;
   180465       break;
   180466     } else {
   180467       $retval_0 = 1;
   180468       label = 14;
   180469       break;
   180470     }
   180471    case 8:
   180472     $upper_1 = 1;
   180473     label = 9;
   180474     break;
   180475    case 9:
   180476     $add_ptr20 = $ptr + 4 | 0;
   180477     $4 = HEAP8[$add_ptr20] | 0;
   180478     $cmp23 = $4 << 24 >> 24 == 0;
   180479     if ($cmp23) {
   180480       label = 10;
   180481       break;
   180482     } else {
   180483       $retval_0 = 1;
   180484       label = 14;
   180485       break;
   180486     }
   180487    case 10:
   180488     $arrayidx26 = $ptr + 5 | 0;
   180489     $5 = HEAP8[$arrayidx26] | 0;
   180490     $conv27 = $5 << 24 >> 24;
   180491     if (($conv27 | 0) == 76) {
   180492       label = 11;
   180493       break;
   180494     } else if (($conv27 | 0) == 108) {
   180495       label = 12;
   180496       break;
   180497     } else {
   180498       $retval_0 = 1;
   180499       label = 14;
   180500       break;
   180501     }
   180502    case 11:
   180503     $retval_0 = 0;
   180504     label = 14;
   180505     break;
   180506    case 12:
   180507     $tobool = ($upper_1 | 0) == 0;
   180508     if ($tobool) {
   180509       label = 13;
   180510       break;
   180511     } else {
   180512       $retval_0 = 0;
   180513       label = 14;
   180514       break;
   180515     }
   180516    case 13:
   180517     HEAP32[$tokPtr >> 2] = 12;
   180518     $retval_0 = 1;
   180519     label = 14;
   180520     break;
   180521    case 14:
   180522     return $retval_0 | 0;
   180523   }
   180524   return 0;
   180525 }
   180526 function _big2_scanAtts($enc, $ptr, $end, $nextTokPtr) {
   180527   $enc = $enc | 0;
   180528   $ptr = $ptr | 0;
   180529   $end = $end | 0;
   180530   $nextTokPtr = $nextTokPtr | 0;
   180531   var $ptr_addr = 0, $cmp68 = 0, $type = 0, $0 = 0, $type54 = 0, $1 = 0, $type82 = 0, $2 = 0, $type115 = 0, $3 = 0, $sub_ptr_lhs_cast129 = 0, $sub_ptr_lhs_cast138 = 0, $sub_ptr_lhs_cast147 = 0, $type184 = 0, $4 = 0, $type211 = 0, $5 = 0, $6 = 0, $7 = 0, $cmp1 = 0, $arrayidx3 = 0, $8 = 0, $idxprom = 0, $arrayidx4 = 0, $9 = 0, $conv5 = 0, $call = 0, $cond = 0, $10 = 0, $add_ptr4250 = 0, $cmp4351 = 0, $11 = 0, $12 = 0, $idxprom9 = 0, $arrayidx10 = 0, $13 = 0, $conv11 = 0, $shl = 0, $arrayidx12 = 0, $14 = 0, $conv13 = 0, $shr49 = 0, $add = 0, $arrayidx14 = 0, $15 = 0, $and = 0, $shl17 = 0, $and18 = 0, $tobool = 0, $16 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp21 = 0, $17 = 0, $sub_ptr_lhs_cast26 = 0, $sub_ptr_rhs_cast27 = 0, $sub_ptr_sub28 = 0, $cmp29 = 0, $18 = 0, $sub_ptr_lhs_cast34 = 0, $sub_ptr_rhs_cast35 = 0, $sub_ptr_sub36 = 0, $cmp37 = 0, $add_ptr4252 = 0, $19 = 0, $20 = 0, $cmp49 = 0, $arrayidx52 = 0, $21 = 0, $idxprom53 = 0, $arrayidx55 = 0, $22 = 0, $conv56 = 0, $call60 = 0, $cond62 = 0, $23 = 0, $add_ptr42 = 0, $cmp43 = 0, $24 = 0, $25 = 0, $add_ptr7055 = 0, $cmp7156 = 0, $add_ptr7057 = 0, $26 = 0, $27 = 0, $cmp77 = 0, $arrayidx80 = 0, $28 = 0, $idxprom81 = 0, $arrayidx83 = 0, $29 = 0, $conv84 = 0, $call88 = 0, $cond90 = 0, $cond90_off = 0, $30 = 0, $31 = 0, $add_ptr70 = 0, $cmp71 = 0, $32 = 0, $33 = 0, $add_ptr101 = 0, $cmp10459 = 0, $34 = 0, $35 = 0, $cmp110 = 0, $arrayidx113 = 0, $36 = 0, $idxprom114 = 0, $arrayidx116 = 0, $37 = 0, $conv117 = 0, $call121 = 0, $cond123 = 0, $cmp124 = 0, $38 = 0, $sub_ptr_rhs_cast130 = 0, $sub_ptr_sub131 = 0, $cmp132 = 0, $add_ptr136 = 0, $39 = 0, $sub_ptr_rhs_cast139 = 0, $sub_ptr_sub140 = 0, $cmp141 = 0, $add_ptr145 = 0, $40 = 0, $sub_ptr_rhs_cast148 = 0, $sub_ptr_sub149 = 0, $cmp150 = 0, $add_ptr154 = 0, $41 = 0, $42 = 0, $add_ptr157 = 0, $call158 = 0, $cmp159 = 0, $43 = 0, $cmp104 = 0, $cmp162 = 0, $44 = 0, $45 = 0, $46 = 0, $add_ptr169 = 0, $47 = 0, $add_ptr172 = 0, $cmp173 = 0, $48 = 0, $cmp179 = 0, $arrayidx182 = 0, $49 = 0, $idxprom183 = 0, $arrayidx185 = 0, $50 = 0, $conv186 = 0, $call190 = 0, $cond192 = 0, $51 = 0, $add_ptr19961 = 0, $cmp20062 = 0, $52 = 0, $add_ptr19963 = 0, $53 = 0, $54 = 0, $cmp206 = 0, $arrayidx209 = 0, $55 = 0, $idxprom210 = 0, $arrayidx212 = 0, $56 = 0, $conv213 = 0, $call217 = 0, $cond219 = 0, $57 = 0, $add_ptr199 = 0, $cmp200 = 0, $58 = 0, $59 = 0, $idxprom222 = 0, $arrayidx223 = 0, $60 = 0, $conv224 = 0, $shl225 = 0, $arrayidx226 = 0, $61 = 0, $conv227 = 0, $shr22848 = 0, $add229 = 0, $arrayidx230 = 0, $62 = 0, $and233 = 0, $shl234 = 0, $and235 = 0, $tobool236 = 0, $_pn = 0, $storemerge = 0, $cmp = 0, $63 = 0, $sub_ptr_lhs_cast242 = 0, $sub_ptr_rhs_cast243 = 0, $sub_ptr_sub244 = 0, $cmp245 = 0, $64 = 0, $sub_ptr_lhs_cast250 = 0, $sub_ptr_rhs_cast251 = 0, $sub_ptr_sub252 = 0, $cmp253 = 0, $65 = 0, $sub_ptr_lhs_cast258 = 0, $sub_ptr_rhs_cast259 = 0, $sub_ptr_sub260 = 0, $cmp261 = 0, $66 = 0, $add_ptr267 = 0, $67 = 0, $add_ptr269 = 0, $cmp270 = 0, $68 = 0, $cmp276 = 0, $arrayidx278 = 0, $69 = 0, $cmp280 = 0, $70 = 0, $add_ptr284 = 0, $71 = 0, $72 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   180532   __stackBase__ = STACKTOP;
   180533   STACKTOP = STACKTOP + 8 | 0;
   180534   label = 2;
   180535   while (1) switch (label | 0) {
   180536    case 2:
   180537     $ptr_addr = __stackBase__ | 0;
   180538     HEAP32[$ptr_addr >> 2] = $ptr;
   180539     $cmp68 = ($ptr | 0) == ($end | 0);
   180540     if ($cmp68) {
   180541       $retval_0 = -1;
   180542       label = 79;
   180543       break;
   180544     } else {
   180545       label = 3;
   180546       break;
   180547     }
   180548    case 3:
   180549     $type = $enc + 72 | 0;
   180550     $0 = $type;
   180551     $type54 = $enc + 72 | 0;
   180552     $1 = $type54;
   180553     $type82 = $enc + 72 | 0;
   180554     $2 = $type82;
   180555     $type115 = $enc + 72 | 0;
   180556     $3 = $type115;
   180557     $sub_ptr_lhs_cast129 = $end;
   180558     $sub_ptr_lhs_cast138 = $end;
   180559     $sub_ptr_lhs_cast147 = $end;
   180560     $type184 = $enc + 72 | 0;
   180561     $4 = $type184;
   180562     $type211 = $enc + 72 | 0;
   180563     $5 = $type211;
   180564     $6 = $ptr;
   180565     label = 4;
   180566     break;
   180567    case 4:
   180568     $7 = HEAP8[$6] | 0;
   180569     $cmp1 = $7 << 24 >> 24 == 0;
   180570     $arrayidx3 = $6 + 1 | 0;
   180571     $8 = HEAP8[$arrayidx3] | 0;
   180572     if ($cmp1) {
   180573       label = 5;
   180574       break;
   180575     } else {
   180576       label = 6;
   180577       break;
   180578     }
   180579    case 5:
   180580     $idxprom = $8 & 255;
   180581     $arrayidx4 = $0 + $idxprom | 0;
   180582     $9 = HEAP8[$arrayidx4] | 0;
   180583     $conv5 = $9 & 255;
   180584     $cond = $conv5;
   180585     label = 7;
   180586     break;
   180587    case 6:
   180588     $call = _unicode_byte_type($7, $8) | 0;
   180589     $cond = $call;
   180590     label = 7;
   180591     break;
   180592    case 7:
   180593     if (($cond | 0) == 21 | ($cond | 0) == 9 | ($cond | 0) == 10) {
   180594       label = 8;
   180595       break;
   180596     } else if (($cond | 0) == 29) {
   180597       label = 9;
   180598       break;
   180599     } else if (($cond | 0) == 5) {
   180600       label = 11;
   180601       break;
   180602     } else if (($cond | 0) == 6) {
   180603       label = 13;
   180604       break;
   180605     } else if (($cond | 0) == 7) {
   180606       label = 15;
   180607       break;
   180608     } else if (($cond | 0) == 14) {
   180609       label = 23;
   180610       break;
   180611     } else if (($cond | 0) == 22 | ($cond | 0) == 24 | ($cond | 0) == 25 | ($cond | 0) == 26 | ($cond | 0) == 27) {
   180612       label = 64;
   180613       break;
   180614     } else {
   180615       label = 78;
   180616       break;
   180617     }
   180618    case 8:
   180619     $10 = HEAP32[$ptr_addr >> 2] | 0;
   180620     $add_ptr4250 = $10 + 2 | 0;
   180621     HEAP32[$ptr_addr >> 2] = $add_ptr4250;
   180622     $cmp4351 = ($add_ptr4250 | 0) == ($end | 0);
   180623     if ($cmp4351) {
   180624       $retval_0 = -1;
   180625       label = 79;
   180626       break;
   180627     } else {
   180628       $19 = $10;
   180629       $add_ptr4252 = $add_ptr4250;
   180630       label = 17;
   180631       break;
   180632     }
   180633    case 9:
   180634     $11 = HEAP32[$ptr_addr >> 2] | 0;
   180635     $12 = HEAP8[$11] | 0;
   180636     $idxprom9 = $12 & 255;
   180637     $arrayidx10 = $idxprom9 + 10824 | 0;
   180638     $13 = HEAP8[$arrayidx10] | 0;
   180639     $conv11 = $13 & 255;
   180640     $shl = $conv11 << 3;
   180641     $arrayidx12 = $11 + 1 | 0;
   180642     $14 = HEAP8[$arrayidx12] | 0;
   180643     $conv13 = $14 & 255;
   180644     $shr49 = $conv13 >>> 5;
   180645     $add = $shr49 | $shl;
   180646     $arrayidx14 = 9544 + ($add << 2) | 0;
   180647     $15 = HEAP32[$arrayidx14 >> 2] | 0;
   180648     $and = $conv13 & 31;
   180649     $shl17 = 1 << $and;
   180650     $and18 = $shl17 & $15;
   180651     $tobool = ($and18 | 0) == 0;
   180652     if ($tobool) {
   180653       label = 10;
   180654       break;
   180655     } else {
   180656       label = 64;
   180657       break;
   180658     }
   180659    case 10:
   180660     HEAP32[$nextTokPtr >> 2] = $11;
   180661     $retval_0 = 0;
   180662     label = 79;
   180663     break;
   180664    case 11:
   180665     $16 = HEAP32[$ptr_addr >> 2] | 0;
   180666     $sub_ptr_lhs_cast = $end;
   180667     $sub_ptr_rhs_cast = $16;
   180668     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   180669     $cmp21 = ($sub_ptr_sub | 0) < 2;
   180670     if ($cmp21) {
   180671       $retval_0 = -2;
   180672       label = 79;
   180673       break;
   180674     } else {
   180675       label = 12;
   180676       break;
   180677     }
   180678    case 12:
   180679     HEAP32[$nextTokPtr >> 2] = $16;
   180680     $retval_0 = 0;
   180681     label = 79;
   180682     break;
   180683    case 13:
   180684     $17 = HEAP32[$ptr_addr >> 2] | 0;
   180685     $sub_ptr_lhs_cast26 = $end;
   180686     $sub_ptr_rhs_cast27 = $17;
   180687     $sub_ptr_sub28 = $sub_ptr_lhs_cast26 - $sub_ptr_rhs_cast27 | 0;
   180688     $cmp29 = ($sub_ptr_sub28 | 0) < 3;
   180689     if ($cmp29) {
   180690       $retval_0 = -2;
   180691       label = 79;
   180692       break;
   180693     } else {
   180694       label = 14;
   180695       break;
   180696     }
   180697    case 14:
   180698     HEAP32[$nextTokPtr >> 2] = $17;
   180699     $retval_0 = 0;
   180700     label = 79;
   180701     break;
   180702    case 15:
   180703     $18 = HEAP32[$ptr_addr >> 2] | 0;
   180704     $sub_ptr_lhs_cast34 = $end;
   180705     $sub_ptr_rhs_cast35 = $18;
   180706     $sub_ptr_sub36 = $sub_ptr_lhs_cast34 - $sub_ptr_rhs_cast35 | 0;
   180707     $cmp37 = ($sub_ptr_sub36 | 0) < 4;
   180708     if ($cmp37) {
   180709       $retval_0 = -2;
   180710       label = 79;
   180711       break;
   180712     } else {
   180713       label = 16;
   180714       break;
   180715     }
   180716    case 16:
   180717     HEAP32[$nextTokPtr >> 2] = $18;
   180718     $retval_0 = 0;
   180719     label = 79;
   180720     break;
   180721    case 17:
   180722     $20 = HEAP8[$add_ptr4252] | 0;
   180723     $cmp49 = $20 << 24 >> 24 == 0;
   180724     $arrayidx52 = $19 + 3 | 0;
   180725     $21 = HEAP8[$arrayidx52] | 0;
   180726     if ($cmp49) {
   180727       label = 18;
   180728       break;
   180729     } else {
   180730       label = 19;
   180731       break;
   180732     }
   180733    case 18:
   180734     $idxprom53 = $21 & 255;
   180735     $arrayidx55 = $1 + $idxprom53 | 0;
   180736     $22 = HEAP8[$arrayidx55] | 0;
   180737     $conv56 = $22 & 255;
   180738     $cond62 = $conv56;
   180739     label = 20;
   180740     break;
   180741    case 19:
   180742     $call60 = _unicode_byte_type($20, $21) | 0;
   180743     $cond62 = $call60;
   180744     label = 20;
   180745     break;
   180746    case 20:
   180747     if (($cond62 | 0) == 21 | ($cond62 | 0) == 10 | ($cond62 | 0) == 9) {
   180748       label = 21;
   180749       break;
   180750     } else if (($cond62 | 0) == 14) {
   180751       label = 23;
   180752       break;
   180753     } else {
   180754       label = 22;
   180755       break;
   180756     }
   180757    case 21:
   180758     $23 = HEAP32[$ptr_addr >> 2] | 0;
   180759     $add_ptr42 = $23 + 2 | 0;
   180760     HEAP32[$ptr_addr >> 2] = $add_ptr42;
   180761     $cmp43 = ($add_ptr42 | 0) == ($end | 0);
   180762     if ($cmp43) {
   180763       $retval_0 = -1;
   180764       label = 79;
   180765       break;
   180766     } else {
   180767       $19 = $23;
   180768       $add_ptr4252 = $add_ptr42;
   180769       label = 17;
   180770       break;
   180771     }
   180772    case 22:
   180773     $24 = HEAP32[$ptr_addr >> 2] | 0;
   180774     HEAP32[$nextTokPtr >> 2] = $24;
   180775     $retval_0 = 0;
   180776     label = 79;
   180777     break;
   180778    case 23:
   180779     $25 = HEAP32[$ptr_addr >> 2] | 0;
   180780     $add_ptr7055 = $25 + 2 | 0;
   180781     HEAP32[$ptr_addr >> 2] = $add_ptr7055;
   180782     $cmp7156 = ($add_ptr7055 | 0) == ($end | 0);
   180783     if ($cmp7156) {
   180784       $retval_0 = -1;
   180785       label = 79;
   180786       break;
   180787     } else {
   180788       $26 = $25;
   180789       $add_ptr7057 = $add_ptr7055;
   180790       label = 24;
   180791       break;
   180792     }
   180793    case 24:
   180794     $27 = HEAP8[$add_ptr7057] | 0;
   180795     $cmp77 = $27 << 24 >> 24 == 0;
   180796     $arrayidx80 = $26 + 3 | 0;
   180797     $28 = HEAP8[$arrayidx80] | 0;
   180798     if ($cmp77) {
   180799       label = 25;
   180800       break;
   180801     } else {
   180802       label = 26;
   180803       break;
   180804     }
   180805    case 25:
   180806     $idxprom81 = $28 & 255;
   180807     $arrayidx83 = $2 + $idxprom81 | 0;
   180808     $29 = HEAP8[$arrayidx83] | 0;
   180809     $conv84 = $29 & 255;
   180810     $cond90 = $conv84;
   180811     label = 27;
   180812     break;
   180813    case 26:
   180814     $call88 = _unicode_byte_type($27, $28) | 0;
   180815     $cond90 = $call88;
   180816     label = 27;
   180817     break;
   180818    case 27:
   180819     $cond90_off = $cond90 - 12 | 0;
   180820     $30 = $cond90_off >>> 0 < 2;
   180821     if ($30) {
   180822       label = 31;
   180823       break;
   180824     } else {
   180825       label = 28;
   180826       break;
   180827     }
   180828    case 28:
   180829     if (($cond90 | 0) == 21 | ($cond90 | 0) == 10 | ($cond90 | 0) == 9) {
   180830       label = 29;
   180831       break;
   180832     } else {
   180833       label = 30;
   180834       break;
   180835     }
   180836    case 29:
   180837     $31 = HEAP32[$ptr_addr >> 2] | 0;
   180838     $add_ptr70 = $31 + 2 | 0;
   180839     HEAP32[$ptr_addr >> 2] = $add_ptr70;
   180840     $cmp71 = ($add_ptr70 | 0) == ($end | 0);
   180841     if ($cmp71) {
   180842       $retval_0 = -1;
   180843       label = 79;
   180844       break;
   180845     } else {
   180846       $26 = $31;
   180847       $add_ptr7057 = $add_ptr70;
   180848       label = 24;
   180849       break;
   180850     }
   180851    case 30:
   180852     $32 = HEAP32[$ptr_addr >> 2] | 0;
   180853     HEAP32[$nextTokPtr >> 2] = $32;
   180854     $retval_0 = 0;
   180855     label = 79;
   180856     break;
   180857    case 31:
   180858     $33 = HEAP32[$ptr_addr >> 2] | 0;
   180859     $add_ptr101 = $33 + 2 | 0;
   180860     HEAP32[$ptr_addr >> 2] = $add_ptr101;
   180861     $cmp10459 = ($add_ptr101 | 0) == ($end | 0);
   180862     if ($cmp10459) {
   180863       $retval_0 = -1;
   180864       label = 79;
   180865       break;
   180866     } else {
   180867       $34 = $add_ptr101;
   180868       label = 32;
   180869       break;
   180870     }
   180871    case 32:
   180872     $35 = HEAP8[$34] | 0;
   180873     $cmp110 = $35 << 24 >> 24 == 0;
   180874     $arrayidx113 = $34 + 1 | 0;
   180875     $36 = HEAP8[$arrayidx113] | 0;
   180876     if ($cmp110) {
   180877       label = 33;
   180878       break;
   180879     } else {
   180880       label = 34;
   180881       break;
   180882     }
   180883    case 33:
   180884     $idxprom114 = $36 & 255;
   180885     $arrayidx116 = $3 + $idxprom114 | 0;
   180886     $37 = HEAP8[$arrayidx116] | 0;
   180887     $conv117 = $37 & 255;
   180888     $cond123 = $conv117;
   180889     label = 35;
   180890     break;
   180891    case 34:
   180892     $call121 = _unicode_byte_type($35, $36) | 0;
   180893     $cond123 = $call121;
   180894     label = 35;
   180895     break;
   180896    case 35:
   180897     $cmp124 = ($cond123 | 0) == ($cond90 | 0);
   180898     if ($cmp124) {
   180899       label = 50;
   180900       break;
   180901     } else {
   180902       label = 36;
   180903       break;
   180904     }
   180905    case 36:
   180906     if (($cond123 | 0) == 5) {
   180907       label = 37;
   180908       break;
   180909     } else if (($cond123 | 0) == 6) {
   180910       label = 39;
   180911       break;
   180912     } else if (($cond123 | 0) == 7) {
   180913       label = 41;
   180914       break;
   180915     } else if (($cond123 | 0) == 0 | ($cond123 | 0) == 1 | ($cond123 | 0) == 8) {
   180916       label = 43;
   180917       break;
   180918     } else if (($cond123 | 0) == 3) {
   180919       label = 44;
   180920       break;
   180921     } else if (($cond123 | 0) == 2) {
   180922       label = 48;
   180923       break;
   180924     } else {
   180925       label = 49;
   180926       break;
   180927     }
   180928    case 37:
   180929     $38 = HEAP32[$ptr_addr >> 2] | 0;
   180930     $sub_ptr_rhs_cast130 = $38;
   180931     $sub_ptr_sub131 = $sub_ptr_lhs_cast129 - $sub_ptr_rhs_cast130 | 0;
   180932     $cmp132 = ($sub_ptr_sub131 | 0) < 2;
   180933     if ($cmp132) {
   180934       $retval_0 = -2;
   180935       label = 79;
   180936       break;
   180937     } else {
   180938       label = 38;
   180939       break;
   180940     }
   180941    case 38:
   180942     $add_ptr136 = $38 + 2 | 0;
   180943     HEAP32[$ptr_addr >> 2] = $add_ptr136;
   180944     label = 45;
   180945     break;
   180946    case 39:
   180947     $39 = HEAP32[$ptr_addr >> 2] | 0;
   180948     $sub_ptr_rhs_cast139 = $39;
   180949     $sub_ptr_sub140 = $sub_ptr_lhs_cast138 - $sub_ptr_rhs_cast139 | 0;
   180950     $cmp141 = ($sub_ptr_sub140 | 0) < 3;
   180951     if ($cmp141) {
   180952       $retval_0 = -2;
   180953       label = 79;
   180954       break;
   180955     } else {
   180956       label = 40;
   180957       break;
   180958     }
   180959    case 40:
   180960     $add_ptr145 = $39 + 3 | 0;
   180961     HEAP32[$ptr_addr >> 2] = $add_ptr145;
   180962     label = 45;
   180963     break;
   180964    case 41:
   180965     $40 = HEAP32[$ptr_addr >> 2] | 0;
   180966     $sub_ptr_rhs_cast148 = $40;
   180967     $sub_ptr_sub149 = $sub_ptr_lhs_cast147 - $sub_ptr_rhs_cast148 | 0;
   180968     $cmp150 = ($sub_ptr_sub149 | 0) < 4;
   180969     if ($cmp150) {
   180970       $retval_0 = -2;
   180971       label = 79;
   180972       break;
   180973     } else {
   180974       label = 42;
   180975       break;
   180976     }
   180977    case 42:
   180978     $add_ptr154 = $40 + 4 | 0;
   180979     HEAP32[$ptr_addr >> 2] = $add_ptr154;
   180980     label = 45;
   180981     break;
   180982    case 43:
   180983     $41 = HEAP32[$ptr_addr >> 2] | 0;
   180984     HEAP32[$nextTokPtr >> 2] = $41;
   180985     $retval_0 = 0;
   180986     label = 79;
   180987     break;
   180988    case 44:
   180989     $42 = HEAP32[$ptr_addr >> 2] | 0;
   180990     $add_ptr157 = $42 + 2 | 0;
   180991     $call158 = _big2_scanRef($enc, $add_ptr157, $end, $ptr_addr) | 0;
   180992     $cmp159 = ($call158 | 0) < 1;
   180993     if ($cmp159) {
   180994       label = 46;
   180995       break;
   180996     } else {
   180997       label = 45;
   180998       break;
   180999     }
   181000    case 45:
   181001     $43 = HEAP32[$ptr_addr >> 2] | 0;
   181002     $cmp104 = ($43 | 0) == ($end | 0);
   181003     if ($cmp104) {
   181004       $retval_0 = -1;
   181005       label = 79;
   181006       break;
   181007     } else {
   181008       $34 = $43;
   181009       label = 32;
   181010       break;
   181011     }
   181012    case 46:
   181013     $cmp162 = ($call158 | 0) == 0;
   181014     if ($cmp162) {
   181015       label = 47;
   181016       break;
   181017     } else {
   181018       $retval_0 = $call158;
   181019       label = 79;
   181020       break;
   181021     }
   181022    case 47:
   181023     $44 = HEAP32[$ptr_addr >> 2] | 0;
   181024     HEAP32[$nextTokPtr >> 2] = $44;
   181025     $retval_0 = 0;
   181026     label = 79;
   181027     break;
   181028    case 48:
   181029     $45 = HEAP32[$ptr_addr >> 2] | 0;
   181030     HEAP32[$nextTokPtr >> 2] = $45;
   181031     $retval_0 = 0;
   181032     label = 79;
   181033     break;
   181034    case 49:
   181035     $46 = HEAP32[$ptr_addr >> 2] | 0;
   181036     $add_ptr169 = $46 + 2 | 0;
   181037     HEAP32[$ptr_addr >> 2] = $add_ptr169;
   181038     label = 45;
   181039     break;
   181040    case 50:
   181041     $47 = HEAP32[$ptr_addr >> 2] | 0;
   181042     $add_ptr172 = $47 + 2 | 0;
   181043     HEAP32[$ptr_addr >> 2] = $add_ptr172;
   181044     $cmp173 = ($add_ptr172 | 0) == ($end | 0);
   181045     if ($cmp173) {
   181046       $retval_0 = -1;
   181047       label = 79;
   181048       break;
   181049     } else {
   181050       label = 51;
   181051       break;
   181052     }
   181053    case 51:
   181054     $48 = HEAP8[$add_ptr172] | 0;
   181055     $cmp179 = $48 << 24 >> 24 == 0;
   181056     $arrayidx182 = $47 + 3 | 0;
   181057     $49 = HEAP8[$arrayidx182] | 0;
   181058     if ($cmp179) {
   181059       label = 52;
   181060       break;
   181061     } else {
   181062       label = 53;
   181063       break;
   181064     }
   181065    case 52:
   181066     $idxprom183 = $49 & 255;
   181067     $arrayidx185 = $4 + $idxprom183 | 0;
   181068     $50 = HEAP8[$arrayidx185] | 0;
   181069     $conv186 = $50 & 255;
   181070     $cond192 = $conv186;
   181071     label = 54;
   181072     break;
   181073    case 53:
   181074     $call190 = _unicode_byte_type($48, $49) | 0;
   181075     $cond192 = $call190;
   181076     label = 54;
   181077     break;
   181078    case 54:
   181079     if (($cond192 | 0) == 21 | ($cond192 | 0) == 9 | ($cond192 | 0) == 10) {
   181080       label = 55;
   181081       break;
   181082     } else if (($cond192 | 0) == 11) {
   181083       label = 71;
   181084       break;
   181085     } else if (($cond192 | 0) == 17) {
   181086       label = 72;
   181087       break;
   181088     } else {
   181089       label = 56;
   181090       break;
   181091     }
   181092    case 55:
   181093     $51 = HEAP32[$ptr_addr >> 2] | 0;
   181094     $add_ptr19961 = $51 + 2 | 0;
   181095     HEAP32[$ptr_addr >> 2] = $add_ptr19961;
   181096     $cmp20062 = ($add_ptr19961 | 0) == ($end | 0);
   181097     if ($cmp20062) {
   181098       $retval_0 = -1;
   181099       label = 79;
   181100       break;
   181101     } else {
   181102       $53 = $51;
   181103       $add_ptr19963 = $add_ptr19961;
   181104       label = 57;
   181105       break;
   181106     }
   181107    case 56:
   181108     $52 = HEAP32[$ptr_addr >> 2] | 0;
   181109     HEAP32[$nextTokPtr >> 2] = $52;
   181110     $retval_0 = 0;
   181111     label = 79;
   181112     break;
   181113    case 57:
   181114     $54 = HEAP8[$add_ptr19963] | 0;
   181115     $cmp206 = $54 << 24 >> 24 == 0;
   181116     $arrayidx209 = $53 + 3 | 0;
   181117     $55 = HEAP8[$arrayidx209] | 0;
   181118     if ($cmp206) {
   181119       label = 58;
   181120       break;
   181121     } else {
   181122       label = 59;
   181123       break;
   181124     }
   181125    case 58:
   181126     $idxprom210 = $55 & 255;
   181127     $arrayidx212 = $5 + $idxprom210 | 0;
   181128     $56 = HEAP8[$arrayidx212] | 0;
   181129     $conv213 = $56 & 255;
   181130     $cond219 = $conv213;
   181131     label = 60;
   181132     break;
   181133    case 59:
   181134     $call217 = _unicode_byte_type($54, $55) | 0;
   181135     $cond219 = $call217;
   181136     label = 60;
   181137     break;
   181138    case 60:
   181139     if (($cond219 | 0) == 21 | ($cond219 | 0) == 9 | ($cond219 | 0) == 10) {
   181140       label = 61;
   181141       break;
   181142     } else if (($cond219 | 0) == 29) {
   181143       label = 62;
   181144       break;
   181145     } else if (($cond219 | 0) == 22 | ($cond219 | 0) == 24) {
   181146       label = 64;
   181147       break;
   181148     } else if (($cond219 | 0) == 5) {
   181149       label = 65;
   181150       break;
   181151     } else if (($cond219 | 0) == 6) {
   181152       label = 67;
   181153       break;
   181154     } else if (($cond219 | 0) == 7) {
   181155       label = 69;
   181156       break;
   181157     } else if (($cond219 | 0) == 11) {
   181158       label = 71;
   181159       break;
   181160     } else if (($cond219 | 0) == 17) {
   181161       label = 72;
   181162       break;
   181163     } else {
   181164       label = 77;
   181165       break;
   181166     }
   181167    case 61:
   181168     $57 = HEAP32[$ptr_addr >> 2] | 0;
   181169     $add_ptr199 = $57 + 2 | 0;
   181170     HEAP32[$ptr_addr >> 2] = $add_ptr199;
   181171     $cmp200 = ($add_ptr199 | 0) == ($end | 0);
   181172     if ($cmp200) {
   181173       $retval_0 = -1;
   181174       label = 79;
   181175       break;
   181176     } else {
   181177       $53 = $57;
   181178       $add_ptr19963 = $add_ptr199;
   181179       label = 57;
   181180       break;
   181181     }
   181182    case 62:
   181183     $58 = HEAP32[$ptr_addr >> 2] | 0;
   181184     $59 = HEAP8[$58] | 0;
   181185     $idxprom222 = $59 & 255;
   181186     $arrayidx223 = $idxprom222 + 9272 | 0;
   181187     $60 = HEAP8[$arrayidx223] | 0;
   181188     $conv224 = $60 & 255;
   181189     $shl225 = $conv224 << 3;
   181190     $arrayidx226 = $58 + 1 | 0;
   181191     $61 = HEAP8[$arrayidx226] | 0;
   181192     $conv227 = $61 & 255;
   181193     $shr22848 = $conv227 >>> 5;
   181194     $add229 = $shr22848 | $shl225;
   181195     $arrayidx230 = 9544 + ($add229 << 2) | 0;
   181196     $62 = HEAP32[$arrayidx230 >> 2] | 0;
   181197     $and233 = $conv227 & 31;
   181198     $shl234 = 1 << $and233;
   181199     $and235 = $shl234 & $62;
   181200     $tobool236 = ($and235 | 0) == 0;
   181201     if ($tobool236) {
   181202       label = 63;
   181203       break;
   181204     } else {
   181205       label = 64;
   181206       break;
   181207     }
   181208    case 63:
   181209     HEAP32[$nextTokPtr >> 2] = $58;
   181210     $retval_0 = 0;
   181211     label = 79;
   181212     break;
   181213    case 64:
   181214     $_pn = HEAP32[$ptr_addr >> 2] | 0;
   181215     $storemerge = $_pn + 2 | 0;
   181216     HEAP32[$ptr_addr >> 2] = $storemerge;
   181217     $cmp = ($storemerge | 0) == ($end | 0);
   181218     if ($cmp) {
   181219       $retval_0 = -1;
   181220       label = 79;
   181221       break;
   181222     } else {
   181223       $6 = $storemerge;
   181224       label = 4;
   181225       break;
   181226     }
   181227    case 65:
   181228     $63 = HEAP32[$ptr_addr >> 2] | 0;
   181229     $sub_ptr_lhs_cast242 = $end;
   181230     $sub_ptr_rhs_cast243 = $63;
   181231     $sub_ptr_sub244 = $sub_ptr_lhs_cast242 - $sub_ptr_rhs_cast243 | 0;
   181232     $cmp245 = ($sub_ptr_sub244 | 0) < 2;
   181233     if ($cmp245) {
   181234       $retval_0 = -2;
   181235       label = 79;
   181236       break;
   181237     } else {
   181238       label = 66;
   181239       break;
   181240     }
   181241    case 66:
   181242     HEAP32[$nextTokPtr >> 2] = $63;
   181243     $retval_0 = 0;
   181244     label = 79;
   181245     break;
   181246    case 67:
   181247     $64 = HEAP32[$ptr_addr >> 2] | 0;
   181248     $sub_ptr_lhs_cast250 = $end;
   181249     $sub_ptr_rhs_cast251 = $64;
   181250     $sub_ptr_sub252 = $sub_ptr_lhs_cast250 - $sub_ptr_rhs_cast251 | 0;
   181251     $cmp253 = ($sub_ptr_sub252 | 0) < 3;
   181252     if ($cmp253) {
   181253       $retval_0 = -2;
   181254       label = 79;
   181255       break;
   181256     } else {
   181257       label = 68;
   181258       break;
   181259     }
   181260    case 68:
   181261     HEAP32[$nextTokPtr >> 2] = $64;
   181262     $retval_0 = 0;
   181263     label = 79;
   181264     break;
   181265    case 69:
   181266     $65 = HEAP32[$ptr_addr >> 2] | 0;
   181267     $sub_ptr_lhs_cast258 = $end;
   181268     $sub_ptr_rhs_cast259 = $65;
   181269     $sub_ptr_sub260 = $sub_ptr_lhs_cast258 - $sub_ptr_rhs_cast259 | 0;
   181270     $cmp261 = ($sub_ptr_sub260 | 0) < 4;
   181271     if ($cmp261) {
   181272       $retval_0 = -2;
   181273       label = 79;
   181274       break;
   181275     } else {
   181276       label = 70;
   181277       break;
   181278     }
   181279    case 70:
   181280     HEAP32[$nextTokPtr >> 2] = $65;
   181281     $retval_0 = 0;
   181282     label = 79;
   181283     break;
   181284    case 71:
   181285     $66 = HEAP32[$ptr_addr >> 2] | 0;
   181286     $add_ptr267 = $66 + 2 | 0;
   181287     HEAP32[$nextTokPtr >> 2] = $add_ptr267;
   181288     $retval_0 = 1;
   181289     label = 79;
   181290     break;
   181291    case 72:
   181292     $67 = HEAP32[$ptr_addr >> 2] | 0;
   181293     $add_ptr269 = $67 + 2 | 0;
   181294     HEAP32[$ptr_addr >> 2] = $add_ptr269;
   181295     $cmp270 = ($add_ptr269 | 0) == ($end | 0);
   181296     if ($cmp270) {
   181297       $retval_0 = -1;
   181298       label = 79;
   181299       break;
   181300     } else {
   181301       label = 73;
   181302       break;
   181303     }
   181304    case 73:
   181305     $68 = HEAP8[$add_ptr269] | 0;
   181306     $cmp276 = $68 << 24 >> 24 == 0;
   181307     if ($cmp276) {
   181308       label = 74;
   181309       break;
   181310     } else {
   181311       label = 75;
   181312       break;
   181313     }
   181314    case 74:
   181315     $arrayidx278 = $67 + 3 | 0;
   181316     $69 = HEAP8[$arrayidx278] | 0;
   181317     $cmp280 = $69 << 24 >> 24 == 62;
   181318     if ($cmp280) {
   181319       label = 76;
   181320       break;
   181321     } else {
   181322       label = 75;
   181323       break;
   181324     }
   181325    case 75:
   181326     $70 = HEAP32[$ptr_addr >> 2] | 0;
   181327     HEAP32[$nextTokPtr >> 2] = $70;
   181328     $retval_0 = 0;
   181329     label = 79;
   181330     break;
   181331    case 76:
   181332     $add_ptr284 = $67 + 4 | 0;
   181333     HEAP32[$nextTokPtr >> 2] = $add_ptr284;
   181334     $retval_0 = 3;
   181335     label = 79;
   181336     break;
   181337    case 77:
   181338     $71 = HEAP32[$ptr_addr >> 2] | 0;
   181339     HEAP32[$nextTokPtr >> 2] = $71;
   181340     $retval_0 = 0;
   181341     label = 79;
   181342     break;
   181343    case 78:
   181344     $72 = HEAP32[$ptr_addr >> 2] | 0;
   181345     HEAP32[$nextTokPtr >> 2] = $72;
   181346     $retval_0 = 0;
   181347     label = 79;
   181348     break;
   181349    case 79:
   181350     STACKTOP = __stackBase__;
   181351     return $retval_0 | 0;
   181352   }
   181353   return 0;
   181354 }
   181355 function _big2_scanLit($open, $enc, $ptr, $end, $nextTokPtr) {
   181356   $open = $open | 0;
   181357   $enc = $enc | 0;
   181358   $ptr = $ptr | 0;
   181359   $end = $end | 0;
   181360   $nextTokPtr = $nextTokPtr | 0;
   181361   var $cmp27 = 0, $type = 0, $0 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_lhs_cast11 = 0, $sub_ptr_lhs_cast20 = 0, $ptr_addr_028 = 0, $1 = 0, $cmp1 = 0, $arrayidx3 = 0, $2 = 0, $idxprom = 0, $arrayidx4 = 0, $3 = 0, $conv5 = 0, $call = 0, $cond = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp8 = 0, $add_ptr = 0, $sub_ptr_rhs_cast12 = 0, $sub_ptr_sub13 = 0, $cmp14 = 0, $add_ptr18 = 0, $sub_ptr_rhs_cast21 = 0, $sub_ptr_sub22 = 0, $cmp23 = 0, $add_ptr27 = 0, $add_ptr30 = 0, $cmp31 = 0, $cmp35 = 0, $4 = 0, $cmp41 = 0, $arrayidx44 = 0, $5 = 0, $idxprom45 = 0, $type46 = 0, $6 = 0, $arrayidx47 = 0, $7 = 0, $conv48 = 0, $call52 = 0, $cond54 = 0, $add_ptr57 = 0, $ptr_addr_0_be = 0, $cmp = 0, $retval_0 = 0, label = 0;
   181362   label = 2;
   181363   while (1) switch (label | 0) {
   181364    case 2:
   181365     $cmp27 = ($ptr | 0) == ($end | 0);
   181366     if ($cmp27) {
   181367       $retval_0 = -1;
   181368       label = 24;
   181369       break;
   181370     } else {
   181371       label = 3;
   181372       break;
   181373     }
   181374    case 3:
   181375     $type = $enc + 72 | 0;
   181376     $0 = $type;
   181377     $sub_ptr_lhs_cast = $end;
   181378     $sub_ptr_lhs_cast11 = $end;
   181379     $sub_ptr_lhs_cast20 = $end;
   181380     $ptr_addr_028 = $ptr;
   181381     label = 4;
   181382     break;
   181383    case 4:
   181384     $1 = HEAP8[$ptr_addr_028] | 0;
   181385     $cmp1 = $1 << 24 >> 24 == 0;
   181386     $arrayidx3 = $ptr_addr_028 + 1 | 0;
   181387     $2 = HEAP8[$arrayidx3] | 0;
   181388     if ($cmp1) {
   181389       label = 5;
   181390       break;
   181391     } else {
   181392       label = 6;
   181393       break;
   181394     }
   181395    case 5:
   181396     $idxprom = $2 & 255;
   181397     $arrayidx4 = $0 + $idxprom | 0;
   181398     $3 = HEAP8[$arrayidx4] | 0;
   181399     $conv5 = $3 & 255;
   181400     $cond = $conv5;
   181401     label = 7;
   181402     break;
   181403    case 6:
   181404     $call = _unicode_byte_type($1, $2) | 0;
   181405     $cond = $call;
   181406     label = 7;
   181407     break;
   181408    case 7:
   181409     if (($cond | 0) == 5) {
   181410       label = 8;
   181411       break;
   181412     } else if (($cond | 0) == 6) {
   181413       label = 10;
   181414       break;
   181415     } else if (($cond | 0) == 7) {
   181416       label = 12;
   181417       break;
   181418     } else if (($cond | 0) == 0 | ($cond | 0) == 1 | ($cond | 0) == 8) {
   181419       label = 14;
   181420       break;
   181421     } else if (($cond | 0) == 12 | ($cond | 0) == 13) {
   181422       label = 15;
   181423       break;
   181424     } else {
   181425       label = 22;
   181426       break;
   181427     }
   181428    case 8:
   181429     $sub_ptr_rhs_cast = $ptr_addr_028;
   181430     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   181431     $cmp8 = ($sub_ptr_sub | 0) < 2;
   181432     if ($cmp8) {
   181433       $retval_0 = -2;
   181434       label = 24;
   181435       break;
   181436     } else {
   181437       label = 9;
   181438       break;
   181439     }
   181440    case 9:
   181441     $add_ptr = $ptr_addr_028 + 2 | 0;
   181442     $ptr_addr_0_be = $add_ptr;
   181443     label = 23;
   181444     break;
   181445    case 10:
   181446     $sub_ptr_rhs_cast12 = $ptr_addr_028;
   181447     $sub_ptr_sub13 = $sub_ptr_lhs_cast11 - $sub_ptr_rhs_cast12 | 0;
   181448     $cmp14 = ($sub_ptr_sub13 | 0) < 3;
   181449     if ($cmp14) {
   181450       $retval_0 = -2;
   181451       label = 24;
   181452       break;
   181453     } else {
   181454       label = 11;
   181455       break;
   181456     }
   181457    case 11:
   181458     $add_ptr18 = $ptr_addr_028 + 3 | 0;
   181459     $ptr_addr_0_be = $add_ptr18;
   181460     label = 23;
   181461     break;
   181462    case 12:
   181463     $sub_ptr_rhs_cast21 = $ptr_addr_028;
   181464     $sub_ptr_sub22 = $sub_ptr_lhs_cast20 - $sub_ptr_rhs_cast21 | 0;
   181465     $cmp23 = ($sub_ptr_sub22 | 0) < 4;
   181466     if ($cmp23) {
   181467       $retval_0 = -2;
   181468       label = 24;
   181469       break;
   181470     } else {
   181471       label = 13;
   181472       break;
   181473     }
   181474    case 13:
   181475     $add_ptr27 = $ptr_addr_028 + 4 | 0;
   181476     $ptr_addr_0_be = $add_ptr27;
   181477     label = 23;
   181478     break;
   181479    case 14:
   181480     HEAP32[$nextTokPtr >> 2] = $ptr_addr_028;
   181481     $retval_0 = 0;
   181482     label = 24;
   181483     break;
   181484    case 15:
   181485     $add_ptr30 = $ptr_addr_028 + 2 | 0;
   181486     $cmp31 = ($cond | 0) == ($open | 0);
   181487     if ($cmp31) {
   181488       label = 16;
   181489       break;
   181490     } else {
   181491       $ptr_addr_0_be = $add_ptr30;
   181492       label = 23;
   181493       break;
   181494     }
   181495    case 16:
   181496     $cmp35 = ($add_ptr30 | 0) == ($end | 0);
   181497     if ($cmp35) {
   181498       $retval_0 = -27;
   181499       label = 24;
   181500       break;
   181501     } else {
   181502       label = 17;
   181503       break;
   181504     }
   181505    case 17:
   181506     HEAP32[$nextTokPtr >> 2] = $add_ptr30;
   181507     $4 = HEAP8[$add_ptr30] | 0;
   181508     $cmp41 = $4 << 24 >> 24 == 0;
   181509     $arrayidx44 = $ptr_addr_028 + 3 | 0;
   181510     $5 = HEAP8[$arrayidx44] | 0;
   181511     if ($cmp41) {
   181512       label = 18;
   181513       break;
   181514     } else {
   181515       label = 19;
   181516       break;
   181517     }
   181518    case 18:
   181519     $idxprom45 = $5 & 255;
   181520     $type46 = $enc + 72 | 0;
   181521     $6 = $type46;
   181522     $arrayidx47 = $6 + $idxprom45 | 0;
   181523     $7 = HEAP8[$arrayidx47] | 0;
   181524     $conv48 = $7 & 255;
   181525     $cond54 = $conv48;
   181526     label = 20;
   181527     break;
   181528    case 19:
   181529     $call52 = _unicode_byte_type($4, $5) | 0;
   181530     $cond54 = $call52;
   181531     label = 20;
   181532     break;
   181533    case 20:
   181534     if (($cond54 | 0) == 21 | ($cond54 | 0) == 9 | ($cond54 | 0) == 10 | ($cond54 | 0) == 11 | ($cond54 | 0) == 30 | ($cond54 | 0) == 20) {
   181535       $retval_0 = 27;
   181536       label = 24;
   181537       break;
   181538     } else {
   181539       label = 21;
   181540       break;
   181541     }
   181542    case 21:
   181543     $retval_0 = 0;
   181544     label = 24;
   181545     break;
   181546    case 22:
   181547     $add_ptr57 = $ptr_addr_028 + 2 | 0;
   181548     $ptr_addr_0_be = $add_ptr57;
   181549     label = 23;
   181550     break;
   181551    case 23:
   181552     $cmp = ($ptr_addr_0_be | 0) == ($end | 0);
   181553     if ($cmp) {
   181554       $retval_0 = -1;
   181555       label = 24;
   181556       break;
   181557     } else {
   181558       $ptr_addr_028 = $ptr_addr_0_be;
   181559       label = 4;
   181560       break;
   181561     }
   181562    case 24:
   181563     return $retval_0 | 0;
   181564   }
   181565   return 0;
   181566 }
   181567 function _big2_scanDecl($enc, $ptr, $end, $nextTokPtr) {
   181568   $enc = $enc | 0;
   181569   $ptr = $ptr | 0;
   181570   $end = $end | 0;
   181571   $nextTokPtr = $nextTokPtr | 0;
   181572   var $cmp = 0, $0 = 0, $cmp1 = 0, $arrayidx3 = 0, $1 = 0, $idxprom = 0, $type = 0, $2 = 0, $arrayidx4 = 0, $3 = 0, $conv5 = 0, $call = 0, $cond = 0, $ptr_addr_038 = 0, $cmp1339 = 0, $type22 = 0, $4 = 0, $add_ptr = 0, $call8 = 0, $add_ptr10 = 0, $ptr_addr_041 = 0, $ptr_pn40 = 0, $5 = 0, $cmp17 = 0, $arrayidx20 = 0, $6 = 0, $idxprom21 = 0, $arrayidx23 = 0, $7 = 0, $conv24 = 0, $call28 = 0, $cond30 = 0, $ptr_addr_0 = 0, $cmp13 = 0, $add_ptr32 = 0, $cmp33 = 0, $8 = 0, $cmp40 = 0, $arrayidx44 = 0, $9 = 0, $idxprom45 = 0, $type46 = 0, $10 = 0, $arrayidx47 = 0, $11 = 0, $conv48 = 0, $call54 = 0, $cond56 = 0, $retval_0 = 0, label = 0;
   181573   label = 2;
   181574   while (1) switch (label | 0) {
   181575    case 2:
   181576     $cmp = ($ptr | 0) == ($end | 0);
   181577     if ($cmp) {
   181578       $retval_0 = -1;
   181579       label = 25;
   181580       break;
   181581     } else {
   181582       label = 3;
   181583       break;
   181584     }
   181585    case 3:
   181586     $0 = HEAP8[$ptr] | 0;
   181587     $cmp1 = $0 << 24 >> 24 == 0;
   181588     $arrayidx3 = $ptr + 1 | 0;
   181589     $1 = HEAP8[$arrayidx3] | 0;
   181590     if ($cmp1) {
   181591       label = 4;
   181592       break;
   181593     } else {
   181594       label = 5;
   181595       break;
   181596     }
   181597    case 4:
   181598     $idxprom = $1 & 255;
   181599     $type = $enc + 72 | 0;
   181600     $2 = $type;
   181601     $arrayidx4 = $2 + $idxprom | 0;
   181602     $3 = HEAP8[$arrayidx4] | 0;
   181603     $conv5 = $3 & 255;
   181604     $cond = $conv5;
   181605     label = 6;
   181606     break;
   181607    case 5:
   181608     $call = _unicode_byte_type($0, $1) | 0;
   181609     $cond = $call;
   181610     label = 6;
   181611     break;
   181612    case 6:
   181613     if (($cond | 0) == 22 | ($cond | 0) == 24) {
   181614       label = 7;
   181615       break;
   181616     } else if (($cond | 0) == 27) {
   181617       label = 9;
   181618       break;
   181619     } else if (($cond | 0) == 20) {
   181620       label = 10;
   181621       break;
   181622     } else {
   181623       label = 11;
   181624       break;
   181625     }
   181626    case 7:
   181627     $ptr_addr_038 = $ptr + 2 | 0;
   181628     $cmp1339 = ($ptr_addr_038 | 0) == ($end | 0);
   181629     if ($cmp1339) {
   181630       $retval_0 = -1;
   181631       label = 25;
   181632       break;
   181633     } else {
   181634       label = 8;
   181635       break;
   181636     }
   181637    case 8:
   181638     $type22 = $enc + 72 | 0;
   181639     $4 = $type22;
   181640     $ptr_pn40 = $ptr;
   181641     $ptr_addr_041 = $ptr_addr_038;
   181642     label = 12;
   181643     break;
   181644    case 9:
   181645     $add_ptr = $ptr + 2 | 0;
   181646     $call8 = _big2_scanComment($enc, $add_ptr, $end, $nextTokPtr) | 0;
   181647     $retval_0 = $call8;
   181648     label = 25;
   181649     break;
   181650    case 10:
   181651     $add_ptr10 = $ptr + 2 | 0;
   181652     HEAP32[$nextTokPtr >> 2] = $add_ptr10;
   181653     $retval_0 = 33;
   181654     label = 25;
   181655     break;
   181656    case 11:
   181657     HEAP32[$nextTokPtr >> 2] = $ptr;
   181658     $retval_0 = 0;
   181659     label = 25;
   181660     break;
   181661    case 12:
   181662     $5 = HEAP8[$ptr_addr_041] | 0;
   181663     $cmp17 = $5 << 24 >> 24 == 0;
   181664     $arrayidx20 = $ptr_pn40 + 3 | 0;
   181665     $6 = HEAP8[$arrayidx20] | 0;
   181666     if ($cmp17) {
   181667       label = 13;
   181668       break;
   181669     } else {
   181670       label = 14;
   181671       break;
   181672     }
   181673    case 13:
   181674     $idxprom21 = $6 & 255;
   181675     $arrayidx23 = $4 + $idxprom21 | 0;
   181676     $7 = HEAP8[$arrayidx23] | 0;
   181677     $conv24 = $7 & 255;
   181678     $cond30 = $conv24;
   181679     label = 15;
   181680     break;
   181681    case 14:
   181682     $call28 = _unicode_byte_type($5, $6) | 0;
   181683     $cond30 = $call28;
   181684     label = 15;
   181685     break;
   181686    case 15:
   181687     if (($cond30 | 0) == 22 | ($cond30 | 0) == 24) {
   181688       label = 16;
   181689       break;
   181690     } else if (($cond30 | 0) == 30) {
   181691       label = 17;
   181692       break;
   181693     } else if (($cond30 | 0) == 21 | ($cond30 | 0) == 9 | ($cond30 | 0) == 10) {
   181694       label = 23;
   181695       break;
   181696     } else {
   181697       label = 24;
   181698       break;
   181699     }
   181700    case 16:
   181701     $ptr_addr_0 = $ptr_addr_041 + 2 | 0;
   181702     $cmp13 = ($ptr_addr_0 | 0) == ($end | 0);
   181703     if ($cmp13) {
   181704       $retval_0 = -1;
   181705       label = 25;
   181706       break;
   181707     } else {
   181708       $ptr_pn40 = $ptr_addr_041;
   181709       $ptr_addr_041 = $ptr_addr_0;
   181710       label = 12;
   181711       break;
   181712     }
   181713    case 17:
   181714     $add_ptr32 = $ptr_pn40 + 4 | 0;
   181715     $cmp33 = ($add_ptr32 | 0) == ($end | 0);
   181716     if ($cmp33) {
   181717       $retval_0 = -1;
   181718       label = 25;
   181719       break;
   181720     } else {
   181721       label = 18;
   181722       break;
   181723     }
   181724    case 18:
   181725     $8 = HEAP8[$add_ptr32] | 0;
   181726     $cmp40 = $8 << 24 >> 24 == 0;
   181727     $arrayidx44 = $ptr_pn40 + 5 | 0;
   181728     $9 = HEAP8[$arrayidx44] | 0;
   181729     if ($cmp40) {
   181730       label = 19;
   181731       break;
   181732     } else {
   181733       label = 20;
   181734       break;
   181735     }
   181736    case 19:
   181737     $idxprom45 = $9 & 255;
   181738     $type46 = $enc + 72 | 0;
   181739     $10 = $type46;
   181740     $arrayidx47 = $10 + $idxprom45 | 0;
   181741     $11 = HEAP8[$arrayidx47] | 0;
   181742     $conv48 = $11 & 255;
   181743     $cond56 = $conv48;
   181744     label = 21;
   181745     break;
   181746    case 20:
   181747     $call54 = _unicode_byte_type($8, $9) | 0;
   181748     $cond56 = $call54;
   181749     label = 21;
   181750     break;
   181751    case 21:
   181752     if (($cond56 | 0) == 21 | ($cond56 | 0) == 9 | ($cond56 | 0) == 10 | ($cond56 | 0) == 30) {
   181753       label = 22;
   181754       break;
   181755     } else {
   181756       label = 23;
   181757       break;
   181758     }
   181759    case 22:
   181760     HEAP32[$nextTokPtr >> 2] = $ptr_addr_041;
   181761     $retval_0 = 0;
   181762     label = 25;
   181763     break;
   181764    case 23:
   181765     HEAP32[$nextTokPtr >> 2] = $ptr_addr_041;
   181766     $retval_0 = 16;
   181767     label = 25;
   181768     break;
   181769    case 24:
   181770     HEAP32[$nextTokPtr >> 2] = $ptr_addr_041;
   181771     $retval_0 = 0;
   181772     label = 25;
   181773     break;
   181774    case 25:
   181775     return $retval_0 | 0;
   181776   }
   181777   return 0;
   181778 }
   181779 function _big2_scanPoundName($enc, $ptr, $end, $nextTokPtr) {
   181780   $enc = $enc | 0;
   181781   $ptr = $ptr | 0;
   181782   $end = $end | 0;
   181783   $nextTokPtr = $nextTokPtr | 0;
   181784   var $cmp = 0, $0 = 0, $cmp1 = 0, $arrayidx3 = 0, $1 = 0, $idxprom = 0, $type = 0, $2 = 0, $arrayidx4 = 0, $3 = 0, $conv5 = 0, $call = 0, $cond = 0, $ptr_addr_059 = 0, $cmp4360 = 0, $type52 = 0, $4 = 0, $5 = 0, $idxprom9 = 0, $arrayidx10 = 0, $6 = 0, $conv11 = 0, $shl = 0, $7 = 0, $conv13 = 0, $shr52 = 0, $add = 0, $arrayidx14 = 0, $8 = 0, $and = 0, $shl17 = 0, $and18 = 0, $tobool = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp23 = 0, $sub_ptr_lhs_cast28 = 0, $sub_ptr_rhs_cast29 = 0, $sub_ptr_sub30 = 0, $cmp31 = 0, $sub_ptr_lhs_cast36 = 0, $sub_ptr_rhs_cast37 = 0, $sub_ptr_sub38 = 0, $cmp39 = 0, $ptr_addr_062 = 0, $ptr_pn61 = 0, $9 = 0, $cmp47 = 0, $arrayidx50 = 0, $10 = 0, $idxprom51 = 0, $arrayidx53 = 0, $11 = 0, $conv54 = 0, $call58 = 0, $cond60 = 0, $12 = 0, $idxprom63 = 0, $arrayidx64 = 0, $13 = 0, $conv65 = 0, $shl66 = 0, $14 = 0, $conv68 = 0, $shr6951 = 0, $add70 = 0, $arrayidx71 = 0, $15 = 0, $and74 = 0, $shl75 = 0, $and76 = 0, $tobool77 = 0, $ptr_addr_0 = 0, $cmp43 = 0, $sub_ptr_lhs_cast83 = 0, $sub_ptr_rhs_cast84 = 0, $sub_ptr_sub85 = 0, $cmp86 = 0, $sub_ptr_lhs_cast91 = 0, $sub_ptr_rhs_cast92 = 0, $sub_ptr_sub93 = 0, $cmp94 = 0, $sub_ptr_lhs_cast99 = 0, $sub_ptr_rhs_cast100 = 0, $sub_ptr_sub101 = 0, $cmp102 = 0, $retval_0 = 0, label = 0;
   181785   label = 2;
   181786   while (1) switch (label | 0) {
   181787    case 2:
   181788     $cmp = ($ptr | 0) == ($end | 0);
   181789     if ($cmp) {
   181790       $retval_0 = -1;
   181791       label = 33;
   181792       break;
   181793     } else {
   181794       label = 3;
   181795       break;
   181796     }
   181797    case 3:
   181798     $0 = HEAP8[$ptr] | 0;
   181799     $cmp1 = $0 << 24 >> 24 == 0;
   181800     $arrayidx3 = $ptr + 1 | 0;
   181801     $1 = HEAP8[$arrayidx3] | 0;
   181802     if ($cmp1) {
   181803       label = 4;
   181804       break;
   181805     } else {
   181806       label = 5;
   181807       break;
   181808     }
   181809    case 4:
   181810     $idxprom = $1 & 255;
   181811     $type = $enc + 72 | 0;
   181812     $2 = $type;
   181813     $arrayidx4 = $2 + $idxprom | 0;
   181814     $3 = HEAP8[$arrayidx4] | 0;
   181815     $conv5 = $3 & 255;
   181816     $cond = $conv5;
   181817     label = 6;
   181818     break;
   181819    case 5:
   181820     $call = _unicode_byte_type($0, $1) | 0;
   181821     $cond = $call;
   181822     label = 6;
   181823     break;
   181824    case 6:
   181825     if (($cond | 0) == 22 | ($cond | 0) == 24) {
   181826       label = 7;
   181827       break;
   181828     } else if (($cond | 0) == 29) {
   181829       label = 9;
   181830       break;
   181831     } else if (($cond | 0) == 5) {
   181832       label = 11;
   181833       break;
   181834     } else if (($cond | 0) == 6) {
   181835       label = 13;
   181836       break;
   181837     } else if (($cond | 0) == 7) {
   181838       label = 15;
   181839       break;
   181840     } else {
   181841       label = 17;
   181842       break;
   181843     }
   181844    case 7:
   181845     $ptr_addr_059 = $ptr + 2 | 0;
   181846     $cmp4360 = ($ptr_addr_059 | 0) == ($end | 0);
   181847     if ($cmp4360) {
   181848       $retval_0 = -20;
   181849       label = 33;
   181850       break;
   181851     } else {
   181852       label = 8;
   181853       break;
   181854     }
   181855    case 8:
   181856     $type52 = $enc + 72 | 0;
   181857     $4 = $type52;
   181858     $ptr_pn61 = $ptr;
   181859     $ptr_addr_062 = $ptr_addr_059;
   181860     label = 18;
   181861     break;
   181862    case 9:
   181863     $5 = HEAP8[$ptr] | 0;
   181864     $idxprom9 = $5 & 255;
   181865     $arrayidx10 = $idxprom9 + 9272 | 0;
   181866     $6 = HEAP8[$arrayidx10] | 0;
   181867     $conv11 = $6 & 255;
   181868     $shl = $conv11 << 3;
   181869     $7 = HEAP8[$arrayidx3] | 0;
   181870     $conv13 = $7 & 255;
   181871     $shr52 = $conv13 >>> 5;
   181872     $add = $shr52 | $shl;
   181873     $arrayidx14 = 9544 + ($add << 2) | 0;
   181874     $8 = HEAP32[$arrayidx14 >> 2] | 0;
   181875     $and = $conv13 & 31;
   181876     $shl17 = 1 << $and;
   181877     $and18 = $shl17 & $8;
   181878     $tobool = ($and18 | 0) == 0;
   181879     if ($tobool) {
   181880       label = 10;
   181881       break;
   181882     } else {
   181883       label = 7;
   181884       break;
   181885     }
   181886    case 10:
   181887     HEAP32[$nextTokPtr >> 2] = $ptr;
   181888     $retval_0 = 0;
   181889     label = 33;
   181890     break;
   181891    case 11:
   181892     $sub_ptr_lhs_cast = $end;
   181893     $sub_ptr_rhs_cast = $ptr;
   181894     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   181895     $cmp23 = ($sub_ptr_sub | 0) < 2;
   181896     if ($cmp23) {
   181897       $retval_0 = -2;
   181898       label = 33;
   181899       break;
   181900     } else {
   181901       label = 12;
   181902       break;
   181903     }
   181904    case 12:
   181905     HEAP32[$nextTokPtr >> 2] = $ptr;
   181906     $retval_0 = 0;
   181907     label = 33;
   181908     break;
   181909    case 13:
   181910     $sub_ptr_lhs_cast28 = $end;
   181911     $sub_ptr_rhs_cast29 = $ptr;
   181912     $sub_ptr_sub30 = $sub_ptr_lhs_cast28 - $sub_ptr_rhs_cast29 | 0;
   181913     $cmp31 = ($sub_ptr_sub30 | 0) < 3;
   181914     if ($cmp31) {
   181915       $retval_0 = -2;
   181916       label = 33;
   181917       break;
   181918     } else {
   181919       label = 14;
   181920       break;
   181921     }
   181922    case 14:
   181923     HEAP32[$nextTokPtr >> 2] = $ptr;
   181924     $retval_0 = 0;
   181925     label = 33;
   181926     break;
   181927    case 15:
   181928     $sub_ptr_lhs_cast36 = $end;
   181929     $sub_ptr_rhs_cast37 = $ptr;
   181930     $sub_ptr_sub38 = $sub_ptr_lhs_cast36 - $sub_ptr_rhs_cast37 | 0;
   181931     $cmp39 = ($sub_ptr_sub38 | 0) < 4;
   181932     if ($cmp39) {
   181933       $retval_0 = -2;
   181934       label = 33;
   181935       break;
   181936     } else {
   181937       label = 16;
   181938       break;
   181939     }
   181940    case 16:
   181941     HEAP32[$nextTokPtr >> 2] = $ptr;
   181942     $retval_0 = 0;
   181943     label = 33;
   181944     break;
   181945    case 17:
   181946     HEAP32[$nextTokPtr >> 2] = $ptr;
   181947     $retval_0 = 0;
   181948     label = 33;
   181949     break;
   181950    case 18:
   181951     $9 = HEAP8[$ptr_addr_062] | 0;
   181952     $cmp47 = $9 << 24 >> 24 == 0;
   181953     $arrayidx50 = $ptr_pn61 + 3 | 0;
   181954     $10 = HEAP8[$arrayidx50] | 0;
   181955     if ($cmp47) {
   181956       label = 19;
   181957       break;
   181958     } else {
   181959       label = 20;
   181960       break;
   181961     }
   181962    case 19:
   181963     $idxprom51 = $10 & 255;
   181964     $arrayidx53 = $4 + $idxprom51 | 0;
   181965     $11 = HEAP8[$arrayidx53] | 0;
   181966     $conv54 = $11 & 255;
   181967     $cond60 = $conv54;
   181968     label = 21;
   181969     break;
   181970    case 20:
   181971     $call58 = _unicode_byte_type($9, $10) | 0;
   181972     $cond60 = $call58;
   181973     label = 21;
   181974     break;
   181975    case 21:
   181976     if (($cond60 | 0) == 29) {
   181977       label = 22;
   181978       break;
   181979     } else if (($cond60 | 0) == 22 | ($cond60 | 0) == 24 | ($cond60 | 0) == 25 | ($cond60 | 0) == 26 | ($cond60 | 0) == 27) {
   181980       label = 23;
   181981       break;
   181982     } else if (($cond60 | 0) == 5) {
   181983       label = 25;
   181984       break;
   181985     } else if (($cond60 | 0) == 6) {
   181986       label = 27;
   181987       break;
   181988     } else if (($cond60 | 0) == 7) {
   181989       label = 29;
   181990       break;
   181991     } else if (($cond60 | 0) == 9 | ($cond60 | 0) == 10 | ($cond60 | 0) == 21 | ($cond60 | 0) == 32 | ($cond60 | 0) == 11 | ($cond60 | 0) == 30 | ($cond60 | 0) == 36) {
   181992       label = 31;
   181993       break;
   181994     } else {
   181995       label = 32;
   181996       break;
   181997     }
   181998    case 22:
   181999     $12 = HEAP8[$ptr_addr_062] | 0;
   182000     $idxprom63 = $12 & 255;
   182001     $arrayidx64 = $idxprom63 + 10824 | 0;
   182002     $13 = HEAP8[$arrayidx64] | 0;
   182003     $conv65 = $13 & 255;
   182004     $shl66 = $conv65 << 3;
   182005     $14 = HEAP8[$arrayidx50] | 0;
   182006     $conv68 = $14 & 255;
   182007     $shr6951 = $conv68 >>> 5;
   182008     $add70 = $shr6951 | $shl66;
   182009     $arrayidx71 = 9544 + ($add70 << 2) | 0;
   182010     $15 = HEAP32[$arrayidx71 >> 2] | 0;
   182011     $and74 = $conv68 & 31;
   182012     $shl75 = 1 << $and74;
   182013     $and76 = $shl75 & $15;
   182014     $tobool77 = ($and76 | 0) == 0;
   182015     if ($tobool77) {
   182016       label = 24;
   182017       break;
   182018     } else {
   182019       label = 23;
   182020       break;
   182021     }
   182022    case 23:
   182023     $ptr_addr_0 = $ptr_addr_062 + 2 | 0;
   182024     $cmp43 = ($ptr_addr_0 | 0) == ($end | 0);
   182025     if ($cmp43) {
   182026       $retval_0 = -20;
   182027       label = 33;
   182028       break;
   182029     } else {
   182030       $ptr_pn61 = $ptr_addr_062;
   182031       $ptr_addr_062 = $ptr_addr_0;
   182032       label = 18;
   182033       break;
   182034     }
   182035    case 24:
   182036     HEAP32[$nextTokPtr >> 2] = $ptr_addr_062;
   182037     $retval_0 = 0;
   182038     label = 33;
   182039     break;
   182040    case 25:
   182041     $sub_ptr_lhs_cast83 = $end;
   182042     $sub_ptr_rhs_cast84 = $ptr_addr_062;
   182043     $sub_ptr_sub85 = $sub_ptr_lhs_cast83 - $sub_ptr_rhs_cast84 | 0;
   182044     $cmp86 = ($sub_ptr_sub85 | 0) < 2;
   182045     if ($cmp86) {
   182046       $retval_0 = -2;
   182047       label = 33;
   182048       break;
   182049     } else {
   182050       label = 26;
   182051       break;
   182052     }
   182053    case 26:
   182054     HEAP32[$nextTokPtr >> 2] = $ptr_addr_062;
   182055     $retval_0 = 0;
   182056     label = 33;
   182057     break;
   182058    case 27:
   182059     $sub_ptr_lhs_cast91 = $end;
   182060     $sub_ptr_rhs_cast92 = $ptr_addr_062;
   182061     $sub_ptr_sub93 = $sub_ptr_lhs_cast91 - $sub_ptr_rhs_cast92 | 0;
   182062     $cmp94 = ($sub_ptr_sub93 | 0) < 3;
   182063     if ($cmp94) {
   182064       $retval_0 = -2;
   182065       label = 33;
   182066       break;
   182067     } else {
   182068       label = 28;
   182069       break;
   182070     }
   182071    case 28:
   182072     HEAP32[$nextTokPtr >> 2] = $ptr_addr_062;
   182073     $retval_0 = 0;
   182074     label = 33;
   182075     break;
   182076    case 29:
   182077     $sub_ptr_lhs_cast99 = $end;
   182078     $sub_ptr_rhs_cast100 = $ptr_addr_062;
   182079     $sub_ptr_sub101 = $sub_ptr_lhs_cast99 - $sub_ptr_rhs_cast100 | 0;
   182080     $cmp102 = ($sub_ptr_sub101 | 0) < 4;
   182081     if ($cmp102) {
   182082       $retval_0 = -2;
   182083       label = 33;
   182084       break;
   182085     } else {
   182086       label = 30;
   182087       break;
   182088     }
   182089    case 30:
   182090     HEAP32[$nextTokPtr >> 2] = $ptr_addr_062;
   182091     $retval_0 = 0;
   182092     label = 33;
   182093     break;
   182094    case 31:
   182095     HEAP32[$nextTokPtr >> 2] = $ptr_addr_062;
   182096     $retval_0 = 20;
   182097     label = 33;
   182098     break;
   182099    case 32:
   182100     HEAP32[$nextTokPtr >> 2] = $ptr_addr_062;
   182101     $retval_0 = 0;
   182102     label = 33;
   182103     break;
   182104    case 33:
   182105     return $retval_0 | 0;
   182106   }
   182107   return 0;
   182108 }
   182109 function _normal_prologTok($enc, $ptr, $end, $nextTokPtr) {
   182110   $enc = $enc | 0;
   182111   $ptr = $ptr | 0;
   182112   $end = $end | 0;
   182113   $nextTokPtr = $nextTokPtr | 0;
   182114   var $cmp = 0, $0 = 0, $idxprom = 0, $type = 0, $1 = 0, $arrayidx = 0, $2 = 0, $conv = 0, $add_ptr29167 = 0, $cmp30168 = 0, $add_ptr = 0, $call = 0, $add_ptr2 = 0, $call3 = 0, $add_ptr5 = 0, $cmp6 = 0, $3 = 0, $idxprom10 = 0, $arrayidx12 = 0, $4 = 0, $conv13 = 0, $add_ptr15 = 0, $call16 = 0, $add_ptr18 = 0, $call19 = 0, $add_ptr23 = 0, $cmp24 = 0, $add_ptr29170 = 0, $ptr_addr_0169 = 0, $5 = 0, $idxprom34 = 0, $arrayidx36 = 0, $6 = 0, $conv37 = 0, $add_ptr29 = 0, $cmp30 = 0, $add_ptr40 = 0, $cmp41 = 0, $add_ptr29_lcssa = 0, $add_ptr47 = 0, $call48 = 0, $add_ptr50 = 0, $add_ptr52 = 0, $add_ptr54 = 0, $cmp55 = 0, $7 = 0, $cmp60 = 0, $add_ptr63 = 0, $cmp64 = 0, $8 = 0, $cmp70 = 0, $add_ptr73 = 0, $add_ptr77 = 0, $add_ptr79 = 0, $cmp80 = 0, $9 = 0, $idxprom84 = 0, $arrayidx86 = 0, $10 = 0, $conv87 = 0, $add_ptr89 = 0, $add_ptr91 = 0, $add_ptr93 = 0, $add_ptr97 = 0, $add_ptr99 = 0, $add_ptr101 = 0, $call102 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp104 = 0, $isNmstrt2 = 0, $11 = 0, $12 = 0, $call108 = 0, $tobool = 0, $add_ptr110 = 0, $ptr_addr_1_ph = 0, $tok_0_ph = 0, $cmp160181 = 0, $sub_ptr_lhs_cast170 = 0, $13 = 0, $sub_ptr_lhs_cast184 = 0, $isName3191 = 0, $sub_ptr_lhs_cast198 = 0, $isName4205 = 0, $14 = 0, $15 = 0, $call112 = 0, $tobool113 = 0, $add_ptr115 = 0, $sub_ptr_lhs_cast118 = 0, $sub_ptr_rhs_cast119 = 0, $sub_ptr_sub120 = 0, $cmp121 = 0, $isNmstrt3 = 0, $16 = 0, $17 = 0, $call125 = 0, $tobool126 = 0, $add_ptr128 = 0, $isName3 = 0, $18 = 0, $19 = 0, $call130 = 0, $tobool131 = 0, $add_ptr133 = 0, $sub_ptr_lhs_cast136 = 0, $sub_ptr_rhs_cast137 = 0, $sub_ptr_sub138 = 0, $cmp139 = 0, $isNmstrt4 = 0, $20 = 0, $21 = 0, $call143 = 0, $tobool144 = 0, $add_ptr146 = 0, $isName4 = 0, $22 = 0, $23 = 0, $call148 = 0, $tobool149 = 0, $add_ptr151 = 0, $add_ptr154 = 0, $add_ptr156 = 0, $ptr_addr_1182 = 0, $24 = 0, $idxprom162 = 0, $arrayidx164 = 0, $25 = 0, $conv165 = 0, $add_ptr168 = 0, $sub_ptr_rhs_cast171 = 0, $sub_ptr_sub172 = 0, $cmp173 = 0, $26 = 0, $call178 = 0, $tobool179 = 0, $add_ptr182 = 0, $sub_ptr_rhs_cast185 = 0, $sub_ptr_sub186 = 0, $cmp187 = 0, $27 = 0, $28 = 0, $call192 = 0, $tobool193 = 0, $add_ptr196 = 0, $sub_ptr_rhs_cast199 = 0, $sub_ptr_sub200 = 0, $cmp201 = 0, $29 = 0, $30 = 0, $call206 = 0, $tobool207 = 0, $add_ptr210 = 0, $ptr_addr_1_be = 0, $cmp160 = 0, $cmp213 = 0, $add_ptr217 = 0, $cmp219 = 0, $add_ptr223 = 0, $cmp225 = 0, $add_ptr229 = 0, $sub = 0, $retval_0 = 0, label = 0;
   182115   label = 2;
   182116   while (1) switch (label | 0) {
   182117    case 2:
   182118     $cmp = ($ptr | 0) == ($end | 0);
   182119     if ($cmp) {
   182120       $retval_0 = -4;
   182121       label = 91;
   182122       break;
   182123     } else {
   182124       label = 3;
   182125       break;
   182126     }
   182127    case 3:
   182128     $0 = HEAP8[$ptr] | 0;
   182129     $idxprom = $0 & 255;
   182130     $type = $enc + 72 | 0;
   182131     $1 = $type;
   182132     $arrayidx = $1 + $idxprom | 0;
   182133     $2 = HEAP8[$arrayidx] | 0;
   182134     $conv = $2 & 255;
   182135     if (($conv | 0) == 21 | ($conv | 0) == 10) {
   182136       label = 4;
   182137       break;
   182138     } else if (($conv | 0) == 12) {
   182139       label = 5;
   182140       break;
   182141     } else if (($conv | 0) == 13) {
   182142       label = 6;
   182143       break;
   182144     } else if (($conv | 0) == 2) {
   182145       label = 7;
   182146       break;
   182147     } else if (($conv | 0) == 9) {
   182148       label = 13;
   182149       break;
   182150     } else if (($conv | 0) == 30) {
   182151       label = 20;
   182152       break;
   182153     } else if (($conv | 0) == 35) {
   182154       label = 21;
   182155       break;
   182156     } else if (($conv | 0) == 20) {
   182157       label = 22;
   182158       break;
   182159     } else if (($conv | 0) == 4) {
   182160       label = 23;
   182161       break;
   182162     } else if (($conv | 0) == 31) {
   182163       label = 29;
   182164       break;
   182165     } else if (($conv | 0) == 32) {
   182166       label = 30;
   182167       break;
   182168     } else if (($conv | 0) == 36) {
   182169       label = 37;
   182170       break;
   182171     } else if (($conv | 0) == 11) {
   182172       label = 38;
   182173       break;
   182174     } else if (($conv | 0) == 19) {
   182175       label = 39;
   182176       break;
   182177     } else if (($conv | 0) == 5) {
   182178       label = 40;
   182179       break;
   182180     } else if (($conv | 0) == 6) {
   182181       label = 48;
   182182       break;
   182183     } else if (($conv | 0) == 7) {
   182184       label = 54;
   182185       break;
   182186     } else if (($conv | 0) == 22 | ($conv | 0) == 24) {
   182187       label = 60;
   182188       break;
   182189     } else if (($conv | 0) == 25 | ($conv | 0) == 26 | ($conv | 0) == 27) {
   182190       label = 61;
   182191       break;
   182192     } else {
   182193       label = 62;
   182194       break;
   182195     }
   182196    case 4:
   182197     $add_ptr29167 = $ptr + 1 | 0;
   182198     $cmp30168 = ($add_ptr29167 | 0) == ($end | 0);
   182199     if ($cmp30168) {
   182200       $add_ptr29_lcssa = $add_ptr29167;
   182201       label = 19;
   182202       break;
   182203     } else {
   182204       $ptr_addr_0169 = $ptr;
   182205       $add_ptr29170 = $add_ptr29167;
   182206       label = 15;
   182207       break;
   182208     }
   182209    case 5:
   182210     $add_ptr = $ptr + 1 | 0;
   182211     $call = _normal_scanLit(12, $enc, $add_ptr, $end, $nextTokPtr) | 0;
   182212     $retval_0 = $call;
   182213     label = 91;
   182214     break;
   182215    case 6:
   182216     $add_ptr2 = $ptr + 1 | 0;
   182217     $call3 = _normal_scanLit(13, $enc, $add_ptr2, $end, $nextTokPtr) | 0;
   182218     $retval_0 = $call3;
   182219     label = 91;
   182220     break;
   182221    case 7:
   182222     $add_ptr5 = $ptr + 1 | 0;
   182223     $cmp6 = ($add_ptr5 | 0) == ($end | 0);
   182224     if ($cmp6) {
   182225       $retval_0 = -1;
   182226       label = 91;
   182227       break;
   182228     } else {
   182229       label = 8;
   182230       break;
   182231     }
   182232    case 8:
   182233     $3 = HEAP8[$add_ptr5] | 0;
   182234     $idxprom10 = $3 & 255;
   182235     $arrayidx12 = $1 + $idxprom10 | 0;
   182236     $4 = HEAP8[$arrayidx12] | 0;
   182237     $conv13 = $4 & 255;
   182238     if (($conv13 | 0) == 16) {
   182239       label = 9;
   182240       break;
   182241     } else if (($conv13 | 0) == 15) {
   182242       label = 10;
   182243       break;
   182244     } else if (($conv13 | 0) == 22 | ($conv13 | 0) == 24 | ($conv13 | 0) == 29 | ($conv13 | 0) == 5 | ($conv13 | 0) == 6 | ($conv13 | 0) == 7) {
   182245       label = 11;
   182246       break;
   182247     } else {
   182248       label = 12;
   182249       break;
   182250     }
   182251    case 9:
   182252     $add_ptr15 = $ptr + 2 | 0;
   182253     $call16 = _normal_scanDecl($enc, $add_ptr15, $end, $nextTokPtr) | 0;
   182254     $retval_0 = $call16;
   182255     label = 91;
   182256     break;
   182257    case 10:
   182258     $add_ptr18 = $ptr + 2 | 0;
   182259     $call19 = _normal_scanPi($enc, $add_ptr18, $end, $nextTokPtr) | 0;
   182260     $retval_0 = $call19;
   182261     label = 91;
   182262     break;
   182263    case 11:
   182264     HEAP32[$nextTokPtr >> 2] = $ptr;
   182265     $retval_0 = 29;
   182266     label = 91;
   182267     break;
   182268    case 12:
   182269     HEAP32[$nextTokPtr >> 2] = $add_ptr5;
   182270     $retval_0 = 0;
   182271     label = 91;
   182272     break;
   182273    case 13:
   182274     $add_ptr23 = $ptr + 1 | 0;
   182275     $cmp24 = ($add_ptr23 | 0) == ($end | 0);
   182276     if ($cmp24) {
   182277       label = 14;
   182278       break;
   182279     } else {
   182280       label = 4;
   182281       break;
   182282     }
   182283    case 14:
   182284     HEAP32[$nextTokPtr >> 2] = $end;
   182285     $retval_0 = -15;
   182286     label = 91;
   182287     break;
   182288    case 15:
   182289     $5 = HEAP8[$add_ptr29170] | 0;
   182290     $idxprom34 = $5 & 255;
   182291     $arrayidx36 = $1 + $idxprom34 | 0;
   182292     $6 = HEAP8[$arrayidx36] | 0;
   182293     $conv37 = $6 & 255;
   182294     if (($conv37 | 0) == 21 | ($conv37 | 0) == 10) {
   182295       label = 16;
   182296       break;
   182297     } else if (($conv37 | 0) == 9) {
   182298       label = 17;
   182299       break;
   182300     } else {
   182301       label = 18;
   182302       break;
   182303     }
   182304    case 16:
   182305     $add_ptr29 = $add_ptr29170 + 1 | 0;
   182306     $cmp30 = ($add_ptr29 | 0) == ($end | 0);
   182307     if ($cmp30) {
   182308       $add_ptr29_lcssa = $add_ptr29;
   182309       label = 19;
   182310       break;
   182311     } else {
   182312       $ptr_addr_0169 = $add_ptr29170;
   182313       $add_ptr29170 = $add_ptr29;
   182314       label = 15;
   182315       break;
   182316     }
   182317    case 17:
   182318     $add_ptr40 = $ptr_addr_0169 + 2 | 0;
   182319     $cmp41 = ($add_ptr40 | 0) == ($end | 0);
   182320     if ($cmp41) {
   182321       label = 18;
   182322       break;
   182323     } else {
   182324       label = 16;
   182325       break;
   182326     }
   182327    case 18:
   182328     HEAP32[$nextTokPtr >> 2] = $add_ptr29170;
   182329     $retval_0 = 15;
   182330     label = 91;
   182331     break;
   182332    case 19:
   182333     HEAP32[$nextTokPtr >> 2] = $add_ptr29_lcssa;
   182334     $retval_0 = 15;
   182335     label = 91;
   182336     break;
   182337    case 20:
   182338     $add_ptr47 = $ptr + 1 | 0;
   182339     $call48 = _normal_scanPercent($enc, $add_ptr47, $end, $nextTokPtr) | 0;
   182340     $retval_0 = $call48;
   182341     label = 91;
   182342     break;
   182343    case 21:
   182344     $add_ptr50 = $ptr + 1 | 0;
   182345     HEAP32[$nextTokPtr >> 2] = $add_ptr50;
   182346     $retval_0 = 38;
   182347     label = 91;
   182348     break;
   182349    case 22:
   182350     $add_ptr52 = $ptr + 1 | 0;
   182351     HEAP32[$nextTokPtr >> 2] = $add_ptr52;
   182352     $retval_0 = 25;
   182353     label = 91;
   182354     break;
   182355    case 23:
   182356     $add_ptr54 = $ptr + 1 | 0;
   182357     $cmp55 = ($add_ptr54 | 0) == ($end | 0);
   182358     if ($cmp55) {
   182359       $retval_0 = -26;
   182360       label = 91;
   182361       break;
   182362     } else {
   182363       label = 24;
   182364       break;
   182365     }
   182366    case 24:
   182367     $7 = HEAP8[$add_ptr54] | 0;
   182368     $cmp60 = $7 << 24 >> 24 == 93;
   182369     if ($cmp60) {
   182370       label = 25;
   182371       break;
   182372     } else {
   182373       label = 28;
   182374       break;
   182375     }
   182376    case 25:
   182377     $add_ptr63 = $ptr + 2 | 0;
   182378     $cmp64 = ($add_ptr63 | 0) == ($end | 0);
   182379     if ($cmp64) {
   182380       $retval_0 = -1;
   182381       label = 91;
   182382       break;
   182383     } else {
   182384       label = 26;
   182385       break;
   182386     }
   182387    case 26:
   182388     $8 = HEAP8[$add_ptr63] | 0;
   182389     $cmp70 = $8 << 24 >> 24 == 62;
   182390     if ($cmp70) {
   182391       label = 27;
   182392       break;
   182393     } else {
   182394       label = 28;
   182395       break;
   182396     }
   182397    case 27:
   182398     $add_ptr73 = $ptr + 3 | 0;
   182399     HEAP32[$nextTokPtr >> 2] = $add_ptr73;
   182400     $retval_0 = 34;
   182401     label = 91;
   182402     break;
   182403    case 28:
   182404     HEAP32[$nextTokPtr >> 2] = $add_ptr54;
   182405     $retval_0 = 26;
   182406     label = 91;
   182407     break;
   182408    case 29:
   182409     $add_ptr77 = $ptr + 1 | 0;
   182410     HEAP32[$nextTokPtr >> 2] = $add_ptr77;
   182411     $retval_0 = 23;
   182412     label = 91;
   182413     break;
   182414    case 30:
   182415     $add_ptr79 = $ptr + 1 | 0;
   182416     $cmp80 = ($add_ptr79 | 0) == ($end | 0);
   182417     if ($cmp80) {
   182418       $retval_0 = -24;
   182419       label = 91;
   182420       break;
   182421     } else {
   182422       label = 31;
   182423       break;
   182424     }
   182425    case 31:
   182426     $9 = HEAP8[$add_ptr79] | 0;
   182427     $idxprom84 = $9 & 255;
   182428     $arrayidx86 = $1 + $idxprom84 | 0;
   182429     $10 = HEAP8[$arrayidx86] | 0;
   182430     $conv87 = $10 & 255;
   182431     if (($conv87 | 0) == 33) {
   182432       label = 32;
   182433       break;
   182434     } else if (($conv87 | 0) == 15) {
   182435       label = 33;
   182436       break;
   182437     } else if (($conv87 | 0) == 34) {
   182438       label = 34;
   182439       break;
   182440     } else if (($conv87 | 0) == 9 | ($conv87 | 0) == 10 | ($conv87 | 0) == 21 | ($conv87 | 0) == 11 | ($conv87 | 0) == 35 | ($conv87 | 0) == 36 | ($conv87 | 0) == 32) {
   182441       label = 35;
   182442       break;
   182443     } else {
   182444       label = 36;
   182445       break;
   182446     }
   182447    case 32:
   182448     $add_ptr89 = $ptr + 2 | 0;
   182449     HEAP32[$nextTokPtr >> 2] = $add_ptr89;
   182450     $retval_0 = 36;
   182451     label = 91;
   182452     break;
   182453    case 33:
   182454     $add_ptr91 = $ptr + 2 | 0;
   182455     HEAP32[$nextTokPtr >> 2] = $add_ptr91;
   182456     $retval_0 = 35;
   182457     label = 91;
   182458     break;
   182459    case 34:
   182460     $add_ptr93 = $ptr + 2 | 0;
   182461     HEAP32[$nextTokPtr >> 2] = $add_ptr93;
   182462     $retval_0 = 37;
   182463     label = 91;
   182464     break;
   182465    case 35:
   182466     HEAP32[$nextTokPtr >> 2] = $add_ptr79;
   182467     $retval_0 = 24;
   182468     label = 91;
   182469     break;
   182470    case 36:
   182471     HEAP32[$nextTokPtr >> 2] = $add_ptr79;
   182472     $retval_0 = 0;
   182473     label = 91;
   182474     break;
   182475    case 37:
   182476     $add_ptr97 = $ptr + 1 | 0;
   182477     HEAP32[$nextTokPtr >> 2] = $add_ptr97;
   182478     $retval_0 = 21;
   182479     label = 91;
   182480     break;
   182481    case 38:
   182482     $add_ptr99 = $ptr + 1 | 0;
   182483     HEAP32[$nextTokPtr >> 2] = $add_ptr99;
   182484     $retval_0 = 17;
   182485     label = 91;
   182486     break;
   182487    case 39:
   182488     $add_ptr101 = $ptr + 1 | 0;
   182489     $call102 = _normal_scanPoundName($enc, $add_ptr101, $end, $nextTokPtr) | 0;
   182490     $retval_0 = $call102;
   182491     label = 91;
   182492     break;
   182493    case 40:
   182494     $sub_ptr_lhs_cast = $end;
   182495     $sub_ptr_rhs_cast = $ptr;
   182496     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   182497     $cmp104 = ($sub_ptr_sub | 0) < 2;
   182498     if ($cmp104) {
   182499       $retval_0 = -2;
   182500       label = 91;
   182501       break;
   182502     } else {
   182503       label = 41;
   182504       break;
   182505     }
   182506    case 41:
   182507     $isNmstrt2 = $enc + 340 | 0;
   182508     $11 = HEAP32[$isNmstrt2 >> 2] | 0;
   182509     $12 = $11;
   182510     $call108 = FUNCTION_TABLE_iii[$12 & 1023]($enc, $ptr) | 0;
   182511     $tobool = ($call108 | 0) == 0;
   182512     if ($tobool) {
   182513       label = 45;
   182514       break;
   182515     } else {
   182516       label = 42;
   182517       break;
   182518     }
   182519    case 42:
   182520     $add_ptr110 = $ptr + 2 | 0;
   182521     $tok_0_ph = 18;
   182522     $ptr_addr_1_ph = $add_ptr110;
   182523     label = 43;
   182524     break;
   182525    case 43:
   182526     $cmp160181 = ($ptr_addr_1_ph | 0) == ($end | 0);
   182527     if ($cmp160181) {
   182528       label = 90;
   182529       break;
   182530     } else {
   182531       label = 44;
   182532       break;
   182533     }
   182534    case 44:
   182535     $sub_ptr_lhs_cast170 = $end;
   182536     $13 = $enc + 328 | 0;
   182537     $sub_ptr_lhs_cast184 = $end;
   182538     $isName3191 = $enc + 332 | 0;
   182539     $sub_ptr_lhs_cast198 = $end;
   182540     $isName4205 = $enc + 336 | 0;
   182541     $ptr_addr_1182 = $ptr_addr_1_ph;
   182542     label = 63;
   182543     break;
   182544    case 45:
   182545     $14 = $enc + 328 | 0;
   182546     $15 = HEAP32[$14 >> 2] | 0;
   182547     $call112 = FUNCTION_TABLE_iii[$15 & 1023]($enc, $ptr) | 0;
   182548     $tobool113 = ($call112 | 0) == 0;
   182549     if ($tobool113) {
   182550       label = 47;
   182551       break;
   182552     } else {
   182553       label = 46;
   182554       break;
   182555     }
   182556    case 46:
   182557     $add_ptr115 = $ptr + 2 | 0;
   182558     $tok_0_ph = 19;
   182559     $ptr_addr_1_ph = $add_ptr115;
   182560     label = 43;
   182561     break;
   182562    case 47:
   182563     HEAP32[$nextTokPtr >> 2] = $ptr;
   182564     $retval_0 = 0;
   182565     label = 91;
   182566     break;
   182567    case 48:
   182568     $sub_ptr_lhs_cast118 = $end;
   182569     $sub_ptr_rhs_cast119 = $ptr;
   182570     $sub_ptr_sub120 = $sub_ptr_lhs_cast118 - $sub_ptr_rhs_cast119 | 0;
   182571     $cmp121 = ($sub_ptr_sub120 | 0) < 3;
   182572     if ($cmp121) {
   182573       $retval_0 = -2;
   182574       label = 91;
   182575       break;
   182576     } else {
   182577       label = 49;
   182578       break;
   182579     }
   182580    case 49:
   182581     $isNmstrt3 = $enc + 344 | 0;
   182582     $16 = HEAP32[$isNmstrt3 >> 2] | 0;
   182583     $17 = $16;
   182584     $call125 = FUNCTION_TABLE_iii[$17 & 1023]($enc, $ptr) | 0;
   182585     $tobool126 = ($call125 | 0) == 0;
   182586     if ($tobool126) {
   182587       label = 51;
   182588       break;
   182589     } else {
   182590       label = 50;
   182591       break;
   182592     }
   182593    case 50:
   182594     $add_ptr128 = $ptr + 3 | 0;
   182595     $tok_0_ph = 18;
   182596     $ptr_addr_1_ph = $add_ptr128;
   182597     label = 43;
   182598     break;
   182599    case 51:
   182600     $isName3 = $enc + 332 | 0;
   182601     $18 = HEAP32[$isName3 >> 2] | 0;
   182602     $19 = $18;
   182603     $call130 = FUNCTION_TABLE_iii[$19 & 1023]($enc, $ptr) | 0;
   182604     $tobool131 = ($call130 | 0) == 0;
   182605     if ($tobool131) {
   182606       label = 53;
   182607       break;
   182608     } else {
   182609       label = 52;
   182610       break;
   182611     }
   182612    case 52:
   182613     $add_ptr133 = $ptr + 3 | 0;
   182614     $tok_0_ph = 19;
   182615     $ptr_addr_1_ph = $add_ptr133;
   182616     label = 43;
   182617     break;
   182618    case 53:
   182619     HEAP32[$nextTokPtr >> 2] = $ptr;
   182620     $retval_0 = 0;
   182621     label = 91;
   182622     break;
   182623    case 54:
   182624     $sub_ptr_lhs_cast136 = $end;
   182625     $sub_ptr_rhs_cast137 = $ptr;
   182626     $sub_ptr_sub138 = $sub_ptr_lhs_cast136 - $sub_ptr_rhs_cast137 | 0;
   182627     $cmp139 = ($sub_ptr_sub138 | 0) < 4;
   182628     if ($cmp139) {
   182629       $retval_0 = -2;
   182630       label = 91;
   182631       break;
   182632     } else {
   182633       label = 55;
   182634       break;
   182635     }
   182636    case 55:
   182637     $isNmstrt4 = $enc + 348 | 0;
   182638     $20 = HEAP32[$isNmstrt4 >> 2] | 0;
   182639     $21 = $20;
   182640     $call143 = FUNCTION_TABLE_iii[$21 & 1023]($enc, $ptr) | 0;
   182641     $tobool144 = ($call143 | 0) == 0;
   182642     if ($tobool144) {
   182643       label = 57;
   182644       break;
   182645     } else {
   182646       label = 56;
   182647       break;
   182648     }
   182649    case 56:
   182650     $add_ptr146 = $ptr + 4 | 0;
   182651     $tok_0_ph = 18;
   182652     $ptr_addr_1_ph = $add_ptr146;
   182653     label = 43;
   182654     break;
   182655    case 57:
   182656     $isName4 = $enc + 336 | 0;
   182657     $22 = HEAP32[$isName4 >> 2] | 0;
   182658     $23 = $22;
   182659     $call148 = FUNCTION_TABLE_iii[$23 & 1023]($enc, $ptr) | 0;
   182660     $tobool149 = ($call148 | 0) == 0;
   182661     if ($tobool149) {
   182662       label = 59;
   182663       break;
   182664     } else {
   182665       label = 58;
   182666       break;
   182667     }
   182668    case 58:
   182669     $add_ptr151 = $ptr + 4 | 0;
   182670     $tok_0_ph = 19;
   182671     $ptr_addr_1_ph = $add_ptr151;
   182672     label = 43;
   182673     break;
   182674    case 59:
   182675     HEAP32[$nextTokPtr >> 2] = $ptr;
   182676     $retval_0 = 0;
   182677     label = 91;
   182678     break;
   182679    case 60:
   182680     $add_ptr154 = $ptr + 1 | 0;
   182681     $tok_0_ph = 18;
   182682     $ptr_addr_1_ph = $add_ptr154;
   182683     label = 43;
   182684     break;
   182685    case 61:
   182686     $add_ptr156 = $ptr + 1 | 0;
   182687     $tok_0_ph = 19;
   182688     $ptr_addr_1_ph = $add_ptr156;
   182689     label = 43;
   182690     break;
   182691    case 62:
   182692     HEAP32[$nextTokPtr >> 2] = $ptr;
   182693     $retval_0 = 0;
   182694     label = 91;
   182695     break;
   182696    case 63:
   182697     $24 = HEAP8[$ptr_addr_1182] | 0;
   182698     $idxprom162 = $24 & 255;
   182699     $arrayidx164 = $1 + $idxprom162 | 0;
   182700     $25 = HEAP8[$arrayidx164] | 0;
   182701     $conv165 = $25 & 255;
   182702     if (($conv165 | 0) == 29) {
   182703       label = 64;
   182704       break;
   182705     } else if (($conv165 | 0) == 22 | ($conv165 | 0) == 24 | ($conv165 | 0) == 25 | ($conv165 | 0) == 26 | ($conv165 | 0) == 27) {
   182706       label = 65;
   182707       break;
   182708     } else if (($conv165 | 0) == 5) {
   182709       label = 66;
   182710       break;
   182711     } else if (($conv165 | 0) == 6) {
   182712       label = 70;
   182713       break;
   182714     } else if (($conv165 | 0) == 7) {
   182715       label = 74;
   182716       break;
   182717     } else if (($conv165 | 0) == 11 | ($conv165 | 0) == 32 | ($conv165 | 0) == 35 | ($conv165 | 0) == 36 | ($conv165 | 0) == 20 | ($conv165 | 0) == 30 | ($conv165 | 0) == 21 | ($conv165 | 0) == 9 | ($conv165 | 0) == 10) {
   182718       label = 79;
   182719       break;
   182720     } else if (($conv165 | 0) == 34) {
   182721       label = 80;
   182722       break;
   182723     } else if (($conv165 | 0) == 33) {
   182724       label = 83;
   182725       break;
   182726     } else if (($conv165 | 0) == 15) {
   182727       label = 86;
   182728       break;
   182729     } else {
   182730       label = 89;
   182731       break;
   182732     }
   182733    case 64:
   182734     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1182;
   182735     $retval_0 = 0;
   182736     label = 91;
   182737     break;
   182738    case 65:
   182739     $add_ptr168 = $ptr_addr_1182 + 1 | 0;
   182740     $ptr_addr_1_be = $add_ptr168;
   182741     label = 78;
   182742     break;
   182743    case 66:
   182744     $sub_ptr_rhs_cast171 = $ptr_addr_1182;
   182745     $sub_ptr_sub172 = $sub_ptr_lhs_cast170 - $sub_ptr_rhs_cast171 | 0;
   182746     $cmp173 = ($sub_ptr_sub172 | 0) < 2;
   182747     if ($cmp173) {
   182748       $retval_0 = -2;
   182749       label = 91;
   182750       break;
   182751     } else {
   182752       label = 67;
   182753       break;
   182754     }
   182755    case 67:
   182756     $26 = HEAP32[$13 >> 2] | 0;
   182757     $call178 = FUNCTION_TABLE_iii[$26 & 1023]($enc, $ptr_addr_1182) | 0;
   182758     $tobool179 = ($call178 | 0) == 0;
   182759     if ($tobool179) {
   182760       label = 68;
   182761       break;
   182762     } else {
   182763       label = 69;
   182764       break;
   182765     }
   182766    case 68:
   182767     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1182;
   182768     $retval_0 = 0;
   182769     label = 91;
   182770     break;
   182771    case 69:
   182772     $add_ptr182 = $ptr_addr_1182 + 2 | 0;
   182773     $ptr_addr_1_be = $add_ptr182;
   182774     label = 78;
   182775     break;
   182776    case 70:
   182777     $sub_ptr_rhs_cast185 = $ptr_addr_1182;
   182778     $sub_ptr_sub186 = $sub_ptr_lhs_cast184 - $sub_ptr_rhs_cast185 | 0;
   182779     $cmp187 = ($sub_ptr_sub186 | 0) < 3;
   182780     if ($cmp187) {
   182781       $retval_0 = -2;
   182782       label = 91;
   182783       break;
   182784     } else {
   182785       label = 71;
   182786       break;
   182787     }
   182788    case 71:
   182789     $27 = HEAP32[$isName3191 >> 2] | 0;
   182790     $28 = $27;
   182791     $call192 = FUNCTION_TABLE_iii[$28 & 1023]($enc, $ptr_addr_1182) | 0;
   182792     $tobool193 = ($call192 | 0) == 0;
   182793     if ($tobool193) {
   182794       label = 72;
   182795       break;
   182796     } else {
   182797       label = 73;
   182798       break;
   182799     }
   182800    case 72:
   182801     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1182;
   182802     $retval_0 = 0;
   182803     label = 91;
   182804     break;
   182805    case 73:
   182806     $add_ptr196 = $ptr_addr_1182 + 3 | 0;
   182807     $ptr_addr_1_be = $add_ptr196;
   182808     label = 78;
   182809     break;
   182810    case 74:
   182811     $sub_ptr_rhs_cast199 = $ptr_addr_1182;
   182812     $sub_ptr_sub200 = $sub_ptr_lhs_cast198 - $sub_ptr_rhs_cast199 | 0;
   182813     $cmp201 = ($sub_ptr_sub200 | 0) < 4;
   182814     if ($cmp201) {
   182815       $retval_0 = -2;
   182816       label = 91;
   182817       break;
   182818     } else {
   182819       label = 75;
   182820       break;
   182821     }
   182822    case 75:
   182823     $29 = HEAP32[$isName4205 >> 2] | 0;
   182824     $30 = $29;
   182825     $call206 = FUNCTION_TABLE_iii[$30 & 1023]($enc, $ptr_addr_1182) | 0;
   182826     $tobool207 = ($call206 | 0) == 0;
   182827     if ($tobool207) {
   182828       label = 76;
   182829       break;
   182830     } else {
   182831       label = 77;
   182832       break;
   182833     }
   182834    case 76:
   182835     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1182;
   182836     $retval_0 = 0;
   182837     label = 91;
   182838     break;
   182839    case 77:
   182840     $add_ptr210 = $ptr_addr_1182 + 4 | 0;
   182841     $ptr_addr_1_be = $add_ptr210;
   182842     label = 78;
   182843     break;
   182844    case 78:
   182845     $cmp160 = ($ptr_addr_1_be | 0) == ($end | 0);
   182846     if ($cmp160) {
   182847       label = 90;
   182848       break;
   182849     } else {
   182850       $ptr_addr_1182 = $ptr_addr_1_be;
   182851       label = 63;
   182852       break;
   182853     }
   182854    case 79:
   182855     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1182;
   182856     $retval_0 = $tok_0_ph;
   182857     label = 91;
   182858     break;
   182859    case 80:
   182860     $cmp213 = ($tok_0_ph | 0) == 19;
   182861     if ($cmp213) {
   182862       label = 81;
   182863       break;
   182864     } else {
   182865       label = 82;
   182866       break;
   182867     }
   182868    case 81:
   182869     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1182;
   182870     $retval_0 = 0;
   182871     label = 91;
   182872     break;
   182873    case 82:
   182874     $add_ptr217 = $ptr_addr_1182 + 1 | 0;
   182875     HEAP32[$nextTokPtr >> 2] = $add_ptr217;
   182876     $retval_0 = 32;
   182877     label = 91;
   182878     break;
   182879    case 83:
   182880     $cmp219 = ($tok_0_ph | 0) == 19;
   182881     if ($cmp219) {
   182882       label = 84;
   182883       break;
   182884     } else {
   182885       label = 85;
   182886       break;
   182887     }
   182888    case 84:
   182889     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1182;
   182890     $retval_0 = 0;
   182891     label = 91;
   182892     break;
   182893    case 85:
   182894     $add_ptr223 = $ptr_addr_1182 + 1 | 0;
   182895     HEAP32[$nextTokPtr >> 2] = $add_ptr223;
   182896     $retval_0 = 31;
   182897     label = 91;
   182898     break;
   182899    case 86:
   182900     $cmp225 = ($tok_0_ph | 0) == 19;
   182901     if ($cmp225) {
   182902       label = 87;
   182903       break;
   182904     } else {
   182905       label = 88;
   182906       break;
   182907     }
   182908    case 87:
   182909     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1182;
   182910     $retval_0 = 0;
   182911     label = 91;
   182912     break;
   182913    case 88:
   182914     $add_ptr229 = $ptr_addr_1182 + 1 | 0;
   182915     HEAP32[$nextTokPtr >> 2] = $add_ptr229;
   182916     $retval_0 = 30;
   182917     label = 91;
   182918     break;
   182919    case 89:
   182920     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1182;
   182921     $retval_0 = 0;
   182922     label = 91;
   182923     break;
   182924    case 90:
   182925     $sub = -$tok_0_ph | 0;
   182926     $retval_0 = $sub;
   182927     label = 91;
   182928     break;
   182929    case 91:
   182930     return $retval_0 | 0;
   182931   }
   182932   return 0;
   182933 }
   182934 function _normal_sameName($enc, $ptr1, $ptr2) {
   182935   $enc = $enc | 0;
   182936   $ptr1 = $ptr1 | 0;
   182937   $ptr2 = $ptr2 | 0;
   182938   var $type = 0, $0 = 0, $ptr1_addr_0 = 0, $ptr2_addr_0 = 0, $1 = 0, $idxprom = 0, $arrayidx = 0, $2 = 0, $conv = 0, $incdec_ptr = 0, $incdec_ptr2 = 0, $3 = 0, $cmp = 0, $ptr1_addr_1 = 0, $ptr2_addr_1 = 0, $incdec_ptr6 = 0, $4 = 0, $incdec_ptr8 = 0, $5 = 0, $cmp10 = 0, $ptr1_addr_2 = 0, $ptr2_addr_2 = 0, $6 = 0, $7 = 0, $cmp19 = 0, $incdec_ptr17 = 0, $incdec_ptr15 = 0, $incdec_ptr23 = 0, $8 = 0, $incdec_ptr25 = 0, $9 = 0, $cmp27 = 0, $incdec_ptr32 = 0, $10 = 0, $incdec_ptr34 = 0, $11 = 0, $cmp36 = 0, $12 = 0, $cmp42 = 0, $idxprom46 = 0, $arrayidx48 = 0, $13 = 0, $conv49 = 0, $retval_0 = 0, label = 0;
   182939   label = 2;
   182940   while (1) switch (label | 0) {
   182941    case 2:
   182942     $type = $enc + 72 | 0;
   182943     $0 = $type;
   182944     $ptr2_addr_0 = $ptr2;
   182945     $ptr1_addr_0 = $ptr1;
   182946     label = 3;
   182947     break;
   182948    case 3:
   182949     $1 = HEAP8[$ptr1_addr_0] | 0;
   182950     $idxprom = $1 & 255;
   182951     $arrayidx = $0 + $idxprom | 0;
   182952     $2 = HEAP8[$arrayidx] | 0;
   182953     $conv = $2 & 255;
   182954     if (($conv | 0) == 7) {
   182955       label = 4;
   182956       break;
   182957     } else if (($conv | 0) == 6) {
   182958       $ptr2_addr_1 = $ptr2_addr_0;
   182959       $ptr1_addr_1 = $ptr1_addr_0;
   182960       label = 5;
   182961       break;
   182962     } else if (($conv | 0) == 5) {
   182963       $ptr2_addr_2 = $ptr2_addr_0;
   182964       $ptr1_addr_2 = $ptr1_addr_0;
   182965       label = 6;
   182966       break;
   182967     } else if (($conv | 0) == 29 | ($conv | 0) == 22 | ($conv | 0) == 24 | ($conv | 0) == 25 | ($conv | 0) == 26 | ($conv | 0) == 27) {
   182968       label = 8;
   182969       break;
   182970     } else {
   182971       label = 9;
   182972       break;
   182973     }
   182974    case 4:
   182975     $incdec_ptr = $ptr1_addr_0 + 1 | 0;
   182976     $incdec_ptr2 = $ptr2_addr_0 + 1 | 0;
   182977     $3 = HEAP8[$ptr2_addr_0] | 0;
   182978     $cmp = $1 << 24 >> 24 == $3 << 24 >> 24;
   182979     if ($cmp) {
   182980       $ptr2_addr_1 = $incdec_ptr2;
   182981       $ptr1_addr_1 = $incdec_ptr;
   182982       label = 5;
   182983       break;
   182984     } else {
   182985       $retval_0 = 0;
   182986       label = 12;
   182987       break;
   182988     }
   182989    case 5:
   182990     $incdec_ptr6 = $ptr1_addr_1 + 1 | 0;
   182991     $4 = HEAP8[$ptr1_addr_1] | 0;
   182992     $incdec_ptr8 = $ptr2_addr_1 + 1 | 0;
   182993     $5 = HEAP8[$ptr2_addr_1] | 0;
   182994     $cmp10 = $4 << 24 >> 24 == $5 << 24 >> 24;
   182995     if ($cmp10) {
   182996       $ptr2_addr_2 = $incdec_ptr8;
   182997       $ptr1_addr_2 = $incdec_ptr6;
   182998       label = 6;
   182999       break;
   183000     } else {
   183001       $retval_0 = 0;
   183002       label = 12;
   183003       break;
   183004     }
   183005    case 6:
   183006     $6 = HEAP8[$ptr1_addr_2] | 0;
   183007     $7 = HEAP8[$ptr2_addr_2] | 0;
   183008     $cmp19 = $6 << 24 >> 24 == $7 << 24 >> 24;
   183009     if ($cmp19) {
   183010       label = 7;
   183011       break;
   183012     } else {
   183013       $retval_0 = 0;
   183014       label = 12;
   183015       break;
   183016     }
   183017    case 7:
   183018     $incdec_ptr17 = $ptr2_addr_2 + 1 | 0;
   183019     $incdec_ptr15 = $ptr1_addr_2 + 1 | 0;
   183020     $incdec_ptr23 = $ptr1_addr_2 + 2 | 0;
   183021     $8 = HEAP8[$incdec_ptr15] | 0;
   183022     $incdec_ptr25 = $ptr2_addr_2 + 2 | 0;
   183023     $9 = HEAP8[$incdec_ptr17] | 0;
   183024     $cmp27 = $8 << 24 >> 24 == $9 << 24 >> 24;
   183025     if ($cmp27) {
   183026       $ptr2_addr_0 = $incdec_ptr25;
   183027       $ptr1_addr_0 = $incdec_ptr23;
   183028       label = 3;
   183029       break;
   183030     } else {
   183031       $retval_0 = 0;
   183032       label = 12;
   183033       break;
   183034     }
   183035    case 8:
   183036     $incdec_ptr32 = $ptr2_addr_0 + 1 | 0;
   183037     $10 = HEAP8[$ptr2_addr_0] | 0;
   183038     $incdec_ptr34 = $ptr1_addr_0 + 1 | 0;
   183039     $11 = HEAP8[$ptr1_addr_0] | 0;
   183040     $cmp36 = $10 << 24 >> 24 == $11 << 24 >> 24;
   183041     if ($cmp36) {
   183042       $ptr2_addr_0 = $incdec_ptr32;
   183043       $ptr1_addr_0 = $incdec_ptr34;
   183044       label = 3;
   183045       break;
   183046     } else {
   183047       $retval_0 = 0;
   183048       label = 12;
   183049       break;
   183050     }
   183051    case 9:
   183052     $12 = HEAP8[$ptr2_addr_0] | 0;
   183053     $cmp42 = $1 << 24 >> 24 == $12 << 24 >> 24;
   183054     if ($cmp42) {
   183055       $retval_0 = 1;
   183056       label = 12;
   183057       break;
   183058     } else {
   183059       label = 10;
   183060       break;
   183061     }
   183062    case 10:
   183063     $idxprom46 = $12 & 255;
   183064     $arrayidx48 = $0 + $idxprom46 | 0;
   183065     $13 = HEAP8[$arrayidx48] | 0;
   183066     $conv49 = $13 & 255;
   183067     if (($conv49 | 0) == 5 | ($conv49 | 0) == 6 | ($conv49 | 0) == 7 | ($conv49 | 0) == 29 | ($conv49 | 0) == 22 | ($conv49 | 0) == 24 | ($conv49 | 0) == 25 | ($conv49 | 0) == 26 | ($conv49 | 0) == 27) {
   183068       $retval_0 = 0;
   183069       label = 12;
   183070       break;
   183071     } else {
   183072       label = 11;
   183073       break;
   183074     }
   183075    case 11:
   183076     $retval_0 = 1;
   183077     label = 12;
   183078     break;
   183079    case 12:
   183080     return $retval_0 | 0;
   183081   }
   183082   return 0;
   183083 }
   183084 function _normal_nameMatchesAscii($enc, $ptr1, $end1, $ptr2) {
   183085   $enc = $enc | 0;
   183086   $ptr1 = $ptr1 | 0;
   183087   $end1 = $end1 | 0;
   183088   $ptr2 = $ptr2 | 0;
   183089   var $0 = 0, $tobool6 = 0, $cmp7 = 0, $cmp10 = 0, $1 = 0, $ptr2_addr_09 = 0, $ptr1_addr_08 = 0, $2 = 0, $cmp2 = 0, $add_ptr = 0, $incdec_ptr = 0, $3 = 0, $tobool = 0, $cmp = 0, $cmp_lcssa = 0, $conv7 = 0, $retval_0 = 0, label = 0;
   183090   label = 2;
   183091   while (1) switch (label | 0) {
   183092    case 2:
   183093     $0 = HEAP8[$ptr2] | 0;
   183094     $tobool6 = $0 << 24 >> 24 == 0;
   183095     $cmp7 = ($ptr1 | 0) == ($end1 | 0);
   183096     if ($tobool6) {
   183097       $cmp_lcssa = $cmp7;
   183098       label = 6;
   183099       break;
   183100     } else {
   183101       $ptr1_addr_08 = $ptr1;
   183102       $ptr2_addr_09 = $ptr2;
   183103       $1 = $0;
   183104       $cmp10 = $cmp7;
   183105       label = 3;
   183106       break;
   183107     }
   183108    case 3:
   183109     if ($cmp10) {
   183110       $retval_0 = 0;
   183111       label = 7;
   183112       break;
   183113     } else {
   183114       label = 4;
   183115       break;
   183116     }
   183117    case 4:
   183118     $2 = HEAP8[$ptr1_addr_08] | 0;
   183119     $cmp2 = $2 << 24 >> 24 == $1 << 24 >> 24;
   183120     if ($cmp2) {
   183121       label = 5;
   183122       break;
   183123     } else {
   183124       $retval_0 = 0;
   183125       label = 7;
   183126       break;
   183127     }
   183128    case 5:
   183129     $add_ptr = $ptr1_addr_08 + 1 | 0;
   183130     $incdec_ptr = $ptr2_addr_09 + 1 | 0;
   183131     $3 = HEAP8[$incdec_ptr] | 0;
   183132     $tobool = $3 << 24 >> 24 == 0;
   183133     $cmp = ($add_ptr | 0) == ($end1 | 0);
   183134     if ($tobool) {
   183135       $cmp_lcssa = $cmp;
   183136       label = 6;
   183137       break;
   183138     } else {
   183139       $ptr1_addr_08 = $add_ptr;
   183140       $ptr2_addr_09 = $incdec_ptr;
   183141       $1 = $3;
   183142       $cmp10 = $cmp;
   183143       label = 3;
   183144       break;
   183145     }
   183146    case 6:
   183147     $conv7 = $cmp_lcssa & 1;
   183148     $retval_0 = $conv7;
   183149     label = 7;
   183150     break;
   183151    case 7:
   183152     return $retval_0 | 0;
   183153   }
   183154   return 0;
   183155 }
   183156 function _normal_contentTok($enc, $ptr, $end, $nextTokPtr) {
   183157   $enc = $enc | 0;
   183158   $ptr = $ptr | 0;
   183159   $end = $end | 0;
   183160   $nextTokPtr = $nextTokPtr | 0;
   183161   var $cmp = 0, $0 = 0, $idxprom = 0, $type = 0, $1 = 0, $arrayidx = 0, $2 = 0, $conv = 0, $add_ptr = 0, $call = 0, $add_ptr2 = 0, $call3 = 0, $add_ptr5 = 0, $cmp6 = 0, $3 = 0, $idxprom10 = 0, $arrayidx12 = 0, $4 = 0, $cmp14 = 0, $add_ptr17 = 0, $add_ptr17_add_ptr5 = 0, $add_ptr20 = 0, $add_ptr22 = 0, $cmp23 = 0, $5 = 0, $cmp28 = 0, $add_ptr32 = 0, $cmp33 = 0, $6 = 0, $cmp38 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp44 = 0, $isInvalid2 = 0, $7 = 0, $8 = 0, $call48 = 0, $tobool = 0, $add_ptr51 = 0, $sub_ptr_lhs_cast53 = 0, $sub_ptr_rhs_cast54 = 0, $sub_ptr_sub55 = 0, $cmp56 = 0, $isInvalid3 = 0, $9 = 0, $10 = 0, $call60 = 0, $tobool61 = 0, $add_ptr64 = 0, $sub_ptr_lhs_cast66 = 0, $sub_ptr_rhs_cast67 = 0, $sub_ptr_sub68 = 0, $cmp69 = 0, $isInvalid4 = 0, $11 = 0, $12 = 0, $call73 = 0, $tobool74 = 0, $add_ptr77 = 0, $add_ptr79 = 0, $ptr_addr_1_ph = 0, $cmp8098 = 0, $sub_ptr_lhs_cast87 = 0, $isInvalid292 = 0, $13 = 0, $sub_ptr_lhs_cast99 = 0, $isInvalid3105 = 0, $14 = 0, $sub_ptr_lhs_cast112 = 0, $isInvalid4118 = 0, $15 = 0, $ptr_addr_199 = 0, $16 = 0, $idxprom82 = 0, $arrayidx84 = 0, $17 = 0, $conv85 = 0, $sub_ptr_rhs_cast88 = 0, $sub_ptr_sub89 = 0, $cmp90 = 0, $18 = 0, $call93 = 0, $tobool94 = 0, $add_ptr97 = 0, $sub_ptr_rhs_cast100 = 0, $sub_ptr_sub101 = 0, $cmp102 = 0, $19 = 0, $call106 = 0, $tobool107 = 0, $add_ptr110 = 0, $sub_ptr_rhs_cast113 = 0, $sub_ptr_sub114 = 0, $cmp115 = 0, $20 = 0, $call119 = 0, $tobool120 = 0, $add_ptr123 = 0, $add_ptr125 = 0, $cmp126 = 0, $21 = 0, $cmp131 = 0, $add_ptr136 = 0, $cmp137 = 0, $22 = 0, $cmp142 = 0, $add_ptr152 = 0, $ptr_addr_1_be = 0, $cmp80 = 0, $ptr_addr_1_lcssa = 0, $retval_0 = 0, label = 0;
   183162   label = 2;
   183163   while (1) switch (label | 0) {
   183164    case 2:
   183165     $cmp = ($ptr | 0) == ($end | 0);
   183166     if ($cmp) {
   183167       $retval_0 = -4;
   183168       label = 52;
   183169       break;
   183170     } else {
   183171       label = 3;
   183172       break;
   183173     }
   183174    case 3:
   183175     $0 = HEAP8[$ptr] | 0;
   183176     $idxprom = $0 & 255;
   183177     $type = $enc + 72 | 0;
   183178     $1 = $type;
   183179     $arrayidx = $1 + $idxprom | 0;
   183180     $2 = HEAP8[$arrayidx] | 0;
   183181     $conv = $2 & 255;
   183182     if (($conv | 0) == 2) {
   183183       label = 4;
   183184       break;
   183185     } else if (($conv | 0) == 3) {
   183186       label = 5;
   183187       break;
   183188     } else if (($conv | 0) == 9) {
   183189       label = 6;
   183190       break;
   183191     } else if (($conv | 0) == 10) {
   183192       label = 8;
   183193       break;
   183194     } else if (($conv | 0) == 4) {
   183195       label = 9;
   183196       break;
   183197     } else if (($conv | 0) == 5) {
   183198       label = 14;
   183199       break;
   183200     } else if (($conv | 0) == 6) {
   183201       label = 18;
   183202       break;
   183203     } else if (($conv | 0) == 7) {
   183204       label = 22;
   183205       break;
   183206     } else if (($conv | 0) == 0 | ($conv | 0) == 1 | ($conv | 0) == 8) {
   183207       label = 26;
   183208       break;
   183209     } else {
   183210       label = 27;
   183211       break;
   183212     }
   183213    case 4:
   183214     $add_ptr = $ptr + 1 | 0;
   183215     $call = _normal_scanLt($enc, $add_ptr, $end, $nextTokPtr) | 0;
   183216     $retval_0 = $call;
   183217     label = 52;
   183218     break;
   183219    case 5:
   183220     $add_ptr2 = $ptr + 1 | 0;
   183221     $call3 = _normal_scanRef($enc, $add_ptr2, $end, $nextTokPtr) | 0;
   183222     $retval_0 = $call3;
   183223     label = 52;
   183224     break;
   183225    case 6:
   183226     $add_ptr5 = $ptr + 1 | 0;
   183227     $cmp6 = ($add_ptr5 | 0) == ($end | 0);
   183228     if ($cmp6) {
   183229       $retval_0 = -3;
   183230       label = 52;
   183231       break;
   183232     } else {
   183233       label = 7;
   183234       break;
   183235     }
   183236    case 7:
   183237     $3 = HEAP8[$add_ptr5] | 0;
   183238     $idxprom10 = $3 & 255;
   183239     $arrayidx12 = $1 + $idxprom10 | 0;
   183240     $4 = HEAP8[$arrayidx12] | 0;
   183241     $cmp14 = $4 << 24 >> 24 == 10;
   183242     $add_ptr17 = $ptr + 2 | 0;
   183243     $add_ptr17_add_ptr5 = $cmp14 ? $add_ptr17 : $add_ptr5;
   183244     HEAP32[$nextTokPtr >> 2] = $add_ptr17_add_ptr5;
   183245     $retval_0 = 7;
   183246     label = 52;
   183247     break;
   183248    case 8:
   183249     $add_ptr20 = $ptr + 1 | 0;
   183250     HEAP32[$nextTokPtr >> 2] = $add_ptr20;
   183251     $retval_0 = 7;
   183252     label = 52;
   183253     break;
   183254    case 9:
   183255     $add_ptr22 = $ptr + 1 | 0;
   183256     $cmp23 = ($add_ptr22 | 0) == ($end | 0);
   183257     if ($cmp23) {
   183258       $retval_0 = -5;
   183259       label = 52;
   183260       break;
   183261     } else {
   183262       label = 10;
   183263       break;
   183264     }
   183265    case 10:
   183266     $5 = HEAP8[$add_ptr22] | 0;
   183267     $cmp28 = $5 << 24 >> 24 == 93;
   183268     if ($cmp28) {
   183269       label = 11;
   183270       break;
   183271     } else {
   183272       $ptr_addr_1_ph = $add_ptr22;
   183273       label = 28;
   183274       break;
   183275     }
   183276    case 11:
   183277     $add_ptr32 = $ptr + 2 | 0;
   183278     $cmp33 = ($add_ptr32 | 0) == ($end | 0);
   183279     if ($cmp33) {
   183280       $retval_0 = -5;
   183281       label = 52;
   183282       break;
   183283     } else {
   183284       label = 12;
   183285       break;
   183286     }
   183287    case 12:
   183288     $6 = HEAP8[$add_ptr32] | 0;
   183289     $cmp38 = $6 << 24 >> 24 == 62;
   183290     if ($cmp38) {
   183291       label = 13;
   183292       break;
   183293     } else {
   183294       $ptr_addr_1_ph = $add_ptr22;
   183295       label = 28;
   183296       break;
   183297     }
   183298    case 13:
   183299     HEAP32[$nextTokPtr >> 2] = $add_ptr32;
   183300     $retval_0 = 0;
   183301     label = 52;
   183302     break;
   183303    case 14:
   183304     $sub_ptr_lhs_cast = $end;
   183305     $sub_ptr_rhs_cast = $ptr;
   183306     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   183307     $cmp44 = ($sub_ptr_sub | 0) < 2;
   183308     if ($cmp44) {
   183309       $retval_0 = -2;
   183310       label = 52;
   183311       break;
   183312     } else {
   183313       label = 15;
   183314       break;
   183315     }
   183316    case 15:
   183317     $isInvalid2 = $enc + 352 | 0;
   183318     $7 = $isInvalid2;
   183319     $8 = HEAP32[$7 >> 2] | 0;
   183320     $call48 = FUNCTION_TABLE_iii[$8 & 1023]($enc, $ptr) | 0;
   183321     $tobool = ($call48 | 0) == 0;
   183322     if ($tobool) {
   183323       label = 17;
   183324       break;
   183325     } else {
   183326       label = 16;
   183327       break;
   183328     }
   183329    case 16:
   183330     HEAP32[$nextTokPtr >> 2] = $ptr;
   183331     $retval_0 = 0;
   183332     label = 52;
   183333     break;
   183334    case 17:
   183335     $add_ptr51 = $ptr + 2 | 0;
   183336     $ptr_addr_1_ph = $add_ptr51;
   183337     label = 28;
   183338     break;
   183339    case 18:
   183340     $sub_ptr_lhs_cast53 = $end;
   183341     $sub_ptr_rhs_cast54 = $ptr;
   183342     $sub_ptr_sub55 = $sub_ptr_lhs_cast53 - $sub_ptr_rhs_cast54 | 0;
   183343     $cmp56 = ($sub_ptr_sub55 | 0) < 3;
   183344     if ($cmp56) {
   183345       $retval_0 = -2;
   183346       label = 52;
   183347       break;
   183348     } else {
   183349       label = 19;
   183350       break;
   183351     }
   183352    case 19:
   183353     $isInvalid3 = $enc + 356 | 0;
   183354     $9 = $isInvalid3;
   183355     $10 = HEAP32[$9 >> 2] | 0;
   183356     $call60 = FUNCTION_TABLE_iii[$10 & 1023]($enc, $ptr) | 0;
   183357     $tobool61 = ($call60 | 0) == 0;
   183358     if ($tobool61) {
   183359       label = 21;
   183360       break;
   183361     } else {
   183362       label = 20;
   183363       break;
   183364     }
   183365    case 20:
   183366     HEAP32[$nextTokPtr >> 2] = $ptr;
   183367     $retval_0 = 0;
   183368     label = 52;
   183369     break;
   183370    case 21:
   183371     $add_ptr64 = $ptr + 3 | 0;
   183372     $ptr_addr_1_ph = $add_ptr64;
   183373     label = 28;
   183374     break;
   183375    case 22:
   183376     $sub_ptr_lhs_cast66 = $end;
   183377     $sub_ptr_rhs_cast67 = $ptr;
   183378     $sub_ptr_sub68 = $sub_ptr_lhs_cast66 - $sub_ptr_rhs_cast67 | 0;
   183379     $cmp69 = ($sub_ptr_sub68 | 0) < 4;
   183380     if ($cmp69) {
   183381       $retval_0 = -2;
   183382       label = 52;
   183383       break;
   183384     } else {
   183385       label = 23;
   183386       break;
   183387     }
   183388    case 23:
   183389     $isInvalid4 = $enc + 360 | 0;
   183390     $11 = $isInvalid4;
   183391     $12 = HEAP32[$11 >> 2] | 0;
   183392     $call73 = FUNCTION_TABLE_iii[$12 & 1023]($enc, $ptr) | 0;
   183393     $tobool74 = ($call73 | 0) == 0;
   183394     if ($tobool74) {
   183395       label = 25;
   183396       break;
   183397     } else {
   183398       label = 24;
   183399       break;
   183400     }
   183401    case 24:
   183402     HEAP32[$nextTokPtr >> 2] = $ptr;
   183403     $retval_0 = 0;
   183404     label = 52;
   183405     break;
   183406    case 25:
   183407     $add_ptr77 = $ptr + 4 | 0;
   183408     $ptr_addr_1_ph = $add_ptr77;
   183409     label = 28;
   183410     break;
   183411    case 26:
   183412     HEAP32[$nextTokPtr >> 2] = $ptr;
   183413     $retval_0 = 0;
   183414     label = 52;
   183415     break;
   183416    case 27:
   183417     $add_ptr79 = $ptr + 1 | 0;
   183418     $ptr_addr_1_ph = $add_ptr79;
   183419     label = 28;
   183420     break;
   183421    case 28:
   183422     $cmp8098 = ($ptr_addr_1_ph | 0) == ($end | 0);
   183423     if ($cmp8098) {
   183424       $ptr_addr_1_lcssa = $ptr_addr_1_ph;
   183425       label = 51;
   183426       break;
   183427     } else {
   183428       label = 29;
   183429       break;
   183430     }
   183431    case 29:
   183432     $sub_ptr_lhs_cast87 = $end;
   183433     $isInvalid292 = $enc + 352 | 0;
   183434     $13 = $isInvalid292;
   183435     $sub_ptr_lhs_cast99 = $end;
   183436     $isInvalid3105 = $enc + 356 | 0;
   183437     $14 = $isInvalid3105;
   183438     $sub_ptr_lhs_cast112 = $end;
   183439     $isInvalid4118 = $enc + 360 | 0;
   183440     $15 = $isInvalid4118;
   183441     $ptr_addr_199 = $ptr_addr_1_ph;
   183442     label = 30;
   183443     break;
   183444    case 30:
   183445     $16 = HEAP8[$ptr_addr_199] | 0;
   183446     $idxprom82 = $16 & 255;
   183447     $arrayidx84 = $1 + $idxprom82 | 0;
   183448     $17 = HEAP8[$arrayidx84] | 0;
   183449     $conv85 = $17 & 255;
   183450     if (($conv85 | 0) == 5) {
   183451       label = 31;
   183452       break;
   183453     } else if (($conv85 | 0) == 6) {
   183454       label = 35;
   183455       break;
   183456     } else if (($conv85 | 0) == 7) {
   183457       label = 39;
   183458       break;
   183459     } else if (($conv85 | 0) == 4) {
   183460       label = 43;
   183461       break;
   183462     } else if (($conv85 | 0) == 3 | ($conv85 | 0) == 2 | ($conv85 | 0) == 0 | ($conv85 | 0) == 1 | ($conv85 | 0) == 8 | ($conv85 | 0) == 9 | ($conv85 | 0) == 10) {
   183463       label = 48;
   183464       break;
   183465     } else {
   183466       label = 49;
   183467       break;
   183468     }
   183469    case 31:
   183470     $sub_ptr_rhs_cast88 = $ptr_addr_199;
   183471     $sub_ptr_sub89 = $sub_ptr_lhs_cast87 - $sub_ptr_rhs_cast88 | 0;
   183472     $cmp90 = ($sub_ptr_sub89 | 0) < 2;
   183473     if ($cmp90) {
   183474       label = 33;
   183475       break;
   183476     } else {
   183477       label = 32;
   183478       break;
   183479     }
   183480    case 32:
   183481     $18 = HEAP32[$13 >> 2] | 0;
   183482     $call93 = FUNCTION_TABLE_iii[$18 & 1023]($enc, $ptr_addr_199) | 0;
   183483     $tobool94 = ($call93 | 0) == 0;
   183484     if ($tobool94) {
   183485       label = 34;
   183486       break;
   183487     } else {
   183488       label = 33;
   183489       break;
   183490     }
   183491    case 33:
   183492     HEAP32[$nextTokPtr >> 2] = $ptr_addr_199;
   183493     $retval_0 = 6;
   183494     label = 52;
   183495     break;
   183496    case 34:
   183497     $add_ptr97 = $ptr_addr_199 + 2 | 0;
   183498     $ptr_addr_1_be = $add_ptr97;
   183499     label = 50;
   183500     break;
   183501    case 35:
   183502     $sub_ptr_rhs_cast100 = $ptr_addr_199;
   183503     $sub_ptr_sub101 = $sub_ptr_lhs_cast99 - $sub_ptr_rhs_cast100 | 0;
   183504     $cmp102 = ($sub_ptr_sub101 | 0) < 3;
   183505     if ($cmp102) {
   183506       label = 37;
   183507       break;
   183508     } else {
   183509       label = 36;
   183510       break;
   183511     }
   183512    case 36:
   183513     $19 = HEAP32[$14 >> 2] | 0;
   183514     $call106 = FUNCTION_TABLE_iii[$19 & 1023]($enc, $ptr_addr_199) | 0;
   183515     $tobool107 = ($call106 | 0) == 0;
   183516     if ($tobool107) {
   183517       label = 38;
   183518       break;
   183519     } else {
   183520       label = 37;
   183521       break;
   183522     }
   183523    case 37:
   183524     HEAP32[$nextTokPtr >> 2] = $ptr_addr_199;
   183525     $retval_0 = 6;
   183526     label = 52;
   183527     break;
   183528    case 38:
   183529     $add_ptr110 = $ptr_addr_199 + 3 | 0;
   183530     $ptr_addr_1_be = $add_ptr110;
   183531     label = 50;
   183532     break;
   183533    case 39:
   183534     $sub_ptr_rhs_cast113 = $ptr_addr_199;
   183535     $sub_ptr_sub114 = $sub_ptr_lhs_cast112 - $sub_ptr_rhs_cast113 | 0;
   183536     $cmp115 = ($sub_ptr_sub114 | 0) < 4;
   183537     if ($cmp115) {
   183538       label = 41;
   183539       break;
   183540     } else {
   183541       label = 40;
   183542       break;
   183543     }
   183544    case 40:
   183545     $20 = HEAP32[$15 >> 2] | 0;
   183546     $call119 = FUNCTION_TABLE_iii[$20 & 1023]($enc, $ptr_addr_199) | 0;
   183547     $tobool120 = ($call119 | 0) == 0;
   183548     if ($tobool120) {
   183549       label = 42;
   183550       break;
   183551     } else {
   183552       label = 41;
   183553       break;
   183554     }
   183555    case 41:
   183556     HEAP32[$nextTokPtr >> 2] = $ptr_addr_199;
   183557     $retval_0 = 6;
   183558     label = 52;
   183559     break;
   183560    case 42:
   183561     $add_ptr123 = $ptr_addr_199 + 4 | 0;
   183562     $ptr_addr_1_be = $add_ptr123;
   183563     label = 50;
   183564     break;
   183565    case 43:
   183566     $add_ptr125 = $ptr_addr_199 + 1 | 0;
   183567     $cmp126 = ($add_ptr125 | 0) == ($end | 0);
   183568     if ($cmp126) {
   183569       label = 48;
   183570       break;
   183571     } else {
   183572       label = 44;
   183573       break;
   183574     }
   183575    case 44:
   183576     $21 = HEAP8[$add_ptr125] | 0;
   183577     $cmp131 = $21 << 24 >> 24 == 93;
   183578     if ($cmp131) {
   183579       label = 45;
   183580       break;
   183581     } else {
   183582       $ptr_addr_1_be = $add_ptr125;
   183583       label = 50;
   183584       break;
   183585     }
   183586    case 45:
   183587     $add_ptr136 = $ptr_addr_199 + 2 | 0;
   183588     $cmp137 = ($add_ptr136 | 0) == ($end | 0);
   183589     if ($cmp137) {
   183590       label = 48;
   183591       break;
   183592     } else {
   183593       label = 46;
   183594       break;
   183595     }
   183596    case 46:
   183597     $22 = HEAP8[$add_ptr136] | 0;
   183598     $cmp142 = $22 << 24 >> 24 == 62;
   183599     if ($cmp142) {
   183600       label = 47;
   183601       break;
   183602     } else {
   183603       $ptr_addr_1_be = $add_ptr125;
   183604       label = 50;
   183605       break;
   183606     }
   183607    case 47:
   183608     HEAP32[$nextTokPtr >> 2] = $add_ptr136;
   183609     $retval_0 = 0;
   183610     label = 52;
   183611     break;
   183612    case 48:
   183613     HEAP32[$nextTokPtr >> 2] = $ptr_addr_199;
   183614     $retval_0 = 6;
   183615     label = 52;
   183616     break;
   183617    case 49:
   183618     $add_ptr152 = $ptr_addr_199 + 1 | 0;
   183619     $ptr_addr_1_be = $add_ptr152;
   183620     label = 50;
   183621     break;
   183622    case 50:
   183623     $cmp80 = ($ptr_addr_1_be | 0) == ($end | 0);
   183624     if ($cmp80) {
   183625       $ptr_addr_1_lcssa = $ptr_addr_1_be;
   183626       label = 51;
   183627       break;
   183628     } else {
   183629       $ptr_addr_199 = $ptr_addr_1_be;
   183630       label = 30;
   183631       break;
   183632     }
   183633    case 51:
   183634     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1_lcssa;
   183635     $retval_0 = 6;
   183636     label = 52;
   183637     break;
   183638    case 52:
   183639     return $retval_0 | 0;
   183640   }
   183641   return 0;
   183642 }
   183643 function _normal_cdataSectionTok($enc, $ptr, $end, $nextTokPtr) {
   183644   $enc = $enc | 0;
   183645   $ptr = $ptr | 0;
   183646   $end = $end | 0;
   183647   $nextTokPtr = $nextTokPtr | 0;
   183648   var $cmp = 0, $0 = 0, $idxprom = 0, $type = 0, $1 = 0, $arrayidx = 0, $2 = 0, $conv = 0, $add_ptr = 0, $cmp1 = 0, $3 = 0, $cmp6 = 0, $add_ptr10 = 0, $cmp11 = 0, $4 = 0, $cmp16 = 0, $add_ptr21 = 0, $add_ptr23 = 0, $cmp24 = 0, $5 = 0, $idxprom28 = 0, $arrayidx30 = 0, $6 = 0, $cmp32 = 0, $add_ptr35 = 0, $add_ptr35_add_ptr23 = 0, $add_ptr38 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp40 = 0, $isInvalid2 = 0, $7 = 0, $8 = 0, $call = 0, $tobool = 0, $add_ptr46 = 0, $sub_ptr_lhs_cast48 = 0, $sub_ptr_rhs_cast49 = 0, $sub_ptr_sub50 = 0, $cmp51 = 0, $isInvalid3 = 0, $9 = 0, $10 = 0, $call55 = 0, $tobool56 = 0, $add_ptr59 = 0, $sub_ptr_lhs_cast61 = 0, $sub_ptr_rhs_cast62 = 0, $sub_ptr_sub63 = 0, $cmp64 = 0, $isInvalid4 = 0, $11 = 0, $12 = 0, $call68 = 0, $tobool69 = 0, $add_ptr72 = 0, $add_ptr74 = 0, $ptr_addr_1_ph = 0, $cmp7581 = 0, $sub_ptr_lhs_cast82 = 0, $isInvalid287 = 0, $13 = 0, $sub_ptr_lhs_cast94 = 0, $isInvalid3100 = 0, $14 = 0, $sub_ptr_lhs_cast107 = 0, $isInvalid4113 = 0, $15 = 0, $ptr_addr_182 = 0, $16 = 0, $idxprom77 = 0, $arrayidx79 = 0, $17 = 0, $conv80 = 0, $sub_ptr_rhs_cast83 = 0, $sub_ptr_sub84 = 0, $cmp85 = 0, $18 = 0, $call88 = 0, $tobool89 = 0, $add_ptr92 = 0, $sub_ptr_rhs_cast95 = 0, $sub_ptr_sub96 = 0, $cmp97 = 0, $19 = 0, $call101 = 0, $tobool102 = 0, $add_ptr105 = 0, $sub_ptr_rhs_cast108 = 0, $sub_ptr_sub109 = 0, $cmp110 = 0, $20 = 0, $call114 = 0, $tobool115 = 0, $add_ptr118 = 0, $add_ptr121 = 0, $ptr_addr_1_be = 0, $cmp75 = 0, $ptr_addr_1_lcssa = 0, $retval_0 = 0, label = 0;
   183649   label = 2;
   183650   while (1) switch (label | 0) {
   183651    case 2:
   183652     $cmp = ($ptr | 0) == ($end | 0);
   183653     if ($cmp) {
   183654       $retval_0 = -4;
   183655       label = 45;
   183656       break;
   183657     } else {
   183658       label = 3;
   183659       break;
   183660     }
   183661    case 3:
   183662     $0 = HEAP8[$ptr] | 0;
   183663     $idxprom = $0 & 255;
   183664     $type = $enc + 72 | 0;
   183665     $1 = $type;
   183666     $arrayidx = $1 + $idxprom | 0;
   183667     $2 = HEAP8[$arrayidx] | 0;
   183668     $conv = $2 & 255;
   183669     if (($conv | 0) == 4) {
   183670       label = 4;
   183671       break;
   183672     } else if (($conv | 0) == 9) {
   183673       label = 9;
   183674       break;
   183675     } else if (($conv | 0) == 10) {
   183676       label = 11;
   183677       break;
   183678     } else if (($conv | 0) == 5) {
   183679       label = 12;
   183680       break;
   183681     } else if (($conv | 0) == 6) {
   183682       label = 16;
   183683       break;
   183684     } else if (($conv | 0) == 7) {
   183685       label = 20;
   183686       break;
   183687     } else if (($conv | 0) == 0 | ($conv | 0) == 1 | ($conv | 0) == 8) {
   183688       label = 24;
   183689       break;
   183690     } else {
   183691       label = 25;
   183692       break;
   183693     }
   183694    case 4:
   183695     $add_ptr = $ptr + 1 | 0;
   183696     $cmp1 = ($add_ptr | 0) == ($end | 0);
   183697     if ($cmp1) {
   183698       $retval_0 = -1;
   183699       label = 45;
   183700       break;
   183701     } else {
   183702       label = 5;
   183703       break;
   183704     }
   183705    case 5:
   183706     $3 = HEAP8[$add_ptr] | 0;
   183707     $cmp6 = $3 << 24 >> 24 == 93;
   183708     if ($cmp6) {
   183709       label = 6;
   183710       break;
   183711     } else {
   183712       $ptr_addr_1_ph = $add_ptr;
   183713       label = 26;
   183714       break;
   183715     }
   183716    case 6:
   183717     $add_ptr10 = $ptr + 2 | 0;
   183718     $cmp11 = ($add_ptr10 | 0) == ($end | 0);
   183719     if ($cmp11) {
   183720       $retval_0 = -1;
   183721       label = 45;
   183722       break;
   183723     } else {
   183724       label = 7;
   183725       break;
   183726     }
   183727    case 7:
   183728     $4 = HEAP8[$add_ptr10] | 0;
   183729     $cmp16 = $4 << 24 >> 24 == 62;
   183730     if ($cmp16) {
   183731       label = 8;
   183732       break;
   183733     } else {
   183734       $ptr_addr_1_ph = $add_ptr;
   183735       label = 26;
   183736       break;
   183737     }
   183738    case 8:
   183739     $add_ptr21 = $ptr + 3 | 0;
   183740     HEAP32[$nextTokPtr >> 2] = $add_ptr21;
   183741     $retval_0 = 40;
   183742     label = 45;
   183743     break;
   183744    case 9:
   183745     $add_ptr23 = $ptr + 1 | 0;
   183746     $cmp24 = ($add_ptr23 | 0) == ($end | 0);
   183747     if ($cmp24) {
   183748       $retval_0 = -1;
   183749       label = 45;
   183750       break;
   183751     } else {
   183752       label = 10;
   183753       break;
   183754     }
   183755    case 10:
   183756     $5 = HEAP8[$add_ptr23] | 0;
   183757     $idxprom28 = $5 & 255;
   183758     $arrayidx30 = $1 + $idxprom28 | 0;
   183759     $6 = HEAP8[$arrayidx30] | 0;
   183760     $cmp32 = $6 << 24 >> 24 == 10;
   183761     $add_ptr35 = $ptr + 2 | 0;
   183762     $add_ptr35_add_ptr23 = $cmp32 ? $add_ptr35 : $add_ptr23;
   183763     HEAP32[$nextTokPtr >> 2] = $add_ptr35_add_ptr23;
   183764     $retval_0 = 7;
   183765     label = 45;
   183766     break;
   183767    case 11:
   183768     $add_ptr38 = $ptr + 1 | 0;
   183769     HEAP32[$nextTokPtr >> 2] = $add_ptr38;
   183770     $retval_0 = 7;
   183771     label = 45;
   183772     break;
   183773    case 12:
   183774     $sub_ptr_lhs_cast = $end;
   183775     $sub_ptr_rhs_cast = $ptr;
   183776     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   183777     $cmp40 = ($sub_ptr_sub | 0) < 2;
   183778     if ($cmp40) {
   183779       $retval_0 = -2;
   183780       label = 45;
   183781       break;
   183782     } else {
   183783       label = 13;
   183784       break;
   183785     }
   183786    case 13:
   183787     $isInvalid2 = $enc + 352 | 0;
   183788     $7 = $isInvalid2;
   183789     $8 = HEAP32[$7 >> 2] | 0;
   183790     $call = FUNCTION_TABLE_iii[$8 & 1023]($enc, $ptr) | 0;
   183791     $tobool = ($call | 0) == 0;
   183792     if ($tobool) {
   183793       label = 15;
   183794       break;
   183795     } else {
   183796       label = 14;
   183797       break;
   183798     }
   183799    case 14:
   183800     HEAP32[$nextTokPtr >> 2] = $ptr;
   183801     $retval_0 = 0;
   183802     label = 45;
   183803     break;
   183804    case 15:
   183805     $add_ptr46 = $ptr + 2 | 0;
   183806     $ptr_addr_1_ph = $add_ptr46;
   183807     label = 26;
   183808     break;
   183809    case 16:
   183810     $sub_ptr_lhs_cast48 = $end;
   183811     $sub_ptr_rhs_cast49 = $ptr;
   183812     $sub_ptr_sub50 = $sub_ptr_lhs_cast48 - $sub_ptr_rhs_cast49 | 0;
   183813     $cmp51 = ($sub_ptr_sub50 | 0) < 3;
   183814     if ($cmp51) {
   183815       $retval_0 = -2;
   183816       label = 45;
   183817       break;
   183818     } else {
   183819       label = 17;
   183820       break;
   183821     }
   183822    case 17:
   183823     $isInvalid3 = $enc + 356 | 0;
   183824     $9 = $isInvalid3;
   183825     $10 = HEAP32[$9 >> 2] | 0;
   183826     $call55 = FUNCTION_TABLE_iii[$10 & 1023]($enc, $ptr) | 0;
   183827     $tobool56 = ($call55 | 0) == 0;
   183828     if ($tobool56) {
   183829       label = 19;
   183830       break;
   183831     } else {
   183832       label = 18;
   183833       break;
   183834     }
   183835    case 18:
   183836     HEAP32[$nextTokPtr >> 2] = $ptr;
   183837     $retval_0 = 0;
   183838     label = 45;
   183839     break;
   183840    case 19:
   183841     $add_ptr59 = $ptr + 3 | 0;
   183842     $ptr_addr_1_ph = $add_ptr59;
   183843     label = 26;
   183844     break;
   183845    case 20:
   183846     $sub_ptr_lhs_cast61 = $end;
   183847     $sub_ptr_rhs_cast62 = $ptr;
   183848     $sub_ptr_sub63 = $sub_ptr_lhs_cast61 - $sub_ptr_rhs_cast62 | 0;
   183849     $cmp64 = ($sub_ptr_sub63 | 0) < 4;
   183850     if ($cmp64) {
   183851       $retval_0 = -2;
   183852       label = 45;
   183853       break;
   183854     } else {
   183855       label = 21;
   183856       break;
   183857     }
   183858    case 21:
   183859     $isInvalid4 = $enc + 360 | 0;
   183860     $11 = $isInvalid4;
   183861     $12 = HEAP32[$11 >> 2] | 0;
   183862     $call68 = FUNCTION_TABLE_iii[$12 & 1023]($enc, $ptr) | 0;
   183863     $tobool69 = ($call68 | 0) == 0;
   183864     if ($tobool69) {
   183865       label = 23;
   183866       break;
   183867     } else {
   183868       label = 22;
   183869       break;
   183870     }
   183871    case 22:
   183872     HEAP32[$nextTokPtr >> 2] = $ptr;
   183873     $retval_0 = 0;
   183874     label = 45;
   183875     break;
   183876    case 23:
   183877     $add_ptr72 = $ptr + 4 | 0;
   183878     $ptr_addr_1_ph = $add_ptr72;
   183879     label = 26;
   183880     break;
   183881    case 24:
   183882     HEAP32[$nextTokPtr >> 2] = $ptr;
   183883     $retval_0 = 0;
   183884     label = 45;
   183885     break;
   183886    case 25:
   183887     $add_ptr74 = $ptr + 1 | 0;
   183888     $ptr_addr_1_ph = $add_ptr74;
   183889     label = 26;
   183890     break;
   183891    case 26:
   183892     $cmp7581 = ($ptr_addr_1_ph | 0) == ($end | 0);
   183893     if ($cmp7581) {
   183894       $ptr_addr_1_lcssa = $ptr_addr_1_ph;
   183895       label = 44;
   183896       break;
   183897     } else {
   183898       label = 27;
   183899       break;
   183900     }
   183901    case 27:
   183902     $sub_ptr_lhs_cast82 = $end;
   183903     $isInvalid287 = $enc + 352 | 0;
   183904     $13 = $isInvalid287;
   183905     $sub_ptr_lhs_cast94 = $end;
   183906     $isInvalid3100 = $enc + 356 | 0;
   183907     $14 = $isInvalid3100;
   183908     $sub_ptr_lhs_cast107 = $end;
   183909     $isInvalid4113 = $enc + 360 | 0;
   183910     $15 = $isInvalid4113;
   183911     $ptr_addr_182 = $ptr_addr_1_ph;
   183912     label = 28;
   183913     break;
   183914    case 28:
   183915     $16 = HEAP8[$ptr_addr_182] | 0;
   183916     $idxprom77 = $16 & 255;
   183917     $arrayidx79 = $1 + $idxprom77 | 0;
   183918     $17 = HEAP8[$arrayidx79] | 0;
   183919     $conv80 = $17 & 255;
   183920     if (($conv80 | 0) == 5) {
   183921       label = 29;
   183922       break;
   183923     } else if (($conv80 | 0) == 6) {
   183924       label = 33;
   183925       break;
   183926     } else if (($conv80 | 0) == 7) {
   183927       label = 37;
   183928       break;
   183929     } else if (($conv80 | 0) == 0 | ($conv80 | 0) == 1 | ($conv80 | 0) == 8 | ($conv80 | 0) == 9 | ($conv80 | 0) == 10 | ($conv80 | 0) == 4) {
   183930       label = 41;
   183931       break;
   183932     } else {
   183933       label = 42;
   183934       break;
   183935     }
   183936    case 29:
   183937     $sub_ptr_rhs_cast83 = $ptr_addr_182;
   183938     $sub_ptr_sub84 = $sub_ptr_lhs_cast82 - $sub_ptr_rhs_cast83 | 0;
   183939     $cmp85 = ($sub_ptr_sub84 | 0) < 2;
   183940     if ($cmp85) {
   183941       label = 31;
   183942       break;
   183943     } else {
   183944       label = 30;
   183945       break;
   183946     }
   183947    case 30:
   183948     $18 = HEAP32[$13 >> 2] | 0;
   183949     $call88 = FUNCTION_TABLE_iii[$18 & 1023]($enc, $ptr_addr_182) | 0;
   183950     $tobool89 = ($call88 | 0) == 0;
   183951     if ($tobool89) {
   183952       label = 32;
   183953       break;
   183954     } else {
   183955       label = 31;
   183956       break;
   183957     }
   183958    case 31:
   183959     HEAP32[$nextTokPtr >> 2] = $ptr_addr_182;
   183960     $retval_0 = 6;
   183961     label = 45;
   183962     break;
   183963    case 32:
   183964     $add_ptr92 = $ptr_addr_182 + 2 | 0;
   183965     $ptr_addr_1_be = $add_ptr92;
   183966     label = 43;
   183967     break;
   183968    case 33:
   183969     $sub_ptr_rhs_cast95 = $ptr_addr_182;
   183970     $sub_ptr_sub96 = $sub_ptr_lhs_cast94 - $sub_ptr_rhs_cast95 | 0;
   183971     $cmp97 = ($sub_ptr_sub96 | 0) < 3;
   183972     if ($cmp97) {
   183973       label = 35;
   183974       break;
   183975     } else {
   183976       label = 34;
   183977       break;
   183978     }
   183979    case 34:
   183980     $19 = HEAP32[$14 >> 2] | 0;
   183981     $call101 = FUNCTION_TABLE_iii[$19 & 1023]($enc, $ptr_addr_182) | 0;
   183982     $tobool102 = ($call101 | 0) == 0;
   183983     if ($tobool102) {
   183984       label = 36;
   183985       break;
   183986     } else {
   183987       label = 35;
   183988       break;
   183989     }
   183990    case 35:
   183991     HEAP32[$nextTokPtr >> 2] = $ptr_addr_182;
   183992     $retval_0 = 6;
   183993     label = 45;
   183994     break;
   183995    case 36:
   183996     $add_ptr105 = $ptr_addr_182 + 3 | 0;
   183997     $ptr_addr_1_be = $add_ptr105;
   183998     label = 43;
   183999     break;
   184000    case 37:
   184001     $sub_ptr_rhs_cast108 = $ptr_addr_182;
   184002     $sub_ptr_sub109 = $sub_ptr_lhs_cast107 - $sub_ptr_rhs_cast108 | 0;
   184003     $cmp110 = ($sub_ptr_sub109 | 0) < 4;
   184004     if ($cmp110) {
   184005       label = 39;
   184006       break;
   184007     } else {
   184008       label = 38;
   184009       break;
   184010     }
   184011    case 38:
   184012     $20 = HEAP32[$15 >> 2] | 0;
   184013     $call114 = FUNCTION_TABLE_iii[$20 & 1023]($enc, $ptr_addr_182) | 0;
   184014     $tobool115 = ($call114 | 0) == 0;
   184015     if ($tobool115) {
   184016       label = 40;
   184017       break;
   184018     } else {
   184019       label = 39;
   184020       break;
   184021     }
   184022    case 39:
   184023     HEAP32[$nextTokPtr >> 2] = $ptr_addr_182;
   184024     $retval_0 = 6;
   184025     label = 45;
   184026     break;
   184027    case 40:
   184028     $add_ptr118 = $ptr_addr_182 + 4 | 0;
   184029     $ptr_addr_1_be = $add_ptr118;
   184030     label = 43;
   184031     break;
   184032    case 41:
   184033     HEAP32[$nextTokPtr >> 2] = $ptr_addr_182;
   184034     $retval_0 = 6;
   184035     label = 45;
   184036     break;
   184037    case 42:
   184038     $add_ptr121 = $ptr_addr_182 + 1 | 0;
   184039     $ptr_addr_1_be = $add_ptr121;
   184040     label = 43;
   184041     break;
   184042    case 43:
   184043     $cmp75 = ($ptr_addr_1_be | 0) == ($end | 0);
   184044     if ($cmp75) {
   184045       $ptr_addr_1_lcssa = $ptr_addr_1_be;
   184046       label = 44;
   184047       break;
   184048     } else {
   184049       $ptr_addr_182 = $ptr_addr_1_be;
   184050       label = 28;
   184051       break;
   184052     }
   184053    case 44:
   184054     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1_lcssa;
   184055     $retval_0 = 6;
   184056     label = 45;
   184057     break;
   184058    case 45:
   184059     return $retval_0 | 0;
   184060   }
   184061   return 0;
   184062 }
   184063 function _normal_attributeValueTok($enc, $ptr, $end, $nextTokPtr) {
   184064   $enc = $enc | 0;
   184065   $ptr = $ptr | 0;
   184066   $end = $end | 0;
   184067   $nextTokPtr = $nextTokPtr | 0;
   184068   var $cmp = 0, $cmp148 = 0, $type = 0, $0 = 0, $ptr_addr_049 = 0, $1 = 0, $idxprom = 0, $arrayidx = 0, $2 = 0, $conv = 0, $add_ptr = 0, $add_ptr3 = 0, $add_ptr5 = 0, $cmp7 = 0, $add_ptr10 = 0, $call = 0, $cmp14 = 0, $add_ptr17 = 0, $cmp20 = 0, $add_ptr23 = 0, $cmp24 = 0, $3 = 0, $idxprom28 = 0, $arrayidx30 = 0, $4 = 0, $cmp32 = 0, $add_ptr35 = 0, $add_ptr35_add_ptr23 = 0, $cmp39 = 0, $add_ptr42 = 0, $add_ptr44 = 0, $ptr_addr_0_be = 0, $cmp1 = 0, $ptr_addr_0_lcssa = 0, $retval_0 = 0, label = 0;
   184069   label = 2;
   184070   while (1) switch (label | 0) {
   184071    case 2:
   184072     $cmp = ($ptr | 0) == ($end | 0);
   184073     if ($cmp) {
   184074       $retval_0 = -4;
   184075       label = 26;
   184076       break;
   184077     } else {
   184078       label = 3;
   184079       break;
   184080     }
   184081    case 3:
   184082     $cmp148 = ($ptr | 0) == ($end | 0);
   184083     if ($cmp148) {
   184084       $ptr_addr_0_lcssa = $ptr;
   184085       label = 25;
   184086       break;
   184087     } else {
   184088       label = 4;
   184089       break;
   184090     }
   184091    case 4:
   184092     $type = $enc + 72 | 0;
   184093     $0 = $type;
   184094     $ptr_addr_049 = $ptr;
   184095     label = 5;
   184096     break;
   184097    case 5:
   184098     $1 = HEAP8[$ptr_addr_049] | 0;
   184099     $idxprom = $1 & 255;
   184100     $arrayidx = $0 + $idxprom | 0;
   184101     $2 = HEAP8[$arrayidx] | 0;
   184102     $conv = $2 & 255;
   184103     if (($conv | 0) == 5) {
   184104       label = 6;
   184105       break;
   184106     } else if (($conv | 0) == 6) {
   184107       label = 7;
   184108       break;
   184109     } else if (($conv | 0) == 7) {
   184110       label = 8;
   184111       break;
   184112     } else if (($conv | 0) == 3) {
   184113       label = 9;
   184114       break;
   184115     } else if (($conv | 0) == 2) {
   184116       label = 12;
   184117       break;
   184118     } else if (($conv | 0) == 10) {
   184119       label = 13;
   184120       break;
   184121     } else if (($conv | 0) == 9) {
   184122       label = 16;
   184123       break;
   184124     } else if (($conv | 0) == 21) {
   184125       label = 20;
   184126       break;
   184127     } else {
   184128       label = 23;
   184129       break;
   184130     }
   184131    case 6:
   184132     $add_ptr = $ptr_addr_049 + 2 | 0;
   184133     $ptr_addr_0_be = $add_ptr;
   184134     label = 24;
   184135     break;
   184136    case 7:
   184137     $add_ptr3 = $ptr_addr_049 + 3 | 0;
   184138     $ptr_addr_0_be = $add_ptr3;
   184139     label = 24;
   184140     break;
   184141    case 8:
   184142     $add_ptr5 = $ptr_addr_049 + 4 | 0;
   184143     $ptr_addr_0_be = $add_ptr5;
   184144     label = 24;
   184145     break;
   184146    case 9:
   184147     $cmp7 = ($ptr_addr_049 | 0) == ($ptr | 0);
   184148     if ($cmp7) {
   184149       label = 10;
   184150       break;
   184151     } else {
   184152       label = 11;
   184153       break;
   184154     }
   184155    case 10:
   184156     $add_ptr10 = $ptr_addr_049 + 1 | 0;
   184157     $call = _normal_scanRef($enc, $add_ptr10, $end, $nextTokPtr) | 0;
   184158     $retval_0 = $call;
   184159     label = 26;
   184160     break;
   184161    case 11:
   184162     HEAP32[$nextTokPtr >> 2] = $ptr_addr_049;
   184163     $retval_0 = 6;
   184164     label = 26;
   184165     break;
   184166    case 12:
   184167     HEAP32[$nextTokPtr >> 2] = $ptr_addr_049;
   184168     $retval_0 = 0;
   184169     label = 26;
   184170     break;
   184171    case 13:
   184172     $cmp14 = ($ptr_addr_049 | 0) == ($ptr | 0);
   184173     if ($cmp14) {
   184174       label = 14;
   184175       break;
   184176     } else {
   184177       label = 15;
   184178       break;
   184179     }
   184180    case 14:
   184181     $add_ptr17 = $ptr_addr_049 + 1 | 0;
   184182     HEAP32[$nextTokPtr >> 2] = $add_ptr17;
   184183     $retval_0 = 7;
   184184     label = 26;
   184185     break;
   184186    case 15:
   184187     HEAP32[$nextTokPtr >> 2] = $ptr_addr_049;
   184188     $retval_0 = 6;
   184189     label = 26;
   184190     break;
   184191    case 16:
   184192     $cmp20 = ($ptr_addr_049 | 0) == ($ptr | 0);
   184193     if ($cmp20) {
   184194       label = 17;
   184195       break;
   184196     } else {
   184197       label = 19;
   184198       break;
   184199     }
   184200    case 17:
   184201     $add_ptr23 = $ptr_addr_049 + 1 | 0;
   184202     $cmp24 = ($add_ptr23 | 0) == ($end | 0);
   184203     if ($cmp24) {
   184204       $retval_0 = -3;
   184205       label = 26;
   184206       break;
   184207     } else {
   184208       label = 18;
   184209       break;
   184210     }
   184211    case 18:
   184212     $3 = HEAP8[$add_ptr23] | 0;
   184213     $idxprom28 = $3 & 255;
   184214     $arrayidx30 = $0 + $idxprom28 | 0;
   184215     $4 = HEAP8[$arrayidx30] | 0;
   184216     $cmp32 = $4 << 24 >> 24 == 10;
   184217     $add_ptr35 = $ptr_addr_049 + 2 | 0;
   184218     $add_ptr35_add_ptr23 = $cmp32 ? $add_ptr35 : $add_ptr23;
   184219     HEAP32[$nextTokPtr >> 2] = $add_ptr35_add_ptr23;
   184220     $retval_0 = 7;
   184221     label = 26;
   184222     break;
   184223    case 19:
   184224     HEAP32[$nextTokPtr >> 2] = $ptr_addr_049;
   184225     $retval_0 = 6;
   184226     label = 26;
   184227     break;
   184228    case 20:
   184229     $cmp39 = ($ptr_addr_049 | 0) == ($ptr | 0);
   184230     if ($cmp39) {
   184231       label = 21;
   184232       break;
   184233     } else {
   184234       label = 22;
   184235       break;
   184236     }
   184237    case 21:
   184238     $add_ptr42 = $ptr_addr_049 + 1 | 0;
   184239     HEAP32[$nextTokPtr >> 2] = $add_ptr42;
   184240     $retval_0 = 39;
   184241     label = 26;
   184242     break;
   184243    case 22:
   184244     HEAP32[$nextTokPtr >> 2] = $ptr_addr_049;
   184245     $retval_0 = 6;
   184246     label = 26;
   184247     break;
   184248    case 23:
   184249     $add_ptr44 = $ptr_addr_049 + 1 | 0;
   184250     $ptr_addr_0_be = $add_ptr44;
   184251     label = 24;
   184252     break;
   184253    case 24:
   184254     $cmp1 = ($ptr_addr_0_be | 0) == ($end | 0);
   184255     if ($cmp1) {
   184256       $ptr_addr_0_lcssa = $ptr_addr_0_be;
   184257       label = 25;
   184258       break;
   184259     } else {
   184260       $ptr_addr_049 = $ptr_addr_0_be;
   184261       label = 5;
   184262       break;
   184263     }
   184264    case 25:
   184265     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0_lcssa;
   184266     $retval_0 = 6;
   184267     label = 26;
   184268     break;
   184269    case 26:
   184270     return $retval_0 | 0;
   184271   }
   184272   return 0;
   184273 }
   184274 function _normal_entityValueTok($enc, $ptr, $end, $nextTokPtr) {
   184275   $enc = $enc | 0;
   184276   $ptr = $ptr | 0;
   184277   $end = $end | 0;
   184278   $nextTokPtr = $nextTokPtr | 0;
   184279   var $cmp = 0, $cmp148 = 0, $type = 0, $0 = 0, $ptr_addr_049 = 0, $1 = 0, $idxprom = 0, $arrayidx = 0, $2 = 0, $conv = 0, $add_ptr = 0, $add_ptr3 = 0, $add_ptr5 = 0, $cmp7 = 0, $add_ptr10 = 0, $call = 0, $cmp13 = 0, $add_ptr16 = 0, $call17 = 0, $cmp18 = 0, $cond = 0, $cmp22 = 0, $add_ptr25 = 0, $cmp28 = 0, $add_ptr31 = 0, $cmp32 = 0, $3 = 0, $idxprom36 = 0, $arrayidx38 = 0, $4 = 0, $cmp40 = 0, $add_ptr43 = 0, $add_ptr43_add_ptr31 = 0, $add_ptr46 = 0, $ptr_addr_0_be = 0, $cmp1 = 0, $ptr_addr_0_lcssa = 0, $retval_0 = 0, label = 0;
   184280   label = 2;
   184281   while (1) switch (label | 0) {
   184282    case 2:
   184283     $cmp = ($ptr | 0) == ($end | 0);
   184284     if ($cmp) {
   184285       $retval_0 = -4;
   184286       label = 25;
   184287       break;
   184288     } else {
   184289       label = 3;
   184290       break;
   184291     }
   184292    case 3:
   184293     $cmp148 = ($ptr | 0) == ($end | 0);
   184294     if ($cmp148) {
   184295       $ptr_addr_0_lcssa = $ptr;
   184296       label = 24;
   184297       break;
   184298     } else {
   184299       label = 4;
   184300       break;
   184301     }
   184302    case 4:
   184303     $type = $enc + 72 | 0;
   184304     $0 = $type;
   184305     $ptr_addr_049 = $ptr;
   184306     label = 5;
   184307     break;
   184308    case 5:
   184309     $1 = HEAP8[$ptr_addr_049] | 0;
   184310     $idxprom = $1 & 255;
   184311     $arrayidx = $0 + $idxprom | 0;
   184312     $2 = HEAP8[$arrayidx] | 0;
   184313     $conv = $2 & 255;
   184314     if (($conv | 0) == 5) {
   184315       label = 6;
   184316       break;
   184317     } else if (($conv | 0) == 6) {
   184318       label = 7;
   184319       break;
   184320     } else if (($conv | 0) == 7) {
   184321       label = 8;
   184322       break;
   184323     } else if (($conv | 0) == 3) {
   184324       label = 9;
   184325       break;
   184326     } else if (($conv | 0) == 30) {
   184327       label = 12;
   184328       break;
   184329     } else if (($conv | 0) == 10) {
   184330       label = 15;
   184331       break;
   184332     } else if (($conv | 0) == 9) {
   184333       label = 18;
   184334       break;
   184335     } else {
   184336       label = 22;
   184337       break;
   184338     }
   184339    case 6:
   184340     $add_ptr = $ptr_addr_049 + 2 | 0;
   184341     $ptr_addr_0_be = $add_ptr;
   184342     label = 23;
   184343     break;
   184344    case 7:
   184345     $add_ptr3 = $ptr_addr_049 + 3 | 0;
   184346     $ptr_addr_0_be = $add_ptr3;
   184347     label = 23;
   184348     break;
   184349    case 8:
   184350     $add_ptr5 = $ptr_addr_049 + 4 | 0;
   184351     $ptr_addr_0_be = $add_ptr5;
   184352     label = 23;
   184353     break;
   184354    case 9:
   184355     $cmp7 = ($ptr_addr_049 | 0) == ($ptr | 0);
   184356     if ($cmp7) {
   184357       label = 10;
   184358       break;
   184359     } else {
   184360       label = 11;
   184361       break;
   184362     }
   184363    case 10:
   184364     $add_ptr10 = $ptr_addr_049 + 1 | 0;
   184365     $call = _normal_scanRef($enc, $add_ptr10, $end, $nextTokPtr) | 0;
   184366     $retval_0 = $call;
   184367     label = 25;
   184368     break;
   184369    case 11:
   184370     HEAP32[$nextTokPtr >> 2] = $ptr_addr_049;
   184371     $retval_0 = 6;
   184372     label = 25;
   184373     break;
   184374    case 12:
   184375     $cmp13 = ($ptr_addr_049 | 0) == ($ptr | 0);
   184376     if ($cmp13) {
   184377       label = 13;
   184378       break;
   184379     } else {
   184380       label = 14;
   184381       break;
   184382     }
   184383    case 13:
   184384     $add_ptr16 = $ptr_addr_049 + 1 | 0;
   184385     $call17 = _normal_scanPercent($enc, $add_ptr16, $end, $nextTokPtr) | 0;
   184386     $cmp18 = ($call17 | 0) == 22;
   184387     $cond = $cmp18 ? 0 : $call17;
   184388     $retval_0 = $cond;
   184389     label = 25;
   184390     break;
   184391    case 14:
   184392     HEAP32[$nextTokPtr >> 2] = $ptr_addr_049;
   184393     $retval_0 = 6;
   184394     label = 25;
   184395     break;
   184396    case 15:
   184397     $cmp22 = ($ptr_addr_049 | 0) == ($ptr | 0);
   184398     if ($cmp22) {
   184399       label = 16;
   184400       break;
   184401     } else {
   184402       label = 17;
   184403       break;
   184404     }
   184405    case 16:
   184406     $add_ptr25 = $ptr_addr_049 + 1 | 0;
   184407     HEAP32[$nextTokPtr >> 2] = $add_ptr25;
   184408     $retval_0 = 7;
   184409     label = 25;
   184410     break;
   184411    case 17:
   184412     HEAP32[$nextTokPtr >> 2] = $ptr_addr_049;
   184413     $retval_0 = 6;
   184414     label = 25;
   184415     break;
   184416    case 18:
   184417     $cmp28 = ($ptr_addr_049 | 0) == ($ptr | 0);
   184418     if ($cmp28) {
   184419       label = 19;
   184420       break;
   184421     } else {
   184422       label = 21;
   184423       break;
   184424     }
   184425    case 19:
   184426     $add_ptr31 = $ptr_addr_049 + 1 | 0;
   184427     $cmp32 = ($add_ptr31 | 0) == ($end | 0);
   184428     if ($cmp32) {
   184429       $retval_0 = -3;
   184430       label = 25;
   184431       break;
   184432     } else {
   184433       label = 20;
   184434       break;
   184435     }
   184436    case 20:
   184437     $3 = HEAP8[$add_ptr31] | 0;
   184438     $idxprom36 = $3 & 255;
   184439     $arrayidx38 = $0 + $idxprom36 | 0;
   184440     $4 = HEAP8[$arrayidx38] | 0;
   184441     $cmp40 = $4 << 24 >> 24 == 10;
   184442     $add_ptr43 = $ptr_addr_049 + 2 | 0;
   184443     $add_ptr43_add_ptr31 = $cmp40 ? $add_ptr43 : $add_ptr31;
   184444     HEAP32[$nextTokPtr >> 2] = $add_ptr43_add_ptr31;
   184445     $retval_0 = 7;
   184446     label = 25;
   184447     break;
   184448    case 21:
   184449     HEAP32[$nextTokPtr >> 2] = $ptr_addr_049;
   184450     $retval_0 = 6;
   184451     label = 25;
   184452     break;
   184453    case 22:
   184454     $add_ptr46 = $ptr_addr_049 + 1 | 0;
   184455     $ptr_addr_0_be = $add_ptr46;
   184456     label = 23;
   184457     break;
   184458    case 23:
   184459     $cmp1 = ($ptr_addr_0_be | 0) == ($end | 0);
   184460     if ($cmp1) {
   184461       $ptr_addr_0_lcssa = $ptr_addr_0_be;
   184462       label = 24;
   184463       break;
   184464     } else {
   184465       $ptr_addr_049 = $ptr_addr_0_be;
   184466       label = 5;
   184467       break;
   184468     }
   184469    case 24:
   184470     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0_lcssa;
   184471     $retval_0 = 6;
   184472     label = 25;
   184473     break;
   184474    case 25:
   184475     return $retval_0 | 0;
   184476   }
   184477   return 0;
   184478 }
   184479 function _normal_nameLength($enc, $ptr) {
   184480   $enc = $enc | 0;
   184481   $ptr = $ptr | 0;
   184482   var $type = 0, $0 = 0, $ptr_addr_0 = 0, $1 = 0, $idxprom = 0, $arrayidx = 0, $2 = 0, $conv = 0, $add_ptr = 0, $add_ptr2 = 0, $add_ptr4 = 0, $add_ptr6 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, label = 0;
   184483   label = 2;
   184484   while (1) switch (label | 0) {
   184485    case 2:
   184486     $type = $enc + 72 | 0;
   184487     $0 = $type;
   184488     $ptr_addr_0 = $ptr;
   184489     label = 3;
   184490     break;
   184491    case 3:
   184492     $1 = HEAP8[$ptr_addr_0] | 0;
   184493     $idxprom = $1 & 255;
   184494     $arrayidx = $0 + $idxprom | 0;
   184495     $2 = HEAP8[$arrayidx] | 0;
   184496     $conv = $2 & 255;
   184497     if (($conv | 0) == 5) {
   184498       label = 4;
   184499       break;
   184500     } else if (($conv | 0) == 6) {
   184501       label = 5;
   184502       break;
   184503     } else if (($conv | 0) == 7) {
   184504       label = 6;
   184505       break;
   184506     } else if (($conv | 0) == 29 | ($conv | 0) == 22 | ($conv | 0) == 24 | ($conv | 0) == 25 | ($conv | 0) == 26 | ($conv | 0) == 27) {
   184507       label = 7;
   184508       break;
   184509     } else {
   184510       label = 8;
   184511       break;
   184512     }
   184513    case 4:
   184514     $add_ptr = $ptr_addr_0 + 2 | 0;
   184515     $ptr_addr_0 = $add_ptr;
   184516     label = 3;
   184517     break;
   184518    case 5:
   184519     $add_ptr2 = $ptr_addr_0 + 3 | 0;
   184520     $ptr_addr_0 = $add_ptr2;
   184521     label = 3;
   184522     break;
   184523    case 6:
   184524     $add_ptr4 = $ptr_addr_0 + 4 | 0;
   184525     $ptr_addr_0 = $add_ptr4;
   184526     label = 3;
   184527     break;
   184528    case 7:
   184529     $add_ptr6 = $ptr_addr_0 + 1 | 0;
   184530     $ptr_addr_0 = $add_ptr6;
   184531     label = 3;
   184532     break;
   184533    case 8:
   184534     $sub_ptr_lhs_cast = $ptr_addr_0;
   184535     $sub_ptr_rhs_cast = $ptr;
   184536     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   184537     return $sub_ptr_sub | 0;
   184538   }
   184539   return 0;
   184540 }
   184541 function _normal_skipS($enc, $ptr) {
   184542   $enc = $enc | 0;
   184543   $ptr = $ptr | 0;
   184544   var $type = 0, $0 = 0, $ptr_addr_0 = 0, $1 = 0, $idxprom = 0, $arrayidx = 0, $2 = 0, $conv = 0, $add_ptr = 0, label = 0;
   184545   label = 2;
   184546   while (1) switch (label | 0) {
   184547    case 2:
   184548     $type = $enc + 72 | 0;
   184549     $0 = $type;
   184550     $ptr_addr_0 = $ptr;
   184551     label = 3;
   184552     break;
   184553    case 3:
   184554     $1 = HEAP8[$ptr_addr_0] | 0;
   184555     $idxprom = $1 & 255;
   184556     $arrayidx = $0 + $idxprom | 0;
   184557     $2 = HEAP8[$arrayidx] | 0;
   184558     $conv = $2 & 255;
   184559     if (($conv | 0) == 10 | ($conv | 0) == 9 | ($conv | 0) == 21) {
   184560       label = 4;
   184561       break;
   184562     } else {
   184563       label = 5;
   184564       break;
   184565     }
   184566    case 4:
   184567     $add_ptr = $ptr_addr_0 + 1 | 0;
   184568     $ptr_addr_0 = $add_ptr;
   184569     label = 3;
   184570     break;
   184571    case 5:
   184572     return $ptr_addr_0 | 0;
   184573   }
   184574   return 0;
   184575 }
   184576 function _normal_getAtts($enc, $ptr, $attsMax, $atts) {
   184577   $enc = $enc | 0;
   184578   $ptr = $ptr | 0;
   184579   $attsMax = $attsMax | 0;
   184580   $atts = $atts | 0;
   184581   var $type = 0, $0 = 0, $ptr_pn = 0, $state_0 = 0, $nAtts_0 = 0, $open_0 = 0, $ptr_addr_0 = 0, $1 = 0, $idxprom = 0, $arrayidx = 0, $2 = 0, $conv = 0, $cmp = 0, $cmp2 = 0, $name = 0, $normalized = 0, $state_1 = 0, $add_ptr8 = 0, $cmp10 = 0, $cmp13 = 0, $name17 = 0, $normalized19 = 0, $state_2 = 0, $add_ptr22 = 0, $cmp24 = 0, $cmp27 = 0, $name31 = 0, $normalized33 = 0, $state_3 = 0, $add_ptr36 = 0, $cmp38 = 0, $cmp41 = 0, $name45 = 0, $normalized47 = 0, $cmp51 = 0, $cmp54 = 0, $add_ptr57 = 0, $valuePtr = 0, $cmp60 = 0, $cmp63 = 0, $valueEnd = 0, $inc = 0, $cmp71 = 0, $cmp74 = 0, $add_ptr77 = 0, $valuePtr79 = 0, $cmp82 = 0, $cmp85 = 0, $valueEnd89 = 0, $inc91 = 0, $cmp95 = 0, $normalized99 = 0, $cmp102 = 0, $cmp106 = 0, $cmp108 = 0, $or_cond = 0, $normalized112 = 0, $3 = 0, $tobool = 0, $valuePtr116 = 0, $4 = 0, $cmp117 = 0, $cmp120 = 0, $or_cond87 = 0, $add_ptr123 = 0, $5 = 0, $cmp125 = 0, $idxprom129 = 0, $arrayidx131 = 0, $6 = 0, $conv132 = 0, $cmp133 = 0, $cmp141 = 0, $cmp145 = 0, $cmp148 = 0, $or_cond88 = 0, $normalized152 = 0, $cmp156 = 0, label = 0;
   184582   label = 2;
   184583   while (1) switch (label | 0) {
   184584    case 2:
   184585     $type = $enc + 72 | 0;
   184586     $0 = $type;
   184587     $open_0 = 0;
   184588     $nAtts_0 = 0;
   184589     $state_0 = 1;
   184590     $ptr_pn = $ptr;
   184591     label = 3;
   184592     break;
   184593    case 3:
   184594     $ptr_addr_0 = $ptr_pn + 1 | 0;
   184595     $1 = HEAP8[$ptr_addr_0] | 0;
   184596     $idxprom = $1 & 255;
   184597     $arrayidx = $0 + $idxprom | 0;
   184598     $2 = HEAP8[$arrayidx] | 0;
   184599     $conv = $2 & 255;
   184600     if (($conv | 0) == 5) {
   184601       label = 4;
   184602       break;
   184603     } else if (($conv | 0) == 6) {
   184604       label = 8;
   184605       break;
   184606     } else if (($conv | 0) == 7) {
   184607       label = 12;
   184608       break;
   184609     } else if (($conv | 0) == 29 | ($conv | 0) == 22 | ($conv | 0) == 24) {
   184610       label = 16;
   184611       break;
   184612     } else if (($conv | 0) == 12) {
   184613       label = 19;
   184614       break;
   184615     } else if (($conv | 0) == 13) {
   184616       label = 26;
   184617       break;
   184618     } else if (($conv | 0) == 3) {
   184619       label = 33;
   184620       break;
   184621     } else if (($conv | 0) == 21) {
   184622       label = 35;
   184623       break;
   184624     } else if (($conv | 0) == 9 | ($conv | 0) == 10) {
   184625       label = 42;
   184626       break;
   184627     } else if (($conv | 0) == 11 | ($conv | 0) == 17) {
   184628       label = 45;
   184629       break;
   184630     } else {
   184631       $open_0 = $open_0;
   184632       $nAtts_0 = $nAtts_0;
   184633       $state_0 = $state_0;
   184634       $ptr_pn = $ptr_addr_0;
   184635       label = 3;
   184636       break;
   184637     }
   184638    case 4:
   184639     $cmp = ($state_0 | 0) == 0;
   184640     if ($cmp) {
   184641       label = 5;
   184642       break;
   184643     } else {
   184644       $state_1 = $state_0;
   184645       label = 7;
   184646       break;
   184647     }
   184648    case 5:
   184649     $cmp2 = ($nAtts_0 | 0) < ($attsMax | 0);
   184650     if ($cmp2) {
   184651       label = 6;
   184652       break;
   184653     } else {
   184654       $state_1 = 1;
   184655       label = 7;
   184656       break;
   184657     }
   184658    case 6:
   184659     $name = $atts + ($nAtts_0 << 4) | 0;
   184660     HEAP32[$name >> 2] = $ptr_addr_0;
   184661     $normalized = $atts + ($nAtts_0 << 4) + 12 | 0;
   184662     HEAP8[$normalized] = 1;
   184663     $state_1 = 1;
   184664     label = 7;
   184665     break;
   184666    case 7:
   184667     $add_ptr8 = $ptr_pn + 2 | 0;
   184668     $open_0 = $open_0;
   184669     $nAtts_0 = $nAtts_0;
   184670     $state_0 = $state_1;
   184671     $ptr_pn = $add_ptr8;
   184672     label = 3;
   184673     break;
   184674    case 8:
   184675     $cmp10 = ($state_0 | 0) == 0;
   184676     if ($cmp10) {
   184677       label = 9;
   184678       break;
   184679     } else {
   184680       $state_2 = $state_0;
   184681       label = 11;
   184682       break;
   184683     }
   184684    case 9:
   184685     $cmp13 = ($nAtts_0 | 0) < ($attsMax | 0);
   184686     if ($cmp13) {
   184687       label = 10;
   184688       break;
   184689     } else {
   184690       $state_2 = 1;
   184691       label = 11;
   184692       break;
   184693     }
   184694    case 10:
   184695     $name17 = $atts + ($nAtts_0 << 4) | 0;
   184696     HEAP32[$name17 >> 2] = $ptr_addr_0;
   184697     $normalized19 = $atts + ($nAtts_0 << 4) + 12 | 0;
   184698     HEAP8[$normalized19] = 1;
   184699     $state_2 = 1;
   184700     label = 11;
   184701     break;
   184702    case 11:
   184703     $add_ptr22 = $ptr_pn + 3 | 0;
   184704     $open_0 = $open_0;
   184705     $nAtts_0 = $nAtts_0;
   184706     $state_0 = $state_2;
   184707     $ptr_pn = $add_ptr22;
   184708     label = 3;
   184709     break;
   184710    case 12:
   184711     $cmp24 = ($state_0 | 0) == 0;
   184712     if ($cmp24) {
   184713       label = 13;
   184714       break;
   184715     } else {
   184716       $state_3 = $state_0;
   184717       label = 15;
   184718       break;
   184719     }
   184720    case 13:
   184721     $cmp27 = ($nAtts_0 | 0) < ($attsMax | 0);
   184722     if ($cmp27) {
   184723       label = 14;
   184724       break;
   184725     } else {
   184726       $state_3 = 1;
   184727       label = 15;
   184728       break;
   184729     }
   184730    case 14:
   184731     $name31 = $atts + ($nAtts_0 << 4) | 0;
   184732     HEAP32[$name31 >> 2] = $ptr_addr_0;
   184733     $normalized33 = $atts + ($nAtts_0 << 4) + 12 | 0;
   184734     HEAP8[$normalized33] = 1;
   184735     $state_3 = 1;
   184736     label = 15;
   184737     break;
   184738    case 15:
   184739     $add_ptr36 = $ptr_pn + 4 | 0;
   184740     $open_0 = $open_0;
   184741     $nAtts_0 = $nAtts_0;
   184742     $state_0 = $state_3;
   184743     $ptr_pn = $add_ptr36;
   184744     label = 3;
   184745     break;
   184746    case 16:
   184747     $cmp38 = ($state_0 | 0) == 0;
   184748     if ($cmp38) {
   184749       label = 17;
   184750       break;
   184751     } else {
   184752       $open_0 = $open_0;
   184753       $nAtts_0 = $nAtts_0;
   184754       $state_0 = $state_0;
   184755       $ptr_pn = $ptr_addr_0;
   184756       label = 3;
   184757       break;
   184758     }
   184759    case 17:
   184760     $cmp41 = ($nAtts_0 | 0) < ($attsMax | 0);
   184761     if ($cmp41) {
   184762       label = 18;
   184763       break;
   184764     } else {
   184765       $open_0 = $open_0;
   184766       $nAtts_0 = $nAtts_0;
   184767       $state_0 = 1;
   184768       $ptr_pn = $ptr_addr_0;
   184769       label = 3;
   184770       break;
   184771     }
   184772    case 18:
   184773     $name45 = $atts + ($nAtts_0 << 4) | 0;
   184774     HEAP32[$name45 >> 2] = $ptr_addr_0;
   184775     $normalized47 = $atts + ($nAtts_0 << 4) + 12 | 0;
   184776     HEAP8[$normalized47] = 1;
   184777     $open_0 = $open_0;
   184778     $nAtts_0 = $nAtts_0;
   184779     $state_0 = 1;
   184780     $ptr_pn = $ptr_addr_0;
   184781     label = 3;
   184782     break;
   184783    case 19:
   184784     $cmp51 = ($state_0 | 0) == 2;
   184785     if ($cmp51) {
   184786       label = 22;
   184787       break;
   184788     } else {
   184789       label = 20;
   184790       break;
   184791     }
   184792    case 20:
   184793     $cmp54 = ($nAtts_0 | 0) < ($attsMax | 0);
   184794     if ($cmp54) {
   184795       label = 21;
   184796       break;
   184797     } else {
   184798       $open_0 = 12;
   184799       $nAtts_0 = $nAtts_0;
   184800       $state_0 = 2;
   184801       $ptr_pn = $ptr_addr_0;
   184802       label = 3;
   184803       break;
   184804     }
   184805    case 21:
   184806     $add_ptr57 = $ptr_pn + 2 | 0;
   184807     $valuePtr = $atts + ($nAtts_0 << 4) + 4 | 0;
   184808     HEAP32[$valuePtr >> 2] = $add_ptr57;
   184809     $open_0 = 12;
   184810     $nAtts_0 = $nAtts_0;
   184811     $state_0 = 2;
   184812     $ptr_pn = $ptr_addr_0;
   184813     label = 3;
   184814     break;
   184815    case 22:
   184816     $cmp60 = ($open_0 | 0) == 12;
   184817     if ($cmp60) {
   184818       label = 23;
   184819       break;
   184820     } else {
   184821       $open_0 = $open_0;
   184822       $nAtts_0 = $nAtts_0;
   184823       $state_0 = 2;
   184824       $ptr_pn = $ptr_addr_0;
   184825       label = 3;
   184826       break;
   184827     }
   184828    case 23:
   184829     $cmp63 = ($nAtts_0 | 0) < ($attsMax | 0);
   184830     if ($cmp63) {
   184831       label = 24;
   184832       break;
   184833     } else {
   184834       label = 25;
   184835       break;
   184836     }
   184837    case 24:
   184838     $valueEnd = $atts + ($nAtts_0 << 4) + 8 | 0;
   184839     HEAP32[$valueEnd >> 2] = $ptr_addr_0;
   184840     label = 25;
   184841     break;
   184842    case 25:
   184843     $inc = $nAtts_0 + 1 | 0;
   184844     $open_0 = $open_0;
   184845     $nAtts_0 = $inc;
   184846     $state_0 = 0;
   184847     $ptr_pn = $ptr_addr_0;
   184848     label = 3;
   184849     break;
   184850    case 26:
   184851     $cmp71 = ($state_0 | 0) == 2;
   184852     if ($cmp71) {
   184853       label = 29;
   184854       break;
   184855     } else {
   184856       label = 27;
   184857       break;
   184858     }
   184859    case 27:
   184860     $cmp74 = ($nAtts_0 | 0) < ($attsMax | 0);
   184861     if ($cmp74) {
   184862       label = 28;
   184863       break;
   184864     } else {
   184865       $open_0 = 13;
   184866       $nAtts_0 = $nAtts_0;
   184867       $state_0 = 2;
   184868       $ptr_pn = $ptr_addr_0;
   184869       label = 3;
   184870       break;
   184871     }
   184872    case 28:
   184873     $add_ptr77 = $ptr_pn + 2 | 0;
   184874     $valuePtr79 = $atts + ($nAtts_0 << 4) + 4 | 0;
   184875     HEAP32[$valuePtr79 >> 2] = $add_ptr77;
   184876     $open_0 = 13;
   184877     $nAtts_0 = $nAtts_0;
   184878     $state_0 = 2;
   184879     $ptr_pn = $ptr_addr_0;
   184880     label = 3;
   184881     break;
   184882    case 29:
   184883     $cmp82 = ($open_0 | 0) == 13;
   184884     if ($cmp82) {
   184885       label = 30;
   184886       break;
   184887     } else {
   184888       $open_0 = $open_0;
   184889       $nAtts_0 = $nAtts_0;
   184890       $state_0 = 2;
   184891       $ptr_pn = $ptr_addr_0;
   184892       label = 3;
   184893       break;
   184894     }
   184895    case 30:
   184896     $cmp85 = ($nAtts_0 | 0) < ($attsMax | 0);
   184897     if ($cmp85) {
   184898       label = 31;
   184899       break;
   184900     } else {
   184901       label = 32;
   184902       break;
   184903     }
   184904    case 31:
   184905     $valueEnd89 = $atts + ($nAtts_0 << 4) + 8 | 0;
   184906     HEAP32[$valueEnd89 >> 2] = $ptr_addr_0;
   184907     label = 32;
   184908     break;
   184909    case 32:
   184910     $inc91 = $nAtts_0 + 1 | 0;
   184911     $open_0 = $open_0;
   184912     $nAtts_0 = $inc91;
   184913     $state_0 = 0;
   184914     $ptr_pn = $ptr_addr_0;
   184915     label = 3;
   184916     break;
   184917    case 33:
   184918     $cmp95 = ($nAtts_0 | 0) < ($attsMax | 0);
   184919     if ($cmp95) {
   184920       label = 34;
   184921       break;
   184922     } else {
   184923       $open_0 = $open_0;
   184924       $nAtts_0 = $nAtts_0;
   184925       $state_0 = $state_0;
   184926       $ptr_pn = $ptr_addr_0;
   184927       label = 3;
   184928       break;
   184929     }
   184930    case 34:
   184931     $normalized99 = $atts + ($nAtts_0 << 4) + 12 | 0;
   184932     HEAP8[$normalized99] = 0;
   184933     $open_0 = $open_0;
   184934     $nAtts_0 = $nAtts_0;
   184935     $state_0 = $state_0;
   184936     $ptr_pn = $ptr_addr_0;
   184937     label = 3;
   184938     break;
   184939    case 35:
   184940     $cmp102 = ($state_0 | 0) == 1;
   184941     if ($cmp102) {
   184942       $open_0 = $open_0;
   184943       $nAtts_0 = $nAtts_0;
   184944       $state_0 = 0;
   184945       $ptr_pn = $ptr_addr_0;
   184946       label = 3;
   184947       break;
   184948     } else {
   184949       label = 36;
   184950       break;
   184951     }
   184952    case 36:
   184953     $cmp106 = ($state_0 | 0) == 2;
   184954     $cmp108 = ($nAtts_0 | 0) < ($attsMax | 0);
   184955     $or_cond = $cmp106 & $cmp108;
   184956     if ($or_cond) {
   184957       label = 37;
   184958       break;
   184959     } else {
   184960       $open_0 = $open_0;
   184961       $nAtts_0 = $nAtts_0;
   184962       $state_0 = $state_0;
   184963       $ptr_pn = $ptr_addr_0;
   184964       label = 3;
   184965       break;
   184966     }
   184967    case 37:
   184968     $normalized112 = $atts + ($nAtts_0 << 4) + 12 | 0;
   184969     $3 = HEAP8[$normalized112] | 0;
   184970     $tobool = $3 << 24 >> 24 == 0;
   184971     if ($tobool) {
   184972       $open_0 = $open_0;
   184973       $nAtts_0 = $nAtts_0;
   184974       $state_0 = $state_0;
   184975       $ptr_pn = $ptr_addr_0;
   184976       label = 3;
   184977       break;
   184978     } else {
   184979       label = 38;
   184980       break;
   184981     }
   184982    case 38:
   184983     $valuePtr116 = $atts + ($nAtts_0 << 4) + 4 | 0;
   184984     $4 = HEAP32[$valuePtr116 >> 2] | 0;
   184985     $cmp117 = ($ptr_addr_0 | 0) != ($4 | 0);
   184986     $cmp120 = $1 << 24 >> 24 == 32;
   184987     $or_cond87 = $cmp117 & $cmp120;
   184988     if ($or_cond87) {
   184989       label = 39;
   184990       break;
   184991     } else {
   184992       label = 41;
   184993       break;
   184994     }
   184995    case 39:
   184996     $add_ptr123 = $ptr_pn + 2 | 0;
   184997     $5 = HEAP8[$add_ptr123] | 0;
   184998     $cmp125 = $5 << 24 >> 24 == 32;
   184999     if ($cmp125) {
   185000       label = 41;
   185001       break;
   185002     } else {
   185003       label = 40;
   185004       break;
   185005     }
   185006    case 40:
   185007     $idxprom129 = $5 & 255;
   185008     $arrayidx131 = $0 + $idxprom129 | 0;
   185009     $6 = HEAP8[$arrayidx131] | 0;
   185010     $conv132 = $6 & 255;
   185011     $cmp133 = ($conv132 | 0) == ($open_0 | 0);
   185012     if ($cmp133) {
   185013       label = 41;
   185014       break;
   185015     } else {
   185016       $open_0 = $open_0;
   185017       $nAtts_0 = $nAtts_0;
   185018       $state_0 = $state_0;
   185019       $ptr_pn = $ptr_addr_0;
   185020       label = 3;
   185021       break;
   185022     }
   185023    case 41:
   185024     HEAP8[$normalized112] = 0;
   185025     $open_0 = $open_0;
   185026     $nAtts_0 = $nAtts_0;
   185027     $state_0 = $state_0;
   185028     $ptr_pn = $ptr_addr_0;
   185029     label = 3;
   185030     break;
   185031    case 42:
   185032     $cmp141 = ($state_0 | 0) == 1;
   185033     if ($cmp141) {
   185034       $open_0 = $open_0;
   185035       $nAtts_0 = $nAtts_0;
   185036       $state_0 = 0;
   185037       $ptr_pn = $ptr_addr_0;
   185038       label = 3;
   185039       break;
   185040     } else {
   185041       label = 43;
   185042       break;
   185043     }
   185044    case 43:
   185045     $cmp145 = ($state_0 | 0) == 2;
   185046     $cmp148 = ($nAtts_0 | 0) < ($attsMax | 0);
   185047     $or_cond88 = $cmp145 & $cmp148;
   185048     if ($or_cond88) {
   185049       label = 44;
   185050       break;
   185051     } else {
   185052       $open_0 = $open_0;
   185053       $nAtts_0 = $nAtts_0;
   185054       $state_0 = $state_0;
   185055       $ptr_pn = $ptr_addr_0;
   185056       label = 3;
   185057       break;
   185058     }
   185059    case 44:
   185060     $normalized152 = $atts + ($nAtts_0 << 4) + 12 | 0;
   185061     HEAP8[$normalized152] = 0;
   185062     $open_0 = $open_0;
   185063     $nAtts_0 = $nAtts_0;
   185064     $state_0 = $state_0;
   185065     $ptr_pn = $ptr_addr_0;
   185066     label = 3;
   185067     break;
   185068    case 45:
   185069     $cmp156 = ($state_0 | 0) == 2;
   185070     if ($cmp156) {
   185071       $open_0 = $open_0;
   185072       $nAtts_0 = $nAtts_0;
   185073       $state_0 = 2;
   185074       $ptr_pn = $ptr_addr_0;
   185075       label = 3;
   185076       break;
   185077     } else {
   185078       label = 46;
   185079       break;
   185080     }
   185081    case 46:
   185082     return $nAtts_0 | 0;
   185083   }
   185084   return 0;
   185085 }
   185086 function _normal_predefinedEntityName($enc, $ptr, $end) {
   185087   $enc = $enc | 0;
   185088   $ptr = $ptr | 0;
   185089   $end = $end | 0;
   185090   var $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $add_ptr = 0, $0 = 0, $cmp = 0, $1 = 0, $conv2 = 0, $2 = 0, $cmp7 = 0, $add_ptr10 = 0, $3 = 0, $cmp12 = 0, $add_ptr15 = 0, $4 = 0, $cmp17 = 0, $5 = 0, $conv24 = 0, $add_ptr26 = 0, $6 = 0, $cmp28 = 0, $add_ptr31 = 0, $7 = 0, $cmp33 = 0, $add_ptr36 = 0, $8 = 0, $cmp38 = 0, $add_ptr45 = 0, $9 = 0, $cmp47 = 0, $add_ptr50 = 0, $10 = 0, $cmp52 = 0, $add_ptr55 = 0, $11 = 0, $cmp57 = 0, $retval_0 = 0, label = 0;
   185091   label = 2;
   185092   while (1) switch (label | 0) {
   185093    case 2:
   185094     $sub_ptr_lhs_cast = $end;
   185095     $sub_ptr_rhs_cast = $ptr;
   185096     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   185097     if (($sub_ptr_sub | 0) == 2) {
   185098       label = 3;
   185099       break;
   185100     } else if (($sub_ptr_sub | 0) == 3) {
   185101       label = 6;
   185102       break;
   185103     } else if (($sub_ptr_sub | 0) == 4) {
   185104       label = 9;
   185105       break;
   185106     } else {
   185107       label = 16;
   185108       break;
   185109     }
   185110    case 3:
   185111     $add_ptr = $ptr + 1 | 0;
   185112     $0 = HEAP8[$add_ptr] | 0;
   185113     $cmp = $0 << 24 >> 24 == 116;
   185114     if ($cmp) {
   185115       label = 4;
   185116       break;
   185117     } else {
   185118       label = 16;
   185119       break;
   185120     }
   185121    case 4:
   185122     $1 = HEAP8[$ptr] | 0;
   185123     $conv2 = $1 << 24 >> 24;
   185124     if (($conv2 | 0) == 103) {
   185125       label = 5;
   185126       break;
   185127     } else if (($conv2 | 0) == 108) {
   185128       $retval_0 = 60;
   185129       label = 17;
   185130       break;
   185131     } else {
   185132       label = 16;
   185133       break;
   185134     }
   185135    case 5:
   185136     $retval_0 = 62;
   185137     label = 17;
   185138     break;
   185139    case 6:
   185140     $2 = HEAP8[$ptr] | 0;
   185141     $cmp7 = $2 << 24 >> 24 == 97;
   185142     if ($cmp7) {
   185143       label = 7;
   185144       break;
   185145     } else {
   185146       label = 16;
   185147       break;
   185148     }
   185149    case 7:
   185150     $add_ptr10 = $ptr + 1 | 0;
   185151     $3 = HEAP8[$add_ptr10] | 0;
   185152     $cmp12 = $3 << 24 >> 24 == 109;
   185153     if ($cmp12) {
   185154       label = 8;
   185155       break;
   185156     } else {
   185157       label = 16;
   185158       break;
   185159     }
   185160    case 8:
   185161     $add_ptr15 = $ptr + 2 | 0;
   185162     $4 = HEAP8[$add_ptr15] | 0;
   185163     $cmp17 = $4 << 24 >> 24 == 112;
   185164     if ($cmp17) {
   185165       $retval_0 = 38;
   185166       label = 17;
   185167       break;
   185168     } else {
   185169       label = 16;
   185170       break;
   185171     }
   185172    case 9:
   185173     $5 = HEAP8[$ptr] | 0;
   185174     $conv24 = $5 << 24 >> 24;
   185175     if (($conv24 | 0) == 113) {
   185176       label = 10;
   185177       break;
   185178     } else if (($conv24 | 0) == 97) {
   185179       label = 13;
   185180       break;
   185181     } else {
   185182       label = 16;
   185183       break;
   185184     }
   185185    case 10:
   185186     $add_ptr26 = $ptr + 1 | 0;
   185187     $6 = HEAP8[$add_ptr26] | 0;
   185188     $cmp28 = $6 << 24 >> 24 == 117;
   185189     if ($cmp28) {
   185190       label = 11;
   185191       break;
   185192     } else {
   185193       label = 16;
   185194       break;
   185195     }
   185196    case 11:
   185197     $add_ptr31 = $ptr + 2 | 0;
   185198     $7 = HEAP8[$add_ptr31] | 0;
   185199     $cmp33 = $7 << 24 >> 24 == 111;
   185200     if ($cmp33) {
   185201       label = 12;
   185202       break;
   185203     } else {
   185204       label = 16;
   185205       break;
   185206     }
   185207    case 12:
   185208     $add_ptr36 = $ptr + 3 | 0;
   185209     $8 = HEAP8[$add_ptr36] | 0;
   185210     $cmp38 = $8 << 24 >> 24 == 116;
   185211     if ($cmp38) {
   185212       $retval_0 = 34;
   185213       label = 17;
   185214       break;
   185215     } else {
   185216       label = 16;
   185217       break;
   185218     }
   185219    case 13:
   185220     $add_ptr45 = $ptr + 1 | 0;
   185221     $9 = HEAP8[$add_ptr45] | 0;
   185222     $cmp47 = $9 << 24 >> 24 == 112;
   185223     if ($cmp47) {
   185224       label = 14;
   185225       break;
   185226     } else {
   185227       label = 16;
   185228       break;
   185229     }
   185230    case 14:
   185231     $add_ptr50 = $ptr + 2 | 0;
   185232     $10 = HEAP8[$add_ptr50] | 0;
   185233     $cmp52 = $10 << 24 >> 24 == 111;
   185234     if ($cmp52) {
   185235       label = 15;
   185236       break;
   185237     } else {
   185238       label = 16;
   185239       break;
   185240     }
   185241    case 15:
   185242     $add_ptr55 = $ptr + 3 | 0;
   185243     $11 = HEAP8[$add_ptr55] | 0;
   185244     $cmp57 = $11 << 24 >> 24 == 115;
   185245     if ($cmp57) {
   185246       $retval_0 = 39;
   185247       label = 17;
   185248       break;
   185249     } else {
   185250       label = 16;
   185251       break;
   185252     }
   185253    case 16:
   185254     $retval_0 = 0;
   185255     label = 17;
   185256     break;
   185257    case 17:
   185258     return $retval_0 | 0;
   185259   }
   185260   return 0;
   185261 }
   185262 function _normal_updatePosition($enc, $ptr, $end, $pos) {
   185263   $enc = $enc | 0;
   185264   $ptr = $ptr | 0;
   185265   $end = $end | 0;
   185266   $pos = $pos | 0;
   185267   var $cmp18 = 0, $type = 0, $0 = 0, $columnNumber22 = 0, $columnNumber = 0, $lineNumber = 0, $lineNumber8 = 0, $columnNumber20 = 0, $ptr_addr_019 = 0, $1 = 0, $idxprom = 0, $arrayidx = 0, $2 = 0, $conv = 0, $add_ptr = 0, $add_ptr2 = 0, $add_ptr4 = 0, $3 = 0, $inc = 0, $add_ptr6 = 0, $4 = 0, $inc9 = 0, $add_ptr10 = 0, $cmp11 = 0, $5 = 0, $idxprom13 = 0, $arrayidx15 = 0, $6 = 0, $cmp17 = 0, $add_ptr19 = 0, $add_ptr19_add_ptr10 = 0, $ptr_addr_1 = 0, $add_ptr21 = 0, $ptr_addr_2 = 0, $7 = 0, $inc23 = 0, $cmp = 0, label = 0;
   185268   label = 2;
   185269   while (1) switch (label | 0) {
   185270    case 2:
   185271     $cmp18 = $ptr >>> 0 < $end >>> 0;
   185272     if ($cmp18) {
   185273       label = 3;
   185274       break;
   185275     } else {
   185276       label = 14;
   185277       break;
   185278     }
   185279    case 3:
   185280     $type = $enc + 72 | 0;
   185281     $0 = $type;
   185282     $columnNumber22 = $pos + 4 | 0;
   185283     $columnNumber = $pos + 4 | 0;
   185284     $lineNumber = $pos | 0;
   185285     $lineNumber8 = $pos | 0;
   185286     $columnNumber20 = $pos + 4 | 0;
   185287     $ptr_addr_019 = $ptr;
   185288     label = 4;
   185289     break;
   185290    case 4:
   185291     $1 = HEAP8[$ptr_addr_019] | 0;
   185292     $idxprom = $1 & 255;
   185293     $arrayidx = $0 + $idxprom | 0;
   185294     $2 = HEAP8[$arrayidx] | 0;
   185295     $conv = $2 & 255;
   185296     if (($conv | 0) == 5) {
   185297       label = 5;
   185298       break;
   185299     } else if (($conv | 0) == 6) {
   185300       label = 6;
   185301       break;
   185302     } else if (($conv | 0) == 7) {
   185303       label = 7;
   185304       break;
   185305     } else if (($conv | 0) == 10) {
   185306       label = 8;
   185307       break;
   185308     } else if (($conv | 0) == 9) {
   185309       label = 9;
   185310       break;
   185311     } else {
   185312       label = 12;
   185313       break;
   185314     }
   185315    case 5:
   185316     $add_ptr = $ptr_addr_019 + 2 | 0;
   185317     $ptr_addr_2 = $add_ptr;
   185318     label = 13;
   185319     break;
   185320    case 6:
   185321     $add_ptr2 = $ptr_addr_019 + 3 | 0;
   185322     $ptr_addr_2 = $add_ptr2;
   185323     label = 13;
   185324     break;
   185325    case 7:
   185326     $add_ptr4 = $ptr_addr_019 + 4 | 0;
   185327     $ptr_addr_2 = $add_ptr4;
   185328     label = 13;
   185329     break;
   185330    case 8:
   185331     HEAP32[$columnNumber >> 2] = -1;
   185332     $3 = HEAP32[$lineNumber >> 2] | 0;
   185333     $inc = $3 + 1 | 0;
   185334     HEAP32[$lineNumber >> 2] = $inc;
   185335     $add_ptr6 = $ptr_addr_019 + 1 | 0;
   185336     $ptr_addr_2 = $add_ptr6;
   185337     label = 13;
   185338     break;
   185339    case 9:
   185340     $4 = HEAP32[$lineNumber8 >> 2] | 0;
   185341     $inc9 = $4 + 1 | 0;
   185342     HEAP32[$lineNumber8 >> 2] = $inc9;
   185343     $add_ptr10 = $ptr_addr_019 + 1 | 0;
   185344     $cmp11 = ($add_ptr10 | 0) == ($end | 0);
   185345     if ($cmp11) {
   185346       $ptr_addr_1 = $add_ptr10;
   185347       label = 11;
   185348       break;
   185349     } else {
   185350       label = 10;
   185351       break;
   185352     }
   185353    case 10:
   185354     $5 = HEAP8[$add_ptr10] | 0;
   185355     $idxprom13 = $5 & 255;
   185356     $arrayidx15 = $0 + $idxprom13 | 0;
   185357     $6 = HEAP8[$arrayidx15] | 0;
   185358     $cmp17 = $6 << 24 >> 24 == 10;
   185359     $add_ptr19 = $ptr_addr_019 + 2 | 0;
   185360     $add_ptr19_add_ptr10 = $cmp17 ? $add_ptr19 : $add_ptr10;
   185361     $ptr_addr_1 = $add_ptr19_add_ptr10;
   185362     label = 11;
   185363     break;
   185364    case 11:
   185365     HEAP32[$columnNumber20 >> 2] = -1;
   185366     $ptr_addr_2 = $ptr_addr_1;
   185367     label = 13;
   185368     break;
   185369    case 12:
   185370     $add_ptr21 = $ptr_addr_019 + 1 | 0;
   185371     $ptr_addr_2 = $add_ptr21;
   185372     label = 13;
   185373     break;
   185374    case 13:
   185375     $7 = HEAP32[$columnNumber22 >> 2] | 0;
   185376     $inc23 = $7 + 1 | 0;
   185377     HEAP32[$columnNumber22 >> 2] = $inc23;
   185378     $cmp = $ptr_addr_2 >>> 0 < $end >>> 0;
   185379     if ($cmp) {
   185380       $ptr_addr_019 = $ptr_addr_2;
   185381       label = 4;
   185382       break;
   185383     } else {
   185384       label = 14;
   185385       break;
   185386     }
   185387    case 14:
   185388     return;
   185389   }
   185390 }
   185391 function _normal_charRefNumber($enc, $ptr) {
   185392   $enc = $enc | 0;
   185393   $ptr = $ptr | 0;
   185394   var $add_ptr = 0, $0 = 0, $cmp = 0, $add_ptr2 = 0, $result_0 = 0, $ptr_addr_0 = 0, $1 = 0, $conv3 = 0, $lnot = 0, $shl = 0, $sub = 0, $or = 0, $shl8 = 0, $add = 0, $add10 = 0, $shl12 = 0, $add14 = 0, $add15 = 0, $result_1 = 0, $cmp16 = 0, $add_ptr19 = 0, $result_2 = 0, $ptr_addr_1 = 0, $2 = 0, $lnot24 = 0, $conv21 = 0, $mul = 0, $sub28 = 0, $add29 = 0, $cmp30 = 0, $add_ptr35 = 0, $result_3 = 0, $call = 0, $retval_0 = 0, label = 0;
   185395   label = 2;
   185396   while (1) switch (label | 0) {
   185397    case 2:
   185398     $add_ptr = $ptr + 2 | 0;
   185399     $0 = HEAP8[$add_ptr] | 0;
   185400     $cmp = $0 << 24 >> 24 == 120;
   185401     if ($cmp) {
   185402       label = 3;
   185403       break;
   185404     } else {
   185405       $ptr_addr_1 = $add_ptr;
   185406       $result_2 = 0;
   185407       label = 10;
   185408       break;
   185409     }
   185410    case 3:
   185411     $add_ptr2 = $ptr + 3 | 0;
   185412     $ptr_addr_0 = $add_ptr2;
   185413     $result_0 = 0;
   185414     label = 4;
   185415     break;
   185416    case 4:
   185417     $1 = HEAP8[$ptr_addr_0] | 0;
   185418     $conv3 = $1 << 24 >> 24;
   185419     $lnot = $1 << 24 >> 24 == 59;
   185420     if ($lnot) {
   185421       $result_3 = $result_0;
   185422       label = 12;
   185423       break;
   185424     } else {
   185425       label = 5;
   185426       break;
   185427     }
   185428    case 5:
   185429     if (($conv3 | 0) == 48 | ($conv3 | 0) == 49 | ($conv3 | 0) == 50 | ($conv3 | 0) == 51 | ($conv3 | 0) == 52 | ($conv3 | 0) == 53 | ($conv3 | 0) == 54 | ($conv3 | 0) == 55 | ($conv3 | 0) == 56 | ($conv3 | 0) == 57) {
   185430       label = 6;
   185431       break;
   185432     } else if (($conv3 | 0) == 65 | ($conv3 | 0) == 66 | ($conv3 | 0) == 67 | ($conv3 | 0) == 68 | ($conv3 | 0) == 69 | ($conv3 | 0) == 70) {
   185433       label = 7;
   185434       break;
   185435     } else if (($conv3 | 0) == 97 | ($conv3 | 0) == 98 | ($conv3 | 0) == 99 | ($conv3 | 0) == 100 | ($conv3 | 0) == 101 | ($conv3 | 0) == 102) {
   185436       label = 8;
   185437       break;
   185438     } else {
   185439       $result_1 = $result_0;
   185440       label = 9;
   185441       break;
   185442     }
   185443    case 6:
   185444     $shl = $result_0 << 4;
   185445     $sub = $conv3 - 48 | 0;
   185446     $or = $sub | $shl;
   185447     $result_1 = $or;
   185448     label = 9;
   185449     break;
   185450    case 7:
   185451     $shl8 = $result_0 << 4;
   185452     $add = $shl8 - 55 | 0;
   185453     $add10 = $add + $conv3 | 0;
   185454     $result_1 = $add10;
   185455     label = 9;
   185456     break;
   185457    case 8:
   185458     $shl12 = $result_0 << 4;
   185459     $add14 = $shl12 - 87 | 0;
   185460     $add15 = $add14 + $conv3 | 0;
   185461     $result_1 = $add15;
   185462     label = 9;
   185463     break;
   185464    case 9:
   185465     $cmp16 = ($result_1 | 0) > 1114111;
   185466     $add_ptr19 = $ptr_addr_0 + 1 | 0;
   185467     if ($cmp16) {
   185468       $retval_0 = -1;
   185469       label = 13;
   185470       break;
   185471     } else {
   185472       $ptr_addr_0 = $add_ptr19;
   185473       $result_0 = $result_1;
   185474       label = 4;
   185475       break;
   185476     }
   185477    case 10:
   185478     $2 = HEAP8[$ptr_addr_1] | 0;
   185479     $lnot24 = $2 << 24 >> 24 == 59;
   185480     if ($lnot24) {
   185481       $result_3 = $result_2;
   185482       label = 12;
   185483       break;
   185484     } else {
   185485       label = 11;
   185486       break;
   185487     }
   185488    case 11:
   185489     $conv21 = $2 << 24 >> 24;
   185490     $mul = $result_2 * 10 & -1;
   185491     $sub28 = $mul - 48 | 0;
   185492     $add29 = $sub28 + $conv21 | 0;
   185493     $cmp30 = ($add29 | 0) > 1114111;
   185494     $add_ptr35 = $ptr_addr_1 + 1 | 0;
   185495     if ($cmp30) {
   185496       $retval_0 = -1;
   185497       label = 13;
   185498       break;
   185499     } else {
   185500       $ptr_addr_1 = $add_ptr35;
   185501       $result_2 = $add29;
   185502       label = 10;
   185503       break;
   185504     }
   185505    case 12:
   185506     $call = _checkCharRefNumber($result_3) | 0;
   185507     $retval_0 = $call;
   185508     label = 13;
   185509     break;
   185510    case 13:
   185511     return $retval_0 | 0;
   185512   }
   185513   return 0;
   185514 }
   185515 function _isNever($enc, $p) {
   185516   $enc = $enc | 0;
   185517   $p = $p | 0;
   185518   return 0;
   185519 }
   185520 function _normal_isPublicId($enc, $ptr, $end, $badPtr) {
   185521   $enc = $enc | 0;
   185522   $ptr = $ptr | 0;
   185523   $end = $end | 0;
   185524   $badPtr = $badPtr | 0;
   185525   var $add_ptr1 = 0, $ptr_addr_013 = 0, $cmp14 = 0, $type = 0, $0 = 0, $ptr_addr_015 = 0, $1 = 0, $idxprom = 0, $arrayidx = 0, $2 = 0, $conv = 0, $ptr_addr_0 = 0, $cmp = 0, $cmp4 = 0, $tobool = 0, $3 = 0, $conv10 = 0, $retval_0 = 0, label = 0;
   185526   label = 2;
   185527   while (1) switch (label | 0) {
   185528    case 2:
   185529     $add_ptr1 = $end - 1 | 0;
   185530     $ptr_addr_013 = $ptr + 1 | 0;
   185531     $cmp14 = ($ptr_addr_013 | 0) == ($add_ptr1 | 0);
   185532     if ($cmp14) {
   185533       $retval_0 = 1;
   185534       label = 11;
   185535       break;
   185536     } else {
   185537       label = 3;
   185538       break;
   185539     }
   185540    case 3:
   185541     $type = $enc + 72 | 0;
   185542     $0 = $type;
   185543     $ptr_addr_015 = $ptr_addr_013;
   185544     label = 4;
   185545     break;
   185546    case 4:
   185547     $1 = HEAP8[$ptr_addr_015] | 0;
   185548     $idxprom = $1 & 255;
   185549     $arrayidx = $0 + $idxprom | 0;
   185550     $2 = HEAP8[$arrayidx] | 0;
   185551     $conv = $2 & 255;
   185552     if (($conv | 0) == 25 | ($conv | 0) == 24 | ($conv | 0) == 27 | ($conv | 0) == 13 | ($conv | 0) == 31 | ($conv | 0) == 32 | ($conv | 0) == 34 | ($conv | 0) == 35 | ($conv | 0) == 17 | ($conv | 0) == 14 | ($conv | 0) == 15 | ($conv | 0) == 9 | ($conv | 0) == 10 | ($conv | 0) == 18 | ($conv | 0) == 16 | ($conv | 0) == 33 | ($conv | 0) == 30 | ($conv | 0) == 19) {
   185553       label = 5;
   185554       break;
   185555     } else if (($conv | 0) == 21) {
   185556       label = 6;
   185557       break;
   185558     } else if (($conv | 0) == 26 | ($conv | 0) == 22) {
   185559       label = 8;
   185560       break;
   185561     } else {
   185562       label = 9;
   185563       break;
   185564     }
   185565    case 5:
   185566     $ptr_addr_0 = $ptr_addr_015 + 1 | 0;
   185567     $cmp = ($ptr_addr_0 | 0) == ($add_ptr1 | 0);
   185568     if ($cmp) {
   185569       $retval_0 = 1;
   185570       label = 11;
   185571       break;
   185572     } else {
   185573       $ptr_addr_015 = $ptr_addr_0;
   185574       label = 4;
   185575       break;
   185576     }
   185577    case 6:
   185578     $cmp4 = $1 << 24 >> 24 == 9;
   185579     if ($cmp4) {
   185580       label = 7;
   185581       break;
   185582     } else {
   185583       label = 5;
   185584       break;
   185585     }
   185586    case 7:
   185587     HEAP32[$badPtr >> 2] = $ptr_addr_015;
   185588     $retval_0 = 0;
   185589     label = 11;
   185590     break;
   185591    case 8:
   185592     $tobool = $1 << 24 >> 24 < 0;
   185593     if ($tobool) {
   185594       label = 9;
   185595       break;
   185596     } else {
   185597       label = 5;
   185598       break;
   185599     }
   185600    case 9:
   185601     $3 = HEAP8[$ptr_addr_015] | 0;
   185602     $conv10 = $3 << 24 >> 24;
   185603     if (($conv10 | 0) == 36 | ($conv10 | 0) == 64) {
   185604       label = 5;
   185605       break;
   185606     } else {
   185607       label = 10;
   185608       break;
   185609     }
   185610    case 10:
   185611     HEAP32[$badPtr >> 2] = $ptr_addr_015;
   185612     $retval_0 = 0;
   185613     label = 11;
   185614     break;
   185615    case 11:
   185616     return $retval_0 | 0;
   185617   }
   185618   return 0;
   185619 }
   185620 function _utf8_toUtf8($enc, $fromP, $fromLim, $toP, $toLim) {
   185621   $enc = $enc | 0;
   185622   $fromP = $fromP | 0;
   185623   $fromLim = $fromLim | 0;
   185624   $toP = $toP | 0;
   185625   $toLim = $toLim | 0;
   185626   var $0 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $1 = 0, $sub_ptr_lhs_cast1 = 0, $sub_ptr_rhs_cast2 = 0, $sub_ptr_sub3 = 0, $cmp = 0, $add_ptr = 0, $2 = 0, $fromLim_addr_0 = 0, $cmp7 = 0, $arrayidx = 0, $3 = 0, $and = 0, $cmp8 = 0, $fromLim_addr_1 = 0, $4 = 0, $5 = 0, $cmp1317 = 0, $from_019 = 0, $to_018 = 0, $6 = 0, $incdec_ptr17 = 0, $incdec_ptr18 = 0, $cmp13 = 0, $from_0_lcssa = 0, $to_0_lcssa = 0, label = 0;
   185627   label = 2;
   185628   while (1) switch (label | 0) {
   185629    case 2:
   185630     $0 = HEAP32[$fromP >> 2] | 0;
   185631     $sub_ptr_lhs_cast = $fromLim;
   185632     $sub_ptr_rhs_cast = $0;
   185633     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   185634     $1 = HEAP32[$toP >> 2] | 0;
   185635     $sub_ptr_lhs_cast1 = $toLim;
   185636     $sub_ptr_rhs_cast2 = $1;
   185637     $sub_ptr_sub3 = $sub_ptr_lhs_cast1 - $sub_ptr_rhs_cast2 | 0;
   185638     $cmp = ($sub_ptr_sub | 0) > ($sub_ptr_sub3 | 0);
   185639     if ($cmp) {
   185640       label = 3;
   185641       break;
   185642     } else {
   185643       $fromLim_addr_1 = $fromLim;
   185644       label = 6;
   185645       break;
   185646     }
   185647    case 3:
   185648     $add_ptr = $0 + $sub_ptr_sub3 | 0;
   185649     $2 = HEAP32[$fromP >> 2] | 0;
   185650     $fromLim_addr_0 = $add_ptr;
   185651     label = 4;
   185652     break;
   185653    case 4:
   185654     $cmp7 = $fromLim_addr_0 >>> 0 > $2 >>> 0;
   185655     if ($cmp7) {
   185656       label = 5;
   185657       break;
   185658     } else {
   185659       $fromLim_addr_1 = $fromLim_addr_0;
   185660       label = 6;
   185661       break;
   185662     }
   185663    case 5:
   185664     $arrayidx = $fromLim_addr_0 - 1 | 0;
   185665     $3 = HEAP8[$arrayidx] | 0;
   185666     $and = $3 & -64;
   185667     $cmp8 = $and << 24 >> 24 == -128;
   185668     if ($cmp8) {
   185669       $fromLim_addr_0 = $arrayidx;
   185670       label = 4;
   185671       break;
   185672     } else {
   185673       $fromLim_addr_1 = $fromLim_addr_0;
   185674       label = 6;
   185675       break;
   185676     }
   185677    case 6:
   185678     $4 = HEAP32[$toP >> 2] | 0;
   185679     $5 = HEAP32[$fromP >> 2] | 0;
   185680     $cmp1317 = ($5 | 0) == ($fromLim_addr_1 | 0);
   185681     if ($cmp1317) {
   185682       $to_0_lcssa = $4;
   185683       $from_0_lcssa = $5;
   185684       label = 8;
   185685       break;
   185686     } else {
   185687       $to_018 = $4;
   185688       $from_019 = $5;
   185689       label = 7;
   185690       break;
   185691     }
   185692    case 7:
   185693     $6 = HEAP8[$from_019] | 0;
   185694     HEAP8[$to_018] = $6;
   185695     $incdec_ptr17 = $from_019 + 1 | 0;
   185696     $incdec_ptr18 = $to_018 + 1 | 0;
   185697     $cmp13 = ($incdec_ptr17 | 0) == ($fromLim_addr_1 | 0);
   185698     if ($cmp13) {
   185699       $to_0_lcssa = $incdec_ptr18;
   185700       $from_0_lcssa = $incdec_ptr17;
   185701       label = 8;
   185702       break;
   185703     } else {
   185704       $to_018 = $incdec_ptr18;
   185705       $from_019 = $incdec_ptr17;
   185706       label = 7;
   185707       break;
   185708     }
   185709    case 8:
   185710     HEAP32[$fromP >> 2] = $from_0_lcssa;
   185711     HEAP32[$toP >> 2] = $to_0_lcssa;
   185712     return;
   185713   }
   185714 }
   185715 function _utf8_toUtf16($enc, $fromP, $fromLim, $toP, $toLim) {
   185716   $enc = $enc | 0;
   185717   $fromP = $fromP | 0;
   185718   $fromLim = $fromLim | 0;
   185719   $toP = $toP | 0;
   185720   $toLim = $toLim | 0;
   185721   var $0 = 0, $1 = 0, $cmp38 = 0, $cmp139 = 0, $or_cond40 = 0, $type = 0, $2 = 0, $to_042 = 0, $from_041 = 0, $3 = 0, $idxprom = 0, $arrayidx = 0, $4 = 0, $conv = 0, $conv336 = 0, $and = 0, $shl = 0, $arrayidx4 = 0, $5 = 0, $conv537 = 0, $and6 = 0, $or = 0, $incdec_ptr = 0, $add_ptr = 0, $conv1033 = 0, $and11 = 0, $arrayidx13 = 0, $6 = 0, $conv1434 = 0, $and15 = 0, $shl16 = 0, $or17 = 0, $arrayidx18 = 0, $7 = 0, $conv1935 = 0, $and20 = 0, $or21 = 0, $incdec_ptr23 = 0, $add_ptr24 = 0, $add_ptr26 = 0, $cmp27 = 0, $and31 = 0, $shl32 = 0, $arrayidx33 = 0, $8 = 0, $conv3430 = 0, $and35 = 0, $shl36 = 0, $or37 = 0, $arrayidx38 = 0, $9 = 0, $conv3931 = 0, $and40 = 0, $shl41 = 0, $or42 = 0, $arrayidx43 = 0, $10 = 0, $conv4432 = 0, $and45 = 0, $or46 = 0, $sub = 0, $shr = 0, $or47 = 0, $conv48 = 0, $and50 = 0, $or51 = 0, $conv52 = 0, $add_ptr54 = 0, $add_ptr55 = 0, $incdec_ptr56 = 0, $conv57 = 0, $incdec_ptr58 = 0, $from_0_be = 0, $to_0_be = 0, $cmp = 0, $cmp1 = 0, $or_cond = 0, $to_0_lcssa = 0, $from_0_lcssa = 0, label = 0;
   185722   label = 2;
   185723   while (1) switch (label | 0) {
   185724    case 2:
   185725     $0 = HEAP32[$toP >> 2] | 0;
   185726     $1 = HEAP32[$fromP >> 2] | 0;
   185727     $cmp38 = ($1 | 0) == ($fromLim | 0);
   185728     $cmp139 = ($0 | 0) == ($toLim | 0);
   185729     $or_cond40 = $cmp38 | $cmp139;
   185730     if ($or_cond40) {
   185731       $from_0_lcssa = $1;
   185732       $to_0_lcssa = $0;
   185733       label = 11;
   185734       break;
   185735     } else {
   185736       label = 3;
   185737       break;
   185738     }
   185739    case 3:
   185740     $type = $enc + 72 | 0;
   185741     $2 = $type;
   185742     $from_041 = $1;
   185743     $to_042 = $0;
   185744     label = 4;
   185745     break;
   185746    case 4:
   185747     $3 = HEAP8[$from_041] | 0;
   185748     $idxprom = $3 & 255;
   185749     $arrayidx = $2 + $idxprom | 0;
   185750     $4 = HEAP8[$arrayidx] | 0;
   185751     $conv = $4 & 255;
   185752     if (($conv | 0) == 5) {
   185753       label = 5;
   185754       break;
   185755     } else if (($conv | 0) == 6) {
   185756       label = 6;
   185757       break;
   185758     } else if (($conv | 0) == 7) {
   185759       label = 7;
   185760       break;
   185761     } else {
   185762       label = 9;
   185763       break;
   185764     }
   185765    case 5:
   185766     $conv336 = $3 & 255;
   185767     $and = $conv336 << 6;
   185768     $shl = $and & 1984;
   185769     $arrayidx4 = $from_041 + 1 | 0;
   185770     $5 = HEAP8[$arrayidx4] | 0;
   185771     $conv537 = $5 & 255;
   185772     $and6 = $conv537 & 63;
   185773     $or = $and6 | $shl;
   185774     $incdec_ptr = $to_042 + 2 | 0;
   185775     HEAP16[$to_042 >> 1] = $or;
   185776     $add_ptr = $from_041 + 2 | 0;
   185777     $to_0_be = $incdec_ptr;
   185778     $from_0_be = $add_ptr;
   185779     label = 10;
   185780     break;
   185781    case 6:
   185782     $conv1033 = $3 & 255;
   185783     $and11 = $conv1033 << 12;
   185784     $arrayidx13 = $from_041 + 1 | 0;
   185785     $6 = HEAP8[$arrayidx13] | 0;
   185786     $conv1434 = $6 & 255;
   185787     $and15 = $conv1434 << 6;
   185788     $shl16 = $and15 & 4032;
   185789     $or17 = $shl16 | $and11;
   185790     $arrayidx18 = $from_041 + 2 | 0;
   185791     $7 = HEAP8[$arrayidx18] | 0;
   185792     $conv1935 = $7 & 255;
   185793     $and20 = $conv1935 & 63;
   185794     $or21 = $or17 | $and20;
   185795     $incdec_ptr23 = $to_042 + 2 | 0;
   185796     HEAP16[$to_042 >> 1] = $or21;
   185797     $add_ptr24 = $from_041 + 3 | 0;
   185798     $to_0_be = $incdec_ptr23;
   185799     $from_0_be = $add_ptr24;
   185800     label = 10;
   185801     break;
   185802    case 7:
   185803     $add_ptr26 = $to_042 + 2 | 0;
   185804     $cmp27 = ($add_ptr26 | 0) == ($toLim | 0);
   185805     if ($cmp27) {
   185806       $from_0_lcssa = $from_041;
   185807       $to_0_lcssa = $to_042;
   185808       label = 11;
   185809       break;
   185810     } else {
   185811       label = 8;
   185812       break;
   185813     }
   185814    case 8:
   185815     $and31 = $idxprom << 18;
   185816     $shl32 = $and31 & 1835008;
   185817     $arrayidx33 = $from_041 + 1 | 0;
   185818     $8 = HEAP8[$arrayidx33] | 0;
   185819     $conv3430 = $8 & 255;
   185820     $and35 = $conv3430 << 12;
   185821     $shl36 = $and35 & 258048;
   185822     $or37 = $shl36 | $shl32;
   185823     $arrayidx38 = $from_041 + 2 | 0;
   185824     $9 = HEAP8[$arrayidx38] | 0;
   185825     $conv3931 = $9 & 255;
   185826     $and40 = $conv3931 << 6;
   185827     $shl41 = $and40 & 4032;
   185828     $or42 = $or37 | $shl41;
   185829     $arrayidx43 = $from_041 + 3 | 0;
   185830     $10 = HEAP8[$arrayidx43] | 0;
   185831     $conv4432 = $10 & 255;
   185832     $and45 = $conv4432 & 63;
   185833     $or46 = $or42 | $and45;
   185834     $sub = $or46 - 65536 | 0;
   185835     $shr = $sub >>> 10;
   185836     $or47 = $shr | 55296;
   185837     $conv48 = $or47 & 65535;
   185838     HEAP16[$to_042 >> 1] = $conv48;
   185839     $and50 = $sub & 1023;
   185840     $or51 = $and50 | 56320;
   185841     $conv52 = $or51 & 65535;
   185842     HEAP16[$add_ptr26 >> 1] = $conv52;
   185843     $add_ptr54 = $to_042 + 4 | 0;
   185844     $add_ptr55 = $from_041 + 4 | 0;
   185845     $to_0_be = $add_ptr54;
   185846     $from_0_be = $add_ptr55;
   185847     label = 10;
   185848     break;
   185849    case 9:
   185850     $incdec_ptr56 = $from_041 + 1 | 0;
   185851     $conv57 = $3 << 24 >> 24;
   185852     $incdec_ptr58 = $to_042 + 2 | 0;
   185853     HEAP16[$to_042 >> 1] = $conv57;
   185854     $to_0_be = $incdec_ptr58;
   185855     $from_0_be = $incdec_ptr56;
   185856     label = 10;
   185857     break;
   185858    case 10:
   185859     $cmp = ($from_0_be | 0) == ($fromLim | 0);
   185860     $cmp1 = ($to_0_be | 0) == ($toLim | 0);
   185861     $or_cond = $cmp | $cmp1;
   185862     if ($or_cond) {
   185863       $from_0_lcssa = $from_0_be;
   185864       $to_0_lcssa = $to_0_be;
   185865       label = 11;
   185866       break;
   185867     } else {
   185868       $from_041 = $from_0_be;
   185869       $to_042 = $to_0_be;
   185870       label = 4;
   185871       break;
   185872     }
   185873    case 11:
   185874     HEAP32[$fromP >> 2] = $from_0_lcssa;
   185875     HEAP32[$toP >> 2] = $to_0_lcssa;
   185876     return;
   185877   }
   185878 }
   185879 function _utf8_isName2($enc, $p) {
   185880   $enc = $enc | 0;
   185881   $p = $p | 0;
   185882   var $conv = 0, $conv8 = 0;
   185883   $conv = HEAPU8[$p] | 0;
   185884   $conv8 = HEAPU8[$p + 1 | 0] | 0;
   185885   return 1 << ($conv8 & 31) & HEAP32[9544 + (((HEAPU8[10824 + ($conv >>> 2 & 7) | 0] | 0) << 3 | $conv << 1 & 6 | $conv8 >>> 5 & 1) << 2) >> 2] | 0;
   185886 }
   185887 function _utf8_isName3($enc, $p) {
   185888   $enc = $enc | 0;
   185889   $p = $p | 0;
   185890   var $conv2 = 0, $conv13 = 0;
   185891   $conv2 = HEAPU8[$p + 1 | 0] | 0;
   185892   $conv13 = HEAPU8[$p + 2 | 0] | 0;
   185893   return 1 << ($conv13 & 31) & HEAP32[9544 + (((HEAPU8[10824 + ($conv2 >>> 2 & 15 | (HEAPU8[$p] | 0) << 4 & 240) | 0] | 0) << 3 | $conv2 << 1 & 6 | $conv13 >>> 5 & 1) << 2) >> 2] | 0;
   185894 }
   185895 function _utf8_isNmstrt2($enc, $p) {
   185896   $enc = $enc | 0;
   185897   $p = $p | 0;
   185898   var $conv = 0, $conv8 = 0;
   185899   $conv = HEAPU8[$p] | 0;
   185900   $conv8 = HEAPU8[$p + 1 | 0] | 0;
   185901   return 1 << ($conv8 & 31) & HEAP32[9544 + (((HEAPU8[9272 + ($conv >>> 2 & 7) | 0] | 0) << 3 | $conv << 1 & 6 | $conv8 >>> 5 & 1) << 2) >> 2] | 0;
   185902 }
   185903 function _utf8_isNmstrt3($enc, $p) {
   185904   $enc = $enc | 0;
   185905   $p = $p | 0;
   185906   var $conv2 = 0, $conv13 = 0;
   185907   $conv2 = HEAPU8[$p + 1 | 0] | 0;
   185908   $conv13 = HEAPU8[$p + 2 | 0] | 0;
   185909   return 1 << ($conv13 & 31) & HEAP32[9544 + (((HEAPU8[9272 + ($conv2 >>> 2 & 15 | (HEAPU8[$p] | 0) << 4 & 240) | 0] | 0) << 3 | $conv2 << 1 & 6 | $conv13 >>> 5 & 1) << 2) >> 2] | 0;
   185910 }
   185911 function _utf8_isInvalid2($enc, $p) {
   185912   $enc = $enc | 0;
   185913   $p = $p | 0;
   185914   var $0 = 0, $cmp = 0, $arrayidx = 0, $1 = 0, $conv2 = 0, $and = 0, $cmp3 = 0, $and7 = 0, $cmp8 = 0, $phitmp = 0, $2 = 0, label = 0;
   185915   label = 2;
   185916   while (1) switch (label | 0) {
   185917    case 2:
   185918     $0 = HEAP8[$p] | 0;
   185919     $cmp = ($0 & 255) < 194;
   185920     if ($cmp) {
   185921       $2 = 1;
   185922       label = 5;
   185923       break;
   185924     } else {
   185925       label = 3;
   185926       break;
   185927     }
   185928    case 3:
   185929     $arrayidx = $p + 1 | 0;
   185930     $1 = HEAP8[$arrayidx] | 0;
   185931     $conv2 = $1 & 255;
   185932     $and = $conv2 & 128;
   185933     $cmp3 = ($and | 0) == 0;
   185934     if ($cmp3) {
   185935       $2 = 1;
   185936       label = 5;
   185937       break;
   185938     } else {
   185939       label = 4;
   185940       break;
   185941     }
   185942    case 4:
   185943     $and7 = $conv2 & 192;
   185944     $cmp8 = ($and7 | 0) == 192;
   185945     $phitmp = $cmp8 & 1;
   185946     $2 = $phitmp;
   185947     label = 5;
   185948     break;
   185949    case 5:
   185950     return $2 | 0;
   185951   }
   185952   return 0;
   185953 }
   185954 function _utf8_isInvalid3($enc, $p) {
   185955   $enc = $enc | 0;
   185956   $p = $p | 0;
   185957   var $arrayidx = 0, $0 = 0, $cmp = 0, $1 = 0, $cmp3 = 0, $arrayidx5 = 0, $2 = 0, $cmp7 = 0, $cmp11 = 0, $3 = 0, $and15 = 0, $cmp16 = 0, $4 = 0, $cmp19 = 0, $arrayidx22 = 0, $5 = 0, $conv23 = 0, $cmp24 = 0, $and29 = 0, $cmp30 = 0, $and35 = 0, $cmp36 = 0, $cmp40 = 0, $cmp45 = 0, $and50 = 0, $cmp51 = 0, $6 = 0, $lor_ext59 = 0, label = 0;
   185958   label = 2;
   185959   while (1) switch (label | 0) {
   185960    case 2:
   185961     $arrayidx = $p + 2 | 0;
   185962     $0 = HEAP8[$arrayidx] | 0;
   185963     $cmp = $0 << 24 >> 24 > -1;
   185964     if ($cmp) {
   185965       $6 = 1;
   185966       label = 14;
   185967       break;
   185968     } else {
   185969       label = 3;
   185970       break;
   185971     }
   185972    case 3:
   185973     $1 = HEAP8[$p] | 0;
   185974     $cmp3 = $1 << 24 >> 24 == -17;
   185975     if ($cmp3) {
   185976       label = 4;
   185977       break;
   185978     } else {
   185979       label = 6;
   185980       break;
   185981     }
   185982    case 4:
   185983     $arrayidx5 = $p + 1 | 0;
   185984     $2 = HEAP8[$arrayidx5] | 0;
   185985     $cmp7 = $2 << 24 >> 24 == -65;
   185986     if ($cmp7) {
   185987       label = 5;
   185988       break;
   185989     } else {
   185990       label = 6;
   185991       break;
   185992     }
   185993    case 5:
   185994     $cmp11 = ($0 & 255) > 189;
   185995     if ($cmp11) {
   185996       $6 = 1;
   185997       label = 14;
   185998       break;
   185999     } else {
   186000       label = 7;
   186001       break;
   186002     }
   186003    case 6:
   186004     $3 = HEAP8[$arrayidx] | 0;
   186005     $and15 = $3 & -64;
   186006     $cmp16 = $and15 << 24 >> 24 == -64;
   186007     if ($cmp16) {
   186008       $6 = 1;
   186009       label = 14;
   186010       break;
   186011     } else {
   186012       label = 7;
   186013       break;
   186014     }
   186015    case 7:
   186016     $4 = HEAP8[$p] | 0;
   186017     $cmp19 = $4 << 24 >> 24 == -32;
   186018     $arrayidx22 = $p + 1 | 0;
   186019     $5 = HEAP8[$arrayidx22] | 0;
   186020     $conv23 = $5 & 255;
   186021     if ($cmp19) {
   186022       label = 8;
   186023       break;
   186024     } else {
   186025       label = 10;
   186026       break;
   186027     }
   186028    case 8:
   186029     $cmp24 = ($5 & 255) < 160;
   186030     if ($cmp24) {
   186031       $6 = 1;
   186032       label = 14;
   186033       break;
   186034     } else {
   186035       label = 9;
   186036       break;
   186037     }
   186038    case 9:
   186039     $and29 = $conv23 & 192;
   186040     $cmp30 = ($and29 | 0) == 192;
   186041     $6 = $cmp30;
   186042     label = 14;
   186043     break;
   186044    case 10:
   186045     $and35 = $conv23 & 128;
   186046     $cmp36 = ($and35 | 0) == 0;
   186047     if ($cmp36) {
   186048       $6 = 1;
   186049       label = 14;
   186050       break;
   186051     } else {
   186052       label = 11;
   186053       break;
   186054     }
   186055    case 11:
   186056     $cmp40 = $4 << 24 >> 24 == -19;
   186057     if ($cmp40) {
   186058       label = 12;
   186059       break;
   186060     } else {
   186061       label = 13;
   186062       break;
   186063     }
   186064    case 12:
   186065     $cmp45 = ($5 & 255) > 159;
   186066     $6 = $cmp45;
   186067     label = 14;
   186068     break;
   186069    case 13:
   186070     $and50 = $conv23 & 192;
   186071     $cmp51 = ($and50 | 0) == 192;
   186072     $6 = $cmp51;
   186073     label = 14;
   186074     break;
   186075    case 14:
   186076     $lor_ext59 = $6 & 1;
   186077     return $lor_ext59 | 0;
   186078   }
   186079   return 0;
   186080 }
   186081 function _utf8_isInvalid4($enc, $p) {
   186082   $enc = $enc | 0;
   186083   $p = $p | 0;
   186084   var $arrayidx = 0, $0 = 0, $conv = 0, $and = 0, $cmp = 0, $and4 = 0, $cmp5 = 0, $or_cond = 0, $arrayidx8 = 0, $1 = 0, $conv9 = 0, $and10 = 0, $cmp11 = 0, $and16 = 0, $cmp17 = 0, $or_cond9 = 0, $2 = 0, $cmp20 = 0, $arrayidx22 = 0, $3 = 0, $conv23 = 0, $cmp24 = 0, $and29 = 0, $cmp30 = 0, $and34 = 0, $cmp35 = 0, $cmp39 = 0, $cmp44 = 0, $and49 = 0, $cmp50 = 0, $4 = 0, $lor_ext58 = 0, label = 0;
   186085   label = 2;
   186086   while (1) switch (label | 0) {
   186087    case 2:
   186088     $arrayidx = $p + 3 | 0;
   186089     $0 = HEAP8[$arrayidx] | 0;
   186090     $conv = $0 & 255;
   186091     $and = $conv & 128;
   186092     $cmp = ($and | 0) == 0;
   186093     $and4 = $conv & 192;
   186094     $cmp5 = ($and4 | 0) == 192;
   186095     $or_cond = $cmp | $cmp5;
   186096     if ($or_cond) {
   186097       $4 = 1;
   186098       label = 11;
   186099       break;
   186100     } else {
   186101       label = 3;
   186102       break;
   186103     }
   186104    case 3:
   186105     $arrayidx8 = $p + 2 | 0;
   186106     $1 = HEAP8[$arrayidx8] | 0;
   186107     $conv9 = $1 & 255;
   186108     $and10 = $conv9 & 128;
   186109     $cmp11 = ($and10 | 0) == 0;
   186110     $and16 = $conv9 & 192;
   186111     $cmp17 = ($and16 | 0) == 192;
   186112     $or_cond9 = $cmp11 | $cmp17;
   186113     if ($or_cond9) {
   186114       $4 = 1;
   186115       label = 11;
   186116       break;
   186117     } else {
   186118       label = 4;
   186119       break;
   186120     }
   186121    case 4:
   186122     $2 = HEAP8[$p] | 0;
   186123     $cmp20 = $2 << 24 >> 24 == -16;
   186124     $arrayidx22 = $p + 1 | 0;
   186125     $3 = HEAP8[$arrayidx22] | 0;
   186126     $conv23 = $3 & 255;
   186127     if ($cmp20) {
   186128       label = 5;
   186129       break;
   186130     } else {
   186131       label = 7;
   186132       break;
   186133     }
   186134    case 5:
   186135     $cmp24 = ($3 & 255) < 144;
   186136     if ($cmp24) {
   186137       $4 = 1;
   186138       label = 11;
   186139       break;
   186140     } else {
   186141       label = 6;
   186142       break;
   186143     }
   186144    case 6:
   186145     $and29 = $conv23 & 192;
   186146     $cmp30 = ($and29 | 0) == 192;
   186147     $4 = $cmp30;
   186148     label = 11;
   186149     break;
   186150    case 7:
   186151     $and34 = $conv23 & 128;
   186152     $cmp35 = ($and34 | 0) == 0;
   186153     if ($cmp35) {
   186154       $4 = 1;
   186155       label = 11;
   186156       break;
   186157     } else {
   186158       label = 8;
   186159       break;
   186160     }
   186161    case 8:
   186162     $cmp39 = $2 << 24 >> 24 == -12;
   186163     if ($cmp39) {
   186164       label = 9;
   186165       break;
   186166     } else {
   186167       label = 10;
   186168       break;
   186169     }
   186170    case 9:
   186171     $cmp44 = ($3 & 255) > 143;
   186172     $4 = $cmp44;
   186173     label = 11;
   186174     break;
   186175    case 10:
   186176     $and49 = $conv23 & 192;
   186177     $cmp50 = ($and49 | 0) == 192;
   186178     $4 = $cmp50;
   186179     label = 11;
   186180     break;
   186181    case 11:
   186182     $lor_ext58 = $4 & 1;
   186183     return $lor_ext58 | 0;
   186184   }
   186185   return 0;
   186186 }
   186187 function _normal_scanRef($enc, $ptr, $end, $nextTokPtr) {
   186188   $enc = $enc | 0;
   186189   $ptr = $ptr | 0;
   186190   $end = $end | 0;
   186191   $nextTokPtr = $nextTokPtr | 0;
   186192   var $cmp = 0, $0 = 0, $idxprom = 0, $type = 0, $1 = 0, $arrayidx = 0, $2 = 0, $conv = 0, $add_ptr = 0, $ptr_addr_0_ph = 0, $cmp3969 = 0, $sub_ptr_lhs_cast49 = 0, $3 = 0, $sub_ptr_lhs_cast62 = 0, $isName3 = 0, $sub_ptr_lhs_cast75 = 0, $isName4 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp3 = 0, $isNmstrt2 = 0, $4 = 0, $5 = 0, $call = 0, $tobool = 0, $add_ptr9 = 0, $sub_ptr_lhs_cast11 = 0, $sub_ptr_rhs_cast12 = 0, $sub_ptr_sub13 = 0, $cmp14 = 0, $isNmstrt3 = 0, $6 = 0, $7 = 0, $call18 = 0, $tobool19 = 0, $add_ptr22 = 0, $sub_ptr_lhs_cast24 = 0, $sub_ptr_rhs_cast25 = 0, $sub_ptr_sub26 = 0, $cmp27 = 0, $isNmstrt4 = 0, $8 = 0, $9 = 0, $call31 = 0, $tobool32 = 0, $add_ptr35 = 0, $add_ptr37 = 0, $call38 = 0, $ptr_addr_070 = 0, $10 = 0, $idxprom41 = 0, $arrayidx43 = 0, $11 = 0, $conv44 = 0, $add_ptr47 = 0, $sub_ptr_rhs_cast50 = 0, $sub_ptr_sub51 = 0, $cmp52 = 0, $12 = 0, $call56 = 0, $tobool57 = 0, $add_ptr60 = 0, $sub_ptr_rhs_cast63 = 0, $sub_ptr_sub64 = 0, $cmp65 = 0, $13 = 0, $14 = 0, $call69 = 0, $tobool70 = 0, $add_ptr73 = 0, $sub_ptr_rhs_cast76 = 0, $sub_ptr_sub77 = 0, $cmp78 = 0, $15 = 0, $16 = 0, $call82 = 0, $tobool83 = 0, $add_ptr86 = 0, $ptr_addr_0_be = 0, $cmp39 = 0, $add_ptr88 = 0, $retval_0 = 0, label = 0;
   186193   label = 2;
   186194   while (1) switch (label | 0) {
   186195    case 2:
   186196     $cmp = ($ptr | 0) == ($end | 0);
   186197     if ($cmp) {
   186198       $retval_0 = -1;
   186199       label = 40;
   186200       break;
   186201     } else {
   186202       label = 3;
   186203       break;
   186204     }
   186205    case 3:
   186206     $0 = HEAP8[$ptr] | 0;
   186207     $idxprom = $0 & 255;
   186208     $type = $enc + 72 | 0;
   186209     $1 = $type;
   186210     $arrayidx = $1 + $idxprom | 0;
   186211     $2 = HEAP8[$arrayidx] | 0;
   186212     $conv = $2 & 255;
   186213     if (($conv | 0) == 29) {
   186214       label = 4;
   186215       break;
   186216     } else if (($conv | 0) == 22 | ($conv | 0) == 24) {
   186217       label = 5;
   186218       break;
   186219     } else if (($conv | 0) == 5) {
   186220       label = 8;
   186221       break;
   186222     } else if (($conv | 0) == 6) {
   186223       label = 12;
   186224       break;
   186225     } else if (($conv | 0) == 7) {
   186226       label = 16;
   186227       break;
   186228     } else if (($conv | 0) == 19) {
   186229       label = 20;
   186230       break;
   186231     } else {
   186232       label = 21;
   186233       break;
   186234     }
   186235    case 4:
   186236     HEAP32[$nextTokPtr >> 2] = $ptr;
   186237     $retval_0 = 0;
   186238     label = 40;
   186239     break;
   186240    case 5:
   186241     $add_ptr = $ptr + 1 | 0;
   186242     $ptr_addr_0_ph = $add_ptr;
   186243     label = 6;
   186244     break;
   186245    case 6:
   186246     $cmp3969 = ($ptr_addr_0_ph | 0) == ($end | 0);
   186247     if ($cmp3969) {
   186248       $retval_0 = -1;
   186249       label = 40;
   186250       break;
   186251     } else {
   186252       label = 7;
   186253       break;
   186254     }
   186255    case 7:
   186256     $sub_ptr_lhs_cast49 = $end;
   186257     $3 = $enc + 328 | 0;
   186258     $sub_ptr_lhs_cast62 = $end;
   186259     $isName3 = $enc + 332 | 0;
   186260     $sub_ptr_lhs_cast75 = $end;
   186261     $isName4 = $enc + 336 | 0;
   186262     $ptr_addr_070 = $ptr_addr_0_ph;
   186263     label = 22;
   186264     break;
   186265    case 8:
   186266     $sub_ptr_lhs_cast = $end;
   186267     $sub_ptr_rhs_cast = $ptr;
   186268     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   186269     $cmp3 = ($sub_ptr_sub | 0) < 2;
   186270     if ($cmp3) {
   186271       $retval_0 = -2;
   186272       label = 40;
   186273       break;
   186274     } else {
   186275       label = 9;
   186276       break;
   186277     }
   186278    case 9:
   186279     $isNmstrt2 = $enc + 340 | 0;
   186280     $4 = HEAP32[$isNmstrt2 >> 2] | 0;
   186281     $5 = $4;
   186282     $call = FUNCTION_TABLE_iii[$5 & 1023]($enc, $ptr) | 0;
   186283     $tobool = ($call | 0) == 0;
   186284     if ($tobool) {
   186285       label = 10;
   186286       break;
   186287     } else {
   186288       label = 11;
   186289       break;
   186290     }
   186291    case 10:
   186292     HEAP32[$nextTokPtr >> 2] = $ptr;
   186293     $retval_0 = 0;
   186294     label = 40;
   186295     break;
   186296    case 11:
   186297     $add_ptr9 = $ptr + 2 | 0;
   186298     $ptr_addr_0_ph = $add_ptr9;
   186299     label = 6;
   186300     break;
   186301    case 12:
   186302     $sub_ptr_lhs_cast11 = $end;
   186303     $sub_ptr_rhs_cast12 = $ptr;
   186304     $sub_ptr_sub13 = $sub_ptr_lhs_cast11 - $sub_ptr_rhs_cast12 | 0;
   186305     $cmp14 = ($sub_ptr_sub13 | 0) < 3;
   186306     if ($cmp14) {
   186307       $retval_0 = -2;
   186308       label = 40;
   186309       break;
   186310     } else {
   186311       label = 13;
   186312       break;
   186313     }
   186314    case 13:
   186315     $isNmstrt3 = $enc + 344 | 0;
   186316     $6 = HEAP32[$isNmstrt3 >> 2] | 0;
   186317     $7 = $6;
   186318     $call18 = FUNCTION_TABLE_iii[$7 & 1023]($enc, $ptr) | 0;
   186319     $tobool19 = ($call18 | 0) == 0;
   186320     if ($tobool19) {
   186321       label = 14;
   186322       break;
   186323     } else {
   186324       label = 15;
   186325       break;
   186326     }
   186327    case 14:
   186328     HEAP32[$nextTokPtr >> 2] = $ptr;
   186329     $retval_0 = 0;
   186330     label = 40;
   186331     break;
   186332    case 15:
   186333     $add_ptr22 = $ptr + 3 | 0;
   186334     $ptr_addr_0_ph = $add_ptr22;
   186335     label = 6;
   186336     break;
   186337    case 16:
   186338     $sub_ptr_lhs_cast24 = $end;
   186339     $sub_ptr_rhs_cast25 = $ptr;
   186340     $sub_ptr_sub26 = $sub_ptr_lhs_cast24 - $sub_ptr_rhs_cast25 | 0;
   186341     $cmp27 = ($sub_ptr_sub26 | 0) < 4;
   186342     if ($cmp27) {
   186343       $retval_0 = -2;
   186344       label = 40;
   186345       break;
   186346     } else {
   186347       label = 17;
   186348       break;
   186349     }
   186350    case 17:
   186351     $isNmstrt4 = $enc + 348 | 0;
   186352     $8 = HEAP32[$isNmstrt4 >> 2] | 0;
   186353     $9 = $8;
   186354     $call31 = FUNCTION_TABLE_iii[$9 & 1023]($enc, $ptr) | 0;
   186355     $tobool32 = ($call31 | 0) == 0;
   186356     if ($tobool32) {
   186357       label = 18;
   186358       break;
   186359     } else {
   186360       label = 19;
   186361       break;
   186362     }
   186363    case 18:
   186364     HEAP32[$nextTokPtr >> 2] = $ptr;
   186365     $retval_0 = 0;
   186366     label = 40;
   186367     break;
   186368    case 19:
   186369     $add_ptr35 = $ptr + 4 | 0;
   186370     $ptr_addr_0_ph = $add_ptr35;
   186371     label = 6;
   186372     break;
   186373    case 20:
   186374     $add_ptr37 = $ptr + 1 | 0;
   186375     $call38 = _normal_scanCharRef($enc, $add_ptr37, $end, $nextTokPtr) | 0;
   186376     $retval_0 = $call38;
   186377     label = 40;
   186378     break;
   186379    case 21:
   186380     HEAP32[$nextTokPtr >> 2] = $ptr;
   186381     $retval_0 = 0;
   186382     label = 40;
   186383     break;
   186384    case 22:
   186385     $10 = HEAP8[$ptr_addr_070] | 0;
   186386     $idxprom41 = $10 & 255;
   186387     $arrayidx43 = $1 + $idxprom41 | 0;
   186388     $11 = HEAP8[$arrayidx43] | 0;
   186389     $conv44 = $11 & 255;
   186390     if (($conv44 | 0) == 29) {
   186391       label = 23;
   186392       break;
   186393     } else if (($conv44 | 0) == 22 | ($conv44 | 0) == 24 | ($conv44 | 0) == 25 | ($conv44 | 0) == 26 | ($conv44 | 0) == 27) {
   186394       label = 24;
   186395       break;
   186396     } else if (($conv44 | 0) == 5) {
   186397       label = 25;
   186398       break;
   186399     } else if (($conv44 | 0) == 6) {
   186400       label = 29;
   186401       break;
   186402     } else if (($conv44 | 0) == 7) {
   186403       label = 33;
   186404       break;
   186405     } else if (($conv44 | 0) == 18) {
   186406       label = 38;
   186407       break;
   186408     } else {
   186409       label = 39;
   186410       break;
   186411     }
   186412    case 23:
   186413     HEAP32[$nextTokPtr >> 2] = $ptr_addr_070;
   186414     $retval_0 = 0;
   186415     label = 40;
   186416     break;
   186417    case 24:
   186418     $add_ptr47 = $ptr_addr_070 + 1 | 0;
   186419     $ptr_addr_0_be = $add_ptr47;
   186420     label = 37;
   186421     break;
   186422    case 25:
   186423     $sub_ptr_rhs_cast50 = $ptr_addr_070;
   186424     $sub_ptr_sub51 = $sub_ptr_lhs_cast49 - $sub_ptr_rhs_cast50 | 0;
   186425     $cmp52 = ($sub_ptr_sub51 | 0) < 2;
   186426     if ($cmp52) {
   186427       $retval_0 = -2;
   186428       label = 40;
   186429       break;
   186430     } else {
   186431       label = 26;
   186432       break;
   186433     }
   186434    case 26:
   186435     $12 = HEAP32[$3 >> 2] | 0;
   186436     $call56 = FUNCTION_TABLE_iii[$12 & 1023]($enc, $ptr_addr_070) | 0;
   186437     $tobool57 = ($call56 | 0) == 0;
   186438     if ($tobool57) {
   186439       label = 27;
   186440       break;
   186441     } else {
   186442       label = 28;
   186443       break;
   186444     }
   186445    case 27:
   186446     HEAP32[$nextTokPtr >> 2] = $ptr_addr_070;
   186447     $retval_0 = 0;
   186448     label = 40;
   186449     break;
   186450    case 28:
   186451     $add_ptr60 = $ptr_addr_070 + 2 | 0;
   186452     $ptr_addr_0_be = $add_ptr60;
   186453     label = 37;
   186454     break;
   186455    case 29:
   186456     $sub_ptr_rhs_cast63 = $ptr_addr_070;
   186457     $sub_ptr_sub64 = $sub_ptr_lhs_cast62 - $sub_ptr_rhs_cast63 | 0;
   186458     $cmp65 = ($sub_ptr_sub64 | 0) < 3;
   186459     if ($cmp65) {
   186460       $retval_0 = -2;
   186461       label = 40;
   186462       break;
   186463     } else {
   186464       label = 30;
   186465       break;
   186466     }
   186467    case 30:
   186468     $13 = HEAP32[$isName3 >> 2] | 0;
   186469     $14 = $13;
   186470     $call69 = FUNCTION_TABLE_iii[$14 & 1023]($enc, $ptr_addr_070) | 0;
   186471     $tobool70 = ($call69 | 0) == 0;
   186472     if ($tobool70) {
   186473       label = 31;
   186474       break;
   186475     } else {
   186476       label = 32;
   186477       break;
   186478     }
   186479    case 31:
   186480     HEAP32[$nextTokPtr >> 2] = $ptr_addr_070;
   186481     $retval_0 = 0;
   186482     label = 40;
   186483     break;
   186484    case 32:
   186485     $add_ptr73 = $ptr_addr_070 + 3 | 0;
   186486     $ptr_addr_0_be = $add_ptr73;
   186487     label = 37;
   186488     break;
   186489    case 33:
   186490     $sub_ptr_rhs_cast76 = $ptr_addr_070;
   186491     $sub_ptr_sub77 = $sub_ptr_lhs_cast75 - $sub_ptr_rhs_cast76 | 0;
   186492     $cmp78 = ($sub_ptr_sub77 | 0) < 4;
   186493     if ($cmp78) {
   186494       $retval_0 = -2;
   186495       label = 40;
   186496       break;
   186497     } else {
   186498       label = 34;
   186499       break;
   186500     }
   186501    case 34:
   186502     $15 = HEAP32[$isName4 >> 2] | 0;
   186503     $16 = $15;
   186504     $call82 = FUNCTION_TABLE_iii[$16 & 1023]($enc, $ptr_addr_070) | 0;
   186505     $tobool83 = ($call82 | 0) == 0;
   186506     if ($tobool83) {
   186507       label = 35;
   186508       break;
   186509     } else {
   186510       label = 36;
   186511       break;
   186512     }
   186513    case 35:
   186514     HEAP32[$nextTokPtr >> 2] = $ptr_addr_070;
   186515     $retval_0 = 0;
   186516     label = 40;
   186517     break;
   186518    case 36:
   186519     $add_ptr86 = $ptr_addr_070 + 4 | 0;
   186520     $ptr_addr_0_be = $add_ptr86;
   186521     label = 37;
   186522     break;
   186523    case 37:
   186524     $cmp39 = ($ptr_addr_0_be | 0) == ($end | 0);
   186525     if ($cmp39) {
   186526       $retval_0 = -1;
   186527       label = 40;
   186528       break;
   186529     } else {
   186530       $ptr_addr_070 = $ptr_addr_0_be;
   186531       label = 22;
   186532       break;
   186533     }
   186534    case 38:
   186535     $add_ptr88 = $ptr_addr_070 + 1 | 0;
   186536     HEAP32[$nextTokPtr >> 2] = $add_ptr88;
   186537     $retval_0 = 9;
   186538     label = 40;
   186539     break;
   186540    case 39:
   186541     HEAP32[$nextTokPtr >> 2] = $ptr_addr_070;
   186542     $retval_0 = 0;
   186543     label = 40;
   186544     break;
   186545    case 40:
   186546     return $retval_0 | 0;
   186547   }
   186548   return 0;
   186549 }
   186550 function _normal_scanPercent($enc, $ptr, $end, $nextTokPtr) {
   186551   $enc = $enc | 0;
   186552   $ptr = $ptr | 0;
   186553   $end = $end | 0;
   186554   $nextTokPtr = $nextTokPtr | 0;
   186555   var $cmp = 0, $0 = 0, $idxprom = 0, $type = 0, $1 = 0, $arrayidx = 0, $2 = 0, $conv = 0, $add_ptr = 0, $ptr_addr_0_ph = 0, $cmp3767 = 0, $sub_ptr_lhs_cast47 = 0, $3 = 0, $sub_ptr_lhs_cast60 = 0, $isName3 = 0, $sub_ptr_lhs_cast73 = 0, $isName4 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp3 = 0, $isNmstrt2 = 0, $4 = 0, $5 = 0, $call = 0, $tobool = 0, $add_ptr9 = 0, $sub_ptr_lhs_cast11 = 0, $sub_ptr_rhs_cast12 = 0, $sub_ptr_sub13 = 0, $cmp14 = 0, $isNmstrt3 = 0, $6 = 0, $7 = 0, $call18 = 0, $tobool19 = 0, $add_ptr22 = 0, $sub_ptr_lhs_cast24 = 0, $sub_ptr_rhs_cast25 = 0, $sub_ptr_sub26 = 0, $cmp27 = 0, $isNmstrt4 = 0, $8 = 0, $9 = 0, $call31 = 0, $tobool32 = 0, $add_ptr35 = 0, $ptr_addr_068 = 0, $10 = 0, $idxprom39 = 0, $arrayidx41 = 0, $11 = 0, $conv42 = 0, $add_ptr45 = 0, $sub_ptr_rhs_cast48 = 0, $sub_ptr_sub49 = 0, $cmp50 = 0, $12 = 0, $call54 = 0, $tobool55 = 0, $add_ptr58 = 0, $sub_ptr_rhs_cast61 = 0, $sub_ptr_sub62 = 0, $cmp63 = 0, $13 = 0, $14 = 0, $call67 = 0, $tobool68 = 0, $add_ptr71 = 0, $sub_ptr_rhs_cast74 = 0, $sub_ptr_sub75 = 0, $cmp76 = 0, $15 = 0, $16 = 0, $call80 = 0, $tobool81 = 0, $add_ptr84 = 0, $ptr_addr_0_be = 0, $cmp37 = 0, $add_ptr86 = 0, $retval_0 = 0, label = 0;
   186556   label = 2;
   186557   while (1) switch (label | 0) {
   186558    case 2:
   186559     $cmp = ($ptr | 0) == ($end | 0);
   186560     if ($cmp) {
   186561       $retval_0 = -1;
   186562       label = 40;
   186563       break;
   186564     } else {
   186565       label = 3;
   186566       break;
   186567     }
   186568    case 3:
   186569     $0 = HEAP8[$ptr] | 0;
   186570     $idxprom = $0 & 255;
   186571     $type = $enc + 72 | 0;
   186572     $1 = $type;
   186573     $arrayidx = $1 + $idxprom | 0;
   186574     $2 = HEAP8[$arrayidx] | 0;
   186575     $conv = $2 & 255;
   186576     if (($conv | 0) == 29) {
   186577       label = 4;
   186578       break;
   186579     } else if (($conv | 0) == 22 | ($conv | 0) == 24) {
   186580       label = 5;
   186581       break;
   186582     } else if (($conv | 0) == 5) {
   186583       label = 8;
   186584       break;
   186585     } else if (($conv | 0) == 6) {
   186586       label = 12;
   186587       break;
   186588     } else if (($conv | 0) == 7) {
   186589       label = 16;
   186590       break;
   186591     } else if (($conv | 0) == 21 | ($conv | 0) == 10 | ($conv | 0) == 9 | ($conv | 0) == 30) {
   186592       label = 20;
   186593       break;
   186594     } else {
   186595       label = 21;
   186596       break;
   186597     }
   186598    case 4:
   186599     HEAP32[$nextTokPtr >> 2] = $ptr;
   186600     $retval_0 = 0;
   186601     label = 40;
   186602     break;
   186603    case 5:
   186604     $add_ptr = $ptr + 1 | 0;
   186605     $ptr_addr_0_ph = $add_ptr;
   186606     label = 6;
   186607     break;
   186608    case 6:
   186609     $cmp3767 = ($ptr_addr_0_ph | 0) == ($end | 0);
   186610     if ($cmp3767) {
   186611       $retval_0 = -1;
   186612       label = 40;
   186613       break;
   186614     } else {
   186615       label = 7;
   186616       break;
   186617     }
   186618    case 7:
   186619     $sub_ptr_lhs_cast47 = $end;
   186620     $3 = $enc + 328 | 0;
   186621     $sub_ptr_lhs_cast60 = $end;
   186622     $isName3 = $enc + 332 | 0;
   186623     $sub_ptr_lhs_cast73 = $end;
   186624     $isName4 = $enc + 336 | 0;
   186625     $ptr_addr_068 = $ptr_addr_0_ph;
   186626     label = 22;
   186627     break;
   186628    case 8:
   186629     $sub_ptr_lhs_cast = $end;
   186630     $sub_ptr_rhs_cast = $ptr;
   186631     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   186632     $cmp3 = ($sub_ptr_sub | 0) < 2;
   186633     if ($cmp3) {
   186634       $retval_0 = -2;
   186635       label = 40;
   186636       break;
   186637     } else {
   186638       label = 9;
   186639       break;
   186640     }
   186641    case 9:
   186642     $isNmstrt2 = $enc + 340 | 0;
   186643     $4 = HEAP32[$isNmstrt2 >> 2] | 0;
   186644     $5 = $4;
   186645     $call = FUNCTION_TABLE_iii[$5 & 1023]($enc, $ptr) | 0;
   186646     $tobool = ($call | 0) == 0;
   186647     if ($tobool) {
   186648       label = 10;
   186649       break;
   186650     } else {
   186651       label = 11;
   186652       break;
   186653     }
   186654    case 10:
   186655     HEAP32[$nextTokPtr >> 2] = $ptr;
   186656     $retval_0 = 0;
   186657     label = 40;
   186658     break;
   186659    case 11:
   186660     $add_ptr9 = $ptr + 2 | 0;
   186661     $ptr_addr_0_ph = $add_ptr9;
   186662     label = 6;
   186663     break;
   186664    case 12:
   186665     $sub_ptr_lhs_cast11 = $end;
   186666     $sub_ptr_rhs_cast12 = $ptr;
   186667     $sub_ptr_sub13 = $sub_ptr_lhs_cast11 - $sub_ptr_rhs_cast12 | 0;
   186668     $cmp14 = ($sub_ptr_sub13 | 0) < 3;
   186669     if ($cmp14) {
   186670       $retval_0 = -2;
   186671       label = 40;
   186672       break;
   186673     } else {
   186674       label = 13;
   186675       break;
   186676     }
   186677    case 13:
   186678     $isNmstrt3 = $enc + 344 | 0;
   186679     $6 = HEAP32[$isNmstrt3 >> 2] | 0;
   186680     $7 = $6;
   186681     $call18 = FUNCTION_TABLE_iii[$7 & 1023]($enc, $ptr) | 0;
   186682     $tobool19 = ($call18 | 0) == 0;
   186683     if ($tobool19) {
   186684       label = 14;
   186685       break;
   186686     } else {
   186687       label = 15;
   186688       break;
   186689     }
   186690    case 14:
   186691     HEAP32[$nextTokPtr >> 2] = $ptr;
   186692     $retval_0 = 0;
   186693     label = 40;
   186694     break;
   186695    case 15:
   186696     $add_ptr22 = $ptr + 3 | 0;
   186697     $ptr_addr_0_ph = $add_ptr22;
   186698     label = 6;
   186699     break;
   186700    case 16:
   186701     $sub_ptr_lhs_cast24 = $end;
   186702     $sub_ptr_rhs_cast25 = $ptr;
   186703     $sub_ptr_sub26 = $sub_ptr_lhs_cast24 - $sub_ptr_rhs_cast25 | 0;
   186704     $cmp27 = ($sub_ptr_sub26 | 0) < 4;
   186705     if ($cmp27) {
   186706       $retval_0 = -2;
   186707       label = 40;
   186708       break;
   186709     } else {
   186710       label = 17;
   186711       break;
   186712     }
   186713    case 17:
   186714     $isNmstrt4 = $enc + 348 | 0;
   186715     $8 = HEAP32[$isNmstrt4 >> 2] | 0;
   186716     $9 = $8;
   186717     $call31 = FUNCTION_TABLE_iii[$9 & 1023]($enc, $ptr) | 0;
   186718     $tobool32 = ($call31 | 0) == 0;
   186719     if ($tobool32) {
   186720       label = 18;
   186721       break;
   186722     } else {
   186723       label = 19;
   186724       break;
   186725     }
   186726    case 18:
   186727     HEAP32[$nextTokPtr >> 2] = $ptr;
   186728     $retval_0 = 0;
   186729     label = 40;
   186730     break;
   186731    case 19:
   186732     $add_ptr35 = $ptr + 4 | 0;
   186733     $ptr_addr_0_ph = $add_ptr35;
   186734     label = 6;
   186735     break;
   186736    case 20:
   186737     HEAP32[$nextTokPtr >> 2] = $ptr;
   186738     $retval_0 = 22;
   186739     label = 40;
   186740     break;
   186741    case 21:
   186742     HEAP32[$nextTokPtr >> 2] = $ptr;
   186743     $retval_0 = 0;
   186744     label = 40;
   186745     break;
   186746    case 22:
   186747     $10 = HEAP8[$ptr_addr_068] | 0;
   186748     $idxprom39 = $10 & 255;
   186749     $arrayidx41 = $1 + $idxprom39 | 0;
   186750     $11 = HEAP8[$arrayidx41] | 0;
   186751     $conv42 = $11 & 255;
   186752     if (($conv42 | 0) == 29) {
   186753       label = 23;
   186754       break;
   186755     } else if (($conv42 | 0) == 22 | ($conv42 | 0) == 24 | ($conv42 | 0) == 25 | ($conv42 | 0) == 26 | ($conv42 | 0) == 27) {
   186756       label = 24;
   186757       break;
   186758     } else if (($conv42 | 0) == 5) {
   186759       label = 25;
   186760       break;
   186761     } else if (($conv42 | 0) == 6) {
   186762       label = 29;
   186763       break;
   186764     } else if (($conv42 | 0) == 7) {
   186765       label = 33;
   186766       break;
   186767     } else if (($conv42 | 0) == 18) {
   186768       label = 38;
   186769       break;
   186770     } else {
   186771       label = 39;
   186772       break;
   186773     }
   186774    case 23:
   186775     HEAP32[$nextTokPtr >> 2] = $ptr_addr_068;
   186776     $retval_0 = 0;
   186777     label = 40;
   186778     break;
   186779    case 24:
   186780     $add_ptr45 = $ptr_addr_068 + 1 | 0;
   186781     $ptr_addr_0_be = $add_ptr45;
   186782     label = 37;
   186783     break;
   186784    case 25:
   186785     $sub_ptr_rhs_cast48 = $ptr_addr_068;
   186786     $sub_ptr_sub49 = $sub_ptr_lhs_cast47 - $sub_ptr_rhs_cast48 | 0;
   186787     $cmp50 = ($sub_ptr_sub49 | 0) < 2;
   186788     if ($cmp50) {
   186789       $retval_0 = -2;
   186790       label = 40;
   186791       break;
   186792     } else {
   186793       label = 26;
   186794       break;
   186795     }
   186796    case 26:
   186797     $12 = HEAP32[$3 >> 2] | 0;
   186798     $call54 = FUNCTION_TABLE_iii[$12 & 1023]($enc, $ptr_addr_068) | 0;
   186799     $tobool55 = ($call54 | 0) == 0;
   186800     if ($tobool55) {
   186801       label = 27;
   186802       break;
   186803     } else {
   186804       label = 28;
   186805       break;
   186806     }
   186807    case 27:
   186808     HEAP32[$nextTokPtr >> 2] = $ptr_addr_068;
   186809     $retval_0 = 0;
   186810     label = 40;
   186811     break;
   186812    case 28:
   186813     $add_ptr58 = $ptr_addr_068 + 2 | 0;
   186814     $ptr_addr_0_be = $add_ptr58;
   186815     label = 37;
   186816     break;
   186817    case 29:
   186818     $sub_ptr_rhs_cast61 = $ptr_addr_068;
   186819     $sub_ptr_sub62 = $sub_ptr_lhs_cast60 - $sub_ptr_rhs_cast61 | 0;
   186820     $cmp63 = ($sub_ptr_sub62 | 0) < 3;
   186821     if ($cmp63) {
   186822       $retval_0 = -2;
   186823       label = 40;
   186824       break;
   186825     } else {
   186826       label = 30;
   186827       break;
   186828     }
   186829    case 30:
   186830     $13 = HEAP32[$isName3 >> 2] | 0;
   186831     $14 = $13;
   186832     $call67 = FUNCTION_TABLE_iii[$14 & 1023]($enc, $ptr_addr_068) | 0;
   186833     $tobool68 = ($call67 | 0) == 0;
   186834     if ($tobool68) {
   186835       label = 31;
   186836       break;
   186837     } else {
   186838       label = 32;
   186839       break;
   186840     }
   186841    case 31:
   186842     HEAP32[$nextTokPtr >> 2] = $ptr_addr_068;
   186843     $retval_0 = 0;
   186844     label = 40;
   186845     break;
   186846    case 32:
   186847     $add_ptr71 = $ptr_addr_068 + 3 | 0;
   186848     $ptr_addr_0_be = $add_ptr71;
   186849     label = 37;
   186850     break;
   186851    case 33:
   186852     $sub_ptr_rhs_cast74 = $ptr_addr_068;
   186853     $sub_ptr_sub75 = $sub_ptr_lhs_cast73 - $sub_ptr_rhs_cast74 | 0;
   186854     $cmp76 = ($sub_ptr_sub75 | 0) < 4;
   186855     if ($cmp76) {
   186856       $retval_0 = -2;
   186857       label = 40;
   186858       break;
   186859     } else {
   186860       label = 34;
   186861       break;
   186862     }
   186863    case 34:
   186864     $15 = HEAP32[$isName4 >> 2] | 0;
   186865     $16 = $15;
   186866     $call80 = FUNCTION_TABLE_iii[$16 & 1023]($enc, $ptr_addr_068) | 0;
   186867     $tobool81 = ($call80 | 0) == 0;
   186868     if ($tobool81) {
   186869       label = 35;
   186870       break;
   186871     } else {
   186872       label = 36;
   186873       break;
   186874     }
   186875    case 35:
   186876     HEAP32[$nextTokPtr >> 2] = $ptr_addr_068;
   186877     $retval_0 = 0;
   186878     label = 40;
   186879     break;
   186880    case 36:
   186881     $add_ptr84 = $ptr_addr_068 + 4 | 0;
   186882     $ptr_addr_0_be = $add_ptr84;
   186883     label = 37;
   186884     break;
   186885    case 37:
   186886     $cmp37 = ($ptr_addr_0_be | 0) == ($end | 0);
   186887     if ($cmp37) {
   186888       $retval_0 = -1;
   186889       label = 40;
   186890       break;
   186891     } else {
   186892       $ptr_addr_068 = $ptr_addr_0_be;
   186893       label = 22;
   186894       break;
   186895     }
   186896    case 38:
   186897     $add_ptr86 = $ptr_addr_068 + 1 | 0;
   186898     HEAP32[$nextTokPtr >> 2] = $add_ptr86;
   186899     $retval_0 = 28;
   186900     label = 40;
   186901     break;
   186902    case 39:
   186903     HEAP32[$nextTokPtr >> 2] = $ptr_addr_068;
   186904     $retval_0 = 0;
   186905     label = 40;
   186906     break;
   186907    case 40:
   186908     return $retval_0 | 0;
   186909   }
   186910   return 0;
   186911 }
   186912 function _normal_scanHexCharRef($enc, $ptr, $end, $nextTokPtr) {
   186913   $enc = $enc | 0;
   186914   $ptr = $ptr | 0;
   186915   $end = $end | 0;
   186916   $nextTokPtr = $nextTokPtr | 0;
   186917   var $cmp = 0, $0 = 0, $idxprom = 0, $type = 0, $1 = 0, $arrayidx = 0, $2 = 0, $conv = 0, $conv_off = 0, $switch = 0, $ptr_addr_016 = 0, $cmp117 = 0, $ptr_addr_019 = 0, $ptr_pn18 = 0, $3 = 0, $idxprom3 = 0, $arrayidx5 = 0, $4 = 0, $conv6 = 0, $ptr_addr_0 = 0, $cmp1 = 0, $add_ptr9 = 0, $retval_0 = 0, label = 0;
   186918   label = 2;
   186919   while (1) switch (label | 0) {
   186920    case 2:
   186921     $cmp = ($ptr | 0) == ($end | 0);
   186922     if ($cmp) {
   186923       $retval_0 = -1;
   186924       label = 10;
   186925       break;
   186926     } else {
   186927       label = 3;
   186928       break;
   186929     }
   186930    case 3:
   186931     $0 = HEAP8[$ptr] | 0;
   186932     $idxprom = $0 & 255;
   186933     $type = $enc + 72 | 0;
   186934     $1 = $type;
   186935     $arrayidx = $1 + $idxprom | 0;
   186936     $2 = HEAP8[$arrayidx] | 0;
   186937     $conv = $2 & 255;
   186938     $conv_off = $conv - 24 | 0;
   186939     $switch = $conv_off >>> 0 < 2;
   186940     if ($switch) {
   186941       label = 4;
   186942       break;
   186943     } else {
   186944       label = 5;
   186945       break;
   186946     }
   186947    case 4:
   186948     $ptr_addr_016 = $ptr + 1 | 0;
   186949     $cmp117 = ($ptr_addr_016 | 0) == ($end | 0);
   186950     if ($cmp117) {
   186951       $retval_0 = -1;
   186952       label = 10;
   186953       break;
   186954     } else {
   186955       $ptr_pn18 = $ptr;
   186956       $ptr_addr_019 = $ptr_addr_016;
   186957       label = 6;
   186958       break;
   186959     }
   186960    case 5:
   186961     HEAP32[$nextTokPtr >> 2] = $ptr;
   186962     $retval_0 = 0;
   186963     label = 10;
   186964     break;
   186965    case 6:
   186966     $3 = HEAP8[$ptr_addr_019] | 0;
   186967     $idxprom3 = $3 & 255;
   186968     $arrayidx5 = $1 + $idxprom3 | 0;
   186969     $4 = HEAP8[$arrayidx5] | 0;
   186970     $conv6 = $4 & 255;
   186971     if (($conv6 | 0) == 25 | ($conv6 | 0) == 24) {
   186972       label = 7;
   186973       break;
   186974     } else if (($conv6 | 0) == 18) {
   186975       label = 8;
   186976       break;
   186977     } else {
   186978       label = 9;
   186979       break;
   186980     }
   186981    case 7:
   186982     $ptr_addr_0 = $ptr_addr_019 + 1 | 0;
   186983     $cmp1 = ($ptr_addr_0 | 0) == ($end | 0);
   186984     if ($cmp1) {
   186985       $retval_0 = -1;
   186986       label = 10;
   186987       break;
   186988     } else {
   186989       $ptr_pn18 = $ptr_addr_019;
   186990       $ptr_addr_019 = $ptr_addr_0;
   186991       label = 6;
   186992       break;
   186993     }
   186994    case 8:
   186995     $add_ptr9 = $ptr_pn18 + 2 | 0;
   186996     HEAP32[$nextTokPtr >> 2] = $add_ptr9;
   186997     $retval_0 = 10;
   186998     label = 10;
   186999     break;
   187000    case 9:
   187001     HEAP32[$nextTokPtr >> 2] = $ptr_addr_019;
   187002     $retval_0 = 0;
   187003     label = 10;
   187004     break;
   187005    case 10:
   187006     return $retval_0 | 0;
   187007   }
   187008   return 0;
   187009 }
   187010 function _normal_scanCdataSection($ptr, $end, $nextTokPtr) {
   187011   $ptr = $ptr | 0;
   187012   $end = $end | 0;
   187013   $nextTokPtr = $nextTokPtr | 0;
   187014   var $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp = 0, $0 = 0, $cmp3 = 0, $ptr_addr_02_lcssa = 0, $add_ptr = 0, $1 = 0, $cmp3_1 = 0, $retval_0 = 0, $add_ptr_1 = 0, $2 = 0, $cmp3_2 = 0, $add_ptr_2 = 0, $3 = 0, $cmp3_3 = 0, $add_ptr_3 = 0, $4 = 0, $cmp3_4 = 0, $add_ptr_4 = 0, $5 = 0, $cmp3_5 = 0, $add_ptr_5 = 0, label = 0;
   187015   label = 2;
   187016   while (1) switch (label | 0) {
   187017    case 2:
   187018     $sub_ptr_lhs_cast = $end;
   187019     $sub_ptr_rhs_cast = $ptr;
   187020     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   187021     $cmp = ($sub_ptr_sub | 0) < 6;
   187022     if ($cmp) {
   187023       $retval_0 = -1;
   187024       label = 6;
   187025       break;
   187026     } else {
   187027       label = 3;
   187028       break;
   187029     }
   187030    case 3:
   187031     $0 = HEAP8[$ptr] | 0;
   187032     $cmp3 = $0 << 24 >> 24 == 67;
   187033     if ($cmp3) {
   187034       label = 5;
   187035       break;
   187036     } else {
   187037       $ptr_addr_02_lcssa = $ptr;
   187038       label = 4;
   187039       break;
   187040     }
   187041    case 4:
   187042     HEAP32[$nextTokPtr >> 2] = $ptr_addr_02_lcssa;
   187043     $retval_0 = 0;
   187044     label = 6;
   187045     break;
   187046    case 5:
   187047     $add_ptr = $ptr + 1 | 0;
   187048     $1 = HEAP8[$add_ptr] | 0;
   187049     $cmp3_1 = $1 << 24 >> 24 == 68;
   187050     if ($cmp3_1) {
   187051       label = 7;
   187052       break;
   187053     } else {
   187054       $ptr_addr_02_lcssa = $add_ptr;
   187055       label = 4;
   187056       break;
   187057     }
   187058    case 6:
   187059     return $retval_0 | 0;
   187060    case 7:
   187061     $add_ptr_1 = $ptr + 2 | 0;
   187062     $2 = HEAP8[$add_ptr_1] | 0;
   187063     $cmp3_2 = $2 << 24 >> 24 == 65;
   187064     if ($cmp3_2) {
   187065       label = 8;
   187066       break;
   187067     } else {
   187068       $ptr_addr_02_lcssa = $add_ptr_1;
   187069       label = 4;
   187070       break;
   187071     }
   187072    case 8:
   187073     $add_ptr_2 = $ptr + 3 | 0;
   187074     $3 = HEAP8[$add_ptr_2] | 0;
   187075     $cmp3_3 = $3 << 24 >> 24 == 84;
   187076     if ($cmp3_3) {
   187077       label = 9;
   187078       break;
   187079     } else {
   187080       $ptr_addr_02_lcssa = $add_ptr_2;
   187081       label = 4;
   187082       break;
   187083     }
   187084    case 9:
   187085     $add_ptr_3 = $ptr + 4 | 0;
   187086     $4 = HEAP8[$add_ptr_3] | 0;
   187087     $cmp3_4 = $4 << 24 >> 24 == 65;
   187088     if ($cmp3_4) {
   187089       label = 10;
   187090       break;
   187091     } else {
   187092       $ptr_addr_02_lcssa = $add_ptr_3;
   187093       label = 4;
   187094       break;
   187095     }
   187096    case 10:
   187097     $add_ptr_4 = $ptr + 5 | 0;
   187098     $5 = HEAP8[$add_ptr_4] | 0;
   187099     $cmp3_5 = $5 << 24 >> 24 == 91;
   187100     if ($cmp3_5) {
   187101       label = 11;
   187102       break;
   187103     } else {
   187104       $ptr_addr_02_lcssa = $add_ptr_4;
   187105       label = 4;
   187106       break;
   187107     }
   187108    case 11:
   187109     $add_ptr_5 = $ptr + 6 | 0;
   187110     HEAP32[$nextTokPtr >> 2] = $add_ptr_5;
   187111     $retval_0 = 8;
   187112     label = 6;
   187113     break;
   187114   }
   187115   return 0;
   187116 }
   187117 function _normal_scanCharRef($enc, $ptr, $end, $nextTokPtr) {
   187118   $enc = $enc | 0;
   187119   $ptr = $ptr | 0;
   187120   $end = $end | 0;
   187121   $nextTokPtr = $nextTokPtr | 0;
   187122   var $cmp = 0, $0 = 0, $cmp1 = 0, $add_ptr = 0, $call = 0, $idxprom = 0, $type = 0, $1 = 0, $arrayidx = 0, $2 = 0, $cond = 0, $ptr_pn = 0, $ptr_addr_0 = 0, $cmp6 = 0, $3 = 0, $idxprom8 = 0, $arrayidx10 = 0, $4 = 0, $conv11 = 0, $add_ptr14 = 0, $retval_0 = 0, label = 0;
   187123   label = 2;
   187124   while (1) switch (label | 0) {
   187125    case 2:
   187126     $cmp = ($ptr | 0) == ($end | 0);
   187127     if ($cmp) {
   187128       $retval_0 = -1;
   187129       label = 11;
   187130       break;
   187131     } else {
   187132       label = 3;
   187133       break;
   187134     }
   187135    case 3:
   187136     $0 = HEAP8[$ptr] | 0;
   187137     $cmp1 = $0 << 24 >> 24 == 120;
   187138     if ($cmp1) {
   187139       label = 4;
   187140       break;
   187141     } else {
   187142       label = 5;
   187143       break;
   187144     }
   187145    case 4:
   187146     $add_ptr = $ptr + 1 | 0;
   187147     $call = _normal_scanHexCharRef($enc, $add_ptr, $end, $nextTokPtr) | 0;
   187148     $retval_0 = $call;
   187149     label = 11;
   187150     break;
   187151    case 5:
   187152     $idxprom = $0 & 255;
   187153     $type = $enc + 72 | 0;
   187154     $1 = $type;
   187155     $arrayidx = $1 + $idxprom | 0;
   187156     $2 = HEAP8[$arrayidx] | 0;
   187157     $cond = $2 << 24 >> 24 == 25;
   187158     if ($cond) {
   187159       $ptr_pn = $ptr;
   187160       label = 7;
   187161       break;
   187162     } else {
   187163       label = 6;
   187164       break;
   187165     }
   187166    case 6:
   187167     HEAP32[$nextTokPtr >> 2] = $ptr;
   187168     $retval_0 = 0;
   187169     label = 11;
   187170     break;
   187171    case 7:
   187172     $ptr_addr_0 = $ptr_pn + 1 | 0;
   187173     $cmp6 = ($ptr_addr_0 | 0) == ($end | 0);
   187174     if ($cmp6) {
   187175       $retval_0 = -1;
   187176       label = 11;
   187177       break;
   187178     } else {
   187179       label = 8;
   187180       break;
   187181     }
   187182    case 8:
   187183     $3 = HEAP8[$ptr_addr_0] | 0;
   187184     $idxprom8 = $3 & 255;
   187185     $arrayidx10 = $1 + $idxprom8 | 0;
   187186     $4 = HEAP8[$arrayidx10] | 0;
   187187     $conv11 = $4 & 255;
   187188     if (($conv11 | 0) == 25) {
   187189       $ptr_pn = $ptr_addr_0;
   187190       label = 7;
   187191       break;
   187192     } else if (($conv11 | 0) == 18) {
   187193       label = 9;
   187194       break;
   187195     } else {
   187196       label = 10;
   187197       break;
   187198     }
   187199    case 9:
   187200     $add_ptr14 = $ptr_pn + 2 | 0;
   187201     HEAP32[$nextTokPtr >> 2] = $add_ptr14;
   187202     $retval_0 = 10;
   187203     label = 11;
   187204     break;
   187205    case 10:
   187206     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0;
   187207     $retval_0 = 0;
   187208     label = 11;
   187209     break;
   187210    case 11:
   187211     return $retval_0 | 0;
   187212   }
   187213   return 0;
   187214 }
   187215 function _normal_scanLt($enc, $ptr, $end, $nextTokPtr) {
   187216   $enc = $enc | 0;
   187217   $ptr = $ptr | 0;
   187218   $end = $end | 0;
   187219   $nextTokPtr = $nextTokPtr | 0;
   187220   var $cmp = 0, $0 = 0, $idxprom = 0, $type = 0, $1 = 0, $arrayidx = 0, $2 = 0, $conv = 0, $add_ptr = 0, $ptr_addr_0_ph = 0, $cmp59155 = 0, $sub_ptr_lhs_cast69 = 0, $3 = 0, $sub_ptr_lhs_cast82 = 0, $isName3 = 0, $sub_ptr_lhs_cast95 = 0, $isName4 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp3 = 0, $isNmstrt2 = 0, $4 = 0, $5 = 0, $call = 0, $tobool = 0, $add_ptr9 = 0, $sub_ptr_lhs_cast11 = 0, $sub_ptr_rhs_cast12 = 0, $sub_ptr_sub13 = 0, $cmp14 = 0, $isNmstrt3 = 0, $6 = 0, $7 = 0, $call18 = 0, $tobool19 = 0, $add_ptr22 = 0, $sub_ptr_lhs_cast24 = 0, $sub_ptr_rhs_cast25 = 0, $sub_ptr_sub26 = 0, $cmp27 = 0, $isNmstrt4 = 0, $8 = 0, $9 = 0, $call31 = 0, $tobool32 = 0, $add_ptr35 = 0, $add_ptr37 = 0, $cmp38 = 0, $10 = 0, $idxprom42 = 0, $arrayidx44 = 0, $11 = 0, $conv45 = 0, $add_ptr47 = 0, $call48 = 0, $add_ptr50 = 0, $call51 = 0, $add_ptr53 = 0, $call54 = 0, $add_ptr56 = 0, $call57 = 0, $ptr_addr_0156 = 0, $12 = 0, $idxprom61 = 0, $arrayidx63 = 0, $13 = 0, $conv64 = 0, $ptr_addr_1140 = 0, $cmp110141 = 0, $add_ptr67 = 0, $sub_ptr_rhs_cast70 = 0, $sub_ptr_sub71 = 0, $cmp72 = 0, $14 = 0, $call76 = 0, $tobool77 = 0, $add_ptr80 = 0, $sub_ptr_rhs_cast83 = 0, $sub_ptr_sub84 = 0, $cmp85 = 0, $15 = 0, $16 = 0, $call89 = 0, $tobool90 = 0, $add_ptr93 = 0, $sub_ptr_rhs_cast96 = 0, $sub_ptr_sub97 = 0, $cmp98 = 0, $17 = 0, $18 = 0, $call102 = 0, $tobool103 = 0, $add_ptr106 = 0, $ptr_addr_0_be = 0, $cmp59 = 0, $ptr_addr_1143 = 0, $ptr_addr_0_pn142 = 0, $19 = 0, $idxprom113 = 0, $arrayidx115 = 0, $20 = 0, $conv116 = 0, $ptr_addr_1 = 0, $cmp110 = 0, $add_ptr119 = 0, $sub_ptr_lhs_cast121 = 0, $sub_ptr_rhs_cast122 = 0, $sub_ptr_sub123 = 0, $cmp124 = 0, $isNmstrt2128 = 0, $21 = 0, $22 = 0, $call129 = 0, $tobool130 = 0, $add_ptr133 = 0, $sub_ptr_lhs_cast135 = 0, $sub_ptr_rhs_cast136 = 0, $sub_ptr_sub137 = 0, $cmp138 = 0, $isNmstrt3142 = 0, $23 = 0, $24 = 0, $call143 = 0, $tobool144 = 0, $add_ptr147 = 0, $sub_ptr_lhs_cast149 = 0, $sub_ptr_rhs_cast150 = 0, $sub_ptr_sub151 = 0, $cmp152 = 0, $isNmstrt4156 = 0, $25 = 0, $26 = 0, $call157 = 0, $tobool158 = 0, $add_ptr161 = 0, $ptr_addr_2 = 0, $call168 = 0, $ptr_addr_3 = 0, $add_ptr170 = 0, $ptr_addr_4 = 0, $add_ptr172 = 0, $cmp173 = 0, $27 = 0, $cmp178 = 0, $add_ptr182 = 0, $retval_0 = 0, label = 0;
   187221   label = 2;
   187222   while (1) switch (label | 0) {
   187223    case 2:
   187224     $cmp = ($ptr | 0) == ($end | 0);
   187225     if ($cmp) {
   187226       $retval_0 = -1;
   187227       label = 69;
   187228       break;
   187229     } else {
   187230       label = 3;
   187231       break;
   187232     }
   187233    case 3:
   187234     $0 = HEAP8[$ptr] | 0;
   187235     $idxprom = $0 & 255;
   187236     $type = $enc + 72 | 0;
   187237     $1 = $type;
   187238     $arrayidx = $1 + $idxprom | 0;
   187239     $2 = HEAP8[$arrayidx] | 0;
   187240     $conv = $2 & 255;
   187241     if (($conv | 0) == 29) {
   187242       label = 4;
   187243       break;
   187244     } else if (($conv | 0) == 22 | ($conv | 0) == 24) {
   187245       label = 5;
   187246       break;
   187247     } else if (($conv | 0) == 5) {
   187248       label = 8;
   187249       break;
   187250     } else if (($conv | 0) == 6) {
   187251       label = 12;
   187252       break;
   187253     } else if (($conv | 0) == 7) {
   187254       label = 16;
   187255       break;
   187256     } else if (($conv | 0) == 16) {
   187257       label = 20;
   187258       break;
   187259     } else if (($conv | 0) == 15) {
   187260       label = 25;
   187261       break;
   187262     } else if (($conv | 0) == 17) {
   187263       label = 26;
   187264       break;
   187265     } else {
   187266       label = 27;
   187267       break;
   187268     }
   187269    case 4:
   187270     HEAP32[$nextTokPtr >> 2] = $ptr;
   187271     $retval_0 = 0;
   187272     label = 69;
   187273     break;
   187274    case 5:
   187275     $add_ptr = $ptr + 1 | 0;
   187276     $ptr_addr_0_ph = $add_ptr;
   187277     label = 6;
   187278     break;
   187279    case 6:
   187280     $cmp59155 = ($ptr_addr_0_ph | 0) == ($end | 0);
   187281     if ($cmp59155) {
   187282       $retval_0 = -1;
   187283       label = 69;
   187284       break;
   187285     } else {
   187286       label = 7;
   187287       break;
   187288     }
   187289    case 7:
   187290     $sub_ptr_lhs_cast69 = $end;
   187291     $3 = $enc + 328 | 0;
   187292     $sub_ptr_lhs_cast82 = $end;
   187293     $isName3 = $enc + 332 | 0;
   187294     $sub_ptr_lhs_cast95 = $end;
   187295     $isName4 = $enc + 336 | 0;
   187296     $ptr_addr_0156 = $ptr_addr_0_ph;
   187297     label = 28;
   187298     break;
   187299    case 8:
   187300     $sub_ptr_lhs_cast = $end;
   187301     $sub_ptr_rhs_cast = $ptr;
   187302     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   187303     $cmp3 = ($sub_ptr_sub | 0) < 2;
   187304     if ($cmp3) {
   187305       $retval_0 = -2;
   187306       label = 69;
   187307       break;
   187308     } else {
   187309       label = 9;
   187310       break;
   187311     }
   187312    case 9:
   187313     $isNmstrt2 = $enc + 340 | 0;
   187314     $4 = HEAP32[$isNmstrt2 >> 2] | 0;
   187315     $5 = $4;
   187316     $call = FUNCTION_TABLE_iii[$5 & 1023]($enc, $ptr) | 0;
   187317     $tobool = ($call | 0) == 0;
   187318     if ($tobool) {
   187319       label = 10;
   187320       break;
   187321     } else {
   187322       label = 11;
   187323       break;
   187324     }
   187325    case 10:
   187326     HEAP32[$nextTokPtr >> 2] = $ptr;
   187327     $retval_0 = 0;
   187328     label = 69;
   187329     break;
   187330    case 11:
   187331     $add_ptr9 = $ptr + 2 | 0;
   187332     $ptr_addr_0_ph = $add_ptr9;
   187333     label = 6;
   187334     break;
   187335    case 12:
   187336     $sub_ptr_lhs_cast11 = $end;
   187337     $sub_ptr_rhs_cast12 = $ptr;
   187338     $sub_ptr_sub13 = $sub_ptr_lhs_cast11 - $sub_ptr_rhs_cast12 | 0;
   187339     $cmp14 = ($sub_ptr_sub13 | 0) < 3;
   187340     if ($cmp14) {
   187341       $retval_0 = -2;
   187342       label = 69;
   187343       break;
   187344     } else {
   187345       label = 13;
   187346       break;
   187347     }
   187348    case 13:
   187349     $isNmstrt3 = $enc + 344 | 0;
   187350     $6 = HEAP32[$isNmstrt3 >> 2] | 0;
   187351     $7 = $6;
   187352     $call18 = FUNCTION_TABLE_iii[$7 & 1023]($enc, $ptr) | 0;
   187353     $tobool19 = ($call18 | 0) == 0;
   187354     if ($tobool19) {
   187355       label = 14;
   187356       break;
   187357     } else {
   187358       label = 15;
   187359       break;
   187360     }
   187361    case 14:
   187362     HEAP32[$nextTokPtr >> 2] = $ptr;
   187363     $retval_0 = 0;
   187364     label = 69;
   187365     break;
   187366    case 15:
   187367     $add_ptr22 = $ptr + 3 | 0;
   187368     $ptr_addr_0_ph = $add_ptr22;
   187369     label = 6;
   187370     break;
   187371    case 16:
   187372     $sub_ptr_lhs_cast24 = $end;
   187373     $sub_ptr_rhs_cast25 = $ptr;
   187374     $sub_ptr_sub26 = $sub_ptr_lhs_cast24 - $sub_ptr_rhs_cast25 | 0;
   187375     $cmp27 = ($sub_ptr_sub26 | 0) < 4;
   187376     if ($cmp27) {
   187377       $retval_0 = -2;
   187378       label = 69;
   187379       break;
   187380     } else {
   187381       label = 17;
   187382       break;
   187383     }
   187384    case 17:
   187385     $isNmstrt4 = $enc + 348 | 0;
   187386     $8 = HEAP32[$isNmstrt4 >> 2] | 0;
   187387     $9 = $8;
   187388     $call31 = FUNCTION_TABLE_iii[$9 & 1023]($enc, $ptr) | 0;
   187389     $tobool32 = ($call31 | 0) == 0;
   187390     if ($tobool32) {
   187391       label = 18;
   187392       break;
   187393     } else {
   187394       label = 19;
   187395       break;
   187396     }
   187397    case 18:
   187398     HEAP32[$nextTokPtr >> 2] = $ptr;
   187399     $retval_0 = 0;
   187400     label = 69;
   187401     break;
   187402    case 19:
   187403     $add_ptr35 = $ptr + 4 | 0;
   187404     $ptr_addr_0_ph = $add_ptr35;
   187405     label = 6;
   187406     break;
   187407    case 20:
   187408     $add_ptr37 = $ptr + 1 | 0;
   187409     $cmp38 = ($add_ptr37 | 0) == ($end | 0);
   187410     if ($cmp38) {
   187411       $retval_0 = -1;
   187412       label = 69;
   187413       break;
   187414     } else {
   187415       label = 21;
   187416       break;
   187417     }
   187418    case 21:
   187419     $10 = HEAP8[$add_ptr37] | 0;
   187420     $idxprom42 = $10 & 255;
   187421     $arrayidx44 = $1 + $idxprom42 | 0;
   187422     $11 = HEAP8[$arrayidx44] | 0;
   187423     $conv45 = $11 & 255;
   187424     if (($conv45 | 0) == 27) {
   187425       label = 22;
   187426       break;
   187427     } else if (($conv45 | 0) == 20) {
   187428       label = 23;
   187429       break;
   187430     } else {
   187431       label = 24;
   187432       break;
   187433     }
   187434    case 22:
   187435     $add_ptr47 = $ptr + 2 | 0;
   187436     $call48 = _normal_scanComment($enc, $add_ptr47, $end, $nextTokPtr) | 0;
   187437     $retval_0 = $call48;
   187438     label = 69;
   187439     break;
   187440    case 23:
   187441     $add_ptr50 = $ptr + 2 | 0;
   187442     $call51 = _normal_scanCdataSection($add_ptr50, $end, $nextTokPtr) | 0;
   187443     $retval_0 = $call51;
   187444     label = 69;
   187445     break;
   187446    case 24:
   187447     HEAP32[$nextTokPtr >> 2] = $add_ptr37;
   187448     $retval_0 = 0;
   187449     label = 69;
   187450     break;
   187451    case 25:
   187452     $add_ptr53 = $ptr + 1 | 0;
   187453     $call54 = _normal_scanPi($enc, $add_ptr53, $end, $nextTokPtr) | 0;
   187454     $retval_0 = $call54;
   187455     label = 69;
   187456     break;
   187457    case 26:
   187458     $add_ptr56 = $ptr + 1 | 0;
   187459     $call57 = _normal_scanEndTag($enc, $add_ptr56, $end, $nextTokPtr) | 0;
   187460     $retval_0 = $call57;
   187461     label = 69;
   187462     break;
   187463    case 27:
   187464     HEAP32[$nextTokPtr >> 2] = $ptr;
   187465     $retval_0 = 0;
   187466     label = 69;
   187467     break;
   187468    case 28:
   187469     $12 = HEAP8[$ptr_addr_0156] | 0;
   187470     $idxprom61 = $12 & 255;
   187471     $arrayidx63 = $1 + $idxprom61 | 0;
   187472     $13 = HEAP8[$arrayidx63] | 0;
   187473     $conv64 = $13 & 255;
   187474     if (($conv64 | 0) == 21 | ($conv64 | 0) == 9 | ($conv64 | 0) == 10) {
   187475       label = 29;
   187476       break;
   187477     } else if (($conv64 | 0) == 29) {
   187478       label = 30;
   187479       break;
   187480     } else if (($conv64 | 0) == 22 | ($conv64 | 0) == 24 | ($conv64 | 0) == 25 | ($conv64 | 0) == 26 | ($conv64 | 0) == 27) {
   187481       label = 31;
   187482       break;
   187483     } else if (($conv64 | 0) == 5) {
   187484       label = 32;
   187485       break;
   187486     } else if (($conv64 | 0) == 6) {
   187487       label = 36;
   187488       break;
   187489     } else if (($conv64 | 0) == 7) {
   187490       label = 40;
   187491       break;
   187492     } else if (($conv64 | 0) == 11) {
   187493       $ptr_addr_3 = $ptr_addr_0156;
   187494       label = 63;
   187495       break;
   187496     } else if (($conv64 | 0) == 17) {
   187497       $ptr_addr_4 = $ptr_addr_0156;
   187498       label = 64;
   187499       break;
   187500     } else {
   187501       label = 68;
   187502       break;
   187503     }
   187504    case 29:
   187505     $ptr_addr_1140 = $ptr_addr_0156 + 1 | 0;
   187506     $cmp110141 = ($ptr_addr_1140 | 0) == ($end | 0);
   187507     if ($cmp110141) {
   187508       $retval_0 = -1;
   187509       label = 69;
   187510       break;
   187511     } else {
   187512       $ptr_addr_0_pn142 = $ptr_addr_0156;
   187513       $ptr_addr_1143 = $ptr_addr_1140;
   187514       label = 45;
   187515       break;
   187516     }
   187517    case 30:
   187518     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0156;
   187519     $retval_0 = 0;
   187520     label = 69;
   187521     break;
   187522    case 31:
   187523     $add_ptr67 = $ptr_addr_0156 + 1 | 0;
   187524     $ptr_addr_0_be = $add_ptr67;
   187525     label = 44;
   187526     break;
   187527    case 32:
   187528     $sub_ptr_rhs_cast70 = $ptr_addr_0156;
   187529     $sub_ptr_sub71 = $sub_ptr_lhs_cast69 - $sub_ptr_rhs_cast70 | 0;
   187530     $cmp72 = ($sub_ptr_sub71 | 0) < 2;
   187531     if ($cmp72) {
   187532       $retval_0 = -2;
   187533       label = 69;
   187534       break;
   187535     } else {
   187536       label = 33;
   187537       break;
   187538     }
   187539    case 33:
   187540     $14 = HEAP32[$3 >> 2] | 0;
   187541     $call76 = FUNCTION_TABLE_iii[$14 & 1023]($enc, $ptr_addr_0156) | 0;
   187542     $tobool77 = ($call76 | 0) == 0;
   187543     if ($tobool77) {
   187544       label = 34;
   187545       break;
   187546     } else {
   187547       label = 35;
   187548       break;
   187549     }
   187550    case 34:
   187551     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0156;
   187552     $retval_0 = 0;
   187553     label = 69;
   187554     break;
   187555    case 35:
   187556     $add_ptr80 = $ptr_addr_0156 + 2 | 0;
   187557     $ptr_addr_0_be = $add_ptr80;
   187558     label = 44;
   187559     break;
   187560    case 36:
   187561     $sub_ptr_rhs_cast83 = $ptr_addr_0156;
   187562     $sub_ptr_sub84 = $sub_ptr_lhs_cast82 - $sub_ptr_rhs_cast83 | 0;
   187563     $cmp85 = ($sub_ptr_sub84 | 0) < 3;
   187564     if ($cmp85) {
   187565       $retval_0 = -2;
   187566       label = 69;
   187567       break;
   187568     } else {
   187569       label = 37;
   187570       break;
   187571     }
   187572    case 37:
   187573     $15 = HEAP32[$isName3 >> 2] | 0;
   187574     $16 = $15;
   187575     $call89 = FUNCTION_TABLE_iii[$16 & 1023]($enc, $ptr_addr_0156) | 0;
   187576     $tobool90 = ($call89 | 0) == 0;
   187577     if ($tobool90) {
   187578       label = 38;
   187579       break;
   187580     } else {
   187581       label = 39;
   187582       break;
   187583     }
   187584    case 38:
   187585     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0156;
   187586     $retval_0 = 0;
   187587     label = 69;
   187588     break;
   187589    case 39:
   187590     $add_ptr93 = $ptr_addr_0156 + 3 | 0;
   187591     $ptr_addr_0_be = $add_ptr93;
   187592     label = 44;
   187593     break;
   187594    case 40:
   187595     $sub_ptr_rhs_cast96 = $ptr_addr_0156;
   187596     $sub_ptr_sub97 = $sub_ptr_lhs_cast95 - $sub_ptr_rhs_cast96 | 0;
   187597     $cmp98 = ($sub_ptr_sub97 | 0) < 4;
   187598     if ($cmp98) {
   187599       $retval_0 = -2;
   187600       label = 69;
   187601       break;
   187602     } else {
   187603       label = 41;
   187604       break;
   187605     }
   187606    case 41:
   187607     $17 = HEAP32[$isName4 >> 2] | 0;
   187608     $18 = $17;
   187609     $call102 = FUNCTION_TABLE_iii[$18 & 1023]($enc, $ptr_addr_0156) | 0;
   187610     $tobool103 = ($call102 | 0) == 0;
   187611     if ($tobool103) {
   187612       label = 42;
   187613       break;
   187614     } else {
   187615       label = 43;
   187616       break;
   187617     }
   187618    case 42:
   187619     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0156;
   187620     $retval_0 = 0;
   187621     label = 69;
   187622     break;
   187623    case 43:
   187624     $add_ptr106 = $ptr_addr_0156 + 4 | 0;
   187625     $ptr_addr_0_be = $add_ptr106;
   187626     label = 44;
   187627     break;
   187628    case 44:
   187629     $cmp59 = ($ptr_addr_0_be | 0) == ($end | 0);
   187630     if ($cmp59) {
   187631       $retval_0 = -1;
   187632       label = 69;
   187633       break;
   187634     } else {
   187635       $ptr_addr_0156 = $ptr_addr_0_be;
   187636       label = 28;
   187637       break;
   187638     }
   187639    case 45:
   187640     $19 = HEAP8[$ptr_addr_1143] | 0;
   187641     $idxprom113 = $19 & 255;
   187642     $arrayidx115 = $1 + $idxprom113 | 0;
   187643     $20 = HEAP8[$arrayidx115] | 0;
   187644     $conv116 = $20 & 255;
   187645     if (($conv116 | 0) == 21 | ($conv116 | 0) == 9 | ($conv116 | 0) == 10) {
   187646       label = 46;
   187647       break;
   187648     } else if (($conv116 | 0) == 29) {
   187649       label = 47;
   187650       break;
   187651     } else if (($conv116 | 0) == 22 | ($conv116 | 0) == 24) {
   187652       label = 48;
   187653       break;
   187654     } else if (($conv116 | 0) == 5) {
   187655       label = 49;
   187656       break;
   187657     } else if (($conv116 | 0) == 6) {
   187658       label = 53;
   187659       break;
   187660     } else if (($conv116 | 0) == 7) {
   187661       label = 57;
   187662       break;
   187663     } else if (($conv116 | 0) == 11) {
   187664       $ptr_addr_3 = $ptr_addr_1143;
   187665       label = 63;
   187666       break;
   187667     } else if (($conv116 | 0) == 17) {
   187668       $ptr_addr_4 = $ptr_addr_1143;
   187669       label = 64;
   187670       break;
   187671     } else {
   187672       label = 61;
   187673       break;
   187674     }
   187675    case 46:
   187676     $ptr_addr_1 = $ptr_addr_1143 + 1 | 0;
   187677     $cmp110 = ($ptr_addr_1 | 0) == ($end | 0);
   187678     if ($cmp110) {
   187679       $retval_0 = -1;
   187680       label = 69;
   187681       break;
   187682     } else {
   187683       $ptr_addr_0_pn142 = $ptr_addr_1143;
   187684       $ptr_addr_1143 = $ptr_addr_1;
   187685       label = 45;
   187686       break;
   187687     }
   187688    case 47:
   187689     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1143;
   187690     $retval_0 = 0;
   187691     label = 69;
   187692     break;
   187693    case 48:
   187694     $add_ptr119 = $ptr_addr_0_pn142 + 2 | 0;
   187695     $ptr_addr_2 = $add_ptr119;
   187696     label = 62;
   187697     break;
   187698    case 49:
   187699     $sub_ptr_lhs_cast121 = $end;
   187700     $sub_ptr_rhs_cast122 = $ptr_addr_1143;
   187701     $sub_ptr_sub123 = $sub_ptr_lhs_cast121 - $sub_ptr_rhs_cast122 | 0;
   187702     $cmp124 = ($sub_ptr_sub123 | 0) < 2;
   187703     if ($cmp124) {
   187704       $retval_0 = -2;
   187705       label = 69;
   187706       break;
   187707     } else {
   187708       label = 50;
   187709       break;
   187710     }
   187711    case 50:
   187712     $isNmstrt2128 = $enc + 340 | 0;
   187713     $21 = HEAP32[$isNmstrt2128 >> 2] | 0;
   187714     $22 = $21;
   187715     $call129 = FUNCTION_TABLE_iii[$22 & 1023]($enc, $ptr_addr_1143) | 0;
   187716     $tobool130 = ($call129 | 0) == 0;
   187717     if ($tobool130) {
   187718       label = 51;
   187719       break;
   187720     } else {
   187721       label = 52;
   187722       break;
   187723     }
   187724    case 51:
   187725     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1143;
   187726     $retval_0 = 0;
   187727     label = 69;
   187728     break;
   187729    case 52:
   187730     $add_ptr133 = $ptr_addr_0_pn142 + 3 | 0;
   187731     $ptr_addr_2 = $add_ptr133;
   187732     label = 62;
   187733     break;
   187734    case 53:
   187735     $sub_ptr_lhs_cast135 = $end;
   187736     $sub_ptr_rhs_cast136 = $ptr_addr_1143;
   187737     $sub_ptr_sub137 = $sub_ptr_lhs_cast135 - $sub_ptr_rhs_cast136 | 0;
   187738     $cmp138 = ($sub_ptr_sub137 | 0) < 3;
   187739     if ($cmp138) {
   187740       $retval_0 = -2;
   187741       label = 69;
   187742       break;
   187743     } else {
   187744       label = 54;
   187745       break;
   187746     }
   187747    case 54:
   187748     $isNmstrt3142 = $enc + 344 | 0;
   187749     $23 = HEAP32[$isNmstrt3142 >> 2] | 0;
   187750     $24 = $23;
   187751     $call143 = FUNCTION_TABLE_iii[$24 & 1023]($enc, $ptr_addr_1143) | 0;
   187752     $tobool144 = ($call143 | 0) == 0;
   187753     if ($tobool144) {
   187754       label = 55;
   187755       break;
   187756     } else {
   187757       label = 56;
   187758       break;
   187759     }
   187760    case 55:
   187761     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1143;
   187762     $retval_0 = 0;
   187763     label = 69;
   187764     break;
   187765    case 56:
   187766     $add_ptr147 = $ptr_addr_0_pn142 + 4 | 0;
   187767     $ptr_addr_2 = $add_ptr147;
   187768     label = 62;
   187769     break;
   187770    case 57:
   187771     $sub_ptr_lhs_cast149 = $end;
   187772     $sub_ptr_rhs_cast150 = $ptr_addr_1143;
   187773     $sub_ptr_sub151 = $sub_ptr_lhs_cast149 - $sub_ptr_rhs_cast150 | 0;
   187774     $cmp152 = ($sub_ptr_sub151 | 0) < 4;
   187775     if ($cmp152) {
   187776       $retval_0 = -2;
   187777       label = 69;
   187778       break;
   187779     } else {
   187780       label = 58;
   187781       break;
   187782     }
   187783    case 58:
   187784     $isNmstrt4156 = $enc + 348 | 0;
   187785     $25 = HEAP32[$isNmstrt4156 >> 2] | 0;
   187786     $26 = $25;
   187787     $call157 = FUNCTION_TABLE_iii[$26 & 1023]($enc, $ptr_addr_1143) | 0;
   187788     $tobool158 = ($call157 | 0) == 0;
   187789     if ($tobool158) {
   187790       label = 59;
   187791       break;
   187792     } else {
   187793       label = 60;
   187794       break;
   187795     }
   187796    case 59:
   187797     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1143;
   187798     $retval_0 = 0;
   187799     label = 69;
   187800     break;
   187801    case 60:
   187802     $add_ptr161 = $ptr_addr_0_pn142 + 5 | 0;
   187803     $ptr_addr_2 = $add_ptr161;
   187804     label = 62;
   187805     break;
   187806    case 61:
   187807     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1143;
   187808     $retval_0 = 0;
   187809     label = 69;
   187810     break;
   187811    case 62:
   187812     $call168 = _normal_scanAtts($enc, $ptr_addr_2, $end, $nextTokPtr) | 0;
   187813     $retval_0 = $call168;
   187814     label = 69;
   187815     break;
   187816    case 63:
   187817     $add_ptr170 = $ptr_addr_3 + 1 | 0;
   187818     HEAP32[$nextTokPtr >> 2] = $add_ptr170;
   187819     $retval_0 = 2;
   187820     label = 69;
   187821     break;
   187822    case 64:
   187823     $add_ptr172 = $ptr_addr_4 + 1 | 0;
   187824     $cmp173 = ($add_ptr172 | 0) == ($end | 0);
   187825     if ($cmp173) {
   187826       $retval_0 = -1;
   187827       label = 69;
   187828       break;
   187829     } else {
   187830       label = 65;
   187831       break;
   187832     }
   187833    case 65:
   187834     $27 = HEAP8[$add_ptr172] | 0;
   187835     $cmp178 = $27 << 24 >> 24 == 62;
   187836     if ($cmp178) {
   187837       label = 67;
   187838       break;
   187839     } else {
   187840       label = 66;
   187841       break;
   187842     }
   187843    case 66:
   187844     HEAP32[$nextTokPtr >> 2] = $add_ptr172;
   187845     $retval_0 = 0;
   187846     label = 69;
   187847     break;
   187848    case 67:
   187849     $add_ptr182 = $ptr_addr_4 + 2 | 0;
   187850     HEAP32[$nextTokPtr >> 2] = $add_ptr182;
   187851     $retval_0 = 4;
   187852     label = 69;
   187853     break;
   187854    case 68:
   187855     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0156;
   187856     $retval_0 = 0;
   187857     label = 69;
   187858     break;
   187859    case 69:
   187860     return $retval_0 | 0;
   187861   }
   187862   return 0;
   187863 }
   187864 function _normal_scanComment($enc, $ptr, $end, $nextTokPtr) {
   187865   $enc = $enc | 0;
   187866   $ptr = $ptr | 0;
   187867   $end = $end | 0;
   187868   $nextTokPtr = $nextTokPtr | 0;
   187869   var $cmp = 0, $0 = 0, $cmp1 = 0, $add_ptr = 0, $cmp444 = 0, $type = 0, $1 = 0, $sub_ptr_lhs_cast = 0, $isInvalid2 = 0, $2 = 0, $sub_ptr_lhs_cast15 = 0, $isInvalid3 = 0, $3 = 0, $sub_ptr_lhs_cast28 = 0, $isInvalid4 = 0, $4 = 0, $ptr_addr_045 = 0, $5 = 0, $idxprom = 0, $arrayidx = 0, $6 = 0, $conv6 = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp7 = 0, $7 = 0, $call = 0, $tobool = 0, $add_ptr13 = 0, $sub_ptr_rhs_cast16 = 0, $sub_ptr_sub17 = 0, $cmp18 = 0, $8 = 0, $call22 = 0, $tobool23 = 0, $add_ptr26 = 0, $sub_ptr_rhs_cast29 = 0, $sub_ptr_sub30 = 0, $cmp31 = 0, $9 = 0, $call35 = 0, $tobool36 = 0, $add_ptr39 = 0, $add_ptr42 = 0, $cmp43 = 0, $10 = 0, $cmp48 = 0, $ptr_addr_0_be = 0, $cmp4 = 0, $add_ptr51 = 0, $cmp52 = 0, $11 = 0, $cmp57 = 0, $add_ptr61 = 0, $add_ptr63 = 0, $retval_0 = 0, label = 0;
   187870   label = 2;
   187871   while (1) switch (label | 0) {
   187872    case 2:
   187873     $cmp = ($ptr | 0) == ($end | 0);
   187874     if ($cmp) {
   187875       $retval_0 = -1;
   187876       label = 29;
   187877       break;
   187878     } else {
   187879       label = 3;
   187880       break;
   187881     }
   187882    case 3:
   187883     $0 = HEAP8[$ptr] | 0;
   187884     $cmp1 = $0 << 24 >> 24 == 45;
   187885     if ($cmp1) {
   187886       label = 5;
   187887       break;
   187888     } else {
   187889       label = 4;
   187890       break;
   187891     }
   187892    case 4:
   187893     HEAP32[$nextTokPtr >> 2] = $ptr;
   187894     $retval_0 = 0;
   187895     label = 29;
   187896     break;
   187897    case 5:
   187898     $add_ptr = $ptr + 1 | 0;
   187899     $cmp444 = ($add_ptr | 0) == ($end | 0);
   187900     if ($cmp444) {
   187901       $retval_0 = -1;
   187902       label = 29;
   187903       break;
   187904     } else {
   187905       label = 6;
   187906       break;
   187907     }
   187908    case 6:
   187909     $type = $enc + 72 | 0;
   187910     $1 = $type;
   187911     $sub_ptr_lhs_cast = $end;
   187912     $isInvalid2 = $enc + 352 | 0;
   187913     $2 = $isInvalid2;
   187914     $sub_ptr_lhs_cast15 = $end;
   187915     $isInvalid3 = $enc + 356 | 0;
   187916     $3 = $isInvalid3;
   187917     $sub_ptr_lhs_cast28 = $end;
   187918     $isInvalid4 = $enc + 360 | 0;
   187919     $4 = $isInvalid4;
   187920     $ptr_addr_045 = $add_ptr;
   187921     label = 7;
   187922     break;
   187923    case 7:
   187924     $5 = HEAP8[$ptr_addr_045] | 0;
   187925     $idxprom = $5 & 255;
   187926     $arrayidx = $1 + $idxprom | 0;
   187927     $6 = HEAP8[$arrayidx] | 0;
   187928     $conv6 = $6 & 255;
   187929     if (($conv6 | 0) == 5) {
   187930       label = 8;
   187931       break;
   187932     } else if (($conv6 | 0) == 6) {
   187933       label = 12;
   187934       break;
   187935     } else if (($conv6 | 0) == 7) {
   187936       label = 16;
   187937       break;
   187938     } else if (($conv6 | 0) == 0 | ($conv6 | 0) == 1 | ($conv6 | 0) == 8) {
   187939       label = 20;
   187940       break;
   187941     } else if (($conv6 | 0) == 27) {
   187942       label = 21;
   187943       break;
   187944     } else {
   187945       label = 28;
   187946       break;
   187947     }
   187948    case 8:
   187949     $sub_ptr_rhs_cast = $ptr_addr_045;
   187950     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   187951     $cmp7 = ($sub_ptr_sub | 0) < 2;
   187952     if ($cmp7) {
   187953       $retval_0 = -2;
   187954       label = 29;
   187955       break;
   187956     } else {
   187957       label = 9;
   187958       break;
   187959     }
   187960    case 9:
   187961     $7 = HEAP32[$2 >> 2] | 0;
   187962     $call = FUNCTION_TABLE_iii[$7 & 1023]($enc, $ptr_addr_045) | 0;
   187963     $tobool = ($call | 0) == 0;
   187964     if ($tobool) {
   187965       label = 11;
   187966       break;
   187967     } else {
   187968       label = 10;
   187969       break;
   187970     }
   187971    case 10:
   187972     HEAP32[$nextTokPtr >> 2] = $ptr_addr_045;
   187973     $retval_0 = 0;
   187974     label = 29;
   187975     break;
   187976    case 11:
   187977     $add_ptr13 = $ptr_addr_045 + 2 | 0;
   187978     $ptr_addr_0_be = $add_ptr13;
   187979     label = 23;
   187980     break;
   187981    case 12:
   187982     $sub_ptr_rhs_cast16 = $ptr_addr_045;
   187983     $sub_ptr_sub17 = $sub_ptr_lhs_cast15 - $sub_ptr_rhs_cast16 | 0;
   187984     $cmp18 = ($sub_ptr_sub17 | 0) < 3;
   187985     if ($cmp18) {
   187986       $retval_0 = -2;
   187987       label = 29;
   187988       break;
   187989     } else {
   187990       label = 13;
   187991       break;
   187992     }
   187993    case 13:
   187994     $8 = HEAP32[$3 >> 2] | 0;
   187995     $call22 = FUNCTION_TABLE_iii[$8 & 1023]($enc, $ptr_addr_045) | 0;
   187996     $tobool23 = ($call22 | 0) == 0;
   187997     if ($tobool23) {
   187998       label = 15;
   187999       break;
   188000     } else {
   188001       label = 14;
   188002       break;
   188003     }
   188004    case 14:
   188005     HEAP32[$nextTokPtr >> 2] = $ptr_addr_045;
   188006     $retval_0 = 0;
   188007     label = 29;
   188008     break;
   188009    case 15:
   188010     $add_ptr26 = $ptr_addr_045 + 3 | 0;
   188011     $ptr_addr_0_be = $add_ptr26;
   188012     label = 23;
   188013     break;
   188014    case 16:
   188015     $sub_ptr_rhs_cast29 = $ptr_addr_045;
   188016     $sub_ptr_sub30 = $sub_ptr_lhs_cast28 - $sub_ptr_rhs_cast29 | 0;
   188017     $cmp31 = ($sub_ptr_sub30 | 0) < 4;
   188018     if ($cmp31) {
   188019       $retval_0 = -2;
   188020       label = 29;
   188021       break;
   188022     } else {
   188023       label = 17;
   188024       break;
   188025     }
   188026    case 17:
   188027     $9 = HEAP32[$4 >> 2] | 0;
   188028     $call35 = FUNCTION_TABLE_iii[$9 & 1023]($enc, $ptr_addr_045) | 0;
   188029     $tobool36 = ($call35 | 0) == 0;
   188030     if ($tobool36) {
   188031       label = 19;
   188032       break;
   188033     } else {
   188034       label = 18;
   188035       break;
   188036     }
   188037    case 18:
   188038     HEAP32[$nextTokPtr >> 2] = $ptr_addr_045;
   188039     $retval_0 = 0;
   188040     label = 29;
   188041     break;
   188042    case 19:
   188043     $add_ptr39 = $ptr_addr_045 + 4 | 0;
   188044     $ptr_addr_0_be = $add_ptr39;
   188045     label = 23;
   188046     break;
   188047    case 20:
   188048     HEAP32[$nextTokPtr >> 2] = $ptr_addr_045;
   188049     $retval_0 = 0;
   188050     label = 29;
   188051     break;
   188052    case 21:
   188053     $add_ptr42 = $ptr_addr_045 + 1 | 0;
   188054     $cmp43 = ($add_ptr42 | 0) == ($end | 0);
   188055     if ($cmp43) {
   188056       $retval_0 = -1;
   188057       label = 29;
   188058       break;
   188059     } else {
   188060       label = 22;
   188061       break;
   188062     }
   188063    case 22:
   188064     $10 = HEAP8[$add_ptr42] | 0;
   188065     $cmp48 = $10 << 24 >> 24 == 45;
   188066     if ($cmp48) {
   188067       label = 24;
   188068       break;
   188069     } else {
   188070       $ptr_addr_0_be = $add_ptr42;
   188071       label = 23;
   188072       break;
   188073     }
   188074    case 23:
   188075     $cmp4 = ($ptr_addr_0_be | 0) == ($end | 0);
   188076     if ($cmp4) {
   188077       $retval_0 = -1;
   188078       label = 29;
   188079       break;
   188080     } else {
   188081       $ptr_addr_045 = $ptr_addr_0_be;
   188082       label = 7;
   188083       break;
   188084     }
   188085    case 24:
   188086     $add_ptr51 = $ptr_addr_045 + 2 | 0;
   188087     $cmp52 = ($add_ptr51 | 0) == ($end | 0);
   188088     if ($cmp52) {
   188089       $retval_0 = -1;
   188090       label = 29;
   188091       break;
   188092     } else {
   188093       label = 25;
   188094       break;
   188095     }
   188096    case 25:
   188097     $11 = HEAP8[$add_ptr51] | 0;
   188098     $cmp57 = $11 << 24 >> 24 == 62;
   188099     if ($cmp57) {
   188100       label = 27;
   188101       break;
   188102     } else {
   188103       label = 26;
   188104       break;
   188105     }
   188106    case 26:
   188107     HEAP32[$nextTokPtr >> 2] = $add_ptr51;
   188108     $retval_0 = 0;
   188109     label = 29;
   188110     break;
   188111    case 27:
   188112     $add_ptr61 = $ptr_addr_045 + 3 | 0;
   188113     HEAP32[$nextTokPtr >> 2] = $add_ptr61;
   188114     $retval_0 = 13;
   188115     label = 29;
   188116     break;
   188117    case 28:
   188118     $add_ptr63 = $ptr_addr_045 + 1 | 0;
   188119     $ptr_addr_0_be = $add_ptr63;
   188120     label = 23;
   188121     break;
   188122    case 29:
   188123     return $retval_0 | 0;
   188124   }
   188125   return 0;
   188126 }
   188127 function _normal_scanPi($enc, $ptr, $end, $nextTokPtr) {
   188128   $enc = $enc | 0;
   188129   $ptr = $ptr | 0;
   188130   $end = $end | 0;
   188131   $nextTokPtr = $nextTokPtr | 0;
   188132   var $tok = 0, $cmp = 0, $0 = 0, $idxprom = 0, $type = 0, $1 = 0, $arrayidx = 0, $2 = 0, $conv = 0, $add_ptr = 0, $ptr_addr_0_ph = 0, $cmp36122 = 0, $sub_ptr_lhs_cast46 = 0, $3 = 0, $sub_ptr_lhs_cast59 = 0, $isName3 = 0, $sub_ptr_lhs_cast72 = 0, $isName4 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp3 = 0, $isNmstrt2 = 0, $4 = 0, $5 = 0, $call = 0, $tobool = 0, $add_ptr9 = 0, $sub_ptr_lhs_cast11 = 0, $sub_ptr_rhs_cast12 = 0, $sub_ptr_sub13 = 0, $cmp14 = 0, $isNmstrt3 = 0, $6 = 0, $7 = 0, $call18 = 0, $tobool19 = 0, $add_ptr22 = 0, $sub_ptr_lhs_cast24 = 0, $sub_ptr_rhs_cast25 = 0, $sub_ptr_sub26 = 0, $cmp27 = 0, $isNmstrt4 = 0, $8 = 0, $9 = 0, $call31 = 0, $tobool32 = 0, $add_ptr35 = 0, $ptr_addr_0123 = 0, $10 = 0, $idxprom38 = 0, $arrayidx40 = 0, $11 = 0, $conv41 = 0, $add_ptr44 = 0, $sub_ptr_rhs_cast47 = 0, $sub_ptr_sub48 = 0, $cmp49 = 0, $12 = 0, $call53 = 0, $tobool54 = 0, $add_ptr57 = 0, $sub_ptr_rhs_cast60 = 0, $sub_ptr_sub61 = 0, $cmp62 = 0, $13 = 0, $14 = 0, $call66 = 0, $tobool67 = 0, $add_ptr70 = 0, $sub_ptr_rhs_cast73 = 0, $sub_ptr_sub74 = 0, $cmp75 = 0, $15 = 0, $16 = 0, $call79 = 0, $tobool80 = 0, $add_ptr83 = 0, $ptr_addr_0_be = 0, $cmp36 = 0, $call85 = 0, $tobool86 = 0, $add_ptr89 = 0, $cmp91111 = 0, $sub_ptr_lhs_cast99 = 0, $isInvalid2 = 0, $17 = 0, $sub_ptr_lhs_cast112 = 0, $isInvalid3 = 0, $18 = 0, $sub_ptr_lhs_cast125 = 0, $isInvalid4 = 0, $19 = 0, $ptr_addr_1112 = 0, $20 = 0, $idxprom94 = 0, $arrayidx96 = 0, $21 = 0, $conv97 = 0, $sub_ptr_rhs_cast100 = 0, $sub_ptr_sub101 = 0, $cmp102 = 0, $22 = 0, $call106 = 0, $tobool107 = 0, $add_ptr110 = 0, $sub_ptr_rhs_cast113 = 0, $sub_ptr_sub114 = 0, $cmp115 = 0, $23 = 0, $call119 = 0, $tobool120 = 0, $add_ptr123 = 0, $sub_ptr_rhs_cast126 = 0, $sub_ptr_sub127 = 0, $cmp128 = 0, $24 = 0, $call132 = 0, $tobool133 = 0, $add_ptr136 = 0, $add_ptr139 = 0, $cmp140 = 0, $25 = 0, $cmp145 = 0, $ptr_addr_1_be = 0, $cmp91 = 0, $add_ptr148 = 0, $26 = 0, $add_ptr151 = 0, $call154 = 0, $tobool155 = 0, $add_ptr158 = 0, $cmp159 = 0, $27 = 0, $cmp164 = 0, $add_ptr167 = 0, $28 = 0, $ptr_addr_2 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   188133   __stackBase__ = STACKTOP;
   188134   STACKTOP = STACKTOP + 8 | 0;
   188135   label = 2;
   188136   while (1) switch (label | 0) {
   188137    case 2:
   188138     $tok = __stackBase__ | 0;
   188139     $cmp = ($ptr | 0) == ($end | 0);
   188140     if ($cmp) {
   188141       $retval_0 = -1;
   188142       label = 66;
   188143       break;
   188144     } else {
   188145       label = 3;
   188146       break;
   188147     }
   188148    case 3:
   188149     $0 = HEAP8[$ptr] | 0;
   188150     $idxprom = $0 & 255;
   188151     $type = $enc + 72 | 0;
   188152     $1 = $type;
   188153     $arrayidx = $1 + $idxprom | 0;
   188154     $2 = HEAP8[$arrayidx] | 0;
   188155     $conv = $2 & 255;
   188156     if (($conv | 0) == 29) {
   188157       label = 4;
   188158       break;
   188159     } else if (($conv | 0) == 22 | ($conv | 0) == 24) {
   188160       label = 5;
   188161       break;
   188162     } else if (($conv | 0) == 5) {
   188163       label = 8;
   188164       break;
   188165     } else if (($conv | 0) == 6) {
   188166       label = 12;
   188167       break;
   188168     } else if (($conv | 0) == 7) {
   188169       label = 16;
   188170       break;
   188171     } else {
   188172       label = 20;
   188173       break;
   188174     }
   188175    case 4:
   188176     HEAP32[$nextTokPtr >> 2] = $ptr;
   188177     $retval_0 = 0;
   188178     label = 66;
   188179     break;
   188180    case 5:
   188181     $add_ptr = $ptr + 1 | 0;
   188182     $ptr_addr_0_ph = $add_ptr;
   188183     label = 6;
   188184     break;
   188185    case 6:
   188186     $cmp36122 = ($ptr_addr_0_ph | 0) == ($end | 0);
   188187     if ($cmp36122) {
   188188       $retval_0 = -1;
   188189       label = 66;
   188190       break;
   188191     } else {
   188192       label = 7;
   188193       break;
   188194     }
   188195    case 7:
   188196     $sub_ptr_lhs_cast46 = $end;
   188197     $3 = $enc + 328 | 0;
   188198     $sub_ptr_lhs_cast59 = $end;
   188199     $isName3 = $enc + 332 | 0;
   188200     $sub_ptr_lhs_cast72 = $end;
   188201     $isName4 = $enc + 336 | 0;
   188202     $ptr_addr_0123 = $ptr_addr_0_ph;
   188203     label = 21;
   188204     break;
   188205    case 8:
   188206     $sub_ptr_lhs_cast = $end;
   188207     $sub_ptr_rhs_cast = $ptr;
   188208     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   188209     $cmp3 = ($sub_ptr_sub | 0) < 2;
   188210     if ($cmp3) {
   188211       $retval_0 = -2;
   188212       label = 66;
   188213       break;
   188214     } else {
   188215       label = 9;
   188216       break;
   188217     }
   188218    case 9:
   188219     $isNmstrt2 = $enc + 340 | 0;
   188220     $4 = HEAP32[$isNmstrt2 >> 2] | 0;
   188221     $5 = $4;
   188222     $call = FUNCTION_TABLE_iii[$5 & 1023]($enc, $ptr) | 0;
   188223     $tobool = ($call | 0) == 0;
   188224     if ($tobool) {
   188225       label = 10;
   188226       break;
   188227     } else {
   188228       label = 11;
   188229       break;
   188230     }
   188231    case 10:
   188232     HEAP32[$nextTokPtr >> 2] = $ptr;
   188233     $retval_0 = 0;
   188234     label = 66;
   188235     break;
   188236    case 11:
   188237     $add_ptr9 = $ptr + 2 | 0;
   188238     $ptr_addr_0_ph = $add_ptr9;
   188239     label = 6;
   188240     break;
   188241    case 12:
   188242     $sub_ptr_lhs_cast11 = $end;
   188243     $sub_ptr_rhs_cast12 = $ptr;
   188244     $sub_ptr_sub13 = $sub_ptr_lhs_cast11 - $sub_ptr_rhs_cast12 | 0;
   188245     $cmp14 = ($sub_ptr_sub13 | 0) < 3;
   188246     if ($cmp14) {
   188247       $retval_0 = -2;
   188248       label = 66;
   188249       break;
   188250     } else {
   188251       label = 13;
   188252       break;
   188253     }
   188254    case 13:
   188255     $isNmstrt3 = $enc + 344 | 0;
   188256     $6 = HEAP32[$isNmstrt3 >> 2] | 0;
   188257     $7 = $6;
   188258     $call18 = FUNCTION_TABLE_iii[$7 & 1023]($enc, $ptr) | 0;
   188259     $tobool19 = ($call18 | 0) == 0;
   188260     if ($tobool19) {
   188261       label = 14;
   188262       break;
   188263     } else {
   188264       label = 15;
   188265       break;
   188266     }
   188267    case 14:
   188268     HEAP32[$nextTokPtr >> 2] = $ptr;
   188269     $retval_0 = 0;
   188270     label = 66;
   188271     break;
   188272    case 15:
   188273     $add_ptr22 = $ptr + 3 | 0;
   188274     $ptr_addr_0_ph = $add_ptr22;
   188275     label = 6;
   188276     break;
   188277    case 16:
   188278     $sub_ptr_lhs_cast24 = $end;
   188279     $sub_ptr_rhs_cast25 = $ptr;
   188280     $sub_ptr_sub26 = $sub_ptr_lhs_cast24 - $sub_ptr_rhs_cast25 | 0;
   188281     $cmp27 = ($sub_ptr_sub26 | 0) < 4;
   188282     if ($cmp27) {
   188283       $retval_0 = -2;
   188284       label = 66;
   188285       break;
   188286     } else {
   188287       label = 17;
   188288       break;
   188289     }
   188290    case 17:
   188291     $isNmstrt4 = $enc + 348 | 0;
   188292     $8 = HEAP32[$isNmstrt4 >> 2] | 0;
   188293     $9 = $8;
   188294     $call31 = FUNCTION_TABLE_iii[$9 & 1023]($enc, $ptr) | 0;
   188295     $tobool32 = ($call31 | 0) == 0;
   188296     if ($tobool32) {
   188297       label = 18;
   188298       break;
   188299     } else {
   188300       label = 19;
   188301       break;
   188302     }
   188303    case 18:
   188304     HEAP32[$nextTokPtr >> 2] = $ptr;
   188305     $retval_0 = 0;
   188306     label = 66;
   188307     break;
   188308    case 19:
   188309     $add_ptr35 = $ptr + 4 | 0;
   188310     $ptr_addr_0_ph = $add_ptr35;
   188311     label = 6;
   188312     break;
   188313    case 20:
   188314     HEAP32[$nextTokPtr >> 2] = $ptr;
   188315     $retval_0 = 0;
   188316     label = 66;
   188317     break;
   188318    case 21:
   188319     $10 = HEAP8[$ptr_addr_0123] | 0;
   188320     $idxprom38 = $10 & 255;
   188321     $arrayidx40 = $1 + $idxprom38 | 0;
   188322     $11 = HEAP8[$arrayidx40] | 0;
   188323     $conv41 = $11 & 255;
   188324     if (($conv41 | 0) == 29) {
   188325       label = 22;
   188326       break;
   188327     } else if (($conv41 | 0) == 22 | ($conv41 | 0) == 24 | ($conv41 | 0) == 25 | ($conv41 | 0) == 26 | ($conv41 | 0) == 27) {
   188328       label = 23;
   188329       break;
   188330     } else if (($conv41 | 0) == 5) {
   188331       label = 24;
   188332       break;
   188333     } else if (($conv41 | 0) == 6) {
   188334       label = 28;
   188335       break;
   188336     } else if (($conv41 | 0) == 7) {
   188337       label = 32;
   188338       break;
   188339     } else if (($conv41 | 0) == 21 | ($conv41 | 0) == 9 | ($conv41 | 0) == 10) {
   188340       label = 37;
   188341       break;
   188342     } else if (($conv41 | 0) == 15) {
   188343       label = 60;
   188344       break;
   188345     } else {
   188346       $ptr_addr_2 = $ptr_addr_0123;
   188347       label = 65;
   188348       break;
   188349     }
   188350    case 22:
   188351     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0123;
   188352     $retval_0 = 0;
   188353     label = 66;
   188354     break;
   188355    case 23:
   188356     $add_ptr44 = $ptr_addr_0123 + 1 | 0;
   188357     $ptr_addr_0_be = $add_ptr44;
   188358     label = 36;
   188359     break;
   188360    case 24:
   188361     $sub_ptr_rhs_cast47 = $ptr_addr_0123;
   188362     $sub_ptr_sub48 = $sub_ptr_lhs_cast46 - $sub_ptr_rhs_cast47 | 0;
   188363     $cmp49 = ($sub_ptr_sub48 | 0) < 2;
   188364     if ($cmp49) {
   188365       $retval_0 = -2;
   188366       label = 66;
   188367       break;
   188368     } else {
   188369       label = 25;
   188370       break;
   188371     }
   188372    case 25:
   188373     $12 = HEAP32[$3 >> 2] | 0;
   188374     $call53 = FUNCTION_TABLE_iii[$12 & 1023]($enc, $ptr_addr_0123) | 0;
   188375     $tobool54 = ($call53 | 0) == 0;
   188376     if ($tobool54) {
   188377       label = 26;
   188378       break;
   188379     } else {
   188380       label = 27;
   188381       break;
   188382     }
   188383    case 26:
   188384     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0123;
   188385     $retval_0 = 0;
   188386     label = 66;
   188387     break;
   188388    case 27:
   188389     $add_ptr57 = $ptr_addr_0123 + 2 | 0;
   188390     $ptr_addr_0_be = $add_ptr57;
   188391     label = 36;
   188392     break;
   188393    case 28:
   188394     $sub_ptr_rhs_cast60 = $ptr_addr_0123;
   188395     $sub_ptr_sub61 = $sub_ptr_lhs_cast59 - $sub_ptr_rhs_cast60 | 0;
   188396     $cmp62 = ($sub_ptr_sub61 | 0) < 3;
   188397     if ($cmp62) {
   188398       $retval_0 = -2;
   188399       label = 66;
   188400       break;
   188401     } else {
   188402       label = 29;
   188403       break;
   188404     }
   188405    case 29:
   188406     $13 = HEAP32[$isName3 >> 2] | 0;
   188407     $14 = $13;
   188408     $call66 = FUNCTION_TABLE_iii[$14 & 1023]($enc, $ptr_addr_0123) | 0;
   188409     $tobool67 = ($call66 | 0) == 0;
   188410     if ($tobool67) {
   188411       label = 30;
   188412       break;
   188413     } else {
   188414       label = 31;
   188415       break;
   188416     }
   188417    case 30:
   188418     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0123;
   188419     $retval_0 = 0;
   188420     label = 66;
   188421     break;
   188422    case 31:
   188423     $add_ptr70 = $ptr_addr_0123 + 3 | 0;
   188424     $ptr_addr_0_be = $add_ptr70;
   188425     label = 36;
   188426     break;
   188427    case 32:
   188428     $sub_ptr_rhs_cast73 = $ptr_addr_0123;
   188429     $sub_ptr_sub74 = $sub_ptr_lhs_cast72 - $sub_ptr_rhs_cast73 | 0;
   188430     $cmp75 = ($sub_ptr_sub74 | 0) < 4;
   188431     if ($cmp75) {
   188432       $retval_0 = -2;
   188433       label = 66;
   188434       break;
   188435     } else {
   188436       label = 33;
   188437       break;
   188438     }
   188439    case 33:
   188440     $15 = HEAP32[$isName4 >> 2] | 0;
   188441     $16 = $15;
   188442     $call79 = FUNCTION_TABLE_iii[$16 & 1023]($enc, $ptr_addr_0123) | 0;
   188443     $tobool80 = ($call79 | 0) == 0;
   188444     if ($tobool80) {
   188445       label = 34;
   188446       break;
   188447     } else {
   188448       label = 35;
   188449       break;
   188450     }
   188451    case 34:
   188452     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0123;
   188453     $retval_0 = 0;
   188454     label = 66;
   188455     break;
   188456    case 35:
   188457     $add_ptr83 = $ptr_addr_0123 + 4 | 0;
   188458     $ptr_addr_0_be = $add_ptr83;
   188459     label = 36;
   188460     break;
   188461    case 36:
   188462     $cmp36 = ($ptr_addr_0_be | 0) == ($end | 0);
   188463     if ($cmp36) {
   188464       $retval_0 = -1;
   188465       label = 66;
   188466       break;
   188467     } else {
   188468       $ptr_addr_0123 = $ptr_addr_0_be;
   188469       label = 21;
   188470       break;
   188471     }
   188472    case 37:
   188473     $call85 = _normal_checkPiTarget($ptr, $ptr_addr_0123, $tok) | 0;
   188474     $tobool86 = ($call85 | 0) == 0;
   188475     if ($tobool86) {
   188476       label = 38;
   188477       break;
   188478     } else {
   188479       label = 39;
   188480       break;
   188481     }
   188482    case 38:
   188483     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0123;
   188484     $retval_0 = 0;
   188485     label = 66;
   188486     break;
   188487    case 39:
   188488     $add_ptr89 = $ptr_addr_0123 + 1 | 0;
   188489     $cmp91111 = ($add_ptr89 | 0) == ($end | 0);
   188490     if ($cmp91111) {
   188491       $retval_0 = -1;
   188492       label = 66;
   188493       break;
   188494     } else {
   188495       label = 40;
   188496       break;
   188497     }
   188498    case 40:
   188499     $sub_ptr_lhs_cast99 = $end;
   188500     $isInvalid2 = $enc + 352 | 0;
   188501     $17 = $isInvalid2;
   188502     $sub_ptr_lhs_cast112 = $end;
   188503     $isInvalid3 = $enc + 356 | 0;
   188504     $18 = $isInvalid3;
   188505     $sub_ptr_lhs_cast125 = $end;
   188506     $isInvalid4 = $enc + 360 | 0;
   188507     $19 = $isInvalid4;
   188508     $ptr_addr_1112 = $add_ptr89;
   188509     label = 41;
   188510     break;
   188511    case 41:
   188512     $20 = HEAP8[$ptr_addr_1112] | 0;
   188513     $idxprom94 = $20 & 255;
   188514     $arrayidx96 = $1 + $idxprom94 | 0;
   188515     $21 = HEAP8[$arrayidx96] | 0;
   188516     $conv97 = $21 & 255;
   188517     if (($conv97 | 0) == 5) {
   188518       label = 42;
   188519       break;
   188520     } else if (($conv97 | 0) == 6) {
   188521       label = 46;
   188522       break;
   188523     } else if (($conv97 | 0) == 7) {
   188524       label = 50;
   188525       break;
   188526     } else if (($conv97 | 0) == 0 | ($conv97 | 0) == 1 | ($conv97 | 0) == 8) {
   188527       label = 54;
   188528       break;
   188529     } else if (($conv97 | 0) == 15) {
   188530       label = 55;
   188531       break;
   188532     } else {
   188533       label = 59;
   188534       break;
   188535     }
   188536    case 42:
   188537     $sub_ptr_rhs_cast100 = $ptr_addr_1112;
   188538     $sub_ptr_sub101 = $sub_ptr_lhs_cast99 - $sub_ptr_rhs_cast100 | 0;
   188539     $cmp102 = ($sub_ptr_sub101 | 0) < 2;
   188540     if ($cmp102) {
   188541       $retval_0 = -2;
   188542       label = 66;
   188543       break;
   188544     } else {
   188545       label = 43;
   188546       break;
   188547     }
   188548    case 43:
   188549     $22 = HEAP32[$17 >> 2] | 0;
   188550     $call106 = FUNCTION_TABLE_iii[$22 & 1023]($enc, $ptr_addr_1112) | 0;
   188551     $tobool107 = ($call106 | 0) == 0;
   188552     if ($tobool107) {
   188553       label = 45;
   188554       break;
   188555     } else {
   188556       label = 44;
   188557       break;
   188558     }
   188559    case 44:
   188560     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1112;
   188561     $retval_0 = 0;
   188562     label = 66;
   188563     break;
   188564    case 45:
   188565     $add_ptr110 = $ptr_addr_1112 + 2 | 0;
   188566     $ptr_addr_1_be = $add_ptr110;
   188567     label = 57;
   188568     break;
   188569    case 46:
   188570     $sub_ptr_rhs_cast113 = $ptr_addr_1112;
   188571     $sub_ptr_sub114 = $sub_ptr_lhs_cast112 - $sub_ptr_rhs_cast113 | 0;
   188572     $cmp115 = ($sub_ptr_sub114 | 0) < 3;
   188573     if ($cmp115) {
   188574       $retval_0 = -2;
   188575       label = 66;
   188576       break;
   188577     } else {
   188578       label = 47;
   188579       break;
   188580     }
   188581    case 47:
   188582     $23 = HEAP32[$18 >> 2] | 0;
   188583     $call119 = FUNCTION_TABLE_iii[$23 & 1023]($enc, $ptr_addr_1112) | 0;
   188584     $tobool120 = ($call119 | 0) == 0;
   188585     if ($tobool120) {
   188586       label = 49;
   188587       break;
   188588     } else {
   188589       label = 48;
   188590       break;
   188591     }
   188592    case 48:
   188593     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1112;
   188594     $retval_0 = 0;
   188595     label = 66;
   188596     break;
   188597    case 49:
   188598     $add_ptr123 = $ptr_addr_1112 + 3 | 0;
   188599     $ptr_addr_1_be = $add_ptr123;
   188600     label = 57;
   188601     break;
   188602    case 50:
   188603     $sub_ptr_rhs_cast126 = $ptr_addr_1112;
   188604     $sub_ptr_sub127 = $sub_ptr_lhs_cast125 - $sub_ptr_rhs_cast126 | 0;
   188605     $cmp128 = ($sub_ptr_sub127 | 0) < 4;
   188606     if ($cmp128) {
   188607       $retval_0 = -2;
   188608       label = 66;
   188609       break;
   188610     } else {
   188611       label = 51;
   188612       break;
   188613     }
   188614    case 51:
   188615     $24 = HEAP32[$19 >> 2] | 0;
   188616     $call132 = FUNCTION_TABLE_iii[$24 & 1023]($enc, $ptr_addr_1112) | 0;
   188617     $tobool133 = ($call132 | 0) == 0;
   188618     if ($tobool133) {
   188619       label = 53;
   188620       break;
   188621     } else {
   188622       label = 52;
   188623       break;
   188624     }
   188625    case 52:
   188626     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1112;
   188627     $retval_0 = 0;
   188628     label = 66;
   188629     break;
   188630    case 53:
   188631     $add_ptr136 = $ptr_addr_1112 + 4 | 0;
   188632     $ptr_addr_1_be = $add_ptr136;
   188633     label = 57;
   188634     break;
   188635    case 54:
   188636     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1112;
   188637     $retval_0 = 0;
   188638     label = 66;
   188639     break;
   188640    case 55:
   188641     $add_ptr139 = $ptr_addr_1112 + 1 | 0;
   188642     $cmp140 = ($add_ptr139 | 0) == ($end | 0);
   188643     if ($cmp140) {
   188644       $retval_0 = -1;
   188645       label = 66;
   188646       break;
   188647     } else {
   188648       label = 56;
   188649       break;
   188650     }
   188651    case 56:
   188652     $25 = HEAP8[$add_ptr139] | 0;
   188653     $cmp145 = $25 << 24 >> 24 == 62;
   188654     if ($cmp145) {
   188655       label = 58;
   188656       break;
   188657     } else {
   188658       $ptr_addr_1_be = $add_ptr139;
   188659       label = 57;
   188660       break;
   188661     }
   188662    case 57:
   188663     $cmp91 = ($ptr_addr_1_be | 0) == ($end | 0);
   188664     if ($cmp91) {
   188665       $retval_0 = -1;
   188666       label = 66;
   188667       break;
   188668     } else {
   188669       $ptr_addr_1112 = $ptr_addr_1_be;
   188670       label = 41;
   188671       break;
   188672     }
   188673    case 58:
   188674     $add_ptr148 = $ptr_addr_1112 + 2 | 0;
   188675     HEAP32[$nextTokPtr >> 2] = $add_ptr148;
   188676     $26 = HEAP32[$tok >> 2] | 0;
   188677     $retval_0 = $26;
   188678     label = 66;
   188679     break;
   188680    case 59:
   188681     $add_ptr151 = $ptr_addr_1112 + 1 | 0;
   188682     $ptr_addr_1_be = $add_ptr151;
   188683     label = 57;
   188684     break;
   188685    case 60:
   188686     $call154 = _normal_checkPiTarget($ptr, $ptr_addr_0123, $tok) | 0;
   188687     $tobool155 = ($call154 | 0) == 0;
   188688     if ($tobool155) {
   188689       label = 61;
   188690       break;
   188691     } else {
   188692       label = 62;
   188693       break;
   188694     }
   188695    case 61:
   188696     HEAP32[$nextTokPtr >> 2] = $ptr_addr_0123;
   188697     $retval_0 = 0;
   188698     label = 66;
   188699     break;
   188700    case 62:
   188701     $add_ptr158 = $ptr_addr_0123 + 1 | 0;
   188702     $cmp159 = ($add_ptr158 | 0) == ($end | 0);
   188703     if ($cmp159) {
   188704       $retval_0 = -1;
   188705       label = 66;
   188706       break;
   188707     } else {
   188708       label = 63;
   188709       break;
   188710     }
   188711    case 63:
   188712     $27 = HEAP8[$add_ptr158] | 0;
   188713     $cmp164 = $27 << 24 >> 24 == 62;
   188714     if ($cmp164) {
   188715       label = 64;
   188716       break;
   188717     } else {
   188718       $ptr_addr_2 = $add_ptr158;
   188719       label = 65;
   188720       break;
   188721     }
   188722    case 64:
   188723     $add_ptr167 = $ptr_addr_0123 + 2 | 0;
   188724     HEAP32[$nextTokPtr >> 2] = $add_ptr167;
   188725     $28 = HEAP32[$tok >> 2] | 0;
   188726     $retval_0 = $28;
   188727     label = 66;
   188728     break;
   188729    case 65:
   188730     HEAP32[$nextTokPtr >> 2] = $ptr_addr_2;
   188731     $retval_0 = 0;
   188732     label = 66;
   188733     break;
   188734    case 66:
   188735     STACKTOP = __stackBase__;
   188736     return $retval_0 | 0;
   188737   }
   188738   return 0;
   188739 }
   188740 function _normal_scanEndTag($enc, $ptr, $end, $nextTokPtr) {
   188741   $enc = $enc | 0;
   188742   $ptr = $ptr | 0;
   188743   $end = $end | 0;
   188744   $nextTokPtr = $nextTokPtr | 0;
   188745   var $cmp = 0, $0 = 0, $idxprom = 0, $type = 0, $1 = 0, $arrayidx = 0, $2 = 0, $conv = 0, $add_ptr = 0, $ptr_addr_0_ph = 0, $cmp3685 = 0, $sub_ptr_lhs_cast46 = 0, $3 = 0, $sub_ptr_lhs_cast59 = 0, $isName3 = 0, $sub_ptr_lhs_cast72 = 0, $isName4 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp3 = 0, $isNmstrt2 = 0, $4 = 0, $5 = 0, $call = 0, $tobool = 0, $add_ptr9 = 0, $sub_ptr_lhs_cast11 = 0, $sub_ptr_rhs_cast12 = 0, $sub_ptr_sub13 = 0, $cmp14 = 0, $isNmstrt3 = 0, $6 = 0, $7 = 0, $call18 = 0, $tobool19 = 0, $add_ptr22 = 0, $sub_ptr_lhs_cast24 = 0, $sub_ptr_rhs_cast25 = 0, $sub_ptr_sub26 = 0, $cmp27 = 0, $isNmstrt4 = 0, $8 = 0, $9 = 0, $call31 = 0, $tobool32 = 0, $add_ptr35 = 0, $ptr_addr_086 = 0, $10 = 0, $idxprom38 = 0, $arrayidx40 = 0, $11 = 0, $conv41 = 0, $ptr_addr_173 = 0, $cmp8674 = 0, $add_ptr44 = 0, $sub_ptr_rhs_cast47 = 0, $sub_ptr_sub48 = 0, $cmp49 = 0, $12 = 0, $call53 = 0, $tobool54 = 0, $add_ptr57 = 0, $sub_ptr_rhs_cast60 = 0, $sub_ptr_sub61 = 0, $cmp62 = 0, $13 = 0, $14 = 0, $call66 = 0, $tobool67 = 0, $add_ptr70 = 0, $sub_ptr_rhs_cast73 = 0, $sub_ptr_sub74 = 0, $cmp75 = 0, $15 = 0, $16 = 0, $call79 = 0, $tobool80 = 0, $add_ptr83 = 0, $ptr_addr_0_be = 0, $cmp36 = 0, $ptr_addr_176 = 0, $ptr_addr_0_pn75 = 0, $17 = 0, $idxprom88 = 0, $arrayidx90 = 0, $18 = 0, $conv91 = 0, $ptr_addr_1 = 0, $cmp86 = 0, $add_ptr94 = 0, $add_ptr99 = 0, $retval_0 = 0, label = 0;
   188746   label = 2;
   188747   while (1) switch (label | 0) {
   188748    case 2:
   188749     $cmp = ($ptr | 0) == ($end | 0);
   188750     if ($cmp) {
   188751       $retval_0 = -1;
   188752       label = 44;
   188753       break;
   188754     } else {
   188755       label = 3;
   188756       break;
   188757     }
   188758    case 3:
   188759     $0 = HEAP8[$ptr] | 0;
   188760     $idxprom = $0 & 255;
   188761     $type = $enc + 72 | 0;
   188762     $1 = $type;
   188763     $arrayidx = $1 + $idxprom | 0;
   188764     $2 = HEAP8[$arrayidx] | 0;
   188765     $conv = $2 & 255;
   188766     if (($conv | 0) == 29) {
   188767       label = 4;
   188768       break;
   188769     } else if (($conv | 0) == 22 | ($conv | 0) == 24) {
   188770       label = 5;
   188771       break;
   188772     } else if (($conv | 0) == 5) {
   188773       label = 8;
   188774       break;
   188775     } else if (($conv | 0) == 6) {
   188776       label = 12;
   188777       break;
   188778     } else if (($conv | 0) == 7) {
   188779       label = 16;
   188780       break;
   188781     } else {
   188782       label = 20;
   188783       break;
   188784     }
   188785    case 4:
   188786     HEAP32[$nextTokPtr >> 2] = $ptr;
   188787     $retval_0 = 0;
   188788     label = 44;
   188789     break;
   188790    case 5:
   188791     $add_ptr = $ptr + 1 | 0;
   188792     $ptr_addr_0_ph = $add_ptr;
   188793     label = 6;
   188794     break;
   188795    case 6:
   188796     $cmp3685 = ($ptr_addr_0_ph | 0) == ($end | 0);
   188797     if ($cmp3685) {
   188798       $retval_0 = -1;
   188799       label = 44;
   188800       break;
   188801     } else {
   188802       label = 7;
   188803       break;
   188804     }
   188805    case 7:
   188806     $sub_ptr_lhs_cast46 = $end;
   188807     $3 = $enc + 328 | 0;
   188808     $sub_ptr_lhs_cast59 = $end;
   188809     $isName3 = $enc + 332 | 0;
   188810     $sub_ptr_lhs_cast72 = $end;
   188811     $isName4 = $enc + 336 | 0;
   188812     $ptr_addr_086 = $ptr_addr_0_ph;
   188813     label = 21;
   188814     break;
   188815    case 8:
   188816     $sub_ptr_lhs_cast = $end;
   188817     $sub_ptr_rhs_cast = $ptr;
   188818     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   188819     $cmp3 = ($sub_ptr_sub | 0) < 2;
   188820     if ($cmp3) {
   188821       $retval_0 = -2;
   188822       label = 44;
   188823       break;
   188824     } else {
   188825       label = 9;
   188826       break;
   188827     }
   188828    case 9:
   188829     $isNmstrt2 = $enc + 340 | 0;
   188830     $4 = HEAP32[$isNmstrt2 >> 2] | 0;
   188831     $5 = $4;
   188832     $call = FUNCTION_TABLE_iii[$5 & 1023]($enc, $ptr) | 0;
   188833     $tobool = ($call | 0) == 0;
   188834     if ($tobool) {
   188835       label = 10;
   188836       break;
   188837     } else {
   188838       label = 11;
   188839       break;
   188840     }
   188841    case 10:
   188842     HEAP32[$nextTokPtr >> 2] = $ptr;
   188843     $retval_0 = 0;
   188844     label = 44;
   188845     break;
   188846    case 11:
   188847     $add_ptr9 = $ptr + 2 | 0;
   188848     $ptr_addr_0_ph = $add_ptr9;
   188849     label = 6;
   188850     break;
   188851    case 12:
   188852     $sub_ptr_lhs_cast11 = $end;
   188853     $sub_ptr_rhs_cast12 = $ptr;
   188854     $sub_ptr_sub13 = $sub_ptr_lhs_cast11 - $sub_ptr_rhs_cast12 | 0;
   188855     $cmp14 = ($sub_ptr_sub13 | 0) < 3;
   188856     if ($cmp14) {
   188857       $retval_0 = -2;
   188858       label = 44;
   188859       break;
   188860     } else {
   188861       label = 13;
   188862       break;
   188863     }
   188864    case 13:
   188865     $isNmstrt3 = $enc + 344 | 0;
   188866     $6 = HEAP32[$isNmstrt3 >> 2] | 0;
   188867     $7 = $6;
   188868     $call18 = FUNCTION_TABLE_iii[$7 & 1023]($enc, $ptr) | 0;
   188869     $tobool19 = ($call18 | 0) == 0;
   188870     if ($tobool19) {
   188871       label = 14;
   188872       break;
   188873     } else {
   188874       label = 15;
   188875       break;
   188876     }
   188877    case 14:
   188878     HEAP32[$nextTokPtr >> 2] = $ptr;
   188879     $retval_0 = 0;
   188880     label = 44;
   188881     break;
   188882    case 15:
   188883     $add_ptr22 = $ptr + 3 | 0;
   188884     $ptr_addr_0_ph = $add_ptr22;
   188885     label = 6;
   188886     break;
   188887    case 16:
   188888     $sub_ptr_lhs_cast24 = $end;
   188889     $sub_ptr_rhs_cast25 = $ptr;
   188890     $sub_ptr_sub26 = $sub_ptr_lhs_cast24 - $sub_ptr_rhs_cast25 | 0;
   188891     $cmp27 = ($sub_ptr_sub26 | 0) < 4;
   188892     if ($cmp27) {
   188893       $retval_0 = -2;
   188894       label = 44;
   188895       break;
   188896     } else {
   188897       label = 17;
   188898       break;
   188899     }
   188900    case 17:
   188901     $isNmstrt4 = $enc + 348 | 0;
   188902     $8 = HEAP32[$isNmstrt4 >> 2] | 0;
   188903     $9 = $8;
   188904     $call31 = FUNCTION_TABLE_iii[$9 & 1023]($enc, $ptr) | 0;
   188905     $tobool32 = ($call31 | 0) == 0;
   188906     if ($tobool32) {
   188907       label = 18;
   188908       break;
   188909     } else {
   188910       label = 19;
   188911       break;
   188912     }
   188913    case 18:
   188914     HEAP32[$nextTokPtr >> 2] = $ptr;
   188915     $retval_0 = 0;
   188916     label = 44;
   188917     break;
   188918    case 19:
   188919     $add_ptr35 = $ptr + 4 | 0;
   188920     $ptr_addr_0_ph = $add_ptr35;
   188921     label = 6;
   188922     break;
   188923    case 20:
   188924     HEAP32[$nextTokPtr >> 2] = $ptr;
   188925     $retval_0 = 0;
   188926     label = 44;
   188927     break;
   188928    case 21:
   188929     $10 = HEAP8[$ptr_addr_086] | 0;
   188930     $idxprom38 = $10 & 255;
   188931     $arrayidx40 = $1 + $idxprom38 | 0;
   188932     $11 = HEAP8[$arrayidx40] | 0;
   188933     $conv41 = $11 & 255;
   188934     if (($conv41 | 0) == 21 | ($conv41 | 0) == 9 | ($conv41 | 0) == 10) {
   188935       label = 22;
   188936       break;
   188937     } else if (($conv41 | 0) == 29) {
   188938       label = 23;
   188939       break;
   188940     } else if (($conv41 | 0) == 22 | ($conv41 | 0) == 24 | ($conv41 | 0) == 25 | ($conv41 | 0) == 26 | ($conv41 | 0) == 27) {
   188941       label = 24;
   188942       break;
   188943     } else if (($conv41 | 0) == 5) {
   188944       label = 25;
   188945       break;
   188946     } else if (($conv41 | 0) == 6) {
   188947       label = 29;
   188948       break;
   188949     } else if (($conv41 | 0) == 7) {
   188950       label = 33;
   188951       break;
   188952     } else if (($conv41 | 0) == 11) {
   188953       label = 42;
   188954       break;
   188955     } else {
   188956       label = 43;
   188957       break;
   188958     }
   188959    case 22:
   188960     $ptr_addr_173 = $ptr_addr_086 + 1 | 0;
   188961     $cmp8674 = ($ptr_addr_173 | 0) == ($end | 0);
   188962     if ($cmp8674) {
   188963       $retval_0 = -1;
   188964       label = 44;
   188965       break;
   188966     } else {
   188967       $ptr_addr_0_pn75 = $ptr_addr_086;
   188968       $ptr_addr_176 = $ptr_addr_173;
   188969       label = 38;
   188970       break;
   188971     }
   188972    case 23:
   188973     HEAP32[$nextTokPtr >> 2] = $ptr_addr_086;
   188974     $retval_0 = 0;
   188975     label = 44;
   188976     break;
   188977    case 24:
   188978     $add_ptr44 = $ptr_addr_086 + 1 | 0;
   188979     $ptr_addr_0_be = $add_ptr44;
   188980     label = 37;
   188981     break;
   188982    case 25:
   188983     $sub_ptr_rhs_cast47 = $ptr_addr_086;
   188984     $sub_ptr_sub48 = $sub_ptr_lhs_cast46 - $sub_ptr_rhs_cast47 | 0;
   188985     $cmp49 = ($sub_ptr_sub48 | 0) < 2;
   188986     if ($cmp49) {
   188987       $retval_0 = -2;
   188988       label = 44;
   188989       break;
   188990     } else {
   188991       label = 26;
   188992       break;
   188993     }
   188994    case 26:
   188995     $12 = HEAP32[$3 >> 2] | 0;
   188996     $call53 = FUNCTION_TABLE_iii[$12 & 1023]($enc, $ptr_addr_086) | 0;
   188997     $tobool54 = ($call53 | 0) == 0;
   188998     if ($tobool54) {
   188999       label = 27;
   189000       break;
   189001     } else {
   189002       label = 28;
   189003       break;
   189004     }
   189005    case 27:
   189006     HEAP32[$nextTokPtr >> 2] = $ptr_addr_086;
   189007     $retval_0 = 0;
   189008     label = 44;
   189009     break;
   189010    case 28:
   189011     $add_ptr57 = $ptr_addr_086 + 2 | 0;
   189012     $ptr_addr_0_be = $add_ptr57;
   189013     label = 37;
   189014     break;
   189015    case 29:
   189016     $sub_ptr_rhs_cast60 = $ptr_addr_086;
   189017     $sub_ptr_sub61 = $sub_ptr_lhs_cast59 - $sub_ptr_rhs_cast60 | 0;
   189018     $cmp62 = ($sub_ptr_sub61 | 0) < 3;
   189019     if ($cmp62) {
   189020       $retval_0 = -2;
   189021       label = 44;
   189022       break;
   189023     } else {
   189024       label = 30;
   189025       break;
   189026     }
   189027    case 30:
   189028     $13 = HEAP32[$isName3 >> 2] | 0;
   189029     $14 = $13;
   189030     $call66 = FUNCTION_TABLE_iii[$14 & 1023]($enc, $ptr_addr_086) | 0;
   189031     $tobool67 = ($call66 | 0) == 0;
   189032     if ($tobool67) {
   189033       label = 31;
   189034       break;
   189035     } else {
   189036       label = 32;
   189037       break;
   189038     }
   189039    case 31:
   189040     HEAP32[$nextTokPtr >> 2] = $ptr_addr_086;
   189041     $retval_0 = 0;
   189042     label = 44;
   189043     break;
   189044    case 32:
   189045     $add_ptr70 = $ptr_addr_086 + 3 | 0;
   189046     $ptr_addr_0_be = $add_ptr70;
   189047     label = 37;
   189048     break;
   189049    case 33:
   189050     $sub_ptr_rhs_cast73 = $ptr_addr_086;
   189051     $sub_ptr_sub74 = $sub_ptr_lhs_cast72 - $sub_ptr_rhs_cast73 | 0;
   189052     $cmp75 = ($sub_ptr_sub74 | 0) < 4;
   189053     if ($cmp75) {
   189054       $retval_0 = -2;
   189055       label = 44;
   189056       break;
   189057     } else {
   189058       label = 34;
   189059       break;
   189060     }
   189061    case 34:
   189062     $15 = HEAP32[$isName4 >> 2] | 0;
   189063     $16 = $15;
   189064     $call79 = FUNCTION_TABLE_iii[$16 & 1023]($enc, $ptr_addr_086) | 0;
   189065     $tobool80 = ($call79 | 0) == 0;
   189066     if ($tobool80) {
   189067       label = 35;
   189068       break;
   189069     } else {
   189070       label = 36;
   189071       break;
   189072     }
   189073    case 35:
   189074     HEAP32[$nextTokPtr >> 2] = $ptr_addr_086;
   189075     $retval_0 = 0;
   189076     label = 44;
   189077     break;
   189078    case 36:
   189079     $add_ptr83 = $ptr_addr_086 + 4 | 0;
   189080     $ptr_addr_0_be = $add_ptr83;
   189081     label = 37;
   189082     break;
   189083    case 37:
   189084     $cmp36 = ($ptr_addr_0_be | 0) == ($end | 0);
   189085     if ($cmp36) {
   189086       $retval_0 = -1;
   189087       label = 44;
   189088       break;
   189089     } else {
   189090       $ptr_addr_086 = $ptr_addr_0_be;
   189091       label = 21;
   189092       break;
   189093     }
   189094    case 38:
   189095     $17 = HEAP8[$ptr_addr_176] | 0;
   189096     $idxprom88 = $17 & 255;
   189097     $arrayidx90 = $1 + $idxprom88 | 0;
   189098     $18 = HEAP8[$arrayidx90] | 0;
   189099     $conv91 = $18 & 255;
   189100     if (($conv91 | 0) == 21 | ($conv91 | 0) == 9 | ($conv91 | 0) == 10) {
   189101       label = 39;
   189102       break;
   189103     } else if (($conv91 | 0) == 11) {
   189104       label = 40;
   189105       break;
   189106     } else {
   189107       label = 41;
   189108       break;
   189109     }
   189110    case 39:
   189111     $ptr_addr_1 = $ptr_addr_176 + 1 | 0;
   189112     $cmp86 = ($ptr_addr_1 | 0) == ($end | 0);
   189113     if ($cmp86) {
   189114       $retval_0 = -1;
   189115       label = 44;
   189116       break;
   189117     } else {
   189118       $ptr_addr_0_pn75 = $ptr_addr_176;
   189119       $ptr_addr_176 = $ptr_addr_1;
   189120       label = 38;
   189121       break;
   189122     }
   189123    case 40:
   189124     $add_ptr94 = $ptr_addr_0_pn75 + 2 | 0;
   189125     HEAP32[$nextTokPtr >> 2] = $add_ptr94;
   189126     $retval_0 = 5;
   189127     label = 44;
   189128     break;
   189129    case 41:
   189130     HEAP32[$nextTokPtr >> 2] = $ptr_addr_176;
   189131     $retval_0 = 0;
   189132     label = 44;
   189133     break;
   189134    case 42:
   189135     $add_ptr99 = $ptr_addr_086 + 1 | 0;
   189136     HEAP32[$nextTokPtr >> 2] = $add_ptr99;
   189137     $retval_0 = 5;
   189138     label = 44;
   189139     break;
   189140    case 43:
   189141     HEAP32[$nextTokPtr >> 2] = $ptr_addr_086;
   189142     $retval_0 = 0;
   189143     label = 44;
   189144     break;
   189145    case 44:
   189146     return $retval_0 | 0;
   189147   }
   189148   return 0;
   189149 }
   189150 function _normal_checkPiTarget($ptr, $end, $tokPtr) {
   189151   $ptr = $ptr | 0;
   189152   $end = $end | 0;
   189153   $tokPtr = $tokPtr | 0;
   189154   var $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp = 0, $0 = 0, $conv = 0, $upper_0 = 0, $add_ptr = 0, $1 = 0, $conv2 = 0, $upper_1 = 0, $add_ptr7 = 0, $2 = 0, $conv8 = 0, $tobool = 0, $retval_0 = 0, label = 0;
   189155   label = 2;
   189156   while (1) switch (label | 0) {
   189157    case 2:
   189158     HEAP32[$tokPtr >> 2] = 11;
   189159     $sub_ptr_lhs_cast = $end;
   189160     $sub_ptr_rhs_cast = $ptr;
   189161     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   189162     $cmp = ($sub_ptr_sub | 0) == 3;
   189163     if ($cmp) {
   189164       label = 3;
   189165       break;
   189166     } else {
   189167       $retval_0 = 1;
   189168       label = 11;
   189169       break;
   189170     }
   189171    case 3:
   189172     $0 = HEAP8[$ptr] | 0;
   189173     $conv = $0 << 24 >> 24;
   189174     if (($conv | 0) == 88) {
   189175       label = 4;
   189176       break;
   189177     } else if (($conv | 0) == 120) {
   189178       $upper_0 = 0;
   189179       label = 5;
   189180       break;
   189181     } else {
   189182       $retval_0 = 1;
   189183       label = 11;
   189184       break;
   189185     }
   189186    case 4:
   189187     $upper_0 = 1;
   189188     label = 5;
   189189     break;
   189190    case 5:
   189191     $add_ptr = $ptr + 1 | 0;
   189192     $1 = HEAP8[$add_ptr] | 0;
   189193     $conv2 = $1 << 24 >> 24;
   189194     if (($conv2 | 0) == 77) {
   189195       label = 6;
   189196       break;
   189197     } else if (($conv2 | 0) == 109) {
   189198       $upper_1 = $upper_0;
   189199       label = 7;
   189200       break;
   189201     } else {
   189202       $retval_0 = 1;
   189203       label = 11;
   189204       break;
   189205     }
   189206    case 6:
   189207     $upper_1 = 1;
   189208     label = 7;
   189209     break;
   189210    case 7:
   189211     $add_ptr7 = $ptr + 2 | 0;
   189212     $2 = HEAP8[$add_ptr7] | 0;
   189213     $conv8 = $2 << 24 >> 24;
   189214     if (($conv8 | 0) == 76) {
   189215       label = 8;
   189216       break;
   189217     } else if (($conv8 | 0) == 108) {
   189218       label = 9;
   189219       break;
   189220     } else {
   189221       $retval_0 = 1;
   189222       label = 11;
   189223       break;
   189224     }
   189225    case 8:
   189226     $retval_0 = 0;
   189227     label = 11;
   189228     break;
   189229    case 9:
   189230     $tobool = ($upper_1 | 0) == 0;
   189231     if ($tobool) {
   189232       label = 10;
   189233       break;
   189234     } else {
   189235       $retval_0 = 0;
   189236       label = 11;
   189237       break;
   189238     }
   189239    case 10:
   189240     HEAP32[$tokPtr >> 2] = 12;
   189241     $retval_0 = 1;
   189242     label = 11;
   189243     break;
   189244    case 11:
   189245     return $retval_0 | 0;
   189246   }
   189247   return 0;
   189248 }
   189249 function _normal_scanAtts($enc, $ptr, $end, $nextTokPtr) {
   189250   $enc = $enc | 0;
   189251   $ptr = $ptr | 0;
   189252   $end = $end | 0;
   189253   $nextTokPtr = $nextTokPtr | 0;
   189254   var $ptr_addr = 0, $cmp162 = 0, $type = 0, $0 = 0, $sub_ptr_lhs_cast = 0, $1 = 0, $sub_ptr_lhs_cast9 = 0, $isName3 = 0, $sub_ptr_lhs_cast22 = 0, $isName4 = 0, $sub_ptr_lhs_cast86 = 0, $isInvalid2 = 0, $2 = 0, $sub_ptr_lhs_cast99 = 0, $isInvalid3 = 0, $3 = 0, $sub_ptr_lhs_cast112 = 0, $isInvalid4 = 0, $4 = 0, $sub_ptr_lhs_cast169 = 0, $isNmstrt2 = 0, $sub_ptr_lhs_cast182 = 0, $isNmstrt3 = 0, $sub_ptr_lhs_cast195 = 0, $isNmstrt4 = 0, $5 = 0, $6 = 0, $idxprom = 0, $arrayidx = 0, $7 = 0, $conv = 0, $8 = 0, $add_ptr3571 = 0, $cmp3672 = 0, $9 = 0, $add_ptr = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp3 = 0, $10 = 0, $call = 0, $tobool = 0, $11 = 0, $add_ptr7 = 0, $sub_ptr_rhs_cast10 = 0, $sub_ptr_sub11 = 0, $cmp12 = 0, $12 = 0, $13 = 0, $call16 = 0, $tobool17 = 0, $14 = 0, $add_ptr20 = 0, $sub_ptr_rhs_cast23 = 0, $sub_ptr_sub24 = 0, $cmp25 = 0, $15 = 0, $16 = 0, $call29 = 0, $tobool30 = 0, $17 = 0, $add_ptr33 = 0, $add_ptr3573 = 0, $18 = 0, $idxprom40 = 0, $arrayidx42 = 0, $19 = 0, $cmp44 = 0, $conv43 = 0, $20 = 0, $add_ptr35 = 0, $cmp36 = 0, $21 = 0, $add_ptr5180 = 0, $cmp5281 = 0, $add_ptr5182 = 0, $22 = 0, $23 = 0, $idxprom56 = 0, $arrayidx58 = 0, $24 = 0, $_off = 0, $25 = 0, $conv59 = 0, $26 = 0, $add_ptr51 = 0, $cmp52 = 0, $add_ptr70 = 0, $cmp7395 = 0, $27 = 0, $28 = 0, $idxprom77 = 0, $arrayidx79 = 0, $29 = 0, $cmp81 = 0, $conv80 = 0, $sub_ptr_rhs_cast87 = 0, $sub_ptr_sub88 = 0, $cmp89 = 0, $30 = 0, $call93 = 0, $tobool94 = 0, $31 = 0, $add_ptr97 = 0, $sub_ptr_rhs_cast100 = 0, $sub_ptr_sub101 = 0, $cmp102 = 0, $32 = 0, $call106 = 0, $tobool107 = 0, $33 = 0, $add_ptr110 = 0, $sub_ptr_rhs_cast113 = 0, $sub_ptr_sub114 = 0, $cmp115 = 0, $34 = 0, $call119 = 0, $tobool120 = 0, $35 = 0, $add_ptr123 = 0, $36 = 0, $add_ptr126 = 0, $call127 = 0, $cmp128 = 0, $37 = 0, $cmp73 = 0, $cmp131 = 0, $38 = 0, $add_ptr138 = 0, $add_ptr141 = 0, $cmp142 = 0, $39 = 0, $idxprom146 = 0, $arrayidx148 = 0, $40 = 0, $conv149 = 0, $41 = 0, $add_ptr156105 = 0, $cmp157106 = 0, $add_ptr156107 = 0, $42 = 0, $idxprom161 = 0, $arrayidx163 = 0, $43 = 0, $conv164 = 0, $44 = 0, $add_ptr156 = 0, $cmp157 = 0, $45 = 0, $add_ptr167 = 0, $sub_ptr_rhs_cast170 = 0, $sub_ptr_sub171 = 0, $cmp172 = 0, $46 = 0, $47 = 0, $call176 = 0, $tobool177 = 0, $48 = 0, $add_ptr180 = 0, $sub_ptr_rhs_cast183 = 0, $sub_ptr_sub184 = 0, $cmp185 = 0, $49 = 0, $50 = 0, $call189 = 0, $tobool190 = 0, $51 = 0, $add_ptr193 = 0, $sub_ptr_rhs_cast196 = 0, $sub_ptr_sub197 = 0, $cmp198 = 0, $52 = 0, $53 = 0, $call202 = 0, $tobool203 = 0, $54 = 0, $add_ptr206 = 0, $55 = 0, $cmp = 0, $56 = 0, $add_ptr209 = 0, $57 = 0, $add_ptr211 = 0, $cmp212 = 0, $58 = 0, $cmp217 = 0, $add_ptr221 = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   189255   __stackBase__ = STACKTOP;
   189256   STACKTOP = STACKTOP + 8 | 0;
   189257   label = 2;
   189258   while (1) switch (label | 0) {
   189259    case 2:
   189260     $ptr_addr = __stackBase__ | 0;
   189261     HEAP32[$ptr_addr >> 2] = $ptr;
   189262     $cmp162 = ($ptr | 0) == ($end | 0);
   189263     if ($cmp162) {
   189264       $retval_0 = -1;
   189265       label = 79;
   189266       break;
   189267     } else {
   189268       label = 3;
   189269       break;
   189270     }
   189271    case 3:
   189272     $type = $enc + 72 | 0;
   189273     $0 = $type;
   189274     $sub_ptr_lhs_cast = $end;
   189275     $1 = $enc + 328 | 0;
   189276     $sub_ptr_lhs_cast9 = $end;
   189277     $isName3 = $enc + 332 | 0;
   189278     $sub_ptr_lhs_cast22 = $end;
   189279     $isName4 = $enc + 336 | 0;
   189280     $sub_ptr_lhs_cast86 = $end;
   189281     $isInvalid2 = $enc + 352 | 0;
   189282     $2 = $isInvalid2;
   189283     $sub_ptr_lhs_cast99 = $end;
   189284     $isInvalid3 = $enc + 356 | 0;
   189285     $3 = $isInvalid3;
   189286     $sub_ptr_lhs_cast112 = $end;
   189287     $isInvalid4 = $enc + 360 | 0;
   189288     $4 = $isInvalid4;
   189289     $sub_ptr_lhs_cast169 = $end;
   189290     $isNmstrt2 = $enc + 340 | 0;
   189291     $sub_ptr_lhs_cast182 = $end;
   189292     $isNmstrt3 = $enc + 344 | 0;
   189293     $sub_ptr_lhs_cast195 = $end;
   189294     $isNmstrt4 = $enc + 348 | 0;
   189295     $5 = $ptr;
   189296     label = 4;
   189297     break;
   189298    case 4:
   189299     $6 = HEAP8[$5] | 0;
   189300     $idxprom = $6 & 255;
   189301     $arrayidx = $0 + $idxprom | 0;
   189302     $7 = HEAP8[$arrayidx] | 0;
   189303     $conv = $7 & 255;
   189304     if (($conv | 0) == 21 | ($conv | 0) == 9 | ($conv | 0) == 10) {
   189305       label = 5;
   189306       break;
   189307     } else if (($conv | 0) == 29) {
   189308       label = 6;
   189309       break;
   189310     } else if (($conv | 0) == 22 | ($conv | 0) == 24 | ($conv | 0) == 25 | ($conv | 0) == 26 | ($conv | 0) == 27) {
   189311       label = 7;
   189312       break;
   189313     } else if (($conv | 0) == 5) {
   189314       label = 8;
   189315       break;
   189316     } else if (($conv | 0) == 6) {
   189317       label = 12;
   189318       break;
   189319     } else if (($conv | 0) == 7) {
   189320       label = 16;
   189321       break;
   189322     } else if (($conv | 0) == 14) {
   189323       label = 24;
   189324       break;
   189325     } else {
   189326       label = 78;
   189327       break;
   189328     }
   189329    case 5:
   189330     $8 = HEAP32[$ptr_addr >> 2] | 0;
   189331     $add_ptr3571 = $8 + 1 | 0;
   189332     HEAP32[$ptr_addr >> 2] = $add_ptr3571;
   189333     $cmp3672 = ($add_ptr3571 | 0) == ($end | 0);
   189334     if ($cmp3672) {
   189335       $retval_0 = -1;
   189336       label = 79;
   189337       break;
   189338     } else {
   189339       $add_ptr3573 = $add_ptr3571;
   189340       label = 20;
   189341       break;
   189342     }
   189343    case 6:
   189344     HEAP32[$nextTokPtr >> 2] = $5;
   189345     $retval_0 = 0;
   189346     label = 79;
   189347     break;
   189348    case 7:
   189349     $9 = HEAP32[$ptr_addr >> 2] | 0;
   189350     $add_ptr = $9 + 1 | 0;
   189351     HEAP32[$ptr_addr >> 2] = $add_ptr;
   189352     label = 71;
   189353     break;
   189354    case 8:
   189355     $sub_ptr_rhs_cast = $5;
   189356     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   189357     $cmp3 = ($sub_ptr_sub | 0) < 2;
   189358     if ($cmp3) {
   189359       $retval_0 = -2;
   189360       label = 79;
   189361       break;
   189362     } else {
   189363       label = 9;
   189364       break;
   189365     }
   189366    case 9:
   189367     $10 = HEAP32[$1 >> 2] | 0;
   189368     $call = FUNCTION_TABLE_iii[$10 & 1023]($enc, $5) | 0;
   189369     $tobool = ($call | 0) == 0;
   189370     $11 = HEAP32[$ptr_addr >> 2] | 0;
   189371     if ($tobool) {
   189372       label = 10;
   189373       break;
   189374     } else {
   189375       label = 11;
   189376       break;
   189377     }
   189378    case 10:
   189379     HEAP32[$nextTokPtr >> 2] = $11;
   189380     $retval_0 = 0;
   189381     label = 79;
   189382     break;
   189383    case 11:
   189384     $add_ptr7 = $11 + 2 | 0;
   189385     HEAP32[$ptr_addr >> 2] = $add_ptr7;
   189386     label = 71;
   189387     break;
   189388    case 12:
   189389     $sub_ptr_rhs_cast10 = $5;
   189390     $sub_ptr_sub11 = $sub_ptr_lhs_cast9 - $sub_ptr_rhs_cast10 | 0;
   189391     $cmp12 = ($sub_ptr_sub11 | 0) < 3;
   189392     if ($cmp12) {
   189393       $retval_0 = -2;
   189394       label = 79;
   189395       break;
   189396     } else {
   189397       label = 13;
   189398       break;
   189399     }
   189400    case 13:
   189401     $12 = HEAP32[$isName3 >> 2] | 0;
   189402     $13 = $12;
   189403     $call16 = FUNCTION_TABLE_iii[$13 & 1023]($enc, $5) | 0;
   189404     $tobool17 = ($call16 | 0) == 0;
   189405     $14 = HEAP32[$ptr_addr >> 2] | 0;
   189406     if ($tobool17) {
   189407       label = 14;
   189408       break;
   189409     } else {
   189410       label = 15;
   189411       break;
   189412     }
   189413    case 14:
   189414     HEAP32[$nextTokPtr >> 2] = $14;
   189415     $retval_0 = 0;
   189416     label = 79;
   189417     break;
   189418    case 15:
   189419     $add_ptr20 = $14 + 3 | 0;
   189420     HEAP32[$ptr_addr >> 2] = $add_ptr20;
   189421     label = 71;
   189422     break;
   189423    case 16:
   189424     $sub_ptr_rhs_cast23 = $5;
   189425     $sub_ptr_sub24 = $sub_ptr_lhs_cast22 - $sub_ptr_rhs_cast23 | 0;
   189426     $cmp25 = ($sub_ptr_sub24 | 0) < 4;
   189427     if ($cmp25) {
   189428       $retval_0 = -2;
   189429       label = 79;
   189430       break;
   189431     } else {
   189432       label = 17;
   189433       break;
   189434     }
   189435    case 17:
   189436     $15 = HEAP32[$isName4 >> 2] | 0;
   189437     $16 = $15;
   189438     $call29 = FUNCTION_TABLE_iii[$16 & 1023]($enc, $5) | 0;
   189439     $tobool30 = ($call29 | 0) == 0;
   189440     $17 = HEAP32[$ptr_addr >> 2] | 0;
   189441     if ($tobool30) {
   189442       label = 18;
   189443       break;
   189444     } else {
   189445       label = 19;
   189446       break;
   189447     }
   189448    case 18:
   189449     HEAP32[$nextTokPtr >> 2] = $17;
   189450     $retval_0 = 0;
   189451     label = 79;
   189452     break;
   189453    case 19:
   189454     $add_ptr33 = $17 + 4 | 0;
   189455     HEAP32[$ptr_addr >> 2] = $add_ptr33;
   189456     label = 71;
   189457     break;
   189458    case 20:
   189459     $18 = HEAP8[$add_ptr3573] | 0;
   189460     $idxprom40 = $18 & 255;
   189461     $arrayidx42 = $0 + $idxprom40 | 0;
   189462     $19 = HEAP8[$arrayidx42] | 0;
   189463     $cmp44 = $19 << 24 >> 24 == 14;
   189464     if ($cmp44) {
   189465       label = 24;
   189466       break;
   189467     } else {
   189468       label = 21;
   189469       break;
   189470     }
   189471    case 21:
   189472     $conv43 = $19 & 255;
   189473     if (($conv43 | 0) == 21 | ($conv43 | 0) == 10 | ($conv43 | 0) == 9) {
   189474       label = 22;
   189475       break;
   189476     } else {
   189477       label = 23;
   189478       break;
   189479     }
   189480    case 22:
   189481     $20 = HEAP32[$ptr_addr >> 2] | 0;
   189482     $add_ptr35 = $20 + 1 | 0;
   189483     HEAP32[$ptr_addr >> 2] = $add_ptr35;
   189484     $cmp36 = ($add_ptr35 | 0) == ($end | 0);
   189485     if ($cmp36) {
   189486       $retval_0 = -1;
   189487       label = 79;
   189488       break;
   189489     } else {
   189490       $add_ptr3573 = $add_ptr35;
   189491       label = 20;
   189492       break;
   189493     }
   189494    case 23:
   189495     HEAP32[$nextTokPtr >> 2] = $add_ptr3573;
   189496     $retval_0 = 0;
   189497     label = 79;
   189498     break;
   189499    case 24:
   189500     $21 = HEAP32[$ptr_addr >> 2] | 0;
   189501     $add_ptr5180 = $21 + 1 | 0;
   189502     HEAP32[$ptr_addr >> 2] = $add_ptr5180;
   189503     $cmp5281 = ($add_ptr5180 | 0) == ($end | 0);
   189504     if ($cmp5281) {
   189505       $retval_0 = -1;
   189506       label = 79;
   189507       break;
   189508     } else {
   189509       $22 = $21;
   189510       $add_ptr5182 = $add_ptr5180;
   189511       label = 25;
   189512       break;
   189513     }
   189514    case 25:
   189515     $23 = HEAP8[$add_ptr5182] | 0;
   189516     $idxprom56 = $23 & 255;
   189517     $arrayidx58 = $0 + $idxprom56 | 0;
   189518     $24 = HEAP8[$arrayidx58] | 0;
   189519     $_off = $24 - 12 & 255;
   189520     $25 = ($_off & 255) < 2;
   189521     if ($25) {
   189522       label = 29;
   189523       break;
   189524     } else {
   189525       label = 26;
   189526       break;
   189527     }
   189528    case 26:
   189529     $conv59 = $24 & 255;
   189530     if (($conv59 | 0) == 21 | ($conv59 | 0) == 10 | ($conv59 | 0) == 9) {
   189531       label = 27;
   189532       break;
   189533     } else {
   189534       label = 28;
   189535       break;
   189536     }
   189537    case 27:
   189538     $26 = HEAP32[$ptr_addr >> 2] | 0;
   189539     $add_ptr51 = $26 + 1 | 0;
   189540     HEAP32[$ptr_addr >> 2] = $add_ptr51;
   189541     $cmp52 = ($add_ptr51 | 0) == ($end | 0);
   189542     if ($cmp52) {
   189543       $retval_0 = -1;
   189544       label = 79;
   189545       break;
   189546     } else {
   189547       $22 = $26;
   189548       $add_ptr5182 = $add_ptr51;
   189549       label = 25;
   189550       break;
   189551     }
   189552    case 28:
   189553     HEAP32[$nextTokPtr >> 2] = $add_ptr5182;
   189554     $retval_0 = 0;
   189555     label = 79;
   189556     break;
   189557    case 29:
   189558     $add_ptr70 = $22 + 2 | 0;
   189559     HEAP32[$ptr_addr >> 2] = $add_ptr70;
   189560     $cmp7395 = ($add_ptr70 | 0) == ($end | 0);
   189561     if ($cmp7395) {
   189562       $retval_0 = -1;
   189563       label = 79;
   189564       break;
   189565     } else {
   189566       $27 = $add_ptr70;
   189567       label = 30;
   189568       break;
   189569     }
   189570    case 30:
   189571     $28 = HEAP8[$27] | 0;
   189572     $idxprom77 = $28 & 255;
   189573     $arrayidx79 = $0 + $idxprom77 | 0;
   189574     $29 = HEAP8[$arrayidx79] | 0;
   189575     $cmp81 = $29 << 24 >> 24 == $24 << 24 >> 24;
   189576     if ($cmp81) {
   189577       label = 51;
   189578       break;
   189579     } else {
   189580       label = 31;
   189581       break;
   189582     }
   189583    case 31:
   189584     $conv80 = $29 & 255;
   189585     if (($conv80 | 0) == 5) {
   189586       label = 32;
   189587       break;
   189588     } else if (($conv80 | 0) == 6) {
   189589       label = 36;
   189590       break;
   189591     } else if (($conv80 | 0) == 7) {
   189592       label = 40;
   189593       break;
   189594     } else if (($conv80 | 0) == 0 | ($conv80 | 0) == 1 | ($conv80 | 0) == 8) {
   189595       label = 44;
   189596       break;
   189597     } else if (($conv80 | 0) == 3) {
   189598       label = 45;
   189599       break;
   189600     } else if (($conv80 | 0) == 2) {
   189601       label = 49;
   189602       break;
   189603     } else {
   189604       label = 50;
   189605       break;
   189606     }
   189607    case 32:
   189608     $sub_ptr_rhs_cast87 = $27;
   189609     $sub_ptr_sub88 = $sub_ptr_lhs_cast86 - $sub_ptr_rhs_cast87 | 0;
   189610     $cmp89 = ($sub_ptr_sub88 | 0) < 2;
   189611     if ($cmp89) {
   189612       $retval_0 = -2;
   189613       label = 79;
   189614       break;
   189615     } else {
   189616       label = 33;
   189617       break;
   189618     }
   189619    case 33:
   189620     $30 = HEAP32[$2 >> 2] | 0;
   189621     $call93 = FUNCTION_TABLE_iii[$30 & 1023]($enc, $27) | 0;
   189622     $tobool94 = ($call93 | 0) == 0;
   189623     $31 = HEAP32[$ptr_addr >> 2] | 0;
   189624     if ($tobool94) {
   189625       label = 35;
   189626       break;
   189627     } else {
   189628       label = 34;
   189629       break;
   189630     }
   189631    case 34:
   189632     HEAP32[$nextTokPtr >> 2] = $31;
   189633     $retval_0 = 0;
   189634     label = 79;
   189635     break;
   189636    case 35:
   189637     $add_ptr97 = $31 + 2 | 0;
   189638     HEAP32[$ptr_addr >> 2] = $add_ptr97;
   189639     label = 46;
   189640     break;
   189641    case 36:
   189642     $sub_ptr_rhs_cast100 = $27;
   189643     $sub_ptr_sub101 = $sub_ptr_lhs_cast99 - $sub_ptr_rhs_cast100 | 0;
   189644     $cmp102 = ($sub_ptr_sub101 | 0) < 3;
   189645     if ($cmp102) {
   189646       $retval_0 = -2;
   189647       label = 79;
   189648       break;
   189649     } else {
   189650       label = 37;
   189651       break;
   189652     }
   189653    case 37:
   189654     $32 = HEAP32[$3 >> 2] | 0;
   189655     $call106 = FUNCTION_TABLE_iii[$32 & 1023]($enc, $27) | 0;
   189656     $tobool107 = ($call106 | 0) == 0;
   189657     $33 = HEAP32[$ptr_addr >> 2] | 0;
   189658     if ($tobool107) {
   189659       label = 39;
   189660       break;
   189661     } else {
   189662       label = 38;
   189663       break;
   189664     }
   189665    case 38:
   189666     HEAP32[$nextTokPtr >> 2] = $33;
   189667     $retval_0 = 0;
   189668     label = 79;
   189669     break;
   189670    case 39:
   189671     $add_ptr110 = $33 + 3 | 0;
   189672     HEAP32[$ptr_addr >> 2] = $add_ptr110;
   189673     label = 46;
   189674     break;
   189675    case 40:
   189676     $sub_ptr_rhs_cast113 = $27;
   189677     $sub_ptr_sub114 = $sub_ptr_lhs_cast112 - $sub_ptr_rhs_cast113 | 0;
   189678     $cmp115 = ($sub_ptr_sub114 | 0) < 4;
   189679     if ($cmp115) {
   189680       $retval_0 = -2;
   189681       label = 79;
   189682       break;
   189683     } else {
   189684       label = 41;
   189685       break;
   189686     }
   189687    case 41:
   189688     $34 = HEAP32[$4 >> 2] | 0;
   189689     $call119 = FUNCTION_TABLE_iii[$34 & 1023]($enc, $27) | 0;
   189690     $tobool120 = ($call119 | 0) == 0;
   189691     $35 = HEAP32[$ptr_addr >> 2] | 0;
   189692     if ($tobool120) {
   189693       label = 43;
   189694       break;
   189695     } else {
   189696       label = 42;
   189697       break;
   189698     }
   189699    case 42:
   189700     HEAP32[$nextTokPtr >> 2] = $35;
   189701     $retval_0 = 0;
   189702     label = 79;
   189703     break;
   189704    case 43:
   189705     $add_ptr123 = $35 + 4 | 0;
   189706     HEAP32[$ptr_addr >> 2] = $add_ptr123;
   189707     label = 46;
   189708     break;
   189709    case 44:
   189710     $36 = HEAP32[$ptr_addr >> 2] | 0;
   189711     HEAP32[$nextTokPtr >> 2] = $36;
   189712     $retval_0 = 0;
   189713     label = 79;
   189714     break;
   189715    case 45:
   189716     $add_ptr126 = $27 + 1 | 0;
   189717     $call127 = _normal_scanRef($enc, $add_ptr126, $end, $ptr_addr) | 0;
   189718     $cmp128 = ($call127 | 0) < 1;
   189719     if ($cmp128) {
   189720       label = 47;
   189721       break;
   189722     } else {
   189723       label = 46;
   189724       break;
   189725     }
   189726    case 46:
   189727     $37 = HEAP32[$ptr_addr >> 2] | 0;
   189728     $cmp73 = ($37 | 0) == ($end | 0);
   189729     if ($cmp73) {
   189730       $retval_0 = -1;
   189731       label = 79;
   189732       break;
   189733     } else {
   189734       $27 = $37;
   189735       label = 30;
   189736       break;
   189737     }
   189738    case 47:
   189739     $cmp131 = ($call127 | 0) == 0;
   189740     if ($cmp131) {
   189741       label = 48;
   189742       break;
   189743     } else {
   189744       $retval_0 = $call127;
   189745       label = 79;
   189746       break;
   189747     }
   189748    case 48:
   189749     $38 = HEAP32[$ptr_addr >> 2] | 0;
   189750     HEAP32[$nextTokPtr >> 2] = $38;
   189751     $retval_0 = 0;
   189752     label = 79;
   189753     break;
   189754    case 49:
   189755     HEAP32[$nextTokPtr >> 2] = $27;
   189756     $retval_0 = 0;
   189757     label = 79;
   189758     break;
   189759    case 50:
   189760     $add_ptr138 = $27 + 1 | 0;
   189761     HEAP32[$ptr_addr >> 2] = $add_ptr138;
   189762     label = 46;
   189763     break;
   189764    case 51:
   189765     $add_ptr141 = $27 + 1 | 0;
   189766     HEAP32[$ptr_addr >> 2] = $add_ptr141;
   189767     $cmp142 = ($add_ptr141 | 0) == ($end | 0);
   189768     if ($cmp142) {
   189769       $retval_0 = -1;
   189770       label = 79;
   189771       break;
   189772     } else {
   189773       label = 52;
   189774       break;
   189775     }
   189776    case 52:
   189777     $39 = HEAP8[$add_ptr141] | 0;
   189778     $idxprom146 = $39 & 255;
   189779     $arrayidx148 = $0 + $idxprom146 | 0;
   189780     $40 = HEAP8[$arrayidx148] | 0;
   189781     $conv149 = $40 & 255;
   189782     if (($conv149 | 0) == 21 | ($conv149 | 0) == 9 | ($conv149 | 0) == 10) {
   189783       label = 53;
   189784       break;
   189785     } else if (($conv149 | 0) == 11) {
   189786       label = 72;
   189787       break;
   189788     } else if (($conv149 | 0) == 17) {
   189789       label = 73;
   189790       break;
   189791     } else {
   189792       label = 54;
   189793       break;
   189794     }
   189795    case 53:
   189796     $41 = HEAP32[$ptr_addr >> 2] | 0;
   189797     $add_ptr156105 = $41 + 1 | 0;
   189798     HEAP32[$ptr_addr >> 2] = $add_ptr156105;
   189799     $cmp157106 = ($add_ptr156105 | 0) == ($end | 0);
   189800     if ($cmp157106) {
   189801       $retval_0 = -1;
   189802       label = 79;
   189803       break;
   189804     } else {
   189805       $add_ptr156107 = $add_ptr156105;
   189806       label = 55;
   189807       break;
   189808     }
   189809    case 54:
   189810     HEAP32[$nextTokPtr >> 2] = $add_ptr141;
   189811     $retval_0 = 0;
   189812     label = 79;
   189813     break;
   189814    case 55:
   189815     $42 = HEAP8[$add_ptr156107] | 0;
   189816     $idxprom161 = $42 & 255;
   189817     $arrayidx163 = $0 + $idxprom161 | 0;
   189818     $43 = HEAP8[$arrayidx163] | 0;
   189819     $conv164 = $43 & 255;
   189820     if (($conv164 | 0) == 21 | ($conv164 | 0) == 9 | ($conv164 | 0) == 10) {
   189821       label = 56;
   189822       break;
   189823     } else if (($conv164 | 0) == 29) {
   189824       label = 57;
   189825       break;
   189826     } else if (($conv164 | 0) == 22 | ($conv164 | 0) == 24) {
   189827       label = 58;
   189828       break;
   189829     } else if (($conv164 | 0) == 5) {
   189830       label = 59;
   189831       break;
   189832     } else if (($conv164 | 0) == 6) {
   189833       label = 63;
   189834       break;
   189835     } else if (($conv164 | 0) == 7) {
   189836       label = 67;
   189837       break;
   189838     } else if (($conv164 | 0) == 11) {
   189839       label = 72;
   189840       break;
   189841     } else if (($conv164 | 0) == 17) {
   189842       label = 73;
   189843       break;
   189844     } else {
   189845       label = 77;
   189846       break;
   189847     }
   189848    case 56:
   189849     $44 = HEAP32[$ptr_addr >> 2] | 0;
   189850     $add_ptr156 = $44 + 1 | 0;
   189851     HEAP32[$ptr_addr >> 2] = $add_ptr156;
   189852     $cmp157 = ($add_ptr156 | 0) == ($end | 0);
   189853     if ($cmp157) {
   189854       $retval_0 = -1;
   189855       label = 79;
   189856       break;
   189857     } else {
   189858       $add_ptr156107 = $add_ptr156;
   189859       label = 55;
   189860       break;
   189861     }
   189862    case 57:
   189863     HEAP32[$nextTokPtr >> 2] = $add_ptr156107;
   189864     $retval_0 = 0;
   189865     label = 79;
   189866     break;
   189867    case 58:
   189868     $45 = HEAP32[$ptr_addr >> 2] | 0;
   189869     $add_ptr167 = $45 + 1 | 0;
   189870     HEAP32[$ptr_addr >> 2] = $add_ptr167;
   189871     label = 71;
   189872     break;
   189873    case 59:
   189874     $sub_ptr_rhs_cast170 = $add_ptr156107;
   189875     $sub_ptr_sub171 = $sub_ptr_lhs_cast169 - $sub_ptr_rhs_cast170 | 0;
   189876     $cmp172 = ($sub_ptr_sub171 | 0) < 2;
   189877     if ($cmp172) {
   189878       $retval_0 = -2;
   189879       label = 79;
   189880       break;
   189881     } else {
   189882       label = 60;
   189883       break;
   189884     }
   189885    case 60:
   189886     $46 = HEAP32[$isNmstrt2 >> 2] | 0;
   189887     $47 = $46;
   189888     $call176 = FUNCTION_TABLE_iii[$47 & 1023]($enc, $add_ptr156107) | 0;
   189889     $tobool177 = ($call176 | 0) == 0;
   189890     $48 = HEAP32[$ptr_addr >> 2] | 0;
   189891     if ($tobool177) {
   189892       label = 61;
   189893       break;
   189894     } else {
   189895       label = 62;
   189896       break;
   189897     }
   189898    case 61:
   189899     HEAP32[$nextTokPtr >> 2] = $48;
   189900     $retval_0 = 0;
   189901     label = 79;
   189902     break;
   189903    case 62:
   189904     $add_ptr180 = $48 + 2 | 0;
   189905     HEAP32[$ptr_addr >> 2] = $add_ptr180;
   189906     label = 71;
   189907     break;
   189908    case 63:
   189909     $sub_ptr_rhs_cast183 = $add_ptr156107;
   189910     $sub_ptr_sub184 = $sub_ptr_lhs_cast182 - $sub_ptr_rhs_cast183 | 0;
   189911     $cmp185 = ($sub_ptr_sub184 | 0) < 3;
   189912     if ($cmp185) {
   189913       $retval_0 = -2;
   189914       label = 79;
   189915       break;
   189916     } else {
   189917       label = 64;
   189918       break;
   189919     }
   189920    case 64:
   189921     $49 = HEAP32[$isNmstrt3 >> 2] | 0;
   189922     $50 = $49;
   189923     $call189 = FUNCTION_TABLE_iii[$50 & 1023]($enc, $add_ptr156107) | 0;
   189924     $tobool190 = ($call189 | 0) == 0;
   189925     $51 = HEAP32[$ptr_addr >> 2] | 0;
   189926     if ($tobool190) {
   189927       label = 65;
   189928       break;
   189929     } else {
   189930       label = 66;
   189931       break;
   189932     }
   189933    case 65:
   189934     HEAP32[$nextTokPtr >> 2] = $51;
   189935     $retval_0 = 0;
   189936     label = 79;
   189937     break;
   189938    case 66:
   189939     $add_ptr193 = $51 + 3 | 0;
   189940     HEAP32[$ptr_addr >> 2] = $add_ptr193;
   189941     label = 71;
   189942     break;
   189943    case 67:
   189944     $sub_ptr_rhs_cast196 = $add_ptr156107;
   189945     $sub_ptr_sub197 = $sub_ptr_lhs_cast195 - $sub_ptr_rhs_cast196 | 0;
   189946     $cmp198 = ($sub_ptr_sub197 | 0) < 4;
   189947     if ($cmp198) {
   189948       $retval_0 = -2;
   189949       label = 79;
   189950       break;
   189951     } else {
   189952       label = 68;
   189953       break;
   189954     }
   189955    case 68:
   189956     $52 = HEAP32[$isNmstrt4 >> 2] | 0;
   189957     $53 = $52;
   189958     $call202 = FUNCTION_TABLE_iii[$53 & 1023]($enc, $add_ptr156107) | 0;
   189959     $tobool203 = ($call202 | 0) == 0;
   189960     $54 = HEAP32[$ptr_addr >> 2] | 0;
   189961     if ($tobool203) {
   189962       label = 69;
   189963       break;
   189964     } else {
   189965       label = 70;
   189966       break;
   189967     }
   189968    case 69:
   189969     HEAP32[$nextTokPtr >> 2] = $54;
   189970     $retval_0 = 0;
   189971     label = 79;
   189972     break;
   189973    case 70:
   189974     $add_ptr206 = $54 + 4 | 0;
   189975     HEAP32[$ptr_addr >> 2] = $add_ptr206;
   189976     label = 71;
   189977     break;
   189978    case 71:
   189979     $55 = HEAP32[$ptr_addr >> 2] | 0;
   189980     $cmp = ($55 | 0) == ($end | 0);
   189981     if ($cmp) {
   189982       $retval_0 = -1;
   189983       label = 79;
   189984       break;
   189985     } else {
   189986       $5 = $55;
   189987       label = 4;
   189988       break;
   189989     }
   189990    case 72:
   189991     $56 = HEAP32[$ptr_addr >> 2] | 0;
   189992     $add_ptr209 = $56 + 1 | 0;
   189993     HEAP32[$nextTokPtr >> 2] = $add_ptr209;
   189994     $retval_0 = 1;
   189995     label = 79;
   189996     break;
   189997    case 73:
   189998     $57 = HEAP32[$ptr_addr >> 2] | 0;
   189999     $add_ptr211 = $57 + 1 | 0;
   190000     HEAP32[$ptr_addr >> 2] = $add_ptr211;
   190001     $cmp212 = ($add_ptr211 | 0) == ($end | 0);
   190002     if ($cmp212) {
   190003       $retval_0 = -1;
   190004       label = 79;
   190005       break;
   190006     } else {
   190007       label = 74;
   190008       break;
   190009     }
   190010    case 74:
   190011     $58 = HEAP8[$add_ptr211] | 0;
   190012     $cmp217 = $58 << 24 >> 24 == 62;
   190013     if ($cmp217) {
   190014       label = 76;
   190015       break;
   190016     } else {
   190017       label = 75;
   190018       break;
   190019     }
   190020    case 75:
   190021     HEAP32[$nextTokPtr >> 2] = $add_ptr211;
   190022     $retval_0 = 0;
   190023     label = 79;
   190024     break;
   190025    case 76:
   190026     $add_ptr221 = $57 + 2 | 0;
   190027     HEAP32[$nextTokPtr >> 2] = $add_ptr221;
   190028     $retval_0 = 3;
   190029     label = 79;
   190030     break;
   190031    case 77:
   190032     HEAP32[$nextTokPtr >> 2] = $add_ptr156107;
   190033     $retval_0 = 0;
   190034     label = 79;
   190035     break;
   190036    case 78:
   190037     HEAP32[$nextTokPtr >> 2] = $5;
   190038     $retval_0 = 0;
   190039     label = 79;
   190040     break;
   190041    case 79:
   190042     STACKTOP = __stackBase__;
   190043     return $retval_0 | 0;
   190044   }
   190045   return 0;
   190046 }
   190047 function _normal_scanLit($open, $enc, $ptr, $end, $nextTokPtr) {
   190048   $open = $open | 0;
   190049   $enc = $enc | 0;
   190050   $ptr = $ptr | 0;
   190051   $end = $end | 0;
   190052   $nextTokPtr = $nextTokPtr | 0;
   190053   var $cmp42 = 0, $type = 0, $0 = 0, $sub_ptr_lhs_cast = 0, $isInvalid2 = 0, $1 = 0, $sub_ptr_lhs_cast6 = 0, $isInvalid3 = 0, $2 = 0, $sub_ptr_lhs_cast19 = 0, $isInvalid4 = 0, $3 = 0, $ptr_addr_043 = 0, $4 = 0, $idxprom = 0, $arrayidx = 0, $5 = 0, $conv = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp1 = 0, $6 = 0, $call = 0, $tobool = 0, $add_ptr = 0, $sub_ptr_rhs_cast7 = 0, $sub_ptr_sub8 = 0, $cmp9 = 0, $7 = 0, $call13 = 0, $tobool14 = 0, $add_ptr17 = 0, $sub_ptr_rhs_cast20 = 0, $sub_ptr_sub21 = 0, $cmp22 = 0, $8 = 0, $call26 = 0, $tobool27 = 0, $add_ptr30 = 0, $add_ptr33 = 0, $cmp34 = 0, $cmp38 = 0, $9 = 0, $idxprom42 = 0, $arrayidx44 = 0, $10 = 0, $conv45 = 0, $add_ptr48 = 0, $ptr_addr_0_be = 0, $cmp = 0, $retval_0 = 0, label = 0;
   190054   label = 2;
   190055   while (1) switch (label | 0) {
   190056    case 2:
   190057     $cmp42 = ($ptr | 0) == ($end | 0);
   190058     if ($cmp42) {
   190059       $retval_0 = -1;
   190060       label = 24;
   190061       break;
   190062     } else {
   190063       label = 3;
   190064       break;
   190065     }
   190066    case 3:
   190067     $type = $enc + 72 | 0;
   190068     $0 = $type;
   190069     $sub_ptr_lhs_cast = $end;
   190070     $isInvalid2 = $enc + 352 | 0;
   190071     $1 = $isInvalid2;
   190072     $sub_ptr_lhs_cast6 = $end;
   190073     $isInvalid3 = $enc + 356 | 0;
   190074     $2 = $isInvalid3;
   190075     $sub_ptr_lhs_cast19 = $end;
   190076     $isInvalid4 = $enc + 360 | 0;
   190077     $3 = $isInvalid4;
   190078     $ptr_addr_043 = $ptr;
   190079     label = 4;
   190080     break;
   190081    case 4:
   190082     $4 = HEAP8[$ptr_addr_043] | 0;
   190083     $idxprom = $4 & 255;
   190084     $arrayidx = $0 + $idxprom | 0;
   190085     $5 = HEAP8[$arrayidx] | 0;
   190086     $conv = $5 & 255;
   190087     if (($conv | 0) == 5) {
   190088       label = 5;
   190089       break;
   190090     } else if (($conv | 0) == 6) {
   190091       label = 9;
   190092       break;
   190093     } else if (($conv | 0) == 7) {
   190094       label = 13;
   190095       break;
   190096     } else if (($conv | 0) == 0 | ($conv | 0) == 1 | ($conv | 0) == 8) {
   190097       label = 17;
   190098       break;
   190099     } else if (($conv | 0) == 12 | ($conv | 0) == 13) {
   190100       label = 18;
   190101       break;
   190102     } else {
   190103       label = 22;
   190104       break;
   190105     }
   190106    case 5:
   190107     $sub_ptr_rhs_cast = $ptr_addr_043;
   190108     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   190109     $cmp1 = ($sub_ptr_sub | 0) < 2;
   190110     if ($cmp1) {
   190111       $retval_0 = -2;
   190112       label = 24;
   190113       break;
   190114     } else {
   190115       label = 6;
   190116       break;
   190117     }
   190118    case 6:
   190119     $6 = HEAP32[$1 >> 2] | 0;
   190120     $call = FUNCTION_TABLE_iii[$6 & 1023]($enc, $ptr_addr_043) | 0;
   190121     $tobool = ($call | 0) == 0;
   190122     if ($tobool) {
   190123       label = 8;
   190124       break;
   190125     } else {
   190126       label = 7;
   190127       break;
   190128     }
   190129    case 7:
   190130     HEAP32[$nextTokPtr >> 2] = $ptr_addr_043;
   190131     $retval_0 = 0;
   190132     label = 24;
   190133     break;
   190134    case 8:
   190135     $add_ptr = $ptr_addr_043 + 2 | 0;
   190136     $ptr_addr_0_be = $add_ptr;
   190137     label = 23;
   190138     break;
   190139    case 9:
   190140     $sub_ptr_rhs_cast7 = $ptr_addr_043;
   190141     $sub_ptr_sub8 = $sub_ptr_lhs_cast6 - $sub_ptr_rhs_cast7 | 0;
   190142     $cmp9 = ($sub_ptr_sub8 | 0) < 3;
   190143     if ($cmp9) {
   190144       $retval_0 = -2;
   190145       label = 24;
   190146       break;
   190147     } else {
   190148       label = 10;
   190149       break;
   190150     }
   190151    case 10:
   190152     $7 = HEAP32[$2 >> 2] | 0;
   190153     $call13 = FUNCTION_TABLE_iii[$7 & 1023]($enc, $ptr_addr_043) | 0;
   190154     $tobool14 = ($call13 | 0) == 0;
   190155     if ($tobool14) {
   190156       label = 12;
   190157       break;
   190158     } else {
   190159       label = 11;
   190160       break;
   190161     }
   190162    case 11:
   190163     HEAP32[$nextTokPtr >> 2] = $ptr_addr_043;
   190164     $retval_0 = 0;
   190165     label = 24;
   190166     break;
   190167    case 12:
   190168     $add_ptr17 = $ptr_addr_043 + 3 | 0;
   190169     $ptr_addr_0_be = $add_ptr17;
   190170     label = 23;
   190171     break;
   190172    case 13:
   190173     $sub_ptr_rhs_cast20 = $ptr_addr_043;
   190174     $sub_ptr_sub21 = $sub_ptr_lhs_cast19 - $sub_ptr_rhs_cast20 | 0;
   190175     $cmp22 = ($sub_ptr_sub21 | 0) < 4;
   190176     if ($cmp22) {
   190177       $retval_0 = -2;
   190178       label = 24;
   190179       break;
   190180     } else {
   190181       label = 14;
   190182       break;
   190183     }
   190184    case 14:
   190185     $8 = HEAP32[$3 >> 2] | 0;
   190186     $call26 = FUNCTION_TABLE_iii[$8 & 1023]($enc, $ptr_addr_043) | 0;
   190187     $tobool27 = ($call26 | 0) == 0;
   190188     if ($tobool27) {
   190189       label = 16;
   190190       break;
   190191     } else {
   190192       label = 15;
   190193       break;
   190194     }
   190195    case 15:
   190196     HEAP32[$nextTokPtr >> 2] = $ptr_addr_043;
   190197     $retval_0 = 0;
   190198     label = 24;
   190199     break;
   190200    case 16:
   190201     $add_ptr30 = $ptr_addr_043 + 4 | 0;
   190202     $ptr_addr_0_be = $add_ptr30;
   190203     label = 23;
   190204     break;
   190205    case 17:
   190206     HEAP32[$nextTokPtr >> 2] = $ptr_addr_043;
   190207     $retval_0 = 0;
   190208     label = 24;
   190209     break;
   190210    case 18:
   190211     $add_ptr33 = $ptr_addr_043 + 1 | 0;
   190212     $cmp34 = ($conv | 0) == ($open | 0);
   190213     if ($cmp34) {
   190214       label = 19;
   190215       break;
   190216     } else {
   190217       $ptr_addr_0_be = $add_ptr33;
   190218       label = 23;
   190219       break;
   190220     }
   190221    case 19:
   190222     $cmp38 = ($add_ptr33 | 0) == ($end | 0);
   190223     if ($cmp38) {
   190224       $retval_0 = -27;
   190225       label = 24;
   190226       break;
   190227     } else {
   190228       label = 20;
   190229       break;
   190230     }
   190231    case 20:
   190232     HEAP32[$nextTokPtr >> 2] = $add_ptr33;
   190233     $9 = HEAP8[$add_ptr33] | 0;
   190234     $idxprom42 = $9 & 255;
   190235     $arrayidx44 = $0 + $idxprom42 | 0;
   190236     $10 = HEAP8[$arrayidx44] | 0;
   190237     $conv45 = $10 & 255;
   190238     if (($conv45 | 0) == 21 | ($conv45 | 0) == 9 | ($conv45 | 0) == 10 | ($conv45 | 0) == 11 | ($conv45 | 0) == 30 | ($conv45 | 0) == 20) {
   190239       $retval_0 = 27;
   190240       label = 24;
   190241       break;
   190242     } else {
   190243       label = 21;
   190244       break;
   190245     }
   190246    case 21:
   190247     $retval_0 = 0;
   190248     label = 24;
   190249     break;
   190250    case 22:
   190251     $add_ptr48 = $ptr_addr_043 + 1 | 0;
   190252     $ptr_addr_0_be = $add_ptr48;
   190253     label = 23;
   190254     break;
   190255    case 23:
   190256     $cmp = ($ptr_addr_0_be | 0) == ($end | 0);
   190257     if ($cmp) {
   190258       $retval_0 = -1;
   190259       label = 24;
   190260       break;
   190261     } else {
   190262       $ptr_addr_043 = $ptr_addr_0_be;
   190263       label = 4;
   190264       break;
   190265     }
   190266    case 24:
   190267     return $retval_0 | 0;
   190268   }
   190269   return 0;
   190270 }
   190271 function _normal_scanDecl($enc, $ptr, $end, $nextTokPtr) {
   190272   $enc = $enc | 0;
   190273   $ptr = $ptr | 0;
   190274   $end = $end | 0;
   190275   $nextTokPtr = $nextTokPtr | 0;
   190276   var $cmp = 0, $0 = 0, $idxprom = 0, $type = 0, $1 = 0, $arrayidx = 0, $2 = 0, $conv = 0, $ptr_addr_032 = 0, $cmp533 = 0, $add_ptr = 0, $call = 0, $add_ptr2 = 0, $ptr_addr_035 = 0, $ptr_pn34 = 0, $3 = 0, $idxprom7 = 0, $arrayidx9 = 0, $4 = 0, $conv10 = 0, $ptr_addr_0 = 0, $cmp5 = 0, $add_ptr12 = 0, $cmp13 = 0, $5 = 0, $idxprom18 = 0, $arrayidx20 = 0, $6 = 0, $conv21 = 0, $retval_0 = 0, label = 0;
   190277   label = 2;
   190278   while (1) switch (label | 0) {
   190279    case 2:
   190280     $cmp = ($ptr | 0) == ($end | 0);
   190281     if ($cmp) {
   190282       $retval_0 = -1;
   190283       label = 15;
   190284       break;
   190285     } else {
   190286       label = 3;
   190287       break;
   190288     }
   190289    case 3:
   190290     $0 = HEAP8[$ptr] | 0;
   190291     $idxprom = $0 & 255;
   190292     $type = $enc + 72 | 0;
   190293     $1 = $type;
   190294     $arrayidx = $1 + $idxprom | 0;
   190295     $2 = HEAP8[$arrayidx] | 0;
   190296     $conv = $2 & 255;
   190297     if (($conv | 0) == 22 | ($conv | 0) == 24) {
   190298       label = 4;
   190299       break;
   190300     } else if (($conv | 0) == 27) {
   190301       label = 5;
   190302       break;
   190303     } else if (($conv | 0) == 20) {
   190304       label = 6;
   190305       break;
   190306     } else {
   190307       label = 7;
   190308       break;
   190309     }
   190310    case 4:
   190311     $ptr_addr_032 = $ptr + 1 | 0;
   190312     $cmp533 = ($ptr_addr_032 | 0) == ($end | 0);
   190313     if ($cmp533) {
   190314       $retval_0 = -1;
   190315       label = 15;
   190316       break;
   190317     } else {
   190318       $ptr_pn34 = $ptr;
   190319       $ptr_addr_035 = $ptr_addr_032;
   190320       label = 8;
   190321       break;
   190322     }
   190323    case 5:
   190324     $add_ptr = $ptr + 1 | 0;
   190325     $call = _normal_scanComment($enc, $add_ptr, $end, $nextTokPtr) | 0;
   190326     $retval_0 = $call;
   190327     label = 15;
   190328     break;
   190329    case 6:
   190330     $add_ptr2 = $ptr + 1 | 0;
   190331     HEAP32[$nextTokPtr >> 2] = $add_ptr2;
   190332     $retval_0 = 33;
   190333     label = 15;
   190334     break;
   190335    case 7:
   190336     HEAP32[$nextTokPtr >> 2] = $ptr;
   190337     $retval_0 = 0;
   190338     label = 15;
   190339     break;
   190340    case 8:
   190341     $3 = HEAP8[$ptr_addr_035] | 0;
   190342     $idxprom7 = $3 & 255;
   190343     $arrayidx9 = $1 + $idxprom7 | 0;
   190344     $4 = HEAP8[$arrayidx9] | 0;
   190345     $conv10 = $4 & 255;
   190346     if (($conv10 | 0) == 22 | ($conv10 | 0) == 24) {
   190347       label = 9;
   190348       break;
   190349     } else if (($conv10 | 0) == 30) {
   190350       label = 10;
   190351       break;
   190352     } else if (($conv10 | 0) == 21 | ($conv10 | 0) == 9 | ($conv10 | 0) == 10) {
   190353       label = 13;
   190354       break;
   190355     } else {
   190356       label = 14;
   190357       break;
   190358     }
   190359    case 9:
   190360     $ptr_addr_0 = $ptr_addr_035 + 1 | 0;
   190361     $cmp5 = ($ptr_addr_0 | 0) == ($end | 0);
   190362     if ($cmp5) {
   190363       $retval_0 = -1;
   190364       label = 15;
   190365       break;
   190366     } else {
   190367       $ptr_pn34 = $ptr_addr_035;
   190368       $ptr_addr_035 = $ptr_addr_0;
   190369       label = 8;
   190370       break;
   190371     }
   190372    case 10:
   190373     $add_ptr12 = $ptr_pn34 + 2 | 0;
   190374     $cmp13 = ($add_ptr12 | 0) == ($end | 0);
   190375     if ($cmp13) {
   190376       $retval_0 = -1;
   190377       label = 15;
   190378       break;
   190379     } else {
   190380       label = 11;
   190381       break;
   190382     }
   190383    case 11:
   190384     $5 = HEAP8[$add_ptr12] | 0;
   190385     $idxprom18 = $5 & 255;
   190386     $arrayidx20 = $1 + $idxprom18 | 0;
   190387     $6 = HEAP8[$arrayidx20] | 0;
   190388     $conv21 = $6 & 255;
   190389     if (($conv21 | 0) == 21 | ($conv21 | 0) == 9 | ($conv21 | 0) == 10 | ($conv21 | 0) == 30) {
   190390       label = 12;
   190391       break;
   190392     } else {
   190393       label = 13;
   190394       break;
   190395     }
   190396    case 12:
   190397     HEAP32[$nextTokPtr >> 2] = $ptr_addr_035;
   190398     $retval_0 = 0;
   190399     label = 15;
   190400     break;
   190401    case 13:
   190402     HEAP32[$nextTokPtr >> 2] = $ptr_addr_035;
   190403     $retval_0 = 16;
   190404     label = 15;
   190405     break;
   190406    case 14:
   190407     HEAP32[$nextTokPtr >> 2] = $ptr_addr_035;
   190408     $retval_0 = 0;
   190409     label = 15;
   190410     break;
   190411    case 15:
   190412     return $retval_0 | 0;
   190413   }
   190414   return 0;
   190415 }
   190416 function _isSpace($c) {
   190417   $c = $c | 0;
   190418   var $retval_0 = 0, label = 0;
   190419   label = 2;
   190420   while (1) switch (label | 0) {
   190421    case 2:
   190422     if (($c | 0) == 32 | ($c | 0) == 13 | ($c | 0) == 10 | ($c | 0) == 9) {
   190423       $retval_0 = 1;
   190424       label = 4;
   190425       break;
   190426     } else {
   190427       label = 3;
   190428       break;
   190429     }
   190430    case 3:
   190431     $retval_0 = 0;
   190432     label = 4;
   190433     break;
   190434    case 4:
   190435     return $retval_0 | 0;
   190436   }
   190437   return 0;
   190438 }
   190439 function _ascii_toUtf8($enc, $fromP, $fromLim, $toP, $toLim) {
   190440   $enc = $enc | 0;
   190441   $fromP = $fromP | 0;
   190442   $fromLim = $fromLim | 0;
   190443   $toP = $toP | 0;
   190444   $toLim = $toLim | 0;
   190445   var $0 = 0, $cmp3 = 0, $1 = 0, $2 = 0, $cmp1 = 0, $incdec_ptr = 0, $3 = 0, $4 = 0, $incdec_ptr2 = 0, $5 = 0, $cmp = 0, label = 0;
   190446   label = 2;
   190447   while (1) switch (label | 0) {
   190448    case 2:
   190449     $0 = HEAP32[$fromP >> 2] | 0;
   190450     $cmp3 = ($0 | 0) == ($fromLim | 0);
   190451     if ($cmp3) {
   190452       label = 5;
   190453       break;
   190454     } else {
   190455       $1 = $0;
   190456       label = 3;
   190457       break;
   190458     }
   190459    case 3:
   190460     $2 = HEAP32[$toP >> 2] | 0;
   190461     $cmp1 = ($2 | 0) == ($toLim | 0);
   190462     if ($cmp1) {
   190463       label = 5;
   190464       break;
   190465     } else {
   190466       label = 4;
   190467       break;
   190468     }
   190469    case 4:
   190470     $incdec_ptr = $1 + 1 | 0;
   190471     HEAP32[$fromP >> 2] = $incdec_ptr;
   190472     $3 = HEAP8[$1] | 0;
   190473     $4 = HEAP32[$toP >> 2] | 0;
   190474     $incdec_ptr2 = $4 + 1 | 0;
   190475     HEAP32[$toP >> 2] = $incdec_ptr2;
   190476     HEAP8[$4] = $3;
   190477     $5 = HEAP32[$fromP >> 2] | 0;
   190478     $cmp = ($5 | 0) == ($fromLim | 0);
   190479     if ($cmp) {
   190480       label = 5;
   190481       break;
   190482     } else {
   190483       $1 = $5;
   190484       label = 3;
   190485       break;
   190486     }
   190487    case 5:
   190488     return;
   190489   }
   190490 }
   190491 function _latin1_toUtf16($enc, $fromP, $fromLim, $toP, $toLim) {
   190492   $enc = $enc | 0;
   190493   $fromP = $fromP | 0;
   190494   $fromLim = $fromLim | 0;
   190495   $toP = $toP | 0;
   190496   $toLim = $toLim | 0;
   190497   var $0 = 0, $cmp3 = 0, $1 = 0, $2 = 0, $cmp1 = 0, $incdec_ptr = 0, $3 = 0, $conv = 0, $4 = 0, $incdec_ptr2 = 0, $5 = 0, $cmp = 0, label = 0;
   190498   label = 2;
   190499   while (1) switch (label | 0) {
   190500    case 2:
   190501     $0 = HEAP32[$fromP >> 2] | 0;
   190502     $cmp3 = ($0 | 0) == ($fromLim | 0);
   190503     if ($cmp3) {
   190504       label = 5;
   190505       break;
   190506     } else {
   190507       $1 = $0;
   190508       label = 3;
   190509       break;
   190510     }
   190511    case 3:
   190512     $2 = HEAP32[$toP >> 2] | 0;
   190513     $cmp1 = ($2 | 0) == ($toLim | 0);
   190514     if ($cmp1) {
   190515       label = 5;
   190516       break;
   190517     } else {
   190518       label = 4;
   190519       break;
   190520     }
   190521    case 4:
   190522     $incdec_ptr = $1 + 1 | 0;
   190523     HEAP32[$fromP >> 2] = $incdec_ptr;
   190524     $3 = HEAP8[$1] | 0;
   190525     $conv = $3 & 255;
   190526     $4 = HEAP32[$toP >> 2] | 0;
   190527     $incdec_ptr2 = $4 + 2 | 0;
   190528     HEAP32[$toP >> 2] = $incdec_ptr2;
   190529     HEAP16[$4 >> 1] = $conv;
   190530     $5 = HEAP32[$fromP >> 2] | 0;
   190531     $cmp = ($5 | 0) == ($fromLim | 0);
   190532     if ($cmp) {
   190533       label = 5;
   190534       break;
   190535     } else {
   190536       $1 = $5;
   190537       label = 3;
   190538       break;
   190539     }
   190540    case 5:
   190541     return;
   190542   }
   190543 }
   190544 function _latin1_toUtf8($enc, $fromP, $fromLim, $toP, $toLim) {
   190545   $enc = $enc | 0;
   190546   $fromP = $fromP | 0;
   190547   $fromLim = $fromLim | 0;
   190548   $toP = $toP | 0;
   190549   $toLim = $toLim | 0;
   190550   var $sub_ptr_lhs_cast = 0, $0 = 0, $cmp12 = 0, $1 = 0, $2 = 0, $tobool = 0, $3 = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp2 = 0, $shr11 = 0, $or = 0, $incdec_ptr = 0, $and9 = 0, $or10 = 0, $4 = 0, $incdec_ptr12 = 0, $5 = 0, $incdec_ptr13 = 0, $cmp14 = 0, $incdec_ptr18 = 0, $6 = 0, $7 = 0, $incdec_ptr19 = 0, $8 = 0, $cmp = 0, label = 0;
   190551   label = 2;
   190552   while (1) switch (label | 0) {
   190553    case 2:
   190554     $sub_ptr_lhs_cast = $toLim;
   190555     $0 = HEAP32[$fromP >> 2] | 0;
   190556     $cmp12 = ($0 | 0) == ($fromLim | 0);
   190557     if ($cmp12) {
   190558       label = 9;
   190559       break;
   190560     } else {
   190561       $1 = $0;
   190562       label = 3;
   190563       break;
   190564     }
   190565    case 3:
   190566     $2 = HEAP8[$1] | 0;
   190567     $tobool = $2 << 24 >> 24 > -1;
   190568     $3 = HEAP32[$toP >> 2] | 0;
   190569     if ($tobool) {
   190570       label = 6;
   190571       break;
   190572     } else {
   190573       label = 4;
   190574       break;
   190575     }
   190576    case 4:
   190577     $sub_ptr_rhs_cast = $3;
   190578     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   190579     $cmp2 = ($sub_ptr_sub | 0) < 2;
   190580     if ($cmp2) {
   190581       label = 9;
   190582       break;
   190583     } else {
   190584       label = 5;
   190585       break;
   190586     }
   190587    case 5:
   190588     $shr11 = ($2 & 255) >>> 6;
   190589     $or = $shr11 | -64;
   190590     $incdec_ptr = $3 + 1 | 0;
   190591     HEAP32[$toP >> 2] = $incdec_ptr;
   190592     HEAP8[$3] = $or;
   190593     $and9 = $2 & 63;
   190594     $or10 = $and9 | -128;
   190595     $4 = HEAP32[$toP >> 2] | 0;
   190596     $incdec_ptr12 = $4 + 1 | 0;
   190597     HEAP32[$toP >> 2] = $incdec_ptr12;
   190598     HEAP8[$4] = $or10;
   190599     $5 = HEAP32[$fromP >> 2] | 0;
   190600     $incdec_ptr13 = $5 + 1 | 0;
   190601     HEAP32[$fromP >> 2] = $incdec_ptr13;
   190602     label = 8;
   190603     break;
   190604    case 6:
   190605     $cmp14 = ($3 | 0) == ($toLim | 0);
   190606     if ($cmp14) {
   190607       label = 9;
   190608       break;
   190609     } else {
   190610       label = 7;
   190611       break;
   190612     }
   190613    case 7:
   190614     $incdec_ptr18 = $1 + 1 | 0;
   190615     HEAP32[$fromP >> 2] = $incdec_ptr18;
   190616     $6 = HEAP8[$1] | 0;
   190617     $7 = HEAP32[$toP >> 2] | 0;
   190618     $incdec_ptr19 = $7 + 1 | 0;
   190619     HEAP32[$toP >> 2] = $incdec_ptr19;
   190620     HEAP8[$7] = $6;
   190621     label = 8;
   190622     break;
   190623    case 8:
   190624     $8 = HEAP32[$fromP >> 2] | 0;
   190625     $cmp = ($8 | 0) == ($fromLim | 0);
   190626     if ($cmp) {
   190627       label = 9;
   190628       break;
   190629     } else {
   190630       $1 = $8;
   190631       label = 3;
   190632       break;
   190633     }
   190634    case 9:
   190635     return;
   190636   }
   190637 }
   190638 function _normal_scanPoundName($enc, $ptr, $end, $nextTokPtr) {
   190639   $enc = $enc | 0;
   190640   $ptr = $ptr | 0;
   190641   $end = $end | 0;
   190642   $nextTokPtr = $nextTokPtr | 0;
   190643   var $cmp = 0, $0 = 0, $idxprom = 0, $type = 0, $1 = 0, $arrayidx = 0, $2 = 0, $conv = 0, $add_ptr = 0, $ptr_addr_0_ph = 0, $cmp3665 = 0, $sub_ptr_lhs_cast46 = 0, $3 = 0, $sub_ptr_lhs_cast59 = 0, $isName3 = 0, $sub_ptr_lhs_cast72 = 0, $isName4 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp3 = 0, $isNmstrt2 = 0, $4 = 0, $5 = 0, $call = 0, $tobool = 0, $add_ptr9 = 0, $sub_ptr_lhs_cast11 = 0, $sub_ptr_rhs_cast12 = 0, $sub_ptr_sub13 = 0, $cmp14 = 0, $isNmstrt3 = 0, $6 = 0, $7 = 0, $call18 = 0, $tobool19 = 0, $add_ptr22 = 0, $sub_ptr_lhs_cast24 = 0, $sub_ptr_rhs_cast25 = 0, $sub_ptr_sub26 = 0, $cmp27 = 0, $isNmstrt4 = 0, $8 = 0, $9 = 0, $call31 = 0, $tobool32 = 0, $add_ptr35 = 0, $ptr_addr_066 = 0, $10 = 0, $idxprom38 = 0, $arrayidx40 = 0, $11 = 0, $conv41 = 0, $add_ptr44 = 0, $sub_ptr_rhs_cast47 = 0, $sub_ptr_sub48 = 0, $cmp49 = 0, $12 = 0, $call53 = 0, $tobool54 = 0, $add_ptr57 = 0, $sub_ptr_rhs_cast60 = 0, $sub_ptr_sub61 = 0, $cmp62 = 0, $13 = 0, $14 = 0, $call66 = 0, $tobool67 = 0, $add_ptr70 = 0, $sub_ptr_rhs_cast73 = 0, $sub_ptr_sub74 = 0, $cmp75 = 0, $15 = 0, $16 = 0, $call79 = 0, $tobool80 = 0, $add_ptr83 = 0, $ptr_addr_0_be = 0, $cmp36 = 0, $retval_0 = 0, label = 0;
   190644   label = 2;
   190645   while (1) switch (label | 0) {
   190646    case 2:
   190647     $cmp = ($ptr | 0) == ($end | 0);
   190648     if ($cmp) {
   190649       $retval_0 = -1;
   190650       label = 39;
   190651       break;
   190652     } else {
   190653       label = 3;
   190654       break;
   190655     }
   190656    case 3:
   190657     $0 = HEAP8[$ptr] | 0;
   190658     $idxprom = $0 & 255;
   190659     $type = $enc + 72 | 0;
   190660     $1 = $type;
   190661     $arrayidx = $1 + $idxprom | 0;
   190662     $2 = HEAP8[$arrayidx] | 0;
   190663     $conv = $2 & 255;
   190664     if (($conv | 0) == 29) {
   190665       label = 4;
   190666       break;
   190667     } else if (($conv | 0) == 22 | ($conv | 0) == 24) {
   190668       label = 5;
   190669       break;
   190670     } else if (($conv | 0) == 5) {
   190671       label = 8;
   190672       break;
   190673     } else if (($conv | 0) == 6) {
   190674       label = 12;
   190675       break;
   190676     } else if (($conv | 0) == 7) {
   190677       label = 16;
   190678       break;
   190679     } else {
   190680       label = 20;
   190681       break;
   190682     }
   190683    case 4:
   190684     HEAP32[$nextTokPtr >> 2] = $ptr;
   190685     $retval_0 = 0;
   190686     label = 39;
   190687     break;
   190688    case 5:
   190689     $add_ptr = $ptr + 1 | 0;
   190690     $ptr_addr_0_ph = $add_ptr;
   190691     label = 6;
   190692     break;
   190693    case 6:
   190694     $cmp3665 = ($ptr_addr_0_ph | 0) == ($end | 0);
   190695     if ($cmp3665) {
   190696       $retval_0 = -20;
   190697       label = 39;
   190698       break;
   190699     } else {
   190700       label = 7;
   190701       break;
   190702     }
   190703    case 7:
   190704     $sub_ptr_lhs_cast46 = $end;
   190705     $3 = $enc + 328 | 0;
   190706     $sub_ptr_lhs_cast59 = $end;
   190707     $isName3 = $enc + 332 | 0;
   190708     $sub_ptr_lhs_cast72 = $end;
   190709     $isName4 = $enc + 336 | 0;
   190710     $ptr_addr_066 = $ptr_addr_0_ph;
   190711     label = 21;
   190712     break;
   190713    case 8:
   190714     $sub_ptr_lhs_cast = $end;
   190715     $sub_ptr_rhs_cast = $ptr;
   190716     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   190717     $cmp3 = ($sub_ptr_sub | 0) < 2;
   190718     if ($cmp3) {
   190719       $retval_0 = -2;
   190720       label = 39;
   190721       break;
   190722     } else {
   190723       label = 9;
   190724       break;
   190725     }
   190726    case 9:
   190727     $isNmstrt2 = $enc + 340 | 0;
   190728     $4 = HEAP32[$isNmstrt2 >> 2] | 0;
   190729     $5 = $4;
   190730     $call = FUNCTION_TABLE_iii[$5 & 1023]($enc, $ptr) | 0;
   190731     $tobool = ($call | 0) == 0;
   190732     if ($tobool) {
   190733       label = 10;
   190734       break;
   190735     } else {
   190736       label = 11;
   190737       break;
   190738     }
   190739    case 10:
   190740     HEAP32[$nextTokPtr >> 2] = $ptr;
   190741     $retval_0 = 0;
   190742     label = 39;
   190743     break;
   190744    case 11:
   190745     $add_ptr9 = $ptr + 2 | 0;
   190746     $ptr_addr_0_ph = $add_ptr9;
   190747     label = 6;
   190748     break;
   190749    case 12:
   190750     $sub_ptr_lhs_cast11 = $end;
   190751     $sub_ptr_rhs_cast12 = $ptr;
   190752     $sub_ptr_sub13 = $sub_ptr_lhs_cast11 - $sub_ptr_rhs_cast12 | 0;
   190753     $cmp14 = ($sub_ptr_sub13 | 0) < 3;
   190754     if ($cmp14) {
   190755       $retval_0 = -2;
   190756       label = 39;
   190757       break;
   190758     } else {
   190759       label = 13;
   190760       break;
   190761     }
   190762    case 13:
   190763     $isNmstrt3 = $enc + 344 | 0;
   190764     $6 = HEAP32[$isNmstrt3 >> 2] | 0;
   190765     $7 = $6;
   190766     $call18 = FUNCTION_TABLE_iii[$7 & 1023]($enc, $ptr) | 0;
   190767     $tobool19 = ($call18 | 0) == 0;
   190768     if ($tobool19) {
   190769       label = 14;
   190770       break;
   190771     } else {
   190772       label = 15;
   190773       break;
   190774     }
   190775    case 14:
   190776     HEAP32[$nextTokPtr >> 2] = $ptr;
   190777     $retval_0 = 0;
   190778     label = 39;
   190779     break;
   190780    case 15:
   190781     $add_ptr22 = $ptr + 3 | 0;
   190782     $ptr_addr_0_ph = $add_ptr22;
   190783     label = 6;
   190784     break;
   190785    case 16:
   190786     $sub_ptr_lhs_cast24 = $end;
   190787     $sub_ptr_rhs_cast25 = $ptr;
   190788     $sub_ptr_sub26 = $sub_ptr_lhs_cast24 - $sub_ptr_rhs_cast25 | 0;
   190789     $cmp27 = ($sub_ptr_sub26 | 0) < 4;
   190790     if ($cmp27) {
   190791       $retval_0 = -2;
   190792       label = 39;
   190793       break;
   190794     } else {
   190795       label = 17;
   190796       break;
   190797     }
   190798    case 17:
   190799     $isNmstrt4 = $enc + 348 | 0;
   190800     $8 = HEAP32[$isNmstrt4 >> 2] | 0;
   190801     $9 = $8;
   190802     $call31 = FUNCTION_TABLE_iii[$9 & 1023]($enc, $ptr) | 0;
   190803     $tobool32 = ($call31 | 0) == 0;
   190804     if ($tobool32) {
   190805       label = 18;
   190806       break;
   190807     } else {
   190808       label = 19;
   190809       break;
   190810     }
   190811    case 18:
   190812     HEAP32[$nextTokPtr >> 2] = $ptr;
   190813     $retval_0 = 0;
   190814     label = 39;
   190815     break;
   190816    case 19:
   190817     $add_ptr35 = $ptr + 4 | 0;
   190818     $ptr_addr_0_ph = $add_ptr35;
   190819     label = 6;
   190820     break;
   190821    case 20:
   190822     HEAP32[$nextTokPtr >> 2] = $ptr;
   190823     $retval_0 = 0;
   190824     label = 39;
   190825     break;
   190826    case 21:
   190827     $10 = HEAP8[$ptr_addr_066] | 0;
   190828     $idxprom38 = $10 & 255;
   190829     $arrayidx40 = $1 + $idxprom38 | 0;
   190830     $11 = HEAP8[$arrayidx40] | 0;
   190831     $conv41 = $11 & 255;
   190832     if (($conv41 | 0) == 29) {
   190833       label = 22;
   190834       break;
   190835     } else if (($conv41 | 0) == 22 | ($conv41 | 0) == 24 | ($conv41 | 0) == 25 | ($conv41 | 0) == 26 | ($conv41 | 0) == 27) {
   190836       label = 23;
   190837       break;
   190838     } else if (($conv41 | 0) == 5) {
   190839       label = 24;
   190840       break;
   190841     } else if (($conv41 | 0) == 6) {
   190842       label = 28;
   190843       break;
   190844     } else if (($conv41 | 0) == 7) {
   190845       label = 32;
   190846       break;
   190847     } else if (($conv41 | 0) == 9 | ($conv41 | 0) == 10 | ($conv41 | 0) == 21 | ($conv41 | 0) == 32 | ($conv41 | 0) == 11 | ($conv41 | 0) == 30 | ($conv41 | 0) == 36) {
   190848       label = 37;
   190849       break;
   190850     } else {
   190851       label = 38;
   190852       break;
   190853     }
   190854    case 22:
   190855     HEAP32[$nextTokPtr >> 2] = $ptr_addr_066;
   190856     $retval_0 = 0;
   190857     label = 39;
   190858     break;
   190859    case 23:
   190860     $add_ptr44 = $ptr_addr_066 + 1 | 0;
   190861     $ptr_addr_0_be = $add_ptr44;
   190862     label = 36;
   190863     break;
   190864    case 24:
   190865     $sub_ptr_rhs_cast47 = $ptr_addr_066;
   190866     $sub_ptr_sub48 = $sub_ptr_lhs_cast46 - $sub_ptr_rhs_cast47 | 0;
   190867     $cmp49 = ($sub_ptr_sub48 | 0) < 2;
   190868     if ($cmp49) {
   190869       $retval_0 = -2;
   190870       label = 39;
   190871       break;
   190872     } else {
   190873       label = 25;
   190874       break;
   190875     }
   190876    case 25:
   190877     $12 = HEAP32[$3 >> 2] | 0;
   190878     $call53 = FUNCTION_TABLE_iii[$12 & 1023]($enc, $ptr_addr_066) | 0;
   190879     $tobool54 = ($call53 | 0) == 0;
   190880     if ($tobool54) {
   190881       label = 26;
   190882       break;
   190883     } else {
   190884       label = 27;
   190885       break;
   190886     }
   190887    case 26:
   190888     HEAP32[$nextTokPtr >> 2] = $ptr_addr_066;
   190889     $retval_0 = 0;
   190890     label = 39;
   190891     break;
   190892    case 27:
   190893     $add_ptr57 = $ptr_addr_066 + 2 | 0;
   190894     $ptr_addr_0_be = $add_ptr57;
   190895     label = 36;
   190896     break;
   190897    case 28:
   190898     $sub_ptr_rhs_cast60 = $ptr_addr_066;
   190899     $sub_ptr_sub61 = $sub_ptr_lhs_cast59 - $sub_ptr_rhs_cast60 | 0;
   190900     $cmp62 = ($sub_ptr_sub61 | 0) < 3;
   190901     if ($cmp62) {
   190902       $retval_0 = -2;
   190903       label = 39;
   190904       break;
   190905     } else {
   190906       label = 29;
   190907       break;
   190908     }
   190909    case 29:
   190910     $13 = HEAP32[$isName3 >> 2] | 0;
   190911     $14 = $13;
   190912     $call66 = FUNCTION_TABLE_iii[$14 & 1023]($enc, $ptr_addr_066) | 0;
   190913     $tobool67 = ($call66 | 0) == 0;
   190914     if ($tobool67) {
   190915       label = 30;
   190916       break;
   190917     } else {
   190918       label = 31;
   190919       break;
   190920     }
   190921    case 30:
   190922     HEAP32[$nextTokPtr >> 2] = $ptr_addr_066;
   190923     $retval_0 = 0;
   190924     label = 39;
   190925     break;
   190926    case 31:
   190927     $add_ptr70 = $ptr_addr_066 + 3 | 0;
   190928     $ptr_addr_0_be = $add_ptr70;
   190929     label = 36;
   190930     break;
   190931    case 32:
   190932     $sub_ptr_rhs_cast73 = $ptr_addr_066;
   190933     $sub_ptr_sub74 = $sub_ptr_lhs_cast72 - $sub_ptr_rhs_cast73 | 0;
   190934     $cmp75 = ($sub_ptr_sub74 | 0) < 4;
   190935     if ($cmp75) {
   190936       $retval_0 = -2;
   190937       label = 39;
   190938       break;
   190939     } else {
   190940       label = 33;
   190941       break;
   190942     }
   190943    case 33:
   190944     $15 = HEAP32[$isName4 >> 2] | 0;
   190945     $16 = $15;
   190946     $call79 = FUNCTION_TABLE_iii[$16 & 1023]($enc, $ptr_addr_066) | 0;
   190947     $tobool80 = ($call79 | 0) == 0;
   190948     if ($tobool80) {
   190949       label = 34;
   190950       break;
   190951     } else {
   190952       label = 35;
   190953       break;
   190954     }
   190955    case 34:
   190956     HEAP32[$nextTokPtr >> 2] = $ptr_addr_066;
   190957     $retval_0 = 0;
   190958     label = 39;
   190959     break;
   190960    case 35:
   190961     $add_ptr83 = $ptr_addr_066 + 4 | 0;
   190962     $ptr_addr_0_be = $add_ptr83;
   190963     label = 36;
   190964     break;
   190965    case 36:
   190966     $cmp36 = ($ptr_addr_0_be | 0) == ($end | 0);
   190967     if ($cmp36) {
   190968       $retval_0 = -20;
   190969       label = 39;
   190970       break;
   190971     } else {
   190972       $ptr_addr_066 = $ptr_addr_0_be;
   190973       label = 21;
   190974       break;
   190975     }
   190976    case 37:
   190977     HEAP32[$nextTokPtr >> 2] = $ptr_addr_066;
   190978     $retval_0 = 20;
   190979     label = 39;
   190980     break;
   190981    case 38:
   190982     HEAP32[$nextTokPtr >> 2] = $ptr_addr_066;
   190983     $retval_0 = 0;
   190984     label = 39;
   190985     break;
   190986    case 39:
   190987     return $retval_0 | 0;
   190988   }
   190989   return 0;
   190990 }
   190991 function _parsePseudoAttribute($enc, $ptr, $end, $namePtr, $nameEndPtr, $valPtr, $nextTokPtr) {
   190992   $enc = $enc | 0;
   190993   $ptr = $ptr | 0;
   190994   $end = $end | 0;
   190995   $namePtr = $namePtr | 0;
   190996   $nameEndPtr = $nameEndPtr | 0;
   190997   $valPtr = $valPtr | 0;
   190998   $nextTokPtr = $nextTokPtr | 0;
   190999   var $cmp = 0, $call = 0, $call1 = 0, $tobool = 0, $minBytesPerChar = 0, $ptr_addr_0 = 0, $0 = 0, $add_ptr = 0, $call4 = 0, $call5 = 0, $tobool6 = 0, $cmp7 = 0, $ptr_addr_1 = 0, $call10 = 0, $call17 = 0, $tobool18 = 0, $ptr_addr_2 = 0, $1 = 0, $add_ptr22 = 0, $call24 = 0, $call25 = 0, $tobool26 = 0, $cmp28 = 0, $2 = 0, $add_ptr33 = 0, $ptr_addr_3 = 0, $3 = 0, $cmp34 = 0, $4 = 0, $add_ptr38 = 0, $call39 = 0, $call4092 = 0, $tobool4193 = 0, $ptr_addr_494 = 0, $5 = 0, $add_ptr43 = 0, $call44 = 0, $call40 = 0, $tobool41 = 0, $c_0_lcssa = 0, $ptr_addr_4_lcssa = 0, $6 = 0, $add_ptr50 = 0, $call5288 = 0, $sext = 0, $conv53 = 0, $cmp5489 = 0, $call5291 = 0, $ptr_addr_590 = 0, $call52_off = 0, $call52_off83 = 0, $notlhs = 0, $notrhs = 0, $or_cond_not = 0, $call52_off84 = 0, $or_cond3_not = 0, $or_cond86 = 0, $7 = 0, $add_ptr87 = 0, $call52 = 0, $cmp54 = 0, $ptr_addr_5_lcssa = 0, $8 = 0, $add_ptr90 = 0, $retval_0 = 0, label = 0;
   191000   label = 2;
   191001   while (1) switch (label | 0) {
   191002    case 2:
   191003     $cmp = ($ptr | 0) == ($end | 0);
   191004     if ($cmp) {
   191005       label = 3;
   191006       break;
   191007     } else {
   191008       label = 4;
   191009       break;
   191010     }
   191011    case 3:
   191012     HEAP32[$namePtr >> 2] = 0;
   191013     $retval_0 = 1;
   191014     label = 32;
   191015     break;
   191016    case 4:
   191017     $call = _toAscii($enc, $ptr, $end) | 0;
   191018     $call1 = _isSpace($call) | 0;
   191019     $tobool = ($call1 | 0) == 0;
   191020     if ($tobool) {
   191021       label = 6;
   191022       break;
   191023     } else {
   191024       label = 5;
   191025       break;
   191026     }
   191027    case 5:
   191028     $minBytesPerChar = $enc + 64 | 0;
   191029     $ptr_addr_0 = $ptr;
   191030     label = 7;
   191031     break;
   191032    case 6:
   191033     HEAP32[$nextTokPtr >> 2] = $ptr;
   191034     $retval_0 = 0;
   191035     label = 32;
   191036     break;
   191037    case 7:
   191038     $0 = HEAP32[$minBytesPerChar >> 2] | 0;
   191039     $add_ptr = $ptr_addr_0 + $0 | 0;
   191040     $call4 = _toAscii($enc, $add_ptr, $end) | 0;
   191041     $call5 = _isSpace($call4) | 0;
   191042     $tobool6 = ($call5 | 0) == 0;
   191043     if ($tobool6) {
   191044       label = 8;
   191045       break;
   191046     } else {
   191047       $ptr_addr_0 = $add_ptr;
   191048       label = 7;
   191049       break;
   191050     }
   191051    case 8:
   191052     $cmp7 = ($add_ptr | 0) == ($end | 0);
   191053     if ($cmp7) {
   191054       label = 9;
   191055       break;
   191056     } else {
   191057       label = 10;
   191058       break;
   191059     }
   191060    case 9:
   191061     HEAP32[$namePtr >> 2] = 0;
   191062     $retval_0 = 1;
   191063     label = 32;
   191064     break;
   191065    case 10:
   191066     HEAP32[$namePtr >> 2] = $add_ptr;
   191067     $ptr_addr_1 = $add_ptr;
   191068     label = 11;
   191069     break;
   191070    case 11:
   191071     $call10 = _toAscii($enc, $ptr_addr_1, $end) | 0;
   191072     if (($call10 | 0) == (-1 | 0)) {
   191073       label = 12;
   191074       break;
   191075     } else if (($call10 | 0) == 61) {
   191076       label = 13;
   191077       break;
   191078     } else {
   191079       label = 14;
   191080       break;
   191081     }
   191082    case 12:
   191083     HEAP32[$nextTokPtr >> 2] = $ptr_addr_1;
   191084     $retval_0 = 0;
   191085     label = 32;
   191086     break;
   191087    case 13:
   191088     HEAP32[$nameEndPtr >> 2] = $ptr_addr_1;
   191089     $ptr_addr_3 = $ptr_addr_1;
   191090     label = 20;
   191091     break;
   191092    case 14:
   191093     $call17 = _isSpace($call10) | 0;
   191094     $tobool18 = ($call17 | 0) == 0;
   191095     if ($tobool18) {
   191096       label = 19;
   191097       break;
   191098     } else {
   191099       label = 15;
   191100       break;
   191101     }
   191102    case 15:
   191103     HEAP32[$nameEndPtr >> 2] = $ptr_addr_1;
   191104     $ptr_addr_2 = $ptr_addr_1;
   191105     label = 16;
   191106     break;
   191107    case 16:
   191108     $1 = HEAP32[$minBytesPerChar >> 2] | 0;
   191109     $add_ptr22 = $ptr_addr_2 + $1 | 0;
   191110     $call24 = _toAscii($enc, $add_ptr22, $end) | 0;
   191111     $call25 = _isSpace($call24) | 0;
   191112     $tobool26 = ($call25 | 0) == 0;
   191113     if ($tobool26) {
   191114       label = 17;
   191115       break;
   191116     } else {
   191117       $ptr_addr_2 = $add_ptr22;
   191118       label = 16;
   191119       break;
   191120     }
   191121    case 17:
   191122     $cmp28 = ($call24 | 0) == 61;
   191123     if ($cmp28) {
   191124       $ptr_addr_3 = $add_ptr22;
   191125       label = 20;
   191126       break;
   191127     } else {
   191128       label = 18;
   191129       break;
   191130     }
   191131    case 18:
   191132     HEAP32[$nextTokPtr >> 2] = $add_ptr22;
   191133     $retval_0 = 0;
   191134     label = 32;
   191135     break;
   191136    case 19:
   191137     $2 = HEAP32[$minBytesPerChar >> 2] | 0;
   191138     $add_ptr33 = $ptr_addr_1 + $2 | 0;
   191139     $ptr_addr_1 = $add_ptr33;
   191140     label = 11;
   191141     break;
   191142    case 20:
   191143     $3 = HEAP32[$namePtr >> 2] | 0;
   191144     $cmp34 = ($ptr_addr_3 | 0) == ($3 | 0);
   191145     if ($cmp34) {
   191146       label = 21;
   191147       break;
   191148     } else {
   191149       label = 22;
   191150       break;
   191151     }
   191152    case 21:
   191153     HEAP32[$nextTokPtr >> 2] = $ptr_addr_3;
   191154     $retval_0 = 0;
   191155     label = 32;
   191156     break;
   191157    case 22:
   191158     $4 = HEAP32[$minBytesPerChar >> 2] | 0;
   191159     $add_ptr38 = $ptr_addr_3 + $4 | 0;
   191160     $call39 = _toAscii($enc, $add_ptr38, $end) | 0;
   191161     $call4092 = _isSpace($call39) | 0;
   191162     $tobool4193 = ($call4092 | 0) == 0;
   191163     if ($tobool4193) {
   191164       $ptr_addr_4_lcssa = $add_ptr38;
   191165       $c_0_lcssa = $call39;
   191166       label = 24;
   191167       break;
   191168     } else {
   191169       $ptr_addr_494 = $add_ptr38;
   191170       label = 23;
   191171       break;
   191172     }
   191173    case 23:
   191174     $5 = HEAP32[$minBytesPerChar >> 2] | 0;
   191175     $add_ptr43 = $ptr_addr_494 + $5 | 0;
   191176     $call44 = _toAscii($enc, $add_ptr43, $end) | 0;
   191177     $call40 = _isSpace($call44) | 0;
   191178     $tobool41 = ($call40 | 0) == 0;
   191179     if ($tobool41) {
   191180       $ptr_addr_4_lcssa = $add_ptr43;
   191181       $c_0_lcssa = $call44;
   191182       label = 24;
   191183       break;
   191184     } else {
   191185       $ptr_addr_494 = $add_ptr43;
   191186       label = 23;
   191187       break;
   191188     }
   191189    case 24:
   191190     if (($c_0_lcssa | 0) == 39 | ($c_0_lcssa | 0) == 34) {
   191191       label = 26;
   191192       break;
   191193     } else {
   191194       label = 25;
   191195       break;
   191196     }
   191197    case 25:
   191198     HEAP32[$nextTokPtr >> 2] = $ptr_addr_4_lcssa;
   191199     $retval_0 = 0;
   191200     label = 32;
   191201     break;
   191202    case 26:
   191203     $6 = HEAP32[$minBytesPerChar >> 2] | 0;
   191204     $add_ptr50 = $ptr_addr_4_lcssa + $6 | 0;
   191205     HEAP32[$valPtr >> 2] = $add_ptr50;
   191206     $call5288 = _toAscii($enc, $add_ptr50, $end) | 0;
   191207     $sext = $c_0_lcssa << 24;
   191208     $conv53 = $sext >> 24;
   191209     $cmp5489 = ($call5288 | 0) == ($conv53 | 0);
   191210     if ($cmp5489) {
   191211       $ptr_addr_5_lcssa = $add_ptr50;
   191212       label = 31;
   191213       break;
   191214     } else {
   191215       $ptr_addr_590 = $add_ptr50;
   191216       $call5291 = $call5288;
   191217       label = 27;
   191218       break;
   191219     }
   191220    case 27:
   191221     $call52_off = $call5291 - 97 | 0;
   191222     $call52_off83 = $call5291 - 65 | 0;
   191223     $notlhs = $call52_off >>> 0 > 25;
   191224     $notrhs = $call52_off83 >>> 0 > 25;
   191225     $or_cond_not = $notrhs & $notlhs;
   191226     $call52_off84 = $call5291 - 48 | 0;
   191227     $or_cond3_not = $call52_off84 >>> 0 > 9;
   191228     $or_cond86 = $or_cond_not & $or_cond3_not;
   191229     if ($or_cond86) {
   191230       label = 28;
   191231       break;
   191232     } else {
   191233       label = 30;
   191234       break;
   191235     }
   191236    case 28:
   191237     if (($call5291 | 0) == 95 | ($call5291 | 0) == 46 | ($call5291 | 0) == 45) {
   191238       label = 30;
   191239       break;
   191240     } else {
   191241       label = 29;
   191242       break;
   191243     }
   191244    case 29:
   191245     HEAP32[$nextTokPtr >> 2] = $ptr_addr_590;
   191246     $retval_0 = 0;
   191247     label = 32;
   191248     break;
   191249    case 30:
   191250     $7 = HEAP32[$minBytesPerChar >> 2] | 0;
   191251     $add_ptr87 = $ptr_addr_590 + $7 | 0;
   191252     $call52 = _toAscii($enc, $add_ptr87, $end) | 0;
   191253     $cmp54 = ($call52 | 0) == ($conv53 | 0);
   191254     if ($cmp54) {
   191255       $ptr_addr_5_lcssa = $add_ptr87;
   191256       label = 31;
   191257       break;
   191258     } else {
   191259       $ptr_addr_590 = $add_ptr87;
   191260       $call5291 = $call52;
   191261       label = 27;
   191262       break;
   191263     }
   191264    case 31:
   191265     $8 = HEAP32[$minBytesPerChar >> 2] | 0;
   191266     $add_ptr90 = $ptr_addr_5_lcssa + $8 | 0;
   191267     HEAP32[$nextTokPtr >> 2] = $add_ptr90;
   191268     $retval_0 = 1;
   191269     label = 32;
   191270     break;
   191271    case 32:
   191272     return $retval_0 | 0;
   191273   }
   191274   return 0;
   191275 }
   191276 function _toAscii($enc, $ptr, $end) {
   191277   $enc = $enc | 0;
   191278   $ptr = $ptr | 0;
   191279   $end = $end | 0;
   191280   var $ptr_addr = 0, $buf = 0, $p = 0, $arraydecay = 0, $utf8Convert = 0, $0 = 0, $add_ptr = 0, $1 = 0, $cmp = 0, $2 = 0, $conv = 0, $retval_0 = 0, label = 0, __stackBase__ = 0;
   191281   __stackBase__ = STACKTOP;
   191282   STACKTOP = STACKTOP + 24 | 0;
   191283   label = 2;
   191284   while (1) switch (label | 0) {
   191285    case 2:
   191286     $ptr_addr = __stackBase__ | 0;
   191287     $buf = __stackBase__ + 8 | 0;
   191288     $p = __stackBase__ + 16 | 0;
   191289     HEAP32[$ptr_addr >> 2] = $ptr;
   191290     $arraydecay = $buf | 0;
   191291     HEAP32[$p >> 2] = $arraydecay;
   191292     $utf8Convert = $enc + 56 | 0;
   191293     $0 = HEAP32[$utf8Convert >> 2] | 0;
   191294     $add_ptr = $buf + 1 | 0;
   191295     FUNCTION_TABLE_viiiii[$0 & 1023]($enc, $ptr_addr, $end, $p, $add_ptr);
   191296     $1 = HEAP32[$p >> 2] | 0;
   191297     $cmp = ($1 | 0) == ($arraydecay | 0);
   191298     if ($cmp) {
   191299       $retval_0 = -1;
   191300       label = 4;
   191301       break;
   191302     } else {
   191303       label = 3;
   191304       break;
   191305     }
   191306    case 3:
   191307     $2 = HEAP8[$arraydecay] | 0;
   191308     $conv = $2 << 24 >> 24;
   191309     $retval_0 = $conv;
   191310     label = 4;
   191311     break;
   191312    case 4:
   191313     STACKTOP = __stackBase__;
   191314     return $retval_0 | 0;
   191315   }
   191316   return 0;
   191317 }
   191318 function _initScan($enc, $state, $ptr, $end, $nextTokPtr) {
   191319   $enc = $enc | 0;
   191320   $state = $state | 0;
   191321   $ptr = $ptr | 0;
   191322   $end = $end | 0;
   191323   $nextTokPtr = $nextTokPtr | 0;
   191324   var $cmp = 0, $encPtr1 = 0, $0 = 0, $add_ptr = 0, $cmp2 = 0, $cmp4 = 0, $isUtf16 = 0, $1 = 0, $conv = 0, $conv_off = 0, $switch = 0, $2 = 0, $conv7 = 0, $3 = 0, $cmp12 = 0, $or_cond3 = 0, $4 = 0, $conv20 = 0, $shl = 0, $5 = 0, $conv22 = 0, $or = 0, $isUtf1625 = 0, $6 = 0, $cmp27 = 0, $cmp30 = 0, $or_cond4 = 0, $add_ptr34 = 0, $isUtf1638 = 0, $7 = 0, $_off = 0, $switch6 = 0, $cmp48 = 0, $or_cond7 = 0, $arrayidx53 = 0, $8 = 0, $call = 0, $isUtf1656 = 0, $9 = 0, $cmp58 = 0, $cmp61 = 0, $or_cond5 = 0, $add_ptr65 = 0, $cmp68 = 0, $isUtf1672 = 0, $10 = 0, $add_ptr88 = 0, $cmp89 = 0, $11 = 0, $cmp95 = 0, $add_ptr98 = 0, $cmp103 = 0, $cmp106 = 0, $isUtf16110 = 0, $12 = 0, $cmp112 = 0, $arrayidx118 = 0, $13 = 0, $call119 = 0, $cmp123 = 0, $cmp126 = 0, $or_cond = 0, $arrayidx132 = 0, $14 = 0, $call133 = 0, $isUtf16139 = 0, $15 = 0, $conv140 = 0, $arrayidx141 = 0, $16 = 0, $arrayidx143 = 0, $17 = 0, $call144 = 0, $retval_0 = 0, label = 0;
   191325   label = 2;
   191326   while (1) switch (label | 0) {
   191327    case 2:
   191328     $cmp = ($ptr | 0) == ($end | 0);
   191329     if ($cmp) {
   191330       $retval_0 = -4;
   191331       label = 27;
   191332       break;
   191333     } else {
   191334       label = 3;
   191335       break;
   191336     }
   191337    case 3:
   191338     $encPtr1 = $enc + 72 | 0;
   191339     $0 = HEAP32[$encPtr1 >> 2] | 0;
   191340     $add_ptr = $ptr + 1 | 0;
   191341     $cmp2 = ($add_ptr | 0) == ($end | 0);
   191342     if ($cmp2) {
   191343       label = 4;
   191344       break;
   191345     } else {
   191346       label = 8;
   191347       break;
   191348     }
   191349    case 4:
   191350     $cmp4 = ($state | 0) == 1;
   191351     if ($cmp4) {
   191352       label = 5;
   191353       break;
   191354     } else {
   191355       $retval_0 = -1;
   191356       label = 27;
   191357       break;
   191358     }
   191359    case 5:
   191360     $isUtf16 = $enc + 69 | 0;
   191361     $1 = HEAP8[$isUtf16] | 0;
   191362     $conv = $1 << 24 >> 24;
   191363     $conv_off = $conv - 3 | 0;
   191364     $switch = $conv_off >>> 0 < 3;
   191365     if ($switch) {
   191366       $retval_0 = -1;
   191367       label = 27;
   191368       break;
   191369     } else {
   191370       label = 6;
   191371       break;
   191372     }
   191373    case 6:
   191374     $2 = HEAP8[$ptr] | 0;
   191375     $conv7 = $2 & 255;
   191376     if (($conv7 | 0) == 254 | ($conv7 | 0) == 255 | ($conv7 | 0) == 239) {
   191377       label = 7;
   191378       break;
   191379     } else if (($conv7 | 0) == 0 | ($conv7 | 0) == 60) {
   191380       $retval_0 = -1;
   191381       label = 27;
   191382       break;
   191383     } else {
   191384       label = 26;
   191385       break;
   191386     }
   191387    case 7:
   191388     $3 = HEAP8[$isUtf16] | 0;
   191389     $cmp12 = $3 << 24 >> 24 == 0;
   191390     $or_cond3 = $cmp12 & $cmp4;
   191391     if ($or_cond3) {
   191392       label = 26;
   191393       break;
   191394     } else {
   191395       $retval_0 = -1;
   191396       label = 27;
   191397       break;
   191398     }
   191399    case 8:
   191400     $4 = HEAP8[$ptr] | 0;
   191401     $conv20 = $4 & 255;
   191402     $shl = $conv20 << 8;
   191403     $5 = HEAP8[$add_ptr] | 0;
   191404     $conv22 = $5 & 255;
   191405     $or = $shl | $conv22;
   191406     if (($or | 0) == 65279) {
   191407       label = 9;
   191408       break;
   191409     } else if (($or | 0) == 15360) {
   191410       label = 11;
   191411       break;
   191412     } else if (($or | 0) == 65534) {
   191413       label = 13;
   191414       break;
   191415     } else if (($or | 0) == 61371) {
   191416       label = 15;
   191417       break;
   191418     } else {
   191419       label = 20;
   191420       break;
   191421     }
   191422    case 9:
   191423     $isUtf1625 = $enc + 69 | 0;
   191424     $6 = HEAP8[$isUtf1625] | 0;
   191425     $cmp27 = $6 << 24 >> 24 == 0;
   191426     $cmp30 = ($state | 0) == 1;
   191427     $or_cond4 = $cmp27 & $cmp30;
   191428     if ($or_cond4) {
   191429       label = 26;
   191430       break;
   191431     } else {
   191432       label = 10;
   191433       break;
   191434     }
   191435    case 10:
   191436     $add_ptr34 = $ptr + 2 | 0;
   191437     HEAP32[$nextTokPtr >> 2] = $add_ptr34;
   191438     HEAP32[$0 >> 2] = 85424;
   191439     $retval_0 = 14;
   191440     label = 27;
   191441     break;
   191442    case 11:
   191443     $isUtf1638 = $enc + 69 | 0;
   191444     $7 = HEAP8[$isUtf1638] | 0;
   191445     $_off = $7 - 3 & 255;
   191446     $switch6 = ($_off & 255) < 2;
   191447     $cmp48 = ($state | 0) == 1;
   191448     $or_cond7 = $switch6 & $cmp48;
   191449     if ($or_cond7) {
   191450       label = 26;
   191451       break;
   191452     } else {
   191453       label = 12;
   191454       break;
   191455     }
   191456    case 12:
   191457     HEAP32[$0 >> 2] = 11464;
   191458     $arrayidx53 = 11464 + ($state << 2) | 0;
   191459     $8 = HEAP32[$arrayidx53 >> 2] | 0;
   191460     $call = FUNCTION_TABLE_iiiii[$8 & 1023](11464, $ptr, $end, $nextTokPtr) | 0;
   191461     $retval_0 = $call;
   191462     label = 27;
   191463     break;
   191464    case 13:
   191465     $isUtf1656 = $enc + 69 | 0;
   191466     $9 = HEAP8[$isUtf1656] | 0;
   191467     $cmp58 = $9 << 24 >> 24 == 0;
   191468     $cmp61 = ($state | 0) == 1;
   191469     $or_cond5 = $cmp58 & $cmp61;
   191470     if ($or_cond5) {
   191471       label = 26;
   191472       break;
   191473     } else {
   191474       label = 14;
   191475       break;
   191476     }
   191477    case 14:
   191478     $add_ptr65 = $ptr + 2 | 0;
   191479     HEAP32[$nextTokPtr >> 2] = $add_ptr65;
   191480     HEAP32[$0 >> 2] = 11464;
   191481     $retval_0 = 14;
   191482     label = 27;
   191483     break;
   191484    case 15:
   191485     $cmp68 = ($state | 0) == 1;
   191486     if ($cmp68) {
   191487       label = 16;
   191488       break;
   191489     } else {
   191490       label = 17;
   191491       break;
   191492     }
   191493    case 16:
   191494     $isUtf1672 = $enc + 69 | 0;
   191495     $10 = HEAP8[$isUtf1672] | 0;
   191496     if (($10 << 24 >> 24 | 0) == 5 | ($10 << 24 >> 24 | 0) == 4 | ($10 << 24 >> 24 | 0) == 3 | ($10 << 24 >> 24 | 0) == 0) {
   191497       label = 26;
   191498       break;
   191499     } else {
   191500       label = 17;
   191501       break;
   191502     }
   191503    case 17:
   191504     $add_ptr88 = $ptr + 2 | 0;
   191505     $cmp89 = ($add_ptr88 | 0) == ($end | 0);
   191506     if ($cmp89) {
   191507       $retval_0 = -1;
   191508       label = 27;
   191509       break;
   191510     } else {
   191511       label = 18;
   191512       break;
   191513     }
   191514    case 18:
   191515     $11 = HEAP8[$add_ptr88] | 0;
   191516     $cmp95 = $11 << 24 >> 24 == -65;
   191517     if ($cmp95) {
   191518       label = 19;
   191519       break;
   191520     } else {
   191521       label = 26;
   191522       break;
   191523     }
   191524    case 19:
   191525     $add_ptr98 = $ptr + 3 | 0;
   191526     HEAP32[$nextTokPtr >> 2] = $add_ptr98;
   191527     HEAP32[$0 >> 2] = 528;
   191528     $retval_0 = 14;
   191529     label = 27;
   191530     break;
   191531    case 20:
   191532     $cmp103 = $4 << 24 >> 24 == 0;
   191533     if ($cmp103) {
   191534       label = 21;
   191535       break;
   191536     } else {
   191537       label = 24;
   191538       break;
   191539     }
   191540    case 21:
   191541     $cmp106 = ($state | 0) == 1;
   191542     if ($cmp106) {
   191543       label = 22;
   191544       break;
   191545     } else {
   191546       label = 23;
   191547       break;
   191548     }
   191549    case 22:
   191550     $isUtf16110 = $enc + 69 | 0;
   191551     $12 = HEAP8[$isUtf16110] | 0;
   191552     $cmp112 = $12 << 24 >> 24 == 5;
   191553     if ($cmp112) {
   191554       label = 26;
   191555       break;
   191556     } else {
   191557       label = 23;
   191558       break;
   191559     }
   191560    case 23:
   191561     HEAP32[$0 >> 2] = 85424;
   191562     $arrayidx118 = 85424 + ($state << 2) | 0;
   191563     $13 = HEAP32[$arrayidx118 >> 2] | 0;
   191564     $call119 = FUNCTION_TABLE_iiiii[$13 & 1023](85424, $ptr, $end, $nextTokPtr) | 0;
   191565     $retval_0 = $call119;
   191566     label = 27;
   191567     break;
   191568    case 24:
   191569     $cmp123 = $5 << 24 >> 24 != 0;
   191570     $cmp126 = ($state | 0) == 1;
   191571     $or_cond = $cmp123 | $cmp126;
   191572     if ($or_cond) {
   191573       label = 26;
   191574       break;
   191575     } else {
   191576       label = 25;
   191577       break;
   191578     }
   191579    case 25:
   191580     HEAP32[$0 >> 2] = 11464;
   191581     $arrayidx132 = 11464 + ($state << 2) | 0;
   191582     $14 = HEAP32[$arrayidx132 >> 2] | 0;
   191583     $call133 = FUNCTION_TABLE_iiiii[$14 & 1023](11464, $ptr, $end, $nextTokPtr) | 0;
   191584     $retval_0 = $call133;
   191585     label = 27;
   191586     break;
   191587    case 26:
   191588     $isUtf16139 = $enc + 69 | 0;
   191589     $15 = HEAP8[$isUtf16139] | 0;
   191590     $conv140 = $15 << 24 >> 24;
   191591     $arrayidx141 = 20264 + ($conv140 << 2) | 0;
   191592     $16 = HEAP32[$arrayidx141 >> 2] | 0;
   191593     HEAP32[$0 >> 2] = $16;
   191594     $arrayidx143 = $16 + ($state << 2) | 0;
   191595     $17 = HEAP32[$arrayidx143 >> 2] | 0;
   191596     $call144 = FUNCTION_TABLE_iiiii[$17 & 1023]($16, $ptr, $end, $nextTokPtr) | 0;
   191597     $retval_0 = $call144;
   191598     label = 27;
   191599     break;
   191600    case 27:
   191601     return $retval_0 | 0;
   191602   }
   191603   return 0;
   191604 }
   191605 function _malloc($bytes) {
   191606   $bytes = $bytes | 0;
   191607   var $cmp = 0, $cmp1 = 0, $add2 = 0, $and = 0, $cond = 0, $shr = 0, $0 = 0, $shr3 = 0, $and4 = 0, $cmp5 = 0, $neg = 0, $and7 = 0, $add8 = 0, $shl = 0, $arrayidx = 0, $1 = 0, $arrayidx_sum = 0, $2 = 0, $3 = 0, $fd9 = 0, $4 = 0, $cmp10 = 0, $shl12 = 0, $neg13 = 0, $and14 = 0, $5 = 0, $6 = 0, $cmp15 = 0, $bk = 0, $7 = 0, $cmp16 = 0, $shl22 = 0, $or23 = 0, $head = 0, $8 = 0, $add_ptr_sum106 = 0, $head25 = 0, $9 = 0, $10 = 0, $or26 = 0, $11 = 0, $12 = 0, $cmp29 = 0, $cmp31 = 0, $shl35 = 0, $shl37 = 0, $sub = 0, $or40 = 0, $and41 = 0, $sub42 = 0, $and43 = 0, $sub44 = 0, $shr45 = 0, $and46 = 0, $shr47 = 0, $shr48 = 0, $and49 = 0, $add50 = 0, $shr51 = 0, $shr52 = 0, $and53 = 0, $add54 = 0, $shr55 = 0, $shr56 = 0, $and57 = 0, $add58 = 0, $shr59 = 0, $shr60 = 0, $and61 = 0, $add62 = 0, $shr63 = 0, $add64 = 0, $shl65 = 0, $arrayidx66 = 0, $13 = 0, $arrayidx66_sum = 0, $14 = 0, $15 = 0, $fd69 = 0, $16 = 0, $cmp70 = 0, $shl72 = 0, $neg73 = 0, $and74 = 0, $17 = 0, $18 = 0, $cmp76 = 0, $bk78 = 0, $19 = 0, $cmp79 = 0, $shl90 = 0, $sub91 = 0, $or93 = 0, $head94 = 0, $20 = 0, $add_ptr95 = 0, $21 = 0, $or96 = 0, $add_ptr95_sum103 = 0, $head97 = 0, $22 = 0, $add_ptr98 = 0, $prev_foot = 0, $23 = 0, $cmp99 = 0, $24 = 0, $shr101 = 0, $shl102 = 0, $arrayidx103 = 0, $25 = 0, $26 = 0, $shl105 = 0, $and106 = 0, $tobool107 = 0, $or110 = 0, $arrayidx103_sum104 = 0, $27 = 0, $28 = 0, $29 = 0, $30 = 0, $cmp113 = 0, $F104_0 = 0, $arrayidx103_sum = 0, $31 = 0, $bk122 = 0, $fd123 = 0, $bk124 = 0, $32 = 0, $33 = 0, $cmp128 = 0, $call = 0, $cmp130 = 0, $cmp138 = 0, $add143 = 0, $and144 = 0, $34 = 0, $cmp145 = 0, $call148 = 0, $cmp149 = 0, $nb_0 = 0, $35 = 0, $cmp155 = 0, $sub159 = 0, $36 = 0, $cmp161 = 0, $37 = 0, $add_ptr165 = 0, $38 = 0, $or166 = 0, $add_ptr165_sum = 0, $head167 = 0, $39 = 0, $add_ptr168 = 0, $prev_foot169 = 0, $or171 = 0, $head172 = 0, $or175 = 0, $head176 = 0, $40 = 0, $add_ptr177_sum = 0, $head178 = 0, $41 = 0, $42 = 0, $or179 = 0, $add_ptr181 = 0, $43 = 0, $44 = 0, $cmp183 = 0, $sub187 = 0, $45 = 0, $46 = 0, $add_ptr190 = 0, $47 = 0, $or191 = 0, $add_ptr190_sum = 0, $head192 = 0, $48 = 0, $or194 = 0, $head195 = 0, $add_ptr196 = 0, $49 = 0, $call199 = 0, $mem_0 = 0, label = 0;
   191608   label = 2;
   191609   while (1) switch (label | 0) {
   191610    case 2:
   191611     $cmp = $bytes >>> 0 < 245;
   191612     if ($cmp) {
   191613       label = 3;
   191614       break;
   191615     } else {
   191616       label = 30;
   191617       break;
   191618     }
   191619    case 3:
   191620     $cmp1 = $bytes >>> 0 < 11;
   191621     if ($cmp1) {
   191622       $cond = 16;
   191623       label = 5;
   191624       break;
   191625     } else {
   191626       label = 4;
   191627       break;
   191628     }
   191629    case 4:
   191630     $add2 = $bytes + 11 | 0;
   191631     $and = $add2 & -8;
   191632     $cond = $and;
   191633     label = 5;
   191634     break;
   191635    case 5:
   191636     $shr = $cond >>> 3;
   191637     $0 = HEAP32[40816] | 0;
   191638     $shr3 = $0 >>> ($shr >>> 0);
   191639     $and4 = $shr3 & 3;
   191640     $cmp5 = ($and4 | 0) == 0;
   191641     if ($cmp5) {
   191642       label = 13;
   191643       break;
   191644     } else {
   191645       label = 6;
   191646       break;
   191647     }
   191648    case 6:
   191649     $neg = $shr3 & 1;
   191650     $and7 = $neg ^ 1;
   191651     $add8 = $and7 + $shr | 0;
   191652     $shl = $add8 << 1;
   191653     $arrayidx = 163304 + ($shl << 2) | 0;
   191654     $1 = $arrayidx;
   191655     $arrayidx_sum = $shl + 2 | 0;
   191656     $2 = 163304 + ($arrayidx_sum << 2) | 0;
   191657     $3 = HEAP32[$2 >> 2] | 0;
   191658     $fd9 = $3 + 8 | 0;
   191659     $4 = HEAP32[$fd9 >> 2] | 0;
   191660     $cmp10 = ($1 | 0) == ($4 | 0);
   191661     if ($cmp10) {
   191662       label = 7;
   191663       break;
   191664     } else {
   191665       label = 8;
   191666       break;
   191667     }
   191668    case 7:
   191669     $shl12 = 1 << $add8;
   191670     $neg13 = $shl12 ^ -1;
   191671     $and14 = $0 & $neg13;
   191672     HEAP32[40816] = $and14;
   191673     label = 12;
   191674     break;
   191675    case 8:
   191676     $5 = $4;
   191677     $6 = HEAP32[40820] | 0;
   191678     $cmp15 = $5 >>> 0 < $6 >>> 0;
   191679     if ($cmp15) {
   191680       label = 11;
   191681       break;
   191682     } else {
   191683       label = 9;
   191684       break;
   191685     }
   191686    case 9:
   191687     $bk = $4 + 12 | 0;
   191688     $7 = HEAP32[$bk >> 2] | 0;
   191689     $cmp16 = ($7 | 0) == ($3 | 0);
   191690     if ($cmp16) {
   191691       label = 10;
   191692       break;
   191693     } else {
   191694       label = 11;
   191695       break;
   191696     }
   191697    case 10:
   191698     HEAP32[$bk >> 2] = $1;
   191699     HEAP32[$2 >> 2] = $4;
   191700     label = 12;
   191701     break;
   191702    case 11:
   191703     _abort();
   191704     return 0;
   191705     return 0;
   191706    case 12:
   191707     $shl22 = $add8 << 3;
   191708     $or23 = $shl22 | 3;
   191709     $head = $3 + 4 | 0;
   191710     HEAP32[$head >> 2] = $or23;
   191711     $8 = $3;
   191712     $add_ptr_sum106 = $shl22 | 4;
   191713     $head25 = $8 + $add_ptr_sum106 | 0;
   191714     $9 = $head25;
   191715     $10 = HEAP32[$9 >> 2] | 0;
   191716     $or26 = $10 | 1;
   191717     HEAP32[$9 >> 2] = $or26;
   191718     $11 = $fd9;
   191719     $mem_0 = $11;
   191720     label = 41;
   191721     break;
   191722    case 13:
   191723     $12 = HEAP32[40818] | 0;
   191724     $cmp29 = $cond >>> 0 > $12 >>> 0;
   191725     if ($cmp29) {
   191726       label = 14;
   191727       break;
   191728     } else {
   191729       $nb_0 = $cond;
   191730       label = 33;
   191731       break;
   191732     }
   191733    case 14:
   191734     $cmp31 = ($shr3 | 0) == 0;
   191735     if ($cmp31) {
   191736       label = 28;
   191737       break;
   191738     } else {
   191739       label = 15;
   191740       break;
   191741     }
   191742    case 15:
   191743     $shl35 = $shr3 << $shr;
   191744     $shl37 = 2 << $shr;
   191745     $sub = -$shl37 | 0;
   191746     $or40 = $shl37 | $sub;
   191747     $and41 = $shl35 & $or40;
   191748     $sub42 = -$and41 | 0;
   191749     $and43 = $and41 & $sub42;
   191750     $sub44 = $and43 - 1 | 0;
   191751     $shr45 = $sub44 >>> 12;
   191752     $and46 = $shr45 & 16;
   191753     $shr47 = $sub44 >>> ($and46 >>> 0);
   191754     $shr48 = $shr47 >>> 5;
   191755     $and49 = $shr48 & 8;
   191756     $add50 = $and49 | $and46;
   191757     $shr51 = $shr47 >>> ($and49 >>> 0);
   191758     $shr52 = $shr51 >>> 2;
   191759     $and53 = $shr52 & 4;
   191760     $add54 = $add50 | $and53;
   191761     $shr55 = $shr51 >>> ($and53 >>> 0);
   191762     $shr56 = $shr55 >>> 1;
   191763     $and57 = $shr56 & 2;
   191764     $add58 = $add54 | $and57;
   191765     $shr59 = $shr55 >>> ($and57 >>> 0);
   191766     $shr60 = $shr59 >>> 1;
   191767     $and61 = $shr60 & 1;
   191768     $add62 = $add58 | $and61;
   191769     $shr63 = $shr59 >>> ($and61 >>> 0);
   191770     $add64 = $add62 + $shr63 | 0;
   191771     $shl65 = $add64 << 1;
   191772     $arrayidx66 = 163304 + ($shl65 << 2) | 0;
   191773     $13 = $arrayidx66;
   191774     $arrayidx66_sum = $shl65 + 2 | 0;
   191775     $14 = 163304 + ($arrayidx66_sum << 2) | 0;
   191776     $15 = HEAP32[$14 >> 2] | 0;
   191777     $fd69 = $15 + 8 | 0;
   191778     $16 = HEAP32[$fd69 >> 2] | 0;
   191779     $cmp70 = ($13 | 0) == ($16 | 0);
   191780     if ($cmp70) {
   191781       label = 16;
   191782       break;
   191783     } else {
   191784       label = 17;
   191785       break;
   191786     }
   191787    case 16:
   191788     $shl72 = 1 << $add64;
   191789     $neg73 = $shl72 ^ -1;
   191790     $and74 = $0 & $neg73;
   191791     HEAP32[40816] = $and74;
   191792     label = 21;
   191793     break;
   191794    case 17:
   191795     $17 = $16;
   191796     $18 = HEAP32[40820] | 0;
   191797     $cmp76 = $17 >>> 0 < $18 >>> 0;
   191798     if ($cmp76) {
   191799       label = 20;
   191800       break;
   191801     } else {
   191802       label = 18;
   191803       break;
   191804     }
   191805    case 18:
   191806     $bk78 = $16 + 12 | 0;
   191807     $19 = HEAP32[$bk78 >> 2] | 0;
   191808     $cmp79 = ($19 | 0) == ($15 | 0);
   191809     if ($cmp79) {
   191810       label = 19;
   191811       break;
   191812     } else {
   191813       label = 20;
   191814       break;
   191815     }
   191816    case 19:
   191817     HEAP32[$bk78 >> 2] = $13;
   191818     HEAP32[$14 >> 2] = $16;
   191819     label = 21;
   191820     break;
   191821    case 20:
   191822     _abort();
   191823     return 0;
   191824     return 0;
   191825    case 21:
   191826     $shl90 = $add64 << 3;
   191827     $sub91 = $shl90 - $cond | 0;
   191828     $or93 = $cond | 3;
   191829     $head94 = $15 + 4 | 0;
   191830     HEAP32[$head94 >> 2] = $or93;
   191831     $20 = $15;
   191832     $add_ptr95 = $20 + $cond | 0;
   191833     $21 = $add_ptr95;
   191834     $or96 = $sub91 | 1;
   191835     $add_ptr95_sum103 = $cond | 4;
   191836     $head97 = $20 + $add_ptr95_sum103 | 0;
   191837     $22 = $head97;
   191838     HEAP32[$22 >> 2] = $or96;
   191839     $add_ptr98 = $20 + $shl90 | 0;
   191840     $prev_foot = $add_ptr98;
   191841     HEAP32[$prev_foot >> 2] = $sub91;
   191842     $23 = HEAP32[40818] | 0;
   191843     $cmp99 = ($23 | 0) == 0;
   191844     if ($cmp99) {
   191845       label = 27;
   191846       break;
   191847     } else {
   191848       label = 22;
   191849       break;
   191850     }
   191851    case 22:
   191852     $24 = HEAP32[40821] | 0;
   191853     $shr101 = $23 >>> 3;
   191854     $shl102 = $shr101 << 1;
   191855     $arrayidx103 = 163304 + ($shl102 << 2) | 0;
   191856     $25 = $arrayidx103;
   191857     $26 = HEAP32[40816] | 0;
   191858     $shl105 = 1 << $shr101;
   191859     $and106 = $26 & $shl105;
   191860     $tobool107 = ($and106 | 0) == 0;
   191861     if ($tobool107) {
   191862       label = 23;
   191863       break;
   191864     } else {
   191865       label = 24;
   191866       break;
   191867     }
   191868    case 23:
   191869     $or110 = $26 | $shl105;
   191870     HEAP32[40816] = $or110;
   191871     $F104_0 = $25;
   191872     label = 26;
   191873     break;
   191874    case 24:
   191875     $arrayidx103_sum104 = $shl102 + 2 | 0;
   191876     $27 = 163304 + ($arrayidx103_sum104 << 2) | 0;
   191877     $28 = HEAP32[$27 >> 2] | 0;
   191878     $29 = $28;
   191879     $30 = HEAP32[40820] | 0;
   191880     $cmp113 = $29 >>> 0 < $30 >>> 0;
   191881     if ($cmp113) {
   191882       label = 25;
   191883       break;
   191884     } else {
   191885       $F104_0 = $28;
   191886       label = 26;
   191887       break;
   191888     }
   191889    case 25:
   191890     _abort();
   191891     return 0;
   191892     return 0;
   191893    case 26:
   191894     $arrayidx103_sum = $shl102 + 2 | 0;
   191895     $31 = 163304 + ($arrayidx103_sum << 2) | 0;
   191896     HEAP32[$31 >> 2] = $24;
   191897     $bk122 = $F104_0 + 12 | 0;
   191898     HEAP32[$bk122 >> 2] = $24;
   191899     $fd123 = $24 + 8 | 0;
   191900     HEAP32[$fd123 >> 2] = $F104_0;
   191901     $bk124 = $24 + 12 | 0;
   191902     HEAP32[$bk124 >> 2] = $25;
   191903     label = 27;
   191904     break;
   191905    case 27:
   191906     HEAP32[40818] = $sub91;
   191907     HEAP32[40821] = $21;
   191908     $32 = $fd69;
   191909     $mem_0 = $32;
   191910     label = 41;
   191911     break;
   191912    case 28:
   191913     $33 = HEAP32[40817] | 0;
   191914     $cmp128 = ($33 | 0) == 0;
   191915     if ($cmp128) {
   191916       $nb_0 = $cond;
   191917       label = 33;
   191918       break;
   191919     } else {
   191920       label = 29;
   191921       break;
   191922     }
   191923    case 29:
   191924     $call = _tmalloc_small($cond) | 0;
   191925     $cmp130 = ($call | 0) == 0;
   191926     if ($cmp130) {
   191927       $nb_0 = $cond;
   191928       label = 33;
   191929       break;
   191930     } else {
   191931       $mem_0 = $call;
   191932       label = 41;
   191933       break;
   191934     }
   191935    case 30:
   191936     $cmp138 = $bytes >>> 0 > 4294967231;
   191937     if ($cmp138) {
   191938       $nb_0 = -1;
   191939       label = 33;
   191940       break;
   191941     } else {
   191942       label = 31;
   191943       break;
   191944     }
   191945    case 31:
   191946     $add143 = $bytes + 11 | 0;
   191947     $and144 = $add143 & -8;
   191948     $34 = HEAP32[40817] | 0;
   191949     $cmp145 = ($34 | 0) == 0;
   191950     if ($cmp145) {
   191951       $nb_0 = $and144;
   191952       label = 33;
   191953       break;
   191954     } else {
   191955       label = 32;
   191956       break;
   191957     }
   191958    case 32:
   191959     $call148 = _tmalloc_large($and144) | 0;
   191960     $cmp149 = ($call148 | 0) == 0;
   191961     if ($cmp149) {
   191962       $nb_0 = $and144;
   191963       label = 33;
   191964       break;
   191965     } else {
   191966       $mem_0 = $call148;
   191967       label = 41;
   191968       break;
   191969     }
   191970    case 33:
   191971     $35 = HEAP32[40818] | 0;
   191972     $cmp155 = $nb_0 >>> 0 > $35 >>> 0;
   191973     if ($cmp155) {
   191974       label = 38;
   191975       break;
   191976     } else {
   191977       label = 34;
   191978       break;
   191979     }
   191980    case 34:
   191981     $sub159 = $35 - $nb_0 | 0;
   191982     $36 = HEAP32[40821] | 0;
   191983     $cmp161 = $sub159 >>> 0 > 15;
   191984     if ($cmp161) {
   191985       label = 35;
   191986       break;
   191987     } else {
   191988       label = 36;
   191989       break;
   191990     }
   191991    case 35:
   191992     $37 = $36;
   191993     $add_ptr165 = $37 + $nb_0 | 0;
   191994     $38 = $add_ptr165;
   191995     HEAP32[40821] = $38;
   191996     HEAP32[40818] = $sub159;
   191997     $or166 = $sub159 | 1;
   191998     $add_ptr165_sum = $nb_0 + 4 | 0;
   191999     $head167 = $37 + $add_ptr165_sum | 0;
   192000     $39 = $head167;
   192001     HEAP32[$39 >> 2] = $or166;
   192002     $add_ptr168 = $37 + $35 | 0;
   192003     $prev_foot169 = $add_ptr168;
   192004     HEAP32[$prev_foot169 >> 2] = $sub159;
   192005     $or171 = $nb_0 | 3;
   192006     $head172 = $36 + 4 | 0;
   192007     HEAP32[$head172 >> 2] = $or171;
   192008     label = 37;
   192009     break;
   192010    case 36:
   192011     HEAP32[40818] = 0;
   192012     HEAP32[40821] = 0;
   192013     $or175 = $35 | 3;
   192014     $head176 = $36 + 4 | 0;
   192015     HEAP32[$head176 >> 2] = $or175;
   192016     $40 = $36;
   192017     $add_ptr177_sum = $35 + 4 | 0;
   192018     $head178 = $40 + $add_ptr177_sum | 0;
   192019     $41 = $head178;
   192020     $42 = HEAP32[$41 >> 2] | 0;
   192021     $or179 = $42 | 1;
   192022     HEAP32[$41 >> 2] = $or179;
   192023     label = 37;
   192024     break;
   192025    case 37:
   192026     $add_ptr181 = $36 + 8 | 0;
   192027     $43 = $add_ptr181;
   192028     $mem_0 = $43;
   192029     label = 41;
   192030     break;
   192031    case 38:
   192032     $44 = HEAP32[40819] | 0;
   192033     $cmp183 = $nb_0 >>> 0 < $44 >>> 0;
   192034     if ($cmp183) {
   192035       label = 39;
   192036       break;
   192037     } else {
   192038       label = 40;
   192039       break;
   192040     }
   192041    case 39:
   192042     $sub187 = $44 - $nb_0 | 0;
   192043     HEAP32[40819] = $sub187;
   192044     $45 = HEAP32[40822] | 0;
   192045     $46 = $45;
   192046     $add_ptr190 = $46 + $nb_0 | 0;
   192047     $47 = $add_ptr190;
   192048     HEAP32[40822] = $47;
   192049     $or191 = $sub187 | 1;
   192050     $add_ptr190_sum = $nb_0 + 4 | 0;
   192051     $head192 = $46 + $add_ptr190_sum | 0;
   192052     $48 = $head192;
   192053     HEAP32[$48 >> 2] = $or191;
   192054     $or194 = $nb_0 | 3;
   192055     $head195 = $45 + 4 | 0;
   192056     HEAP32[$head195 >> 2] = $or194;
   192057     $add_ptr196 = $45 + 8 | 0;
   192058     $49 = $add_ptr196;
   192059     $mem_0 = $49;
   192060     label = 41;
   192061     break;
   192062    case 40:
   192063     $call199 = _sys_alloc($nb_0) | 0;
   192064     $mem_0 = $call199;
   192065     label = 41;
   192066     break;
   192067    case 41:
   192068     return $mem_0 | 0;
   192069   }
   192070   return 0;
   192071 }
   192072 function _tmalloc_small($nb) {
   192073   $nb = $nb | 0;
   192074   var $0 = 0, $sub = 0, $and = 0, $sub2 = 0, $shr = 0, $and3 = 0, $shr4 = 0, $shr5 = 0, $and6 = 0, $add = 0, $shr7 = 0, $shr8 = 0, $and9 = 0, $add10 = 0, $shr11 = 0, $shr12 = 0, $and13 = 0, $add14 = 0, $shr15 = 0, $shr16 = 0, $and17 = 0, $add18 = 0, $shr19 = 0, $add20 = 0, $arrayidx = 0, $1 = 0, $head = 0, $2 = 0, $and21 = 0, $sub22 = 0, $rsize_0 = 0, $v_0 = 0, $t_0 = 0, $arrayidx23 = 0, $3 = 0, $cmp = 0, $arrayidx27 = 0, $4 = 0, $cmp28 = 0, $cond7 = 0, $head29 = 0, $5 = 0, $and30 = 0, $sub31 = 0, $cmp32 = 0, $sub31_rsize_0 = 0, $cond_v_0 = 0, $6 = 0, $7 = 0, $cmp33 = 0, $add_ptr = 0, $8 = 0, $cmp35 = 0, $parent = 0, $9 = 0, $bk = 0, $10 = 0, $cmp40 = 0, $fd = 0, $11 = 0, $12 = 0, $cmp45 = 0, $bk47 = 0, $13 = 0, $cmp48 = 0, $fd50 = 0, $14 = 0, $cmp51 = 0, $arrayidx61 = 0, $15 = 0, $cmp62 = 0, $arrayidx65 = 0, $16 = 0, $cmp66 = 0, $RP_0 = 0, $R_0 = 0, $arrayidx71 = 0, $17 = 0, $cmp72 = 0, $arrayidx75 = 0, $18 = 0, $cmp76 = 0, $CP_0 = 0, $19 = 0, $20 = 0, $21 = 0, $cmp81 = 0, $R_1 = 0, $cmp90 = 0, $index = 0, $22 = 0, $arrayidx94 = 0, $23 = 0, $cmp95 = 0, $cond5 = 0, $24 = 0, $shl = 0, $neg = 0, $25 = 0, $and103 = 0, $26 = 0, $27 = 0, $cmp107 = 0, $arrayidx113 = 0, $28 = 0, $cmp114 = 0, $arrayidx121 = 0, $cmp126 = 0, $29 = 0, $30 = 0, $cmp130 = 0, $parent135 = 0, $arrayidx137 = 0, $31 = 0, $cmp138 = 0, $32 = 0, $33 = 0, $cmp142 = 0, $arrayidx148 = 0, $parent149 = 0, $arrayidx154 = 0, $34 = 0, $cmp155 = 0, $35 = 0, $36 = 0, $cmp159 = 0, $arrayidx165 = 0, $parent166 = 0, $cmp174 = 0, $add177 = 0, $or178 = 0, $head179 = 0, $add_ptr181_sum = 0, $head182 = 0, $37 = 0, $38 = 0, $or183 = 0, $or186 = 0, $head187 = 0, $or188 = 0, $add_ptr_sum = 0, $head189 = 0, $39 = 0, $add_ptr_sum1 = 0, $add_ptr190 = 0, $prev_foot = 0, $40 = 0, $cmp191 = 0, $41 = 0, $shr194 = 0, $shl195 = 0, $arrayidx196 = 0, $42 = 0, $43 = 0, $shl198 = 0, $and199 = 0, $tobool200 = 0, $or204 = 0, $arrayidx196_sum2 = 0, $44 = 0, $45 = 0, $46 = 0, $47 = 0, $cmp208 = 0, $F197_0 = 0, $arrayidx196_sum = 0, $48 = 0, $bk218 = 0, $fd219 = 0, $bk220 = 0, $add_ptr225 = 0, $49 = 0, label = 0;
   192075   label = 2;
   192076   while (1) switch (label | 0) {
   192077    case 2:
   192078     $0 = HEAP32[40817] | 0;
   192079     $sub = -$0 | 0;
   192080     $and = $0 & $sub;
   192081     $sub2 = $and - 1 | 0;
   192082     $shr = $sub2 >>> 12;
   192083     $and3 = $shr & 16;
   192084     $shr4 = $sub2 >>> ($and3 >>> 0);
   192085     $shr5 = $shr4 >>> 5;
   192086     $and6 = $shr5 & 8;
   192087     $add = $and6 | $and3;
   192088     $shr7 = $shr4 >>> ($and6 >>> 0);
   192089     $shr8 = $shr7 >>> 2;
   192090     $and9 = $shr8 & 4;
   192091     $add10 = $add | $and9;
   192092     $shr11 = $shr7 >>> ($and9 >>> 0);
   192093     $shr12 = $shr11 >>> 1;
   192094     $and13 = $shr12 & 2;
   192095     $add14 = $add10 | $and13;
   192096     $shr15 = $shr11 >>> ($and13 >>> 0);
   192097     $shr16 = $shr15 >>> 1;
   192098     $and17 = $shr16 & 1;
   192099     $add18 = $add14 | $and17;
   192100     $shr19 = $shr15 >>> ($and17 >>> 0);
   192101     $add20 = $add18 + $shr19 | 0;
   192102     $arrayidx = 163568 + ($add20 << 2) | 0;
   192103     $1 = HEAP32[$arrayidx >> 2] | 0;
   192104     $head = $1 + 4 | 0;
   192105     $2 = HEAP32[$head >> 2] | 0;
   192106     $and21 = $2 & -8;
   192107     $sub22 = $and21 - $nb | 0;
   192108     $t_0 = $1;
   192109     $v_0 = $1;
   192110     $rsize_0 = $sub22;
   192111     label = 3;
   192112     break;
   192113    case 3:
   192114     $arrayidx23 = $t_0 + 16 | 0;
   192115     $3 = HEAP32[$arrayidx23 >> 2] | 0;
   192116     $cmp = ($3 | 0) == 0;
   192117     if ($cmp) {
   192118       label = 4;
   192119       break;
   192120     } else {
   192121       $cond7 = $3;
   192122       label = 5;
   192123       break;
   192124     }
   192125    case 4:
   192126     $arrayidx27 = $t_0 + 20 | 0;
   192127     $4 = HEAP32[$arrayidx27 >> 2] | 0;
   192128     $cmp28 = ($4 | 0) == 0;
   192129     if ($cmp28) {
   192130       label = 6;
   192131       break;
   192132     } else {
   192133       $cond7 = $4;
   192134       label = 5;
   192135       break;
   192136     }
   192137    case 5:
   192138     $head29 = $cond7 + 4 | 0;
   192139     $5 = HEAP32[$head29 >> 2] | 0;
   192140     $and30 = $5 & -8;
   192141     $sub31 = $and30 - $nb | 0;
   192142     $cmp32 = $sub31 >>> 0 < $rsize_0 >>> 0;
   192143     $sub31_rsize_0 = $cmp32 ? $sub31 : $rsize_0;
   192144     $cond_v_0 = $cmp32 ? $cond7 : $v_0;
   192145     $t_0 = $cond7;
   192146     $v_0 = $cond_v_0;
   192147     $rsize_0 = $sub31_rsize_0;
   192148     label = 3;
   192149     break;
   192150    case 6:
   192151     $6 = $v_0;
   192152     $7 = HEAP32[40820] | 0;
   192153     $cmp33 = $6 >>> 0 < $7 >>> 0;
   192154     if ($cmp33) {
   192155       label = 52;
   192156       break;
   192157     } else {
   192158       label = 7;
   192159       break;
   192160     }
   192161    case 7:
   192162     $add_ptr = $6 + $nb | 0;
   192163     $8 = $add_ptr;
   192164     $cmp35 = $6 >>> 0 < $add_ptr >>> 0;
   192165     if ($cmp35) {
   192166       label = 8;
   192167       break;
   192168     } else {
   192169       label = 52;
   192170       break;
   192171     }
   192172    case 8:
   192173     $parent = $v_0 + 24 | 0;
   192174     $9 = HEAP32[$parent >> 2] | 0;
   192175     $bk = $v_0 + 12 | 0;
   192176     $10 = HEAP32[$bk >> 2] | 0;
   192177     $cmp40 = ($10 | 0) == ($v_0 | 0);
   192178     if ($cmp40) {
   192179       label = 14;
   192180       break;
   192181     } else {
   192182       label = 9;
   192183       break;
   192184     }
   192185    case 9:
   192186     $fd = $v_0 + 8 | 0;
   192187     $11 = HEAP32[$fd >> 2] | 0;
   192188     $12 = $11;
   192189     $cmp45 = $12 >>> 0 < $7 >>> 0;
   192190     if ($cmp45) {
   192191       label = 13;
   192192       break;
   192193     } else {
   192194       label = 10;
   192195       break;
   192196     }
   192197    case 10:
   192198     $bk47 = $11 + 12 | 0;
   192199     $13 = HEAP32[$bk47 >> 2] | 0;
   192200     $cmp48 = ($13 | 0) == ($v_0 | 0);
   192201     if ($cmp48) {
   192202       label = 11;
   192203       break;
   192204     } else {
   192205       label = 13;
   192206       break;
   192207     }
   192208    case 11:
   192209     $fd50 = $10 + 8 | 0;
   192210     $14 = HEAP32[$fd50 >> 2] | 0;
   192211     $cmp51 = ($14 | 0) == ($v_0 | 0);
   192212     if ($cmp51) {
   192213       label = 12;
   192214       break;
   192215     } else {
   192216       label = 13;
   192217       break;
   192218     }
   192219    case 12:
   192220     HEAP32[$bk47 >> 2] = $10;
   192221     HEAP32[$fd50 >> 2] = $11;
   192222     $R_1 = $10;
   192223     label = 22;
   192224     break;
   192225    case 13:
   192226     _abort();
   192227     return 0;
   192228     return 0;
   192229    case 14:
   192230     $arrayidx61 = $v_0 + 20 | 0;
   192231     $15 = HEAP32[$arrayidx61 >> 2] | 0;
   192232     $cmp62 = ($15 | 0) == 0;
   192233     if ($cmp62) {
   192234       label = 15;
   192235       break;
   192236     } else {
   192237       $R_0 = $15;
   192238       $RP_0 = $arrayidx61;
   192239       label = 16;
   192240       break;
   192241     }
   192242    case 15:
   192243     $arrayidx65 = $v_0 + 16 | 0;
   192244     $16 = HEAP32[$arrayidx65 >> 2] | 0;
   192245     $cmp66 = ($16 | 0) == 0;
   192246     if ($cmp66) {
   192247       $R_1 = 0;
   192248       label = 22;
   192249       break;
   192250     } else {
   192251       $R_0 = $16;
   192252       $RP_0 = $arrayidx65;
   192253       label = 16;
   192254       break;
   192255     }
   192256    case 16:
   192257     $arrayidx71 = $R_0 + 20 | 0;
   192258     $17 = HEAP32[$arrayidx71 >> 2] | 0;
   192259     $cmp72 = ($17 | 0) == 0;
   192260     if ($cmp72) {
   192261       label = 17;
   192262       break;
   192263     } else {
   192264       $CP_0 = $arrayidx71;
   192265       label = 18;
   192266       break;
   192267     }
   192268    case 17:
   192269     $arrayidx75 = $R_0 + 16 | 0;
   192270     $18 = HEAP32[$arrayidx75 >> 2] | 0;
   192271     $cmp76 = ($18 | 0) == 0;
   192272     if ($cmp76) {
   192273       label = 19;
   192274       break;
   192275     } else {
   192276       $CP_0 = $arrayidx75;
   192277       label = 18;
   192278       break;
   192279     }
   192280    case 18:
   192281     $19 = HEAP32[$CP_0 >> 2] | 0;
   192282     $R_0 = $19;
   192283     $RP_0 = $CP_0;
   192284     label = 16;
   192285     break;
   192286    case 19:
   192287     $20 = $RP_0;
   192288     $21 = HEAP32[40820] | 0;
   192289     $cmp81 = $20 >>> 0 < $21 >>> 0;
   192290     if ($cmp81) {
   192291       label = 21;
   192292       break;
   192293     } else {
   192294       label = 20;
   192295       break;
   192296     }
   192297    case 20:
   192298     HEAP32[$RP_0 >> 2] = 0;
   192299     $R_1 = $R_0;
   192300     label = 22;
   192301     break;
   192302    case 21:
   192303     _abort();
   192304     return 0;
   192305     return 0;
   192306    case 22:
   192307     $cmp90 = ($9 | 0) == 0;
   192308     if ($cmp90) {
   192309       label = 42;
   192310       break;
   192311     } else {
   192312       label = 23;
   192313       break;
   192314     }
   192315    case 23:
   192316     $index = $v_0 + 28 | 0;
   192317     $22 = HEAP32[$index >> 2] | 0;
   192318     $arrayidx94 = 163568 + ($22 << 2) | 0;
   192319     $23 = HEAP32[$arrayidx94 >> 2] | 0;
   192320     $cmp95 = ($v_0 | 0) == ($23 | 0);
   192321     if ($cmp95) {
   192322       label = 24;
   192323       break;
   192324     } else {
   192325       label = 26;
   192326       break;
   192327     }
   192328    case 24:
   192329     HEAP32[$arrayidx94 >> 2] = $R_1;
   192330     $cond5 = ($R_1 | 0) == 0;
   192331     if ($cond5) {
   192332       label = 25;
   192333       break;
   192334     } else {
   192335       label = 32;
   192336       break;
   192337     }
   192338    case 25:
   192339     $24 = HEAP32[$index >> 2] | 0;
   192340     $shl = 1 << $24;
   192341     $neg = $shl ^ -1;
   192342     $25 = HEAP32[40817] | 0;
   192343     $and103 = $25 & $neg;
   192344     HEAP32[40817] = $and103;
   192345     label = 42;
   192346     break;
   192347    case 26:
   192348     $26 = $9;
   192349     $27 = HEAP32[40820] | 0;
   192350     $cmp107 = $26 >>> 0 < $27 >>> 0;
   192351     if ($cmp107) {
   192352       label = 30;
   192353       break;
   192354     } else {
   192355       label = 27;
   192356       break;
   192357     }
   192358    case 27:
   192359     $arrayidx113 = $9 + 16 | 0;
   192360     $28 = HEAP32[$arrayidx113 >> 2] | 0;
   192361     $cmp114 = ($28 | 0) == ($v_0 | 0);
   192362     if ($cmp114) {
   192363       label = 28;
   192364       break;
   192365     } else {
   192366       label = 29;
   192367       break;
   192368     }
   192369    case 28:
   192370     HEAP32[$arrayidx113 >> 2] = $R_1;
   192371     label = 31;
   192372     break;
   192373    case 29:
   192374     $arrayidx121 = $9 + 20 | 0;
   192375     HEAP32[$arrayidx121 >> 2] = $R_1;
   192376     label = 31;
   192377     break;
   192378    case 30:
   192379     _abort();
   192380     return 0;
   192381     return 0;
   192382    case 31:
   192383     $cmp126 = ($R_1 | 0) == 0;
   192384     if ($cmp126) {
   192385       label = 42;
   192386       break;
   192387     } else {
   192388       label = 32;
   192389       break;
   192390     }
   192391    case 32:
   192392     $29 = $R_1;
   192393     $30 = HEAP32[40820] | 0;
   192394     $cmp130 = $29 >>> 0 < $30 >>> 0;
   192395     if ($cmp130) {
   192396       label = 41;
   192397       break;
   192398     } else {
   192399       label = 33;
   192400       break;
   192401     }
   192402    case 33:
   192403     $parent135 = $R_1 + 24 | 0;
   192404     HEAP32[$parent135 >> 2] = $9;
   192405     $arrayidx137 = $v_0 + 16 | 0;
   192406     $31 = HEAP32[$arrayidx137 >> 2] | 0;
   192407     $cmp138 = ($31 | 0) == 0;
   192408     if ($cmp138) {
   192409       label = 37;
   192410       break;
   192411     } else {
   192412       label = 34;
   192413       break;
   192414     }
   192415    case 34:
   192416     $32 = $31;
   192417     $33 = HEAP32[40820] | 0;
   192418     $cmp142 = $32 >>> 0 < $33 >>> 0;
   192419     if ($cmp142) {
   192420       label = 36;
   192421       break;
   192422     } else {
   192423       label = 35;
   192424       break;
   192425     }
   192426    case 35:
   192427     $arrayidx148 = $R_1 + 16 | 0;
   192428     HEAP32[$arrayidx148 >> 2] = $31;
   192429     $parent149 = $31 + 24 | 0;
   192430     HEAP32[$parent149 >> 2] = $R_1;
   192431     label = 37;
   192432     break;
   192433    case 36:
   192434     _abort();
   192435     return 0;
   192436     return 0;
   192437    case 37:
   192438     $arrayidx154 = $v_0 + 20 | 0;
   192439     $34 = HEAP32[$arrayidx154 >> 2] | 0;
   192440     $cmp155 = ($34 | 0) == 0;
   192441     if ($cmp155) {
   192442       label = 42;
   192443       break;
   192444     } else {
   192445       label = 38;
   192446       break;
   192447     }
   192448    case 38:
   192449     $35 = $34;
   192450     $36 = HEAP32[40820] | 0;
   192451     $cmp159 = $35 >>> 0 < $36 >>> 0;
   192452     if ($cmp159) {
   192453       label = 40;
   192454       break;
   192455     } else {
   192456       label = 39;
   192457       break;
   192458     }
   192459    case 39:
   192460     $arrayidx165 = $R_1 + 20 | 0;
   192461     HEAP32[$arrayidx165 >> 2] = $34;
   192462     $parent166 = $34 + 24 | 0;
   192463     HEAP32[$parent166 >> 2] = $R_1;
   192464     label = 42;
   192465     break;
   192466    case 40:
   192467     _abort();
   192468     return 0;
   192469     return 0;
   192470    case 41:
   192471     _abort();
   192472     return 0;
   192473     return 0;
   192474    case 42:
   192475     $cmp174 = $rsize_0 >>> 0 < 16;
   192476     if ($cmp174) {
   192477       label = 43;
   192478       break;
   192479     } else {
   192480       label = 44;
   192481       break;
   192482     }
   192483    case 43:
   192484     $add177 = $rsize_0 + $nb | 0;
   192485     $or178 = $add177 | 3;
   192486     $head179 = $v_0 + 4 | 0;
   192487     HEAP32[$head179 >> 2] = $or178;
   192488     $add_ptr181_sum = $add177 + 4 | 0;
   192489     $head182 = $6 + $add_ptr181_sum | 0;
   192490     $37 = $head182;
   192491     $38 = HEAP32[$37 >> 2] | 0;
   192492     $or183 = $38 | 1;
   192493     HEAP32[$37 >> 2] = $or183;
   192494     label = 51;
   192495     break;
   192496    case 44:
   192497     $or186 = $nb | 3;
   192498     $head187 = $v_0 + 4 | 0;
   192499     HEAP32[$head187 >> 2] = $or186;
   192500     $or188 = $rsize_0 | 1;
   192501     $add_ptr_sum = $nb + 4 | 0;
   192502     $head189 = $6 + $add_ptr_sum | 0;
   192503     $39 = $head189;
   192504     HEAP32[$39 >> 2] = $or188;
   192505     $add_ptr_sum1 = $rsize_0 + $nb | 0;
   192506     $add_ptr190 = $6 + $add_ptr_sum1 | 0;
   192507     $prev_foot = $add_ptr190;
   192508     HEAP32[$prev_foot >> 2] = $rsize_0;
   192509     $40 = HEAP32[40818] | 0;
   192510     $cmp191 = ($40 | 0) == 0;
   192511     if ($cmp191) {
   192512       label = 50;
   192513       break;
   192514     } else {
   192515       label = 45;
   192516       break;
   192517     }
   192518    case 45:
   192519     $41 = HEAP32[40821] | 0;
   192520     $shr194 = $40 >>> 3;
   192521     $shl195 = $shr194 << 1;
   192522     $arrayidx196 = 163304 + ($shl195 << 2) | 0;
   192523     $42 = $arrayidx196;
   192524     $43 = HEAP32[40816] | 0;
   192525     $shl198 = 1 << $shr194;
   192526     $and199 = $43 & $shl198;
   192527     $tobool200 = ($and199 | 0) == 0;
   192528     if ($tobool200) {
   192529       label = 46;
   192530       break;
   192531     } else {
   192532       label = 47;
   192533       break;
   192534     }
   192535    case 46:
   192536     $or204 = $43 | $shl198;
   192537     HEAP32[40816] = $or204;
   192538     $F197_0 = $42;
   192539     label = 49;
   192540     break;
   192541    case 47:
   192542     $arrayidx196_sum2 = $shl195 + 2 | 0;
   192543     $44 = 163304 + ($arrayidx196_sum2 << 2) | 0;
   192544     $45 = HEAP32[$44 >> 2] | 0;
   192545     $46 = $45;
   192546     $47 = HEAP32[40820] | 0;
   192547     $cmp208 = $46 >>> 0 < $47 >>> 0;
   192548     if ($cmp208) {
   192549       label = 48;
   192550       break;
   192551     } else {
   192552       $F197_0 = $45;
   192553       label = 49;
   192554       break;
   192555     }
   192556    case 48:
   192557     _abort();
   192558     return 0;
   192559     return 0;
   192560    case 49:
   192561     $arrayidx196_sum = $shl195 + 2 | 0;
   192562     $48 = 163304 + ($arrayidx196_sum << 2) | 0;
   192563     HEAP32[$48 >> 2] = $41;
   192564     $bk218 = $F197_0 + 12 | 0;
   192565     HEAP32[$bk218 >> 2] = $41;
   192566     $fd219 = $41 + 8 | 0;
   192567     HEAP32[$fd219 >> 2] = $F197_0;
   192568     $bk220 = $41 + 12 | 0;
   192569     HEAP32[$bk220 >> 2] = $42;
   192570     label = 50;
   192571     break;
   192572    case 50:
   192573     HEAP32[40818] = $rsize_0;
   192574     HEAP32[40821] = $8;
   192575     label = 51;
   192576     break;
   192577    case 51:
   192578     $add_ptr225 = $v_0 + 8 | 0;
   192579     $49 = $add_ptr225;
   192580     return $49 | 0;
   192581    case 52:
   192582     _abort();
   192583     return 0;
   192584     return 0;
   192585   }
   192586   return 0;
   192587 }
   192588 function _tmalloc_large($nb) {
   192589   $nb = $nb | 0;
   192590   var $sub = 0, $shr = 0, $cmp = 0, $cmp1 = 0, $sub4 = 0, $shr5 = 0, $and = 0, $shl = 0, $sub6 = 0, $shr7 = 0, $and8 = 0, $add = 0, $shl9 = 0, $sub10 = 0, $shr11 = 0, $and12 = 0, $add13 = 0, $sub14 = 0, $shl15 = 0, $shr16 = 0, $add17 = 0, $shl18 = 0, $add19 = 0, $shr20 = 0, $and21 = 0, $add22 = 0, $idx_0 = 0, $arrayidx = 0, $0 = 0, $cmp24 = 0, $cmp26 = 0, $shr27 = 0, $sub30 = 0, $cond = 0, $shl31 = 0, $rst_0 = 0, $sizebits_0 = 0, $t_0 = 0, $rsize_0 = 0, $v_0 = 0, $head = 0, $1 = 0, $and32 = 0, $sub33 = 0, $cmp34 = 0, $cmp36 = 0, $rsize_1 = 0, $v_1 = 0, $arrayidx40 = 0, $2 = 0, $shr41 = 0, $arrayidx44 = 0, $3 = 0, $cmp45 = 0, $cmp46 = 0, $or_cond = 0, $rst_1 = 0, $cmp49 = 0, $shl52 = 0, $t_1 = 0, $rsize_2 = 0, $v_2 = 0, $cmp54 = 0, $cmp56 = 0, $or_cond18 = 0, $shl59 = 0, $sub62 = 0, $or = 0, $4 = 0, $and63 = 0, $cmp64 = 0, $sub66 = 0, $and67 = 0, $sub69 = 0, $shr71 = 0, $and72 = 0, $shr74 = 0, $shr75 = 0, $and76 = 0, $add77 = 0, $shr78 = 0, $shr79 = 0, $and80 = 0, $add81 = 0, $shr82 = 0, $shr83 = 0, $and84 = 0, $add85 = 0, $shr86 = 0, $shr87 = 0, $and88 = 0, $add89 = 0, $shr90 = 0, $add91 = 0, $arrayidx93 = 0, $5 = 0, $t_2_ph = 0, $cmp9623 = 0, $v_326 = 0, $rsize_325 = 0, $t_224 = 0, $head98 = 0, $6 = 0, $and99 = 0, $sub100 = 0, $cmp101 = 0, $sub100_rsize_3 = 0, $t_2_v_3 = 0, $arrayidx105 = 0, $7 = 0, $cmp106 = 0, $arrayidx112 = 0, $8 = 0, $cmp96 = 0, $v_3_lcssa = 0, $rsize_3_lcssa = 0, $cmp115 = 0, $9 = 0, $sub117 = 0, $cmp118 = 0, $10 = 0, $11 = 0, $cmp120 = 0, $add_ptr = 0, $12 = 0, $cmp122 = 0, $parent = 0, $13 = 0, $bk = 0, $14 = 0, $cmp127 = 0, $fd = 0, $15 = 0, $16 = 0, $cmp132 = 0, $bk135 = 0, $17 = 0, $cmp136 = 0, $fd138 = 0, $18 = 0, $cmp139 = 0, $arrayidx150 = 0, $19 = 0, $cmp151 = 0, $arrayidx154 = 0, $20 = 0, $cmp155 = 0, $RP_0 = 0, $R_0 = 0, $arrayidx160 = 0, $21 = 0, $cmp161 = 0, $arrayidx164 = 0, $22 = 0, $cmp165 = 0, $CP_0 = 0, $23 = 0, $24 = 0, $25 = 0, $cmp170 = 0, $R_1 = 0, $cmp179 = 0, $index = 0, $26 = 0, $arrayidx183 = 0, $27 = 0, $cmp184 = 0, $cond20 = 0, $28 = 0, $shl191 = 0, $neg = 0, $29 = 0, $and193 = 0, $30 = 0, $31 = 0, $cmp197 = 0, $arrayidx203 = 0, $32 = 0, $cmp204 = 0, $arrayidx211 = 0, $cmp216 = 0, $33 = 0, $34 = 0, $cmp220 = 0, $parent225 = 0, $arrayidx227 = 0, $35 = 0, $cmp228 = 0, $36 = 0, $37 = 0, $cmp232 = 0, $arrayidx238 = 0, $parent239 = 0, $arrayidx244 = 0, $38 = 0, $cmp245 = 0, $39 = 0, $40 = 0, $cmp249 = 0, $arrayidx255 = 0, $parent256 = 0, $cmp264 = 0, $add267 = 0, $or269 = 0, $head270 = 0, $add_ptr272_sum = 0, $head273 = 0, $41 = 0, $42 = 0, $or274 = 0, $or277 = 0, $head278 = 0, $or279 = 0, $add_ptr_sum = 0, $head280 = 0, $43 = 0, $add_ptr_sum1 = 0, $add_ptr281 = 0, $prev_foot = 0, $shr282 = 0, $cmp283 = 0, $shl287 = 0, $arrayidx288 = 0, $44 = 0, $45 = 0, $shl290 = 0, $and291 = 0, $tobool292 = 0, $or296 = 0, $arrayidx288_sum16 = 0, $46 = 0, $47 = 0, $48 = 0, $49 = 0, $cmp300 = 0, $F289_0 = 0, $arrayidx288_sum = 0, $50 = 0, $bk310 = 0, $add_ptr_sum14 = 0, $fd311 = 0, $51 = 0, $add_ptr_sum15 = 0, $bk312 = 0, $52 = 0, $53 = 0, $shr317 = 0, $cmp318 = 0, $cmp322 = 0, $sub328 = 0, $shr329 = 0, $and330 = 0, $shl332 = 0, $sub333 = 0, $shr334 = 0, $and335 = 0, $add336 = 0, $shl337 = 0, $sub338 = 0, $shr339 = 0, $and340 = 0, $add341 = 0, $sub342 = 0, $shl343 = 0, $shr344 = 0, $add345 = 0, $shl346 = 0, $add347 = 0, $shr348 = 0, $and349 = 0, $add350 = 0, $I315_0 = 0, $arrayidx354 = 0, $add_ptr_sum2 = 0, $index355 = 0, $54 = 0, $add_ptr_sum3 = 0, $child356 = 0, $child356_sum = 0, $arrayidx357 = 0, $55 = 0, $arrayidx359 = 0, $56 = 0, $shl361 = 0, $and362 = 0, $tobool363 = 0, $or367 = 0, $57 = 0, $add_ptr_sum4 = 0, $parent368 = 0, $58 = 0, $add_ptr_sum5 = 0, $bk369 = 0, $59 = 0, $add_ptr_sum6 = 0, $fd370 = 0, $60 = 0, $61 = 0, $cmp373 = 0, $shr377 = 0, $sub380 = 0, $cond382 = 0, $shl383 = 0, $T_0 = 0, $K372_0 = 0, $head385 = 0, $62 = 0, $and386 = 0, $cmp387 = 0, $shr390 = 0, $arrayidx393 = 0, $63 = 0, $cmp395 = 0, $shl394 = 0, $64 = 0, $65 = 0, $cmp400 = 0, $add_ptr_sum11 = 0, $parent405 = 0, $66 = 0, $add_ptr_sum12 = 0, $bk406 = 0, $67 = 0, $add_ptr_sum13 = 0, $fd407 = 0, $68 = 0, $fd412 = 0, $69 = 0, $70 = 0, $71 = 0, $cmp414 = 0, $72 = 0, $cmp418 = 0, $bk425 = 0, $add_ptr_sum8 = 0, $fd427 = 0, $73 = 0, $add_ptr_sum9 = 0, $bk428 = 0, $74 = 0, $add_ptr_sum10 = 0, $parent429 = 0, $75 = 0, $add_ptr436 = 0, $76 = 0, $retval_0 = 0, label = 0;
   192591   label = 2;
   192592   while (1) switch (label | 0) {
   192593    case 2:
   192594     $sub = -$nb | 0;
   192595     $shr = $nb >>> 8;
   192596     $cmp = ($shr | 0) == 0;
   192597     if ($cmp) {
   192598       $idx_0 = 0;
   192599       label = 5;
   192600       break;
   192601     } else {
   192602       label = 3;
   192603       break;
   192604     }
   192605    case 3:
   192606     $cmp1 = $nb >>> 0 > 16777215;
   192607     if ($cmp1) {
   192608       $idx_0 = 31;
   192609       label = 5;
   192610       break;
   192611     } else {
   192612       label = 4;
   192613       break;
   192614     }
   192615    case 4:
   192616     $sub4 = $shr + 1048320 | 0;
   192617     $shr5 = $sub4 >>> 16;
   192618     $and = $shr5 & 8;
   192619     $shl = $shr << $and;
   192620     $sub6 = $shl + 520192 | 0;
   192621     $shr7 = $sub6 >>> 16;
   192622     $and8 = $shr7 & 4;
   192623     $add = $and8 | $and;
   192624     $shl9 = $shl << $and8;
   192625     $sub10 = $shl9 + 245760 | 0;
   192626     $shr11 = $sub10 >>> 16;
   192627     $and12 = $shr11 & 2;
   192628     $add13 = $add | $and12;
   192629     $sub14 = 14 - $add13 | 0;
   192630     $shl15 = $shl9 << $and12;
   192631     $shr16 = $shl15 >>> 15;
   192632     $add17 = $sub14 + $shr16 | 0;
   192633     $shl18 = $add17 << 1;
   192634     $add19 = $add17 + 7 | 0;
   192635     $shr20 = $nb >>> ($add19 >>> 0);
   192636     $and21 = $shr20 & 1;
   192637     $add22 = $and21 | $shl18;
   192638     $idx_0 = $add22;
   192639     label = 5;
   192640     break;
   192641    case 5:
   192642     $arrayidx = 163568 + ($idx_0 << 2) | 0;
   192643     $0 = HEAP32[$arrayidx >> 2] | 0;
   192644     $cmp24 = ($0 | 0) == 0;
   192645     if ($cmp24) {
   192646       $v_2 = 0;
   192647       $rsize_2 = $sub;
   192648       $t_1 = 0;
   192649       label = 12;
   192650       break;
   192651     } else {
   192652       label = 6;
   192653       break;
   192654     }
   192655    case 6:
   192656     $cmp26 = ($idx_0 | 0) == 31;
   192657     if ($cmp26) {
   192658       $cond = 0;
   192659       label = 8;
   192660       break;
   192661     } else {
   192662       label = 7;
   192663       break;
   192664     }
   192665    case 7:
   192666     $shr27 = $idx_0 >>> 1;
   192667     $sub30 = 25 - $shr27 | 0;
   192668     $cond = $sub30;
   192669     label = 8;
   192670     break;
   192671    case 8:
   192672     $shl31 = $nb << $cond;
   192673     $v_0 = 0;
   192674     $rsize_0 = $sub;
   192675     $t_0 = $0;
   192676     $sizebits_0 = $shl31;
   192677     $rst_0 = 0;
   192678     label = 9;
   192679     break;
   192680    case 9:
   192681     $head = $t_0 + 4 | 0;
   192682     $1 = HEAP32[$head >> 2] | 0;
   192683     $and32 = $1 & -8;
   192684     $sub33 = $and32 - $nb | 0;
   192685     $cmp34 = $sub33 >>> 0 < $rsize_0 >>> 0;
   192686     if ($cmp34) {
   192687       label = 10;
   192688       break;
   192689     } else {
   192690       $v_1 = $v_0;
   192691       $rsize_1 = $rsize_0;
   192692       label = 11;
   192693       break;
   192694     }
   192695    case 10:
   192696     $cmp36 = ($and32 | 0) == ($nb | 0);
   192697     if ($cmp36) {
   192698       $v_2 = $t_0;
   192699       $rsize_2 = $sub33;
   192700       $t_1 = $t_0;
   192701       label = 12;
   192702       break;
   192703     } else {
   192704       $v_1 = $t_0;
   192705       $rsize_1 = $sub33;
   192706       label = 11;
   192707       break;
   192708     }
   192709    case 11:
   192710     $arrayidx40 = $t_0 + 20 | 0;
   192711     $2 = HEAP32[$arrayidx40 >> 2] | 0;
   192712     $shr41 = $sizebits_0 >>> 31;
   192713     $arrayidx44 = $t_0 + 16 + ($shr41 << 2) | 0;
   192714     $3 = HEAP32[$arrayidx44 >> 2] | 0;
   192715     $cmp45 = ($2 | 0) == 0;
   192716     $cmp46 = ($2 | 0) == ($3 | 0);
   192717     $or_cond = $cmp45 | $cmp46;
   192718     $rst_1 = $or_cond ? $rst_0 : $2;
   192719     $cmp49 = ($3 | 0) == 0;
   192720     $shl52 = $sizebits_0 << 1;
   192721     if ($cmp49) {
   192722       $v_2 = $v_1;
   192723       $rsize_2 = $rsize_1;
   192724       $t_1 = $rst_1;
   192725       label = 12;
   192726       break;
   192727     } else {
   192728       $v_0 = $v_1;
   192729       $rsize_0 = $rsize_1;
   192730       $t_0 = $3;
   192731       $sizebits_0 = $shl52;
   192732       $rst_0 = $rst_1;
   192733       label = 9;
   192734       break;
   192735     }
   192736    case 12:
   192737     $cmp54 = ($t_1 | 0) == 0;
   192738     $cmp56 = ($v_2 | 0) == 0;
   192739     $or_cond18 = $cmp54 & $cmp56;
   192740     if ($or_cond18) {
   192741       label = 13;
   192742       break;
   192743     } else {
   192744       $t_2_ph = $t_1;
   192745       label = 15;
   192746       break;
   192747     }
   192748    case 13:
   192749     $shl59 = 2 << $idx_0;
   192750     $sub62 = -$shl59 | 0;
   192751     $or = $shl59 | $sub62;
   192752     $4 = HEAP32[40817] | 0;
   192753     $and63 = $4 & $or;
   192754     $cmp64 = ($and63 | 0) == 0;
   192755     if ($cmp64) {
   192756       $t_2_ph = $t_1;
   192757       label = 15;
   192758       break;
   192759     } else {
   192760       label = 14;
   192761       break;
   192762     }
   192763    case 14:
   192764     $sub66 = -$and63 | 0;
   192765     $and67 = $and63 & $sub66;
   192766     $sub69 = $and67 - 1 | 0;
   192767     $shr71 = $sub69 >>> 12;
   192768     $and72 = $shr71 & 16;
   192769     $shr74 = $sub69 >>> ($and72 >>> 0);
   192770     $shr75 = $shr74 >>> 5;
   192771     $and76 = $shr75 & 8;
   192772     $add77 = $and76 | $and72;
   192773     $shr78 = $shr74 >>> ($and76 >>> 0);
   192774     $shr79 = $shr78 >>> 2;
   192775     $and80 = $shr79 & 4;
   192776     $add81 = $add77 | $and80;
   192777     $shr82 = $shr78 >>> ($and80 >>> 0);
   192778     $shr83 = $shr82 >>> 1;
   192779     $and84 = $shr83 & 2;
   192780     $add85 = $add81 | $and84;
   192781     $shr86 = $shr82 >>> ($and84 >>> 0);
   192782     $shr87 = $shr86 >>> 1;
   192783     $and88 = $shr87 & 1;
   192784     $add89 = $add85 | $and88;
   192785     $shr90 = $shr86 >>> ($and88 >>> 0);
   192786     $add91 = $add89 + $shr90 | 0;
   192787     $arrayidx93 = 163568 + ($add91 << 2) | 0;
   192788     $5 = HEAP32[$arrayidx93 >> 2] | 0;
   192789     $t_2_ph = $5;
   192790     label = 15;
   192791     break;
   192792    case 15:
   192793     $cmp9623 = ($t_2_ph | 0) == 0;
   192794     if ($cmp9623) {
   192795       $rsize_3_lcssa = $rsize_2;
   192796       $v_3_lcssa = $v_2;
   192797       label = 18;
   192798       break;
   192799     } else {
   192800       $t_224 = $t_2_ph;
   192801       $rsize_325 = $rsize_2;
   192802       $v_326 = $v_2;
   192803       label = 16;
   192804       break;
   192805     }
   192806    case 16:
   192807     $head98 = $t_224 + 4 | 0;
   192808     $6 = HEAP32[$head98 >> 2] | 0;
   192809     $and99 = $6 & -8;
   192810     $sub100 = $and99 - $nb | 0;
   192811     $cmp101 = $sub100 >>> 0 < $rsize_325 >>> 0;
   192812     $sub100_rsize_3 = $cmp101 ? $sub100 : $rsize_325;
   192813     $t_2_v_3 = $cmp101 ? $t_224 : $v_326;
   192814     $arrayidx105 = $t_224 + 16 | 0;
   192815     $7 = HEAP32[$arrayidx105 >> 2] | 0;
   192816     $cmp106 = ($7 | 0) == 0;
   192817     if ($cmp106) {
   192818       label = 17;
   192819       break;
   192820     } else {
   192821       $t_224 = $7;
   192822       $rsize_325 = $sub100_rsize_3;
   192823       $v_326 = $t_2_v_3;
   192824       label = 16;
   192825       break;
   192826     }
   192827    case 17:
   192828     $arrayidx112 = $t_224 + 20 | 0;
   192829     $8 = HEAP32[$arrayidx112 >> 2] | 0;
   192830     $cmp96 = ($8 | 0) == 0;
   192831     if ($cmp96) {
   192832       $rsize_3_lcssa = $sub100_rsize_3;
   192833       $v_3_lcssa = $t_2_v_3;
   192834       label = 18;
   192835       break;
   192836     } else {
   192837       $t_224 = $8;
   192838       $rsize_325 = $sub100_rsize_3;
   192839       $v_326 = $t_2_v_3;
   192840       label = 16;
   192841       break;
   192842     }
   192843    case 18:
   192844     $cmp115 = ($v_3_lcssa | 0) == 0;
   192845     if ($cmp115) {
   192846       $retval_0 = 0;
   192847       label = 83;
   192848       break;
   192849     } else {
   192850       label = 19;
   192851       break;
   192852     }
   192853    case 19:
   192854     $9 = HEAP32[40818] | 0;
   192855     $sub117 = $9 - $nb | 0;
   192856     $cmp118 = $rsize_3_lcssa >>> 0 < $sub117 >>> 0;
   192857     if ($cmp118) {
   192858       label = 20;
   192859       break;
   192860     } else {
   192861       $retval_0 = 0;
   192862       label = 83;
   192863       break;
   192864     }
   192865    case 20:
   192866     $10 = $v_3_lcssa;
   192867     $11 = HEAP32[40820] | 0;
   192868     $cmp120 = $10 >>> 0 < $11 >>> 0;
   192869     if ($cmp120) {
   192870       label = 82;
   192871       break;
   192872     } else {
   192873       label = 21;
   192874       break;
   192875     }
   192876    case 21:
   192877     $add_ptr = $10 + $nb | 0;
   192878     $12 = $add_ptr;
   192879     $cmp122 = $10 >>> 0 < $add_ptr >>> 0;
   192880     if ($cmp122) {
   192881       label = 22;
   192882       break;
   192883     } else {
   192884       label = 82;
   192885       break;
   192886     }
   192887    case 22:
   192888     $parent = $v_3_lcssa + 24 | 0;
   192889     $13 = HEAP32[$parent >> 2] | 0;
   192890     $bk = $v_3_lcssa + 12 | 0;
   192891     $14 = HEAP32[$bk >> 2] | 0;
   192892     $cmp127 = ($14 | 0) == ($v_3_lcssa | 0);
   192893     if ($cmp127) {
   192894       label = 28;
   192895       break;
   192896     } else {
   192897       label = 23;
   192898       break;
   192899     }
   192900    case 23:
   192901     $fd = $v_3_lcssa + 8 | 0;
   192902     $15 = HEAP32[$fd >> 2] | 0;
   192903     $16 = $15;
   192904     $cmp132 = $16 >>> 0 < $11 >>> 0;
   192905     if ($cmp132) {
   192906       label = 27;
   192907       break;
   192908     } else {
   192909       label = 24;
   192910       break;
   192911     }
   192912    case 24:
   192913     $bk135 = $15 + 12 | 0;
   192914     $17 = HEAP32[$bk135 >> 2] | 0;
   192915     $cmp136 = ($17 | 0) == ($v_3_lcssa | 0);
   192916     if ($cmp136) {
   192917       label = 25;
   192918       break;
   192919     } else {
   192920       label = 27;
   192921       break;
   192922     }
   192923    case 25:
   192924     $fd138 = $14 + 8 | 0;
   192925     $18 = HEAP32[$fd138 >> 2] | 0;
   192926     $cmp139 = ($18 | 0) == ($v_3_lcssa | 0);
   192927     if ($cmp139) {
   192928       label = 26;
   192929       break;
   192930     } else {
   192931       label = 27;
   192932       break;
   192933     }
   192934    case 26:
   192935     HEAP32[$bk135 >> 2] = $14;
   192936     HEAP32[$fd138 >> 2] = $15;
   192937     $R_1 = $14;
   192938     label = 36;
   192939     break;
   192940    case 27:
   192941     _abort();
   192942     return 0;
   192943     return 0;
   192944    case 28:
   192945     $arrayidx150 = $v_3_lcssa + 20 | 0;
   192946     $19 = HEAP32[$arrayidx150 >> 2] | 0;
   192947     $cmp151 = ($19 | 0) == 0;
   192948     if ($cmp151) {
   192949       label = 29;
   192950       break;
   192951     } else {
   192952       $R_0 = $19;
   192953       $RP_0 = $arrayidx150;
   192954       label = 30;
   192955       break;
   192956     }
   192957    case 29:
   192958     $arrayidx154 = $v_3_lcssa + 16 | 0;
   192959     $20 = HEAP32[$arrayidx154 >> 2] | 0;
   192960     $cmp155 = ($20 | 0) == 0;
   192961     if ($cmp155) {
   192962       $R_1 = 0;
   192963       label = 36;
   192964       break;
   192965     } else {
   192966       $R_0 = $20;
   192967       $RP_0 = $arrayidx154;
   192968       label = 30;
   192969       break;
   192970     }
   192971    case 30:
   192972     $arrayidx160 = $R_0 + 20 | 0;
   192973     $21 = HEAP32[$arrayidx160 >> 2] | 0;
   192974     $cmp161 = ($21 | 0) == 0;
   192975     if ($cmp161) {
   192976       label = 31;
   192977       break;
   192978     } else {
   192979       $CP_0 = $arrayidx160;
   192980       label = 32;
   192981       break;
   192982     }
   192983    case 31:
   192984     $arrayidx164 = $R_0 + 16 | 0;
   192985     $22 = HEAP32[$arrayidx164 >> 2] | 0;
   192986     $cmp165 = ($22 | 0) == 0;
   192987     if ($cmp165) {
   192988       label = 33;
   192989       break;
   192990     } else {
   192991       $CP_0 = $arrayidx164;
   192992       label = 32;
   192993       break;
   192994     }
   192995    case 32:
   192996     $23 = HEAP32[$CP_0 >> 2] | 0;
   192997     $R_0 = $23;
   192998     $RP_0 = $CP_0;
   192999     label = 30;
   193000     break;
   193001    case 33:
   193002     $24 = $RP_0;
   193003     $25 = HEAP32[40820] | 0;
   193004     $cmp170 = $24 >>> 0 < $25 >>> 0;
   193005     if ($cmp170) {
   193006       label = 35;
   193007       break;
   193008     } else {
   193009       label = 34;
   193010       break;
   193011     }
   193012    case 34:
   193013     HEAP32[$RP_0 >> 2] = 0;
   193014     $R_1 = $R_0;
   193015     label = 36;
   193016     break;
   193017    case 35:
   193018     _abort();
   193019     return 0;
   193020     return 0;
   193021    case 36:
   193022     $cmp179 = ($13 | 0) == 0;
   193023     if ($cmp179) {
   193024       label = 56;
   193025       break;
   193026     } else {
   193027       label = 37;
   193028       break;
   193029     }
   193030    case 37:
   193031     $index = $v_3_lcssa + 28 | 0;
   193032     $26 = HEAP32[$index >> 2] | 0;
   193033     $arrayidx183 = 163568 + ($26 << 2) | 0;
   193034     $27 = HEAP32[$arrayidx183 >> 2] | 0;
   193035     $cmp184 = ($v_3_lcssa | 0) == ($27 | 0);
   193036     if ($cmp184) {
   193037       label = 38;
   193038       break;
   193039     } else {
   193040       label = 40;
   193041       break;
   193042     }
   193043    case 38:
   193044     HEAP32[$arrayidx183 >> 2] = $R_1;
   193045     $cond20 = ($R_1 | 0) == 0;
   193046     if ($cond20) {
   193047       label = 39;
   193048       break;
   193049     } else {
   193050       label = 46;
   193051       break;
   193052     }
   193053    case 39:
   193054     $28 = HEAP32[$index >> 2] | 0;
   193055     $shl191 = 1 << $28;
   193056     $neg = $shl191 ^ -1;
   193057     $29 = HEAP32[40817] | 0;
   193058     $and193 = $29 & $neg;
   193059     HEAP32[40817] = $and193;
   193060     label = 56;
   193061     break;
   193062    case 40:
   193063     $30 = $13;
   193064     $31 = HEAP32[40820] | 0;
   193065     $cmp197 = $30 >>> 0 < $31 >>> 0;
   193066     if ($cmp197) {
   193067       label = 44;
   193068       break;
   193069     } else {
   193070       label = 41;
   193071       break;
   193072     }
   193073    case 41:
   193074     $arrayidx203 = $13 + 16 | 0;
   193075     $32 = HEAP32[$arrayidx203 >> 2] | 0;
   193076     $cmp204 = ($32 | 0) == ($v_3_lcssa | 0);
   193077     if ($cmp204) {
   193078       label = 42;
   193079       break;
   193080     } else {
   193081       label = 43;
   193082       break;
   193083     }
   193084    case 42:
   193085     HEAP32[$arrayidx203 >> 2] = $R_1;
   193086     label = 45;
   193087     break;
   193088    case 43:
   193089     $arrayidx211 = $13 + 20 | 0;
   193090     HEAP32[$arrayidx211 >> 2] = $R_1;
   193091     label = 45;
   193092     break;
   193093    case 44:
   193094     _abort();
   193095     return 0;
   193096     return 0;
   193097    case 45:
   193098     $cmp216 = ($R_1 | 0) == 0;
   193099     if ($cmp216) {
   193100       label = 56;
   193101       break;
   193102     } else {
   193103       label = 46;
   193104       break;
   193105     }
   193106    case 46:
   193107     $33 = $R_1;
   193108     $34 = HEAP32[40820] | 0;
   193109     $cmp220 = $33 >>> 0 < $34 >>> 0;
   193110     if ($cmp220) {
   193111       label = 55;
   193112       break;
   193113     } else {
   193114       label = 47;
   193115       break;
   193116     }
   193117    case 47:
   193118     $parent225 = $R_1 + 24 | 0;
   193119     HEAP32[$parent225 >> 2] = $13;
   193120     $arrayidx227 = $v_3_lcssa + 16 | 0;
   193121     $35 = HEAP32[$arrayidx227 >> 2] | 0;
   193122     $cmp228 = ($35 | 0) == 0;
   193123     if ($cmp228) {
   193124       label = 51;
   193125       break;
   193126     } else {
   193127       label = 48;
   193128       break;
   193129     }
   193130    case 48:
   193131     $36 = $35;
   193132     $37 = HEAP32[40820] | 0;
   193133     $cmp232 = $36 >>> 0 < $37 >>> 0;
   193134     if ($cmp232) {
   193135       label = 50;
   193136       break;
   193137     } else {
   193138       label = 49;
   193139       break;
   193140     }
   193141    case 49:
   193142     $arrayidx238 = $R_1 + 16 | 0;
   193143     HEAP32[$arrayidx238 >> 2] = $35;
   193144     $parent239 = $35 + 24 | 0;
   193145     HEAP32[$parent239 >> 2] = $R_1;
   193146     label = 51;
   193147     break;
   193148    case 50:
   193149     _abort();
   193150     return 0;
   193151     return 0;
   193152    case 51:
   193153     $arrayidx244 = $v_3_lcssa + 20 | 0;
   193154     $38 = HEAP32[$arrayidx244 >> 2] | 0;
   193155     $cmp245 = ($38 | 0) == 0;
   193156     if ($cmp245) {
   193157       label = 56;
   193158       break;
   193159     } else {
   193160       label = 52;
   193161       break;
   193162     }
   193163    case 52:
   193164     $39 = $38;
   193165     $40 = HEAP32[40820] | 0;
   193166     $cmp249 = $39 >>> 0 < $40 >>> 0;
   193167     if ($cmp249) {
   193168       label = 54;
   193169       break;
   193170     } else {
   193171       label = 53;
   193172       break;
   193173     }
   193174    case 53:
   193175     $arrayidx255 = $R_1 + 20 | 0;
   193176     HEAP32[$arrayidx255 >> 2] = $38;
   193177     $parent256 = $38 + 24 | 0;
   193178     HEAP32[$parent256 >> 2] = $R_1;
   193179     label = 56;
   193180     break;
   193181    case 54:
   193182     _abort();
   193183     return 0;
   193184     return 0;
   193185    case 55:
   193186     _abort();
   193187     return 0;
   193188     return 0;
   193189    case 56:
   193190     $cmp264 = $rsize_3_lcssa >>> 0 < 16;
   193191     if ($cmp264) {
   193192       label = 57;
   193193       break;
   193194     } else {
   193195       label = 58;
   193196       break;
   193197     }
   193198    case 57:
   193199     $add267 = $rsize_3_lcssa + $nb | 0;
   193200     $or269 = $add267 | 3;
   193201     $head270 = $v_3_lcssa + 4 | 0;
   193202     HEAP32[$head270 >> 2] = $or269;
   193203     $add_ptr272_sum = $add267 + 4 | 0;
   193204     $head273 = $10 + $add_ptr272_sum | 0;
   193205     $41 = $head273;
   193206     $42 = HEAP32[$41 >> 2] | 0;
   193207     $or274 = $42 | 1;
   193208     HEAP32[$41 >> 2] = $or274;
   193209     label = 81;
   193210     break;
   193211    case 58:
   193212     $or277 = $nb | 3;
   193213     $head278 = $v_3_lcssa + 4 | 0;
   193214     HEAP32[$head278 >> 2] = $or277;
   193215     $or279 = $rsize_3_lcssa | 1;
   193216     $add_ptr_sum = $nb + 4 | 0;
   193217     $head280 = $10 + $add_ptr_sum | 0;
   193218     $43 = $head280;
   193219     HEAP32[$43 >> 2] = $or279;
   193220     $add_ptr_sum1 = $rsize_3_lcssa + $nb | 0;
   193221     $add_ptr281 = $10 + $add_ptr_sum1 | 0;
   193222     $prev_foot = $add_ptr281;
   193223     HEAP32[$prev_foot >> 2] = $rsize_3_lcssa;
   193224     $shr282 = $rsize_3_lcssa >>> 3;
   193225     $cmp283 = $rsize_3_lcssa >>> 0 < 256;
   193226     if ($cmp283) {
   193227       label = 59;
   193228       break;
   193229     } else {
   193230       label = 64;
   193231       break;
   193232     }
   193233    case 59:
   193234     $shl287 = $shr282 << 1;
   193235     $arrayidx288 = 163304 + ($shl287 << 2) | 0;
   193236     $44 = $arrayidx288;
   193237     $45 = HEAP32[40816] | 0;
   193238     $shl290 = 1 << $shr282;
   193239     $and291 = $45 & $shl290;
   193240     $tobool292 = ($and291 | 0) == 0;
   193241     if ($tobool292) {
   193242       label = 60;
   193243       break;
   193244     } else {
   193245       label = 61;
   193246       break;
   193247     }
   193248    case 60:
   193249     $or296 = $45 | $shl290;
   193250     HEAP32[40816] = $or296;
   193251     $F289_0 = $44;
   193252     label = 63;
   193253     break;
   193254    case 61:
   193255     $arrayidx288_sum16 = $shl287 + 2 | 0;
   193256     $46 = 163304 + ($arrayidx288_sum16 << 2) | 0;
   193257     $47 = HEAP32[$46 >> 2] | 0;
   193258     $48 = $47;
   193259     $49 = HEAP32[40820] | 0;
   193260     $cmp300 = $48 >>> 0 < $49 >>> 0;
   193261     if ($cmp300) {
   193262       label = 62;
   193263       break;
   193264     } else {
   193265       $F289_0 = $47;
   193266       label = 63;
   193267       break;
   193268     }
   193269    case 62:
   193270     _abort();
   193271     return 0;
   193272     return 0;
   193273    case 63:
   193274     $arrayidx288_sum = $shl287 + 2 | 0;
   193275     $50 = 163304 + ($arrayidx288_sum << 2) | 0;
   193276     HEAP32[$50 >> 2] = $12;
   193277     $bk310 = $F289_0 + 12 | 0;
   193278     HEAP32[$bk310 >> 2] = $12;
   193279     $add_ptr_sum14 = $nb + 8 | 0;
   193280     $fd311 = $10 + $add_ptr_sum14 | 0;
   193281     $51 = $fd311;
   193282     HEAP32[$51 >> 2] = $F289_0;
   193283     $add_ptr_sum15 = $nb + 12 | 0;
   193284     $bk312 = $10 + $add_ptr_sum15 | 0;
   193285     $52 = $bk312;
   193286     HEAP32[$52 >> 2] = $44;
   193287     label = 81;
   193288     break;
   193289    case 64:
   193290     $53 = $add_ptr;
   193291     $shr317 = $rsize_3_lcssa >>> 8;
   193292     $cmp318 = ($shr317 | 0) == 0;
   193293     if ($cmp318) {
   193294       $I315_0 = 0;
   193295       label = 67;
   193296       break;
   193297     } else {
   193298       label = 65;
   193299       break;
   193300     }
   193301    case 65:
   193302     $cmp322 = $rsize_3_lcssa >>> 0 > 16777215;
   193303     if ($cmp322) {
   193304       $I315_0 = 31;
   193305       label = 67;
   193306       break;
   193307     } else {
   193308       label = 66;
   193309       break;
   193310     }
   193311    case 66:
   193312     $sub328 = $shr317 + 1048320 | 0;
   193313     $shr329 = $sub328 >>> 16;
   193314     $and330 = $shr329 & 8;
   193315     $shl332 = $shr317 << $and330;
   193316     $sub333 = $shl332 + 520192 | 0;
   193317     $shr334 = $sub333 >>> 16;
   193318     $and335 = $shr334 & 4;
   193319     $add336 = $and335 | $and330;
   193320     $shl337 = $shl332 << $and335;
   193321     $sub338 = $shl337 + 245760 | 0;
   193322     $shr339 = $sub338 >>> 16;
   193323     $and340 = $shr339 & 2;
   193324     $add341 = $add336 | $and340;
   193325     $sub342 = 14 - $add341 | 0;
   193326     $shl343 = $shl337 << $and340;
   193327     $shr344 = $shl343 >>> 15;
   193328     $add345 = $sub342 + $shr344 | 0;
   193329     $shl346 = $add345 << 1;
   193330     $add347 = $add345 + 7 | 0;
   193331     $shr348 = $rsize_3_lcssa >>> ($add347 >>> 0);
   193332     $and349 = $shr348 & 1;
   193333     $add350 = $and349 | $shl346;
   193334     $I315_0 = $add350;
   193335     label = 67;
   193336     break;
   193337    case 67:
   193338     $arrayidx354 = 163568 + ($I315_0 << 2) | 0;
   193339     $add_ptr_sum2 = $nb + 28 | 0;
   193340     $index355 = $10 + $add_ptr_sum2 | 0;
   193341     $54 = $index355;
   193342     HEAP32[$54 >> 2] = $I315_0;
   193343     $add_ptr_sum3 = $nb + 16 | 0;
   193344     $child356 = $10 + $add_ptr_sum3 | 0;
   193345     $child356_sum = $nb + 20 | 0;
   193346     $arrayidx357 = $10 + $child356_sum | 0;
   193347     $55 = $arrayidx357;
   193348     HEAP32[$55 >> 2] = 0;
   193349     $arrayidx359 = $child356;
   193350     HEAP32[$arrayidx359 >> 2] = 0;
   193351     $56 = HEAP32[40817] | 0;
   193352     $shl361 = 1 << $I315_0;
   193353     $and362 = $56 & $shl361;
   193354     $tobool363 = ($and362 | 0) == 0;
   193355     if ($tobool363) {
   193356       label = 68;
   193357       break;
   193358     } else {
   193359       label = 69;
   193360       break;
   193361     }
   193362    case 68:
   193363     $or367 = $56 | $shl361;
   193364     HEAP32[40817] = $or367;
   193365     HEAP32[$arrayidx354 >> 2] = $53;
   193366     $57 = $arrayidx354;
   193367     $add_ptr_sum4 = $nb + 24 | 0;
   193368     $parent368 = $10 + $add_ptr_sum4 | 0;
   193369     $58 = $parent368;
   193370     HEAP32[$58 >> 2] = $57;
   193371     $add_ptr_sum5 = $nb + 12 | 0;
   193372     $bk369 = $10 + $add_ptr_sum5 | 0;
   193373     $59 = $bk369;
   193374     HEAP32[$59 >> 2] = $53;
   193375     $add_ptr_sum6 = $nb + 8 | 0;
   193376     $fd370 = $10 + $add_ptr_sum6 | 0;
   193377     $60 = $fd370;
   193378     HEAP32[$60 >> 2] = $53;
   193379     label = 81;
   193380     break;
   193381    case 69:
   193382     $61 = HEAP32[$arrayidx354 >> 2] | 0;
   193383     $cmp373 = ($I315_0 | 0) == 31;
   193384     if ($cmp373) {
   193385       $cond382 = 0;
   193386       label = 71;
   193387       break;
   193388     } else {
   193389       label = 70;
   193390       break;
   193391     }
   193392    case 70:
   193393     $shr377 = $I315_0 >>> 1;
   193394     $sub380 = 25 - $shr377 | 0;
   193395     $cond382 = $sub380;
   193396     label = 71;
   193397     break;
   193398    case 71:
   193399     $shl383 = $rsize_3_lcssa << $cond382;
   193400     $K372_0 = $shl383;
   193401     $T_0 = $61;
   193402     label = 72;
   193403     break;
   193404    case 72:
   193405     $head385 = $T_0 + 4 | 0;
   193406     $62 = HEAP32[$head385 >> 2] | 0;
   193407     $and386 = $62 & -8;
   193408     $cmp387 = ($and386 | 0) == ($rsize_3_lcssa | 0);
   193409     if ($cmp387) {
   193410       label = 77;
   193411       break;
   193412     } else {
   193413       label = 73;
   193414       break;
   193415     }
   193416    case 73:
   193417     $shr390 = $K372_0 >>> 31;
   193418     $arrayidx393 = $T_0 + 16 + ($shr390 << 2) | 0;
   193419     $63 = HEAP32[$arrayidx393 >> 2] | 0;
   193420     $cmp395 = ($63 | 0) == 0;
   193421     $shl394 = $K372_0 << 1;
   193422     if ($cmp395) {
   193423       label = 74;
   193424       break;
   193425     } else {
   193426       $K372_0 = $shl394;
   193427       $T_0 = $63;
   193428       label = 72;
   193429       break;
   193430     }
   193431    case 74:
   193432     $64 = $arrayidx393;
   193433     $65 = HEAP32[40820] | 0;
   193434     $cmp400 = $64 >>> 0 < $65 >>> 0;
   193435     if ($cmp400) {
   193436       label = 76;
   193437       break;
   193438     } else {
   193439       label = 75;
   193440       break;
   193441     }
   193442    case 75:
   193443     HEAP32[$arrayidx393 >> 2] = $53;
   193444     $add_ptr_sum11 = $nb + 24 | 0;
   193445     $parent405 = $10 + $add_ptr_sum11 | 0;
   193446     $66 = $parent405;
   193447     HEAP32[$66 >> 2] = $T_0;
   193448     $add_ptr_sum12 = $nb + 12 | 0;
   193449     $bk406 = $10 + $add_ptr_sum12 | 0;
   193450     $67 = $bk406;
   193451     HEAP32[$67 >> 2] = $53;
   193452     $add_ptr_sum13 = $nb + 8 | 0;
   193453     $fd407 = $10 + $add_ptr_sum13 | 0;
   193454     $68 = $fd407;
   193455     HEAP32[$68 >> 2] = $53;
   193456     label = 81;
   193457     break;
   193458    case 76:
   193459     _abort();
   193460     return 0;
   193461     return 0;
   193462    case 77:
   193463     $fd412 = $T_0 + 8 | 0;
   193464     $69 = HEAP32[$fd412 >> 2] | 0;
   193465     $70 = $T_0;
   193466     $71 = HEAP32[40820] | 0;
   193467     $cmp414 = $70 >>> 0 < $71 >>> 0;
   193468     if ($cmp414) {
   193469       label = 80;
   193470       break;
   193471     } else {
   193472       label = 78;
   193473       break;
   193474     }
   193475    case 78:
   193476     $72 = $69;
   193477     $cmp418 = $72 >>> 0 < $71 >>> 0;
   193478     if ($cmp418) {
   193479       label = 80;
   193480       break;
   193481     } else {
   193482       label = 79;
   193483       break;
   193484     }
   193485    case 79:
   193486     $bk425 = $69 + 12 | 0;
   193487     HEAP32[$bk425 >> 2] = $53;
   193488     HEAP32[$fd412 >> 2] = $53;
   193489     $add_ptr_sum8 = $nb + 8 | 0;
   193490     $fd427 = $10 + $add_ptr_sum8 | 0;
   193491     $73 = $fd427;
   193492     HEAP32[$73 >> 2] = $69;
   193493     $add_ptr_sum9 = $nb + 12 | 0;
   193494     $bk428 = $10 + $add_ptr_sum9 | 0;
   193495     $74 = $bk428;
   193496     HEAP32[$74 >> 2] = $T_0;
   193497     $add_ptr_sum10 = $nb + 24 | 0;
   193498     $parent429 = $10 + $add_ptr_sum10 | 0;
   193499     $75 = $parent429;
   193500     HEAP32[$75 >> 2] = 0;
   193501     label = 81;
   193502     break;
   193503    case 80:
   193504     _abort();
   193505     return 0;
   193506     return 0;
   193507    case 81:
   193508     $add_ptr436 = $v_3_lcssa + 8 | 0;
   193509     $76 = $add_ptr436;
   193510     $retval_0 = $76;
   193511     label = 83;
   193512     break;
   193513    case 82:
   193514     _abort();
   193515     return 0;
   193516     return 0;
   193517    case 83:
   193518     return $retval_0 | 0;
   193519   }
   193520   return 0;
   193521 }
   193522 function _sys_alloc($nb) {
   193523   $nb = $nb | 0;
   193524   var $0 = 0, $cmp = 0, $add = 0, $1 = 0, $sub = 0, $add9 = 0, $neg = 0, $and11 = 0, $cmp12 = 0, $2 = 0, $cmp15 = 0, $3 = 0, $add17 = 0, $cmp19 = 0, $cmp21 = 0, $or_cond1 = 0, $4 = 0, $and26 = 0, $tobool27 = 0, $5 = 0, $cmp29 = 0, $6 = 0, $call31 = 0, $cmp32 = 0, $call34 = 0, $cmp35 = 0, $7 = 0, $8 = 0, $sub38 = 0, $and39 = 0, $cmp40 = 0, $add43 = 0, $neg45 = 0, $and46 = 0, $sub47 = 0, $add48 = 0, $ssize_0 = 0, $9 = 0, $add51 = 0, $cmp52 = 0, $cmp54 = 0, $or_cond = 0, $10 = 0, $cmp57 = 0, $cmp60 = 0, $cmp63 = 0, $or_cond2 = 0, $call65 = 0, $cmp66 = 0, $ssize_0_ = 0, $call34_ = 0, $11 = 0, $12 = 0, $sub71 = 0, $sub73 = 0, $add74 = 0, $neg76 = 0, $and77 = 0, $cmp78 = 0, $call80 = 0, $base81 = 0, $13 = 0, $size = 0, $14 = 0, $add_ptr = 0, $cmp82 = 0, $and77_ = 0, $call80_ = 0, $ssize_1 = 0, $br_0 = 0, $tsize_0 = 0, $tbase_0 = 0, $sub109 = 0, $cmp86 = 0, $cmp88 = 0, $cmp90 = 0, $or_cond3 = 0, $cmp93 = 0, $or_cond4 = 0, $15 = 0, $sub97 = 0, $sub96 = 0, $add98 = 0, $neg100 = 0, $and101 = 0, $cmp102 = 0, $call104 = 0, $cmp105 = 0, $add107 = 0, $call110 = 0, $ssize_2 = 0, $cmp115 = 0, $tsize_0132123 = 0, $16 = 0, $or = 0, $tsize_1 = 0, $cmp124 = 0, $call128 = 0, $call129 = 0, $notlhs = 0, $notrhs = 0, $or_cond6_not = 0, $cmp134 = 0, $or_cond7 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $add137 = 0, $cmp138 = 0, $sub_ptr_sub_tsize_1 = 0, $call128_tbase_1 = 0, $cmp144 = 0, $tbase_230 = 0, $tsize_229 = 0, $17 = 0, $add147 = 0, $18 = 0, $cmp148 = 0, $19 = 0, $cmp154 = 0, $20 = 0, $cmp156 = 0, $cmp159 = 0, $or_cond8 = 0, $21 = 0, $22 = 0, $sub169 = 0, $sp_038 = 0, $base184 = 0, $23 = 0, $size185 = 0, $24 = 0, $add_ptr186 = 0, $cmp187 = 0, $next = 0, $25 = 0, $cmp183 = 0, $sflags190 = 0, $26 = 0, $and191 = 0, $tobool192 = 0, $27 = 0, $28 = 0, $cmp200 = 0, $cmp206 = 0, $or_cond31 = 0, $add209 = 0, $29 = 0, $30 = 0, $add212 = 0, $31 = 0, $cmp215 = 0, $add_ptr224 = 0, $sp_134 = 0, $base223 = 0, $32 = 0, $cmp225 = 0, $next228 = 0, $33 = 0, $cmp221 = 0, $sflags232 = 0, $34 = 0, $and233 = 0, $tobool234 = 0, $size242 = 0, $35 = 0, $add243 = 0, $call244 = 0, $36 = 0, $cmp250 = 0, $sub253 = 0, $37 = 0, $38 = 0, $add_ptr255 = 0, $39 = 0, $or257 = 0, $add_ptr255_sum = 0, $head258 = 0, $40 = 0, $or260 = 0, $head261 = 0, $add_ptr262 = 0, $41 = 0, $call265 = 0, $retval_0 = 0, label = 0;
   193525   label = 2;
   193526   while (1) switch (label | 0) {
   193527    case 2:
   193528     $0 = HEAP32[2802] | 0;
   193529     $cmp = ($0 | 0) == 0;
   193530     if ($cmp) {
   193531       label = 3;
   193532       break;
   193533     } else {
   193534       label = 4;
   193535       break;
   193536     }
   193537    case 3:
   193538     _init_mparams();
   193539     label = 4;
   193540     break;
   193541    case 4:
   193542     $add = $nb + 48 | 0;
   193543     $1 = HEAP32[2804] | 0;
   193544     $sub = $nb + 47 | 0;
   193545     $add9 = $sub + $1 | 0;
   193546     $neg = -$1 | 0;
   193547     $and11 = $add9 & $neg;
   193548     $cmp12 = $and11 >>> 0 > $nb >>> 0;
   193549     if ($cmp12) {
   193550       label = 5;
   193551       break;
   193552     } else {
   193553       $retval_0 = 0;
   193554       label = 52;
   193555       break;
   193556     }
   193557    case 5:
   193558     $2 = HEAP32[40926] | 0;
   193559     $cmp15 = ($2 | 0) == 0;
   193560     if ($cmp15) {
   193561       label = 7;
   193562       break;
   193563     } else {
   193564       label = 6;
   193565       break;
   193566     }
   193567    case 6:
   193568     $3 = HEAP32[40924] | 0;
   193569     $add17 = $3 + $and11 | 0;
   193570     $cmp19 = $add17 >>> 0 <= $3 >>> 0;
   193571     $cmp21 = $add17 >>> 0 > $2 >>> 0;
   193572     $or_cond1 = $cmp19 | $cmp21;
   193573     if ($or_cond1) {
   193574       $retval_0 = 0;
   193575       label = 52;
   193576       break;
   193577     } else {
   193578       label = 7;
   193579       break;
   193580     }
   193581    case 7:
   193582     $4 = HEAP32[40927] | 0;
   193583     $and26 = $4 & 4;
   193584     $tobool27 = ($and26 | 0) == 0;
   193585     if ($tobool27) {
   193586       label = 8;
   193587       break;
   193588     } else {
   193589       $tsize_1 = 0;
   193590       label = 27;
   193591       break;
   193592     }
   193593    case 8:
   193594     $5 = HEAP32[40822] | 0;
   193595     $cmp29 = ($5 | 0) == 0;
   193596     if ($cmp29) {
   193597       label = 10;
   193598       break;
   193599     } else {
   193600       label = 9;
   193601       break;
   193602     }
   193603    case 9:
   193604     $6 = $5;
   193605     $call31 = _segment_holding($6) | 0;
   193606     $cmp32 = ($call31 | 0) == 0;
   193607     if ($cmp32) {
   193608       label = 10;
   193609       break;
   193610     } else {
   193611       label = 17;
   193612       break;
   193613     }
   193614    case 10:
   193615     $call34 = _sbrk(0) | 0;
   193616     $cmp35 = ($call34 | 0) == -1;
   193617     if ($cmp35) {
   193618       $tsize_0132123 = 0;
   193619       label = 26;
   193620       break;
   193621     } else {
   193622       label = 11;
   193623       break;
   193624     }
   193625    case 11:
   193626     $7 = $call34;
   193627     $8 = HEAP32[2803] | 0;
   193628     $sub38 = $8 - 1 | 0;
   193629     $and39 = $sub38 & $7;
   193630     $cmp40 = ($and39 | 0) == 0;
   193631     if ($cmp40) {
   193632       $ssize_0 = $and11;
   193633       label = 13;
   193634       break;
   193635     } else {
   193636       label = 12;
   193637       break;
   193638     }
   193639    case 12:
   193640     $add43 = $sub38 + $7 | 0;
   193641     $neg45 = -$8 | 0;
   193642     $and46 = $add43 & $neg45;
   193643     $sub47 = $and11 - $7 | 0;
   193644     $add48 = $sub47 + $and46 | 0;
   193645     $ssize_0 = $add48;
   193646     label = 13;
   193647     break;
   193648    case 13:
   193649     $9 = HEAP32[40924] | 0;
   193650     $add51 = $9 + $ssize_0 | 0;
   193651     $cmp52 = $ssize_0 >>> 0 > $nb >>> 0;
   193652     $cmp54 = $ssize_0 >>> 0 < 2147483647;
   193653     $or_cond = $cmp52 & $cmp54;
   193654     if ($or_cond) {
   193655       label = 14;
   193656       break;
   193657     } else {
   193658       $tsize_0132123 = 0;
   193659       label = 26;
   193660       break;
   193661     }
   193662    case 14:
   193663     $10 = HEAP32[40926] | 0;
   193664     $cmp57 = ($10 | 0) == 0;
   193665     if ($cmp57) {
   193666       label = 16;
   193667       break;
   193668     } else {
   193669       label = 15;
   193670       break;
   193671     }
   193672    case 15:
   193673     $cmp60 = $add51 >>> 0 <= $9 >>> 0;
   193674     $cmp63 = $add51 >>> 0 > $10 >>> 0;
   193675     $or_cond2 = $cmp60 | $cmp63;
   193676     if ($or_cond2) {
   193677       $tsize_0132123 = 0;
   193678       label = 26;
   193679       break;
   193680     } else {
   193681       label = 16;
   193682       break;
   193683     }
   193684    case 16:
   193685     $call65 = _sbrk($ssize_0 | 0) | 0;
   193686     $cmp66 = ($call65 | 0) == ($call34 | 0);
   193687     $ssize_0_ = $cmp66 ? $ssize_0 : 0;
   193688     $call34_ = $cmp66 ? $call34 : -1;
   193689     $tbase_0 = $call34_;
   193690     $tsize_0 = $ssize_0_;
   193691     $br_0 = $call65;
   193692     $ssize_1 = $ssize_0;
   193693     label = 19;
   193694     break;
   193695    case 17:
   193696     $11 = HEAP32[40819] | 0;
   193697     $12 = HEAP32[2804] | 0;
   193698     $sub71 = $nb + 47 | 0;
   193699     $sub73 = $sub71 - $11 | 0;
   193700     $add74 = $sub73 + $12 | 0;
   193701     $neg76 = -$12 | 0;
   193702     $and77 = $add74 & $neg76;
   193703     $cmp78 = $and77 >>> 0 < 2147483647;
   193704     if ($cmp78) {
   193705       label = 18;
   193706       break;
   193707     } else {
   193708       $tsize_0132123 = 0;
   193709       label = 26;
   193710       break;
   193711     }
   193712    case 18:
   193713     $call80 = _sbrk($and77 | 0) | 0;
   193714     $base81 = $call31 | 0;
   193715     $13 = HEAP32[$base81 >> 2] | 0;
   193716     $size = $call31 + 4 | 0;
   193717     $14 = HEAP32[$size >> 2] | 0;
   193718     $add_ptr = $13 + $14 | 0;
   193719     $cmp82 = ($call80 | 0) == ($add_ptr | 0);
   193720     $and77_ = $cmp82 ? $and77 : 0;
   193721     $call80_ = $cmp82 ? $call80 : -1;
   193722     $tbase_0 = $call80_;
   193723     $tsize_0 = $and77_;
   193724     $br_0 = $call80;
   193725     $ssize_1 = $and77;
   193726     label = 19;
   193727     break;
   193728    case 19:
   193729     $sub109 = -$ssize_1 | 0;
   193730     $cmp86 = ($tbase_0 | 0) == -1;
   193731     if ($cmp86) {
   193732       label = 20;
   193733       break;
   193734     } else {
   193735       $tsize_229 = $tsize_0;
   193736       $tbase_230 = $tbase_0;
   193737       label = 30;
   193738       break;
   193739     }
   193740    case 20:
   193741     $cmp88 = ($br_0 | 0) != -1;
   193742     $cmp90 = $ssize_1 >>> 0 < 2147483647;
   193743     $or_cond3 = $cmp88 & $cmp90;
   193744     $cmp93 = $ssize_1 >>> 0 < $add >>> 0;
   193745     $or_cond4 = $or_cond3 & $cmp93;
   193746     if ($or_cond4) {
   193747       label = 21;
   193748       break;
   193749     } else {
   193750       $ssize_2 = $ssize_1;
   193751       label = 25;
   193752       break;
   193753     }
   193754    case 21:
   193755     $15 = HEAP32[2804] | 0;
   193756     $sub97 = $nb + 47 | 0;
   193757     $sub96 = $sub97 - $ssize_1 | 0;
   193758     $add98 = $sub96 + $15 | 0;
   193759     $neg100 = -$15 | 0;
   193760     $and101 = $add98 & $neg100;
   193761     $cmp102 = $and101 >>> 0 < 2147483647;
   193762     if ($cmp102) {
   193763       label = 22;
   193764       break;
   193765     } else {
   193766       $ssize_2 = $ssize_1;
   193767       label = 25;
   193768       break;
   193769     }
   193770    case 22:
   193771     $call104 = _sbrk($and101 | 0) | 0;
   193772     $cmp105 = ($call104 | 0) == -1;
   193773     if ($cmp105) {
   193774       label = 24;
   193775       break;
   193776     } else {
   193777       label = 23;
   193778       break;
   193779     }
   193780    case 23:
   193781     $add107 = $and101 + $ssize_1 | 0;
   193782     $ssize_2 = $add107;
   193783     label = 25;
   193784     break;
   193785    case 24:
   193786     $call110 = _sbrk($sub109 | 0) | 0;
   193787     $tsize_0132123 = $tsize_0;
   193788     label = 26;
   193789     break;
   193790    case 25:
   193791     $cmp115 = ($br_0 | 0) == -1;
   193792     if ($cmp115) {
   193793       $tsize_0132123 = $tsize_0;
   193794       label = 26;
   193795       break;
   193796     } else {
   193797       $tsize_229 = $ssize_2;
   193798       $tbase_230 = $br_0;
   193799       label = 30;
   193800       break;
   193801     }
   193802    case 26:
   193803     $16 = HEAP32[40927] | 0;
   193804     $or = $16 | 4;
   193805     HEAP32[40927] = $or;
   193806     $tsize_1 = $tsize_0132123;
   193807     label = 27;
   193808     break;
   193809    case 27:
   193810     $cmp124 = $and11 >>> 0 < 2147483647;
   193811     if ($cmp124) {
   193812       label = 28;
   193813       break;
   193814     } else {
   193815       label = 51;
   193816       break;
   193817     }
   193818    case 28:
   193819     $call128 = _sbrk($and11 | 0) | 0;
   193820     $call129 = _sbrk(0) | 0;
   193821     $notlhs = ($call128 | 0) != -1;
   193822     $notrhs = ($call129 | 0) != -1;
   193823     $or_cond6_not = $notrhs & $notlhs;
   193824     $cmp134 = $call128 >>> 0 < $call129 >>> 0;
   193825     $or_cond7 = $or_cond6_not & $cmp134;
   193826     if ($or_cond7) {
   193827       label = 29;
   193828       break;
   193829     } else {
   193830       label = 51;
   193831       break;
   193832     }
   193833    case 29:
   193834     $sub_ptr_lhs_cast = $call129;
   193835     $sub_ptr_rhs_cast = $call128;
   193836     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   193837     $add137 = $nb + 40 | 0;
   193838     $cmp138 = $sub_ptr_sub >>> 0 > $add137 >>> 0;
   193839     $sub_ptr_sub_tsize_1 = $cmp138 ? $sub_ptr_sub : $tsize_1;
   193840     $call128_tbase_1 = $cmp138 ? $call128 : -1;
   193841     $cmp144 = ($call128_tbase_1 | 0) == -1;
   193842     if ($cmp144) {
   193843       label = 51;
   193844       break;
   193845     } else {
   193846       $tsize_229 = $sub_ptr_sub_tsize_1;
   193847       $tbase_230 = $call128_tbase_1;
   193848       label = 30;
   193849       break;
   193850     }
   193851    case 30:
   193852     $17 = HEAP32[40924] | 0;
   193853     $add147 = $17 + $tsize_229 | 0;
   193854     HEAP32[40924] = $add147;
   193855     $18 = HEAP32[40925] | 0;
   193856     $cmp148 = $add147 >>> 0 > $18 >>> 0;
   193857     if ($cmp148) {
   193858       label = 31;
   193859       break;
   193860     } else {
   193861       label = 32;
   193862       break;
   193863     }
   193864    case 31:
   193865     HEAP32[40925] = $add147;
   193866     label = 32;
   193867     break;
   193868    case 32:
   193869     $19 = HEAP32[40822] | 0;
   193870     $cmp154 = ($19 | 0) == 0;
   193871     if ($cmp154) {
   193872       label = 33;
   193873       break;
   193874     } else {
   193875       $sp_038 = 163712;
   193876       label = 36;
   193877       break;
   193878     }
   193879    case 33:
   193880     $20 = HEAP32[40820] | 0;
   193881     $cmp156 = ($20 | 0) == 0;
   193882     $cmp159 = $tbase_230 >>> 0 < $20 >>> 0;
   193883     $or_cond8 = $cmp156 | $cmp159;
   193884     if ($or_cond8) {
   193885       label = 34;
   193886       break;
   193887     } else {
   193888       label = 35;
   193889       break;
   193890     }
   193891    case 34:
   193892     HEAP32[40820] = $tbase_230;
   193893     label = 35;
   193894     break;
   193895    case 35:
   193896     HEAP32[40928] = $tbase_230;
   193897     HEAP32[40929] = $tsize_229;
   193898     HEAP32[40931] = 0;
   193899     $21 = HEAP32[2802] | 0;
   193900     HEAP32[40825] = $21;
   193901     HEAP32[40824] = -1;
   193902     _init_bins();
   193903     $22 = $tbase_230;
   193904     $sub169 = $tsize_229 - 40 | 0;
   193905     _init_top($22, $sub169);
   193906     label = 49;
   193907     break;
   193908    case 36:
   193909     $base184 = $sp_038 | 0;
   193910     $23 = HEAP32[$base184 >> 2] | 0;
   193911     $size185 = $sp_038 + 4 | 0;
   193912     $24 = HEAP32[$size185 >> 2] | 0;
   193913     $add_ptr186 = $23 + $24 | 0;
   193914     $cmp187 = ($tbase_230 | 0) == ($add_ptr186 | 0);
   193915     if ($cmp187) {
   193916       label = 38;
   193917       break;
   193918     } else {
   193919       label = 37;
   193920       break;
   193921     }
   193922    case 37:
   193923     $next = $sp_038 + 8 | 0;
   193924     $25 = HEAP32[$next >> 2] | 0;
   193925     $cmp183 = ($25 | 0) == 0;
   193926     if ($cmp183) {
   193927       label = 41;
   193928       break;
   193929     } else {
   193930       $sp_038 = $25;
   193931       label = 36;
   193932       break;
   193933     }
   193934    case 38:
   193935     $sflags190 = $sp_038 + 12 | 0;
   193936     $26 = HEAP32[$sflags190 >> 2] | 0;
   193937     $and191 = $26 & 8;
   193938     $tobool192 = ($and191 | 0) == 0;
   193939     if ($tobool192) {
   193940       label = 39;
   193941       break;
   193942     } else {
   193943       label = 41;
   193944       break;
   193945     }
   193946    case 39:
   193947     $27 = HEAP32[40822] | 0;
   193948     $28 = $27;
   193949     $cmp200 = $28 >>> 0 >= $23 >>> 0;
   193950     $cmp206 = $28 >>> 0 < $add_ptr186 >>> 0;
   193951     $or_cond31 = $cmp200 & $cmp206;
   193952     if ($or_cond31) {
   193953       label = 40;
   193954       break;
   193955     } else {
   193956       label = 41;
   193957       break;
   193958     }
   193959    case 40:
   193960     $add209 = $24 + $tsize_229 | 0;
   193961     HEAP32[$size185 >> 2] = $add209;
   193962     $29 = HEAP32[40822] | 0;
   193963     $30 = HEAP32[40819] | 0;
   193964     $add212 = $30 + $tsize_229 | 0;
   193965     _init_top($29, $add212);
   193966     label = 49;
   193967     break;
   193968    case 41:
   193969     $31 = HEAP32[40820] | 0;
   193970     $cmp215 = $tbase_230 >>> 0 < $31 >>> 0;
   193971     if ($cmp215) {
   193972       label = 42;
   193973       break;
   193974     } else {
   193975       label = 43;
   193976       break;
   193977     }
   193978    case 42:
   193979     HEAP32[40820] = $tbase_230;
   193980     label = 43;
   193981     break;
   193982    case 43:
   193983     $add_ptr224 = $tbase_230 + $tsize_229 | 0;
   193984     $sp_134 = 163712;
   193985     label = 44;
   193986     break;
   193987    case 44:
   193988     $base223 = $sp_134 | 0;
   193989     $32 = HEAP32[$base223 >> 2] | 0;
   193990     $cmp225 = ($32 | 0) == ($add_ptr224 | 0);
   193991     if ($cmp225) {
   193992       label = 46;
   193993       break;
   193994     } else {
   193995       label = 45;
   193996       break;
   193997     }
   193998    case 45:
   193999     $next228 = $sp_134 + 8 | 0;
   194000     $33 = HEAP32[$next228 >> 2] | 0;
   194001     $cmp221 = ($33 | 0) == 0;
   194002     if ($cmp221) {
   194003       label = 48;
   194004       break;
   194005     } else {
   194006       $sp_134 = $33;
   194007       label = 44;
   194008       break;
   194009     }
   194010    case 46:
   194011     $sflags232 = $sp_134 + 12 | 0;
   194012     $34 = HEAP32[$sflags232 >> 2] | 0;
   194013     $and233 = $34 & 8;
   194014     $tobool234 = ($and233 | 0) == 0;
   194015     if ($tobool234) {
   194016       label = 47;
   194017       break;
   194018     } else {
   194019       label = 48;
   194020       break;
   194021     }
   194022    case 47:
   194023     HEAP32[$base223 >> 2] = $tbase_230;
   194024     $size242 = $sp_134 + 4 | 0;
   194025     $35 = HEAP32[$size242 >> 2] | 0;
   194026     $add243 = $35 + $tsize_229 | 0;
   194027     HEAP32[$size242 >> 2] = $add243;
   194028     $call244 = _prepend_alloc($tbase_230, $32, $nb) | 0;
   194029     $retval_0 = $call244;
   194030     label = 52;
   194031     break;
   194032    case 48:
   194033     _add_segment($tbase_230, $tsize_229);
   194034     label = 49;
   194035     break;
   194036    case 49:
   194037     $36 = HEAP32[40819] | 0;
   194038     $cmp250 = $36 >>> 0 > $nb >>> 0;
   194039     if ($cmp250) {
   194040       label = 50;
   194041       break;
   194042     } else {
   194043       label = 51;
   194044       break;
   194045     }
   194046    case 50:
   194047     $sub253 = $36 - $nb | 0;
   194048     HEAP32[40819] = $sub253;
   194049     $37 = HEAP32[40822] | 0;
   194050     $38 = $37;
   194051     $add_ptr255 = $38 + $nb | 0;
   194052     $39 = $add_ptr255;
   194053     HEAP32[40822] = $39;
   194054     $or257 = $sub253 | 1;
   194055     $add_ptr255_sum = $nb + 4 | 0;
   194056     $head258 = $38 + $add_ptr255_sum | 0;
   194057     $40 = $head258;
   194058     HEAP32[$40 >> 2] = $or257;
   194059     $or260 = $nb | 3;
   194060     $head261 = $37 + 4 | 0;
   194061     HEAP32[$head261 >> 2] = $or260;
   194062     $add_ptr262 = $37 + 8 | 0;
   194063     $41 = $add_ptr262;
   194064     $retval_0 = $41;
   194065     label = 52;
   194066     break;
   194067    case 51:
   194068     $call265 = ___errno_location() | 0;
   194069     HEAP32[$call265 >> 2] = 12;
   194070     $retval_0 = 0;
   194071     label = 52;
   194072     break;
   194073    case 52:
   194074     return $retval_0 | 0;
   194075   }
   194076   return 0;
   194077 }
   194078 function _free($mem) {
   194079   $mem = $mem | 0;
   194080   var $cmp = 0, $add_ptr = 0, $0 = 0, $1 = 0, $cmp1 = 0, $head = 0, $2 = 0, $3 = 0, $and = 0, $cmp2 = 0, $and5 = 0, $add_ptr_sum = 0, $add_ptr6 = 0, $4 = 0, $and8 = 0, $tobool9 = 0, $prev_foot = 0, $5 = 0, $cmp13 = 0, $add_ptr_sum232 = 0, $add_ptr16 = 0, $6 = 0, $add17 = 0, $cmp18 = 0, $7 = 0, $cmp22 = 0, $shr = 0, $cmp25 = 0, $add_ptr16_sum269 = 0, $fd = 0, $8 = 0, $9 = 0, $add_ptr16_sum270 = 0, $bk = 0, $10 = 0, $11 = 0, $shl = 0, $arrayidx = 0, $12 = 0, $cmp29 = 0, $13 = 0, $cmp31 = 0, $bk34 = 0, $14 = 0, $cmp35 = 0, $cmp42 = 0, $shl45 = 0, $neg = 0, $15 = 0, $and46 = 0, $cmp50 = 0, $16 = 0, $17 = 0, $cmp53 = 0, $fd56 = 0, $18 = 0, $cmp57 = 0, $bk66 = 0, $fd67 = 0, $19 = 0, $add_ptr16_sum261 = 0, $parent = 0, $20 = 0, $21 = 0, $add_ptr16_sum262 = 0, $bk73 = 0, $22 = 0, $23 = 0, $cmp74 = 0, $add_ptr16_sum266 = 0, $fd78 = 0, $24 = 0, $25 = 0, $26 = 0, $cmp80 = 0, $bk82 = 0, $27 = 0, $cmp83 = 0, $fd86 = 0, $28 = 0, $cmp87 = 0, $child_sum = 0, $arrayidx99 = 0, $29 = 0, $30 = 0, $cmp100 = 0, $add_ptr16_sum263 = 0, $child = 0, $arrayidx103 = 0, $31 = 0, $cmp104 = 0, $RP_0 = 0, $R_0 = 0, $arrayidx108 = 0, $32 = 0, $cmp109 = 0, $arrayidx113 = 0, $33 = 0, $cmp114 = 0, $CP_0 = 0, $34 = 0, $35 = 0, $36 = 0, $cmp118 = 0, $R_1 = 0, $cmp127 = 0, $add_ptr16_sum264 = 0, $index = 0, $37 = 0, $38 = 0, $arrayidx130 = 0, $39 = 0, $cmp131 = 0, $cond279 = 0, $40 = 0, $shl138 = 0, $neg139 = 0, $41 = 0, $and140 = 0, $42 = 0, $43 = 0, $cmp143 = 0, $arrayidx149 = 0, $44 = 0, $cmp150 = 0, $arrayidx157 = 0, $cmp162 = 0, $45 = 0, $46 = 0, $cmp165 = 0, $parent170 = 0, $add_ptr16_sum265 = 0, $child171 = 0, $arrayidx172 = 0, $47 = 0, $cmp173 = 0, $48 = 0, $49 = 0, $cmp176 = 0, $arrayidx182 = 0, $parent183 = 0, $child171_sum = 0, $arrayidx188 = 0, $50 = 0, $51 = 0, $cmp189 = 0, $52 = 0, $53 = 0, $cmp192 = 0, $arrayidx198 = 0, $parent199 = 0, $add_ptr6_sum = 0, $head209 = 0, $54 = 0, $55 = 0, $and210 = 0, $cmp211 = 0, $56 = 0, $and215 = 0, $or = 0, $add_ptr16_sum = 0, $head216 = 0, $57 = 0, $prev_foot218 = 0, $psize_0 = 0, $p_0 = 0, $58 = 0, $cmp225 = 0, $add_ptr6_sum259 = 0, $head228 = 0, $59 = 0, $60 = 0, $and229 = 0, $phitmp = 0, $and237 = 0, $tobool238 = 0, $61 = 0, $cmp240 = 0, $62 = 0, $add243 = 0, $or244 = 0, $head245 = 0, $63 = 0, $cmp246 = 0, $64 = 0, $cmp250 = 0, $65 = 0, $66 = 0, $cmp255 = 0, $67 = 0, $add258 = 0, $or259 = 0, $head260 = 0, $add_ptr261 = 0, $prev_foot262 = 0, $and265 = 0, $add266 = 0, $shr267 = 0, $cmp268 = 0, $fd272 = 0, $68 = 0, $69 = 0, $add_ptr6_sum253254 = 0, $bk274 = 0, $70 = 0, $71 = 0, $shl277 = 0, $arrayidx278 = 0, $72 = 0, $cmp279 = 0, $73 = 0, $74 = 0, $cmp282 = 0, $bk285 = 0, $75 = 0, $cmp286 = 0, $cmp295 = 0, $shl298 = 0, $neg299 = 0, $76 = 0, $and300 = 0, $cmp304 = 0, $77 = 0, $78 = 0, $cmp307 = 0, $fd310 = 0, $79 = 0, $cmp311 = 0, $bk320 = 0, $fd321 = 0, $80 = 0, $add_ptr6_sum234 = 0, $parent330 = 0, $81 = 0, $82 = 0, $add_ptr6_sum235236 = 0, $bk332 = 0, $83 = 0, $84 = 0, $cmp333 = 0, $fd337 = 0, $85 = 0, $86 = 0, $87 = 0, $88 = 0, $cmp339 = 0, $bk342 = 0, $89 = 0, $cmp343 = 0, $fd346 = 0, $90 = 0, $cmp347 = 0, $child360_sum = 0, $arrayidx361 = 0, $91 = 0, $92 = 0, $cmp362 = 0, $add_ptr6_sum237 = 0, $child360 = 0, $arrayidx366 = 0, $93 = 0, $cmp367 = 0, $RP359_0 = 0, $R331_0 = 0, $arrayidx373 = 0, $94 = 0, $cmp374 = 0, $arrayidx378 = 0, $95 = 0, $cmp379 = 0, $CP370_0 = 0, $96 = 0, $97 = 0, $98 = 0, $cmp385 = 0, $R331_1 = 0, $cmp394 = 0, $add_ptr6_sum247 = 0, $index398 = 0, $99 = 0, $100 = 0, $arrayidx399 = 0, $101 = 0, $cmp400 = 0, $cond280 = 0, $102 = 0, $shl407 = 0, $neg408 = 0, $103 = 0, $and409 = 0, $104 = 0, $105 = 0, $cmp412 = 0, $arrayidx418 = 0, $106 = 0, $cmp419 = 0, $arrayidx426 = 0, $cmp431 = 0, $107 = 0, $108 = 0, $cmp434 = 0, $parent441 = 0, $add_ptr6_sum248 = 0, $child442 = 0, $arrayidx443 = 0, $109 = 0, $cmp444 = 0, $110 = 0, $111 = 0, $cmp447 = 0, $arrayidx453 = 0, $parent454 = 0, $child442_sum = 0, $arrayidx459 = 0, $112 = 0, $113 = 0, $cmp460 = 0, $114 = 0, $115 = 0, $cmp463 = 0, $arrayidx469 = 0, $parent470 = 0, $or479 = 0, $head480 = 0, $add_ptr481 = 0, $prev_foot482 = 0, $116 = 0, $cmp483 = 0, $and491 = 0, $or492 = 0, $head493 = 0, $add_ptr494 = 0, $prev_foot495 = 0, $psize_1 = 0, $shr497 = 0, $cmp498 = 0, $shl504 = 0, $arrayidx505 = 0, $117 = 0, $118 = 0, $shl507 = 0, $and508 = 0, $tobool509 = 0, $or512 = 0, $arrayidx505_sum246 = 0, $119 = 0, $120 = 0, $121 = 0, $122 = 0, $cmp515 = 0, $F506_0 = 0, $arrayidx505_sum = 0, $123 = 0, $bk525 = 0, $fd526 = 0, $bk527 = 0, $124 = 0, $shr531 = 0, $cmp532 = 0, $cmp536 = 0, $sub = 0, $shr540 = 0, $and541 = 0, $shl542 = 0, $sub543 = 0, $shr544 = 0, $and545 = 0, $add546 = 0, $shl547 = 0, $sub548 = 0, $shr549 = 0, $and550 = 0, $add551 = 0, $sub552 = 0, $shl553 = 0, $shr554 = 0, $add555 = 0, $shl556 = 0, $add557 = 0, $shr558 = 0, $and559 = 0, $add560 = 0, $I530_0 = 0, $arrayidx563 = 0, $index564 = 0, $I530_0_c = 0, $arrayidx566 = 0, $125 = 0, $126 = 0, $shl569 = 0, $and570 = 0, $tobool571 = 0, $or574 = 0, $parent575 = 0, $_c = 0, $bk576 = 0, $fd577 = 0, $127 = 0, $cmp580 = 0, $shr582 = 0, $sub585 = 0, $cond = 0, $shl586 = 0, $T_0 = 0, $K579_0 = 0, $head587 = 0, $128 = 0, $and588 = 0, $cmp589 = 0, $shr592 = 0, $arrayidx595 = 0, $129 = 0, $cmp597 = 0, $shl596 = 0, $130 = 0, $131 = 0, $cmp601 = 0, $parent606 = 0, $T_0_c243 = 0, $bk607 = 0, $fd608 = 0, $fd613 = 0, $132 = 0, $133 = 0, $134 = 0, $cmp614 = 0, $135 = 0, $cmp617 = 0, $bk624 = 0, $fd626 = 0, $_c242 = 0, $bk627 = 0, $T_0_c = 0, $parent628 = 0, $136 = 0, $dec = 0, $cmp632 = 0, label = 0;
   194081   label = 2;
   194082   while (1) switch (label | 0) {
   194083    case 2:
   194084     $cmp = ($mem | 0) == 0;
   194085     if ($cmp) {
   194086       label = 142;
   194087       break;
   194088     } else {
   194089       label = 3;
   194090       break;
   194091     }
   194092    case 3:
   194093     $add_ptr = $mem - 8 | 0;
   194094     $0 = $add_ptr;
   194095     $1 = HEAP32[40820] | 0;
   194096     $cmp1 = $add_ptr >>> 0 < $1 >>> 0;
   194097     if ($cmp1) {
   194098       label = 141;
   194099       break;
   194100     } else {
   194101       label = 4;
   194102       break;
   194103     }
   194104    case 4:
   194105     $head = $mem - 4 | 0;
   194106     $2 = $head;
   194107     $3 = HEAP32[$2 >> 2] | 0;
   194108     $and = $3 & 3;
   194109     $cmp2 = ($and | 0) == 1;
   194110     if ($cmp2) {
   194111       label = 141;
   194112       break;
   194113     } else {
   194114       label = 5;
   194115       break;
   194116     }
   194117    case 5:
   194118     $and5 = $3 & -8;
   194119     $add_ptr_sum = $and5 - 8 | 0;
   194120     $add_ptr6 = $mem + $add_ptr_sum | 0;
   194121     $4 = $add_ptr6;
   194122     $and8 = $3 & 1;
   194123     $tobool9 = ($and8 | 0) == 0;
   194124     if ($tobool9) {
   194125       label = 6;
   194126       break;
   194127     } else {
   194128       $p_0 = $0;
   194129       $psize_0 = $and5;
   194130       label = 57;
   194131       break;
   194132     }
   194133    case 6:
   194134     $prev_foot = $add_ptr;
   194135     $5 = HEAP32[$prev_foot >> 2] | 0;
   194136     $cmp13 = ($and | 0) == 0;
   194137     if ($cmp13) {
   194138       label = 142;
   194139       break;
   194140     } else {
   194141       label = 7;
   194142       break;
   194143     }
   194144    case 7:
   194145     $add_ptr_sum232 = -8 - $5 | 0;
   194146     $add_ptr16 = $mem + $add_ptr_sum232 | 0;
   194147     $6 = $add_ptr16;
   194148     $add17 = $5 + $and5 | 0;
   194149     $cmp18 = $add_ptr16 >>> 0 < $1 >>> 0;
   194150     if ($cmp18) {
   194151       label = 141;
   194152       break;
   194153     } else {
   194154       label = 8;
   194155       break;
   194156     }
   194157    case 8:
   194158     $7 = HEAP32[40821] | 0;
   194159     $cmp22 = ($6 | 0) == ($7 | 0);
   194160     if ($cmp22) {
   194161       label = 55;
   194162       break;
   194163     } else {
   194164       label = 9;
   194165       break;
   194166     }
   194167    case 9:
   194168     $shr = $5 >>> 3;
   194169     $cmp25 = $5 >>> 0 < 256;
   194170     if ($cmp25) {
   194171       label = 10;
   194172       break;
   194173     } else {
   194174       label = 21;
   194175       break;
   194176     }
   194177    case 10:
   194178     $add_ptr16_sum269 = $add_ptr_sum232 + 8 | 0;
   194179     $fd = $mem + $add_ptr16_sum269 | 0;
   194180     $8 = $fd;
   194181     $9 = HEAP32[$8 >> 2] | 0;
   194182     $add_ptr16_sum270 = $add_ptr_sum232 + 12 | 0;
   194183     $bk = $mem + $add_ptr16_sum270 | 0;
   194184     $10 = $bk;
   194185     $11 = HEAP32[$10 >> 2] | 0;
   194186     $shl = $shr << 1;
   194187     $arrayidx = 163304 + ($shl << 2) | 0;
   194188     $12 = $arrayidx;
   194189     $cmp29 = ($9 | 0) == ($12 | 0);
   194190     if ($cmp29) {
   194191       label = 13;
   194192       break;
   194193     } else {
   194194       label = 11;
   194195       break;
   194196     }
   194197    case 11:
   194198     $13 = $9;
   194199     $cmp31 = $13 >>> 0 < $1 >>> 0;
   194200     if ($cmp31) {
   194201       label = 20;
   194202       break;
   194203     } else {
   194204       label = 12;
   194205       break;
   194206     }
   194207    case 12:
   194208     $bk34 = $9 + 12 | 0;
   194209     $14 = HEAP32[$bk34 >> 2] | 0;
   194210     $cmp35 = ($14 | 0) == ($6 | 0);
   194211     if ($cmp35) {
   194212       label = 13;
   194213       break;
   194214     } else {
   194215       label = 20;
   194216       break;
   194217     }
   194218    case 13:
   194219     $cmp42 = ($11 | 0) == ($9 | 0);
   194220     if ($cmp42) {
   194221       label = 14;
   194222       break;
   194223     } else {
   194224       label = 15;
   194225       break;
   194226     }
   194227    case 14:
   194228     $shl45 = 1 << $shr;
   194229     $neg = $shl45 ^ -1;
   194230     $15 = HEAP32[40816] | 0;
   194231     $and46 = $15 & $neg;
   194232     HEAP32[40816] = $and46;
   194233     $p_0 = $6;
   194234     $psize_0 = $add17;
   194235     label = 57;
   194236     break;
   194237    case 15:
   194238     $cmp50 = ($11 | 0) == ($12 | 0);
   194239     if ($cmp50) {
   194240       label = 18;
   194241       break;
   194242     } else {
   194243       label = 16;
   194244       break;
   194245     }
   194246    case 16:
   194247     $16 = $11;
   194248     $17 = HEAP32[40820] | 0;
   194249     $cmp53 = $16 >>> 0 < $17 >>> 0;
   194250     if ($cmp53) {
   194251       label = 19;
   194252       break;
   194253     } else {
   194254       label = 17;
   194255       break;
   194256     }
   194257    case 17:
   194258     $fd56 = $11 + 8 | 0;
   194259     $18 = HEAP32[$fd56 >> 2] | 0;
   194260     $cmp57 = ($18 | 0) == ($6 | 0);
   194261     if ($cmp57) {
   194262       label = 18;
   194263       break;
   194264     } else {
   194265       label = 19;
   194266       break;
   194267     }
   194268    case 18:
   194269     $bk66 = $9 + 12 | 0;
   194270     HEAP32[$bk66 >> 2] = $11;
   194271     $fd67 = $11 + 8 | 0;
   194272     HEAP32[$fd67 >> 2] = $9;
   194273     $p_0 = $6;
   194274     $psize_0 = $add17;
   194275     label = 57;
   194276     break;
   194277    case 19:
   194278     _abort();
   194279    case 20:
   194280     _abort();
   194281    case 21:
   194282     $19 = $add_ptr16;
   194283     $add_ptr16_sum261 = $add_ptr_sum232 + 24 | 0;
   194284     $parent = $mem + $add_ptr16_sum261 | 0;
   194285     $20 = $parent;
   194286     $21 = HEAP32[$20 >> 2] | 0;
   194287     $add_ptr16_sum262 = $add_ptr_sum232 + 12 | 0;
   194288     $bk73 = $mem + $add_ptr16_sum262 | 0;
   194289     $22 = $bk73;
   194290     $23 = HEAP32[$22 >> 2] | 0;
   194291     $cmp74 = ($23 | 0) == ($19 | 0);
   194292     if ($cmp74) {
   194293       label = 27;
   194294       break;
   194295     } else {
   194296       label = 22;
   194297       break;
   194298     }
   194299    case 22:
   194300     $add_ptr16_sum266 = $add_ptr_sum232 + 8 | 0;
   194301     $fd78 = $mem + $add_ptr16_sum266 | 0;
   194302     $24 = $fd78;
   194303     $25 = HEAP32[$24 >> 2] | 0;
   194304     $26 = $25;
   194305     $cmp80 = $26 >>> 0 < $1 >>> 0;
   194306     if ($cmp80) {
   194307       label = 26;
   194308       break;
   194309     } else {
   194310       label = 23;
   194311       break;
   194312     }
   194313    case 23:
   194314     $bk82 = $25 + 12 | 0;
   194315     $27 = HEAP32[$bk82 >> 2] | 0;
   194316     $cmp83 = ($27 | 0) == ($19 | 0);
   194317     if ($cmp83) {
   194318       label = 24;
   194319       break;
   194320     } else {
   194321       label = 26;
   194322       break;
   194323     }
   194324    case 24:
   194325     $fd86 = $23 + 8 | 0;
   194326     $28 = HEAP32[$fd86 >> 2] | 0;
   194327     $cmp87 = ($28 | 0) == ($19 | 0);
   194328     if ($cmp87) {
   194329       label = 25;
   194330       break;
   194331     } else {
   194332       label = 26;
   194333       break;
   194334     }
   194335    case 25:
   194336     HEAP32[$bk82 >> 2] = $23;
   194337     HEAP32[$fd86 >> 2] = $25;
   194338     $R_1 = $23;
   194339     label = 35;
   194340     break;
   194341    case 26:
   194342     _abort();
   194343    case 27:
   194344     $child_sum = $add_ptr_sum232 + 20 | 0;
   194345     $arrayidx99 = $mem + $child_sum | 0;
   194346     $29 = $arrayidx99;
   194347     $30 = HEAP32[$29 >> 2] | 0;
   194348     $cmp100 = ($30 | 0) == 0;
   194349     if ($cmp100) {
   194350       label = 28;
   194351       break;
   194352     } else {
   194353       $R_0 = $30;
   194354       $RP_0 = $29;
   194355       label = 29;
   194356       break;
   194357     }
   194358    case 28:
   194359     $add_ptr16_sum263 = $add_ptr_sum232 + 16 | 0;
   194360     $child = $mem + $add_ptr16_sum263 | 0;
   194361     $arrayidx103 = $child;
   194362     $31 = HEAP32[$arrayidx103 >> 2] | 0;
   194363     $cmp104 = ($31 | 0) == 0;
   194364     if ($cmp104) {
   194365       $R_1 = 0;
   194366       label = 35;
   194367       break;
   194368     } else {
   194369       $R_0 = $31;
   194370       $RP_0 = $arrayidx103;
   194371       label = 29;
   194372       break;
   194373     }
   194374    case 29:
   194375     $arrayidx108 = $R_0 + 20 | 0;
   194376     $32 = HEAP32[$arrayidx108 >> 2] | 0;
   194377     $cmp109 = ($32 | 0) == 0;
   194378     if ($cmp109) {
   194379       label = 30;
   194380       break;
   194381     } else {
   194382       $CP_0 = $arrayidx108;
   194383       label = 31;
   194384       break;
   194385     }
   194386    case 30:
   194387     $arrayidx113 = $R_0 + 16 | 0;
   194388     $33 = HEAP32[$arrayidx113 >> 2] | 0;
   194389     $cmp114 = ($33 | 0) == 0;
   194390     if ($cmp114) {
   194391       label = 32;
   194392       break;
   194393     } else {
   194394       $CP_0 = $arrayidx113;
   194395       label = 31;
   194396       break;
   194397     }
   194398    case 31:
   194399     $34 = HEAP32[$CP_0 >> 2] | 0;
   194400     $R_0 = $34;
   194401     $RP_0 = $CP_0;
   194402     label = 29;
   194403     break;
   194404    case 32:
   194405     $35 = $RP_0;
   194406     $36 = HEAP32[40820] | 0;
   194407     $cmp118 = $35 >>> 0 < $36 >>> 0;
   194408     if ($cmp118) {
   194409       label = 34;
   194410       break;
   194411     } else {
   194412       label = 33;
   194413       break;
   194414     }
   194415    case 33:
   194416     HEAP32[$RP_0 >> 2] = 0;
   194417     $R_1 = $R_0;
   194418     label = 35;
   194419     break;
   194420    case 34:
   194421     _abort();
   194422    case 35:
   194423     $cmp127 = ($21 | 0) == 0;
   194424     if ($cmp127) {
   194425       $p_0 = $6;
   194426       $psize_0 = $add17;
   194427       label = 57;
   194428       break;
   194429     } else {
   194430       label = 36;
   194431       break;
   194432     }
   194433    case 36:
   194434     $add_ptr16_sum264 = $add_ptr_sum232 + 28 | 0;
   194435     $index = $mem + $add_ptr16_sum264 | 0;
   194436     $37 = $index;
   194437     $38 = HEAP32[$37 >> 2] | 0;
   194438     $arrayidx130 = 163568 + ($38 << 2) | 0;
   194439     $39 = HEAP32[$arrayidx130 >> 2] | 0;
   194440     $cmp131 = ($19 | 0) == ($39 | 0);
   194441     if ($cmp131) {
   194442       label = 37;
   194443       break;
   194444     } else {
   194445       label = 39;
   194446       break;
   194447     }
   194448    case 37:
   194449     HEAP32[$arrayidx130 >> 2] = $R_1;
   194450     $cond279 = ($R_1 | 0) == 0;
   194451     if ($cond279) {
   194452       label = 38;
   194453       break;
   194454     } else {
   194455       label = 45;
   194456       break;
   194457     }
   194458    case 38:
   194459     $40 = HEAP32[$37 >> 2] | 0;
   194460     $shl138 = 1 << $40;
   194461     $neg139 = $shl138 ^ -1;
   194462     $41 = HEAP32[40817] | 0;
   194463     $and140 = $41 & $neg139;
   194464     HEAP32[40817] = $and140;
   194465     $p_0 = $6;
   194466     $psize_0 = $add17;
   194467     label = 57;
   194468     break;
   194469    case 39:
   194470     $42 = $21;
   194471     $43 = HEAP32[40820] | 0;
   194472     $cmp143 = $42 >>> 0 < $43 >>> 0;
   194473     if ($cmp143) {
   194474       label = 43;
   194475       break;
   194476     } else {
   194477       label = 40;
   194478       break;
   194479     }
   194480    case 40:
   194481     $arrayidx149 = $21 + 16 | 0;
   194482     $44 = HEAP32[$arrayidx149 >> 2] | 0;
   194483     $cmp150 = ($44 | 0) == ($19 | 0);
   194484     if ($cmp150) {
   194485       label = 41;
   194486       break;
   194487     } else {
   194488       label = 42;
   194489       break;
   194490     }
   194491    case 41:
   194492     HEAP32[$arrayidx149 >> 2] = $R_1;
   194493     label = 44;
   194494     break;
   194495    case 42:
   194496     $arrayidx157 = $21 + 20 | 0;
   194497     HEAP32[$arrayidx157 >> 2] = $R_1;
   194498     label = 44;
   194499     break;
   194500    case 43:
   194501     _abort();
   194502    case 44:
   194503     $cmp162 = ($R_1 | 0) == 0;
   194504     if ($cmp162) {
   194505       $p_0 = $6;
   194506       $psize_0 = $add17;
   194507       label = 57;
   194508       break;
   194509     } else {
   194510       label = 45;
   194511       break;
   194512     }
   194513    case 45:
   194514     $45 = $R_1;
   194515     $46 = HEAP32[40820] | 0;
   194516     $cmp165 = $45 >>> 0 < $46 >>> 0;
   194517     if ($cmp165) {
   194518       label = 54;
   194519       break;
   194520     } else {
   194521       label = 46;
   194522       break;
   194523     }
   194524    case 46:
   194525     $parent170 = $R_1 + 24 | 0;
   194526     HEAP32[$parent170 >> 2] = $21;
   194527     $add_ptr16_sum265 = $add_ptr_sum232 + 16 | 0;
   194528     $child171 = $mem + $add_ptr16_sum265 | 0;
   194529     $arrayidx172 = $child171;
   194530     $47 = HEAP32[$arrayidx172 >> 2] | 0;
   194531     $cmp173 = ($47 | 0) == 0;
   194532     if ($cmp173) {
   194533       label = 50;
   194534       break;
   194535     } else {
   194536       label = 47;
   194537       break;
   194538     }
   194539    case 47:
   194540     $48 = $47;
   194541     $49 = HEAP32[40820] | 0;
   194542     $cmp176 = $48 >>> 0 < $49 >>> 0;
   194543     if ($cmp176) {
   194544       label = 49;
   194545       break;
   194546     } else {
   194547       label = 48;
   194548       break;
   194549     }
   194550    case 48:
   194551     $arrayidx182 = $R_1 + 16 | 0;
   194552     HEAP32[$arrayidx182 >> 2] = $47;
   194553     $parent183 = $47 + 24 | 0;
   194554     HEAP32[$parent183 >> 2] = $R_1;
   194555     label = 50;
   194556     break;
   194557    case 49:
   194558     _abort();
   194559    case 50:
   194560     $child171_sum = $add_ptr_sum232 + 20 | 0;
   194561     $arrayidx188 = $mem + $child171_sum | 0;
   194562     $50 = $arrayidx188;
   194563     $51 = HEAP32[$50 >> 2] | 0;
   194564     $cmp189 = ($51 | 0) == 0;
   194565     if ($cmp189) {
   194566       $p_0 = $6;
   194567       $psize_0 = $add17;
   194568       label = 57;
   194569       break;
   194570     } else {
   194571       label = 51;
   194572       break;
   194573     }
   194574    case 51:
   194575     $52 = $51;
   194576     $53 = HEAP32[40820] | 0;
   194577     $cmp192 = $52 >>> 0 < $53 >>> 0;
   194578     if ($cmp192) {
   194579       label = 53;
   194580       break;
   194581     } else {
   194582       label = 52;
   194583       break;
   194584     }
   194585    case 52:
   194586     $arrayidx198 = $R_1 + 20 | 0;
   194587     HEAP32[$arrayidx198 >> 2] = $51;
   194588     $parent199 = $51 + 24 | 0;
   194589     HEAP32[$parent199 >> 2] = $R_1;
   194590     $p_0 = $6;
   194591     $psize_0 = $add17;
   194592     label = 57;
   194593     break;
   194594    case 53:
   194595     _abort();
   194596    case 54:
   194597     _abort();
   194598    case 55:
   194599     $add_ptr6_sum = $and5 - 4 | 0;
   194600     $head209 = $mem + $add_ptr6_sum | 0;
   194601     $54 = $head209;
   194602     $55 = HEAP32[$54 >> 2] | 0;
   194603     $and210 = $55 & 3;
   194604     $cmp211 = ($and210 | 0) == 3;
   194605     if ($cmp211) {
   194606       label = 56;
   194607       break;
   194608     } else {
   194609       $p_0 = $6;
   194610       $psize_0 = $add17;
   194611       label = 57;
   194612       break;
   194613     }
   194614    case 56:
   194615     HEAP32[40818] = $add17;
   194616     $56 = HEAP32[$54 >> 2] | 0;
   194617     $and215 = $56 & -2;
   194618     HEAP32[$54 >> 2] = $and215;
   194619     $or = $add17 | 1;
   194620     $add_ptr16_sum = $add_ptr_sum232 + 4 | 0;
   194621     $head216 = $mem + $add_ptr16_sum | 0;
   194622     $57 = $head216;
   194623     HEAP32[$57 >> 2] = $or;
   194624     $prev_foot218 = $add_ptr6;
   194625     HEAP32[$prev_foot218 >> 2] = $add17;
   194626     label = 142;
   194627     break;
   194628    case 57:
   194629     $58 = $p_0;
   194630     $cmp225 = $58 >>> 0 < $add_ptr6 >>> 0;
   194631     if ($cmp225) {
   194632       label = 58;
   194633       break;
   194634     } else {
   194635       label = 141;
   194636       break;
   194637     }
   194638    case 58:
   194639     $add_ptr6_sum259 = $and5 - 4 | 0;
   194640     $head228 = $mem + $add_ptr6_sum259 | 0;
   194641     $59 = $head228;
   194642     $60 = HEAP32[$59 >> 2] | 0;
   194643     $and229 = $60 & 1;
   194644     $phitmp = ($and229 | 0) == 0;
   194645     if ($phitmp) {
   194646       label = 141;
   194647       break;
   194648     } else {
   194649       label = 59;
   194650       break;
   194651     }
   194652    case 59:
   194653     $and237 = $60 & 2;
   194654     $tobool238 = ($and237 | 0) == 0;
   194655     if ($tobool238) {
   194656       label = 60;
   194657       break;
   194658     } else {
   194659       label = 115;
   194660       break;
   194661     }
   194662    case 60:
   194663     $61 = HEAP32[40822] | 0;
   194664     $cmp240 = ($4 | 0) == ($61 | 0);
   194665     if ($cmp240) {
   194666       label = 61;
   194667       break;
   194668     } else {
   194669       label = 65;
   194670       break;
   194671     }
   194672    case 61:
   194673     $62 = HEAP32[40819] | 0;
   194674     $add243 = $62 + $psize_0 | 0;
   194675     HEAP32[40819] = $add243;
   194676     HEAP32[40822] = $p_0;
   194677     $or244 = $add243 | 1;
   194678     $head245 = $p_0 + 4 | 0;
   194679     HEAP32[$head245 >> 2] = $or244;
   194680     $63 = HEAP32[40821] | 0;
   194681     $cmp246 = ($p_0 | 0) == ($63 | 0);
   194682     if ($cmp246) {
   194683       label = 62;
   194684       break;
   194685     } else {
   194686       label = 63;
   194687       break;
   194688     }
   194689    case 62:
   194690     HEAP32[40821] = 0;
   194691     HEAP32[40818] = 0;
   194692     label = 63;
   194693     break;
   194694    case 63:
   194695     $64 = HEAP32[40823] | 0;
   194696     $cmp250 = $add243 >>> 0 > $64 >>> 0;
   194697     if ($cmp250) {
   194698       label = 64;
   194699       break;
   194700     } else {
   194701       label = 142;
   194702       break;
   194703     }
   194704    case 64:
   194705     $65 = _sys_trim(0) | 0;
   194706     label = 142;
   194707     break;
   194708    case 65:
   194709     $66 = HEAP32[40821] | 0;
   194710     $cmp255 = ($4 | 0) == ($66 | 0);
   194711     if ($cmp255) {
   194712       label = 66;
   194713       break;
   194714     } else {
   194715       label = 67;
   194716       break;
   194717     }
   194718    case 66:
   194719     $67 = HEAP32[40818] | 0;
   194720     $add258 = $67 + $psize_0 | 0;
   194721     HEAP32[40818] = $add258;
   194722     HEAP32[40821] = $p_0;
   194723     $or259 = $add258 | 1;
   194724     $head260 = $p_0 + 4 | 0;
   194725     HEAP32[$head260 >> 2] = $or259;
   194726     $add_ptr261 = $58 + $add258 | 0;
   194727     $prev_foot262 = $add_ptr261;
   194728     HEAP32[$prev_foot262 >> 2] = $add258;
   194729     label = 142;
   194730     break;
   194731    case 67:
   194732     $and265 = $60 & -8;
   194733     $add266 = $and265 + $psize_0 | 0;
   194734     $shr267 = $60 >>> 3;
   194735     $cmp268 = $60 >>> 0 < 256;
   194736     if ($cmp268) {
   194737       label = 68;
   194738       break;
   194739     } else {
   194740       label = 79;
   194741       break;
   194742     }
   194743    case 68:
   194744     $fd272 = $mem + $and5 | 0;
   194745     $68 = $fd272;
   194746     $69 = HEAP32[$68 >> 2] | 0;
   194747     $add_ptr6_sum253254 = $and5 | 4;
   194748     $bk274 = $mem + $add_ptr6_sum253254 | 0;
   194749     $70 = $bk274;
   194750     $71 = HEAP32[$70 >> 2] | 0;
   194751     $shl277 = $shr267 << 1;
   194752     $arrayidx278 = 163304 + ($shl277 << 2) | 0;
   194753     $72 = $arrayidx278;
   194754     $cmp279 = ($69 | 0) == ($72 | 0);
   194755     if ($cmp279) {
   194756       label = 71;
   194757       break;
   194758     } else {
   194759       label = 69;
   194760       break;
   194761     }
   194762    case 69:
   194763     $73 = $69;
   194764     $74 = HEAP32[40820] | 0;
   194765     $cmp282 = $73 >>> 0 < $74 >>> 0;
   194766     if ($cmp282) {
   194767       label = 78;
   194768       break;
   194769     } else {
   194770       label = 70;
   194771       break;
   194772     }
   194773    case 70:
   194774     $bk285 = $69 + 12 | 0;
   194775     $75 = HEAP32[$bk285 >> 2] | 0;
   194776     $cmp286 = ($75 | 0) == ($4 | 0);
   194777     if ($cmp286) {
   194778       label = 71;
   194779       break;
   194780     } else {
   194781       label = 78;
   194782       break;
   194783     }
   194784    case 71:
   194785     $cmp295 = ($71 | 0) == ($69 | 0);
   194786     if ($cmp295) {
   194787       label = 72;
   194788       break;
   194789     } else {
   194790       label = 73;
   194791       break;
   194792     }
   194793    case 72:
   194794     $shl298 = 1 << $shr267;
   194795     $neg299 = $shl298 ^ -1;
   194796     $76 = HEAP32[40816] | 0;
   194797     $and300 = $76 & $neg299;
   194798     HEAP32[40816] = $and300;
   194799     label = 113;
   194800     break;
   194801    case 73:
   194802     $cmp304 = ($71 | 0) == ($72 | 0);
   194803     if ($cmp304) {
   194804       label = 76;
   194805       break;
   194806     } else {
   194807       label = 74;
   194808       break;
   194809     }
   194810    case 74:
   194811     $77 = $71;
   194812     $78 = HEAP32[40820] | 0;
   194813     $cmp307 = $77 >>> 0 < $78 >>> 0;
   194814     if ($cmp307) {
   194815       label = 77;
   194816       break;
   194817     } else {
   194818       label = 75;
   194819       break;
   194820     }
   194821    case 75:
   194822     $fd310 = $71 + 8 | 0;
   194823     $79 = HEAP32[$fd310 >> 2] | 0;
   194824     $cmp311 = ($79 | 0) == ($4 | 0);
   194825     if ($cmp311) {
   194826       label = 76;
   194827       break;
   194828     } else {
   194829       label = 77;
   194830       break;
   194831     }
   194832    case 76:
   194833     $bk320 = $69 + 12 | 0;
   194834     HEAP32[$bk320 >> 2] = $71;
   194835     $fd321 = $71 + 8 | 0;
   194836     HEAP32[$fd321 >> 2] = $69;
   194837     label = 113;
   194838     break;
   194839    case 77:
   194840     _abort();
   194841    case 78:
   194842     _abort();
   194843    case 79:
   194844     $80 = $add_ptr6;
   194845     $add_ptr6_sum234 = $and5 + 16 | 0;
   194846     $parent330 = $mem + $add_ptr6_sum234 | 0;
   194847     $81 = $parent330;
   194848     $82 = HEAP32[$81 >> 2] | 0;
   194849     $add_ptr6_sum235236 = $and5 | 4;
   194850     $bk332 = $mem + $add_ptr6_sum235236 | 0;
   194851     $83 = $bk332;
   194852     $84 = HEAP32[$83 >> 2] | 0;
   194853     $cmp333 = ($84 | 0) == ($80 | 0);
   194854     if ($cmp333) {
   194855       label = 85;
   194856       break;
   194857     } else {
   194858       label = 80;
   194859       break;
   194860     }
   194861    case 80:
   194862     $fd337 = $mem + $and5 | 0;
   194863     $85 = $fd337;
   194864     $86 = HEAP32[$85 >> 2] | 0;
   194865     $87 = $86;
   194866     $88 = HEAP32[40820] | 0;
   194867     $cmp339 = $87 >>> 0 < $88 >>> 0;
   194868     if ($cmp339) {
   194869       label = 84;
   194870       break;
   194871     } else {
   194872       label = 81;
   194873       break;
   194874     }
   194875    case 81:
   194876     $bk342 = $86 + 12 | 0;
   194877     $89 = HEAP32[$bk342 >> 2] | 0;
   194878     $cmp343 = ($89 | 0) == ($80 | 0);
   194879     if ($cmp343) {
   194880       label = 82;
   194881       break;
   194882     } else {
   194883       label = 84;
   194884       break;
   194885     }
   194886    case 82:
   194887     $fd346 = $84 + 8 | 0;
   194888     $90 = HEAP32[$fd346 >> 2] | 0;
   194889     $cmp347 = ($90 | 0) == ($80 | 0);
   194890     if ($cmp347) {
   194891       label = 83;
   194892       break;
   194893     } else {
   194894       label = 84;
   194895       break;
   194896     }
   194897    case 83:
   194898     HEAP32[$bk342 >> 2] = $84;
   194899     HEAP32[$fd346 >> 2] = $86;
   194900     $R331_1 = $84;
   194901     label = 93;
   194902     break;
   194903    case 84:
   194904     _abort();
   194905    case 85:
   194906     $child360_sum = $and5 + 12 | 0;
   194907     $arrayidx361 = $mem + $child360_sum | 0;
   194908     $91 = $arrayidx361;
   194909     $92 = HEAP32[$91 >> 2] | 0;
   194910     $cmp362 = ($92 | 0) == 0;
   194911     if ($cmp362) {
   194912       label = 86;
   194913       break;
   194914     } else {
   194915       $R331_0 = $92;
   194916       $RP359_0 = $91;
   194917       label = 87;
   194918       break;
   194919     }
   194920    case 86:
   194921     $add_ptr6_sum237 = $and5 + 8 | 0;
   194922     $child360 = $mem + $add_ptr6_sum237 | 0;
   194923     $arrayidx366 = $child360;
   194924     $93 = HEAP32[$arrayidx366 >> 2] | 0;
   194925     $cmp367 = ($93 | 0) == 0;
   194926     if ($cmp367) {
   194927       $R331_1 = 0;
   194928       label = 93;
   194929       break;
   194930     } else {
   194931       $R331_0 = $93;
   194932       $RP359_0 = $arrayidx366;
   194933       label = 87;
   194934       break;
   194935     }
   194936    case 87:
   194937     $arrayidx373 = $R331_0 + 20 | 0;
   194938     $94 = HEAP32[$arrayidx373 >> 2] | 0;
   194939     $cmp374 = ($94 | 0) == 0;
   194940     if ($cmp374) {
   194941       label = 88;
   194942       break;
   194943     } else {
   194944       $CP370_0 = $arrayidx373;
   194945       label = 89;
   194946       break;
   194947     }
   194948    case 88:
   194949     $arrayidx378 = $R331_0 + 16 | 0;
   194950     $95 = HEAP32[$arrayidx378 >> 2] | 0;
   194951     $cmp379 = ($95 | 0) == 0;
   194952     if ($cmp379) {
   194953       label = 90;
   194954       break;
   194955     } else {
   194956       $CP370_0 = $arrayidx378;
   194957       label = 89;
   194958       break;
   194959     }
   194960    case 89:
   194961     $96 = HEAP32[$CP370_0 >> 2] | 0;
   194962     $R331_0 = $96;
   194963     $RP359_0 = $CP370_0;
   194964     label = 87;
   194965     break;
   194966    case 90:
   194967     $97 = $RP359_0;
   194968     $98 = HEAP32[40820] | 0;
   194969     $cmp385 = $97 >>> 0 < $98 >>> 0;
   194970     if ($cmp385) {
   194971       label = 92;
   194972       break;
   194973     } else {
   194974       label = 91;
   194975       break;
   194976     }
   194977    case 91:
   194978     HEAP32[$RP359_0 >> 2] = 0;
   194979     $R331_1 = $R331_0;
   194980     label = 93;
   194981     break;
   194982    case 92:
   194983     _abort();
   194984    case 93:
   194985     $cmp394 = ($82 | 0) == 0;
   194986     if ($cmp394) {
   194987       label = 113;
   194988       break;
   194989     } else {
   194990       label = 94;
   194991       break;
   194992     }
   194993    case 94:
   194994     $add_ptr6_sum247 = $and5 + 20 | 0;
   194995     $index398 = $mem + $add_ptr6_sum247 | 0;
   194996     $99 = $index398;
   194997     $100 = HEAP32[$99 >> 2] | 0;
   194998     $arrayidx399 = 163568 + ($100 << 2) | 0;
   194999     $101 = HEAP32[$arrayidx399 >> 2] | 0;
   195000     $cmp400 = ($80 | 0) == ($101 | 0);
   195001     if ($cmp400) {
   195002       label = 95;
   195003       break;
   195004     } else {
   195005       label = 97;
   195006       break;
   195007     }
   195008    case 95:
   195009     HEAP32[$arrayidx399 >> 2] = $R331_1;
   195010     $cond280 = ($R331_1 | 0) == 0;
   195011     if ($cond280) {
   195012       label = 96;
   195013       break;
   195014     } else {
   195015       label = 103;
   195016       break;
   195017     }
   195018    case 96:
   195019     $102 = HEAP32[$99 >> 2] | 0;
   195020     $shl407 = 1 << $102;
   195021     $neg408 = $shl407 ^ -1;
   195022     $103 = HEAP32[40817] | 0;
   195023     $and409 = $103 & $neg408;
   195024     HEAP32[40817] = $and409;
   195025     label = 113;
   195026     break;
   195027    case 97:
   195028     $104 = $82;
   195029     $105 = HEAP32[40820] | 0;
   195030     $cmp412 = $104 >>> 0 < $105 >>> 0;
   195031     if ($cmp412) {
   195032       label = 101;
   195033       break;
   195034     } else {
   195035       label = 98;
   195036       break;
   195037     }
   195038    case 98:
   195039     $arrayidx418 = $82 + 16 | 0;
   195040     $106 = HEAP32[$arrayidx418 >> 2] | 0;
   195041     $cmp419 = ($106 | 0) == ($80 | 0);
   195042     if ($cmp419) {
   195043       label = 99;
   195044       break;
   195045     } else {
   195046       label = 100;
   195047       break;
   195048     }
   195049    case 99:
   195050     HEAP32[$arrayidx418 >> 2] = $R331_1;
   195051     label = 102;
   195052     break;
   195053    case 100:
   195054     $arrayidx426 = $82 + 20 | 0;
   195055     HEAP32[$arrayidx426 >> 2] = $R331_1;
   195056     label = 102;
   195057     break;
   195058    case 101:
   195059     _abort();
   195060    case 102:
   195061     $cmp431 = ($R331_1 | 0) == 0;
   195062     if ($cmp431) {
   195063       label = 113;
   195064       break;
   195065     } else {
   195066       label = 103;
   195067       break;
   195068     }
   195069    case 103:
   195070     $107 = $R331_1;
   195071     $108 = HEAP32[40820] | 0;
   195072     $cmp434 = $107 >>> 0 < $108 >>> 0;
   195073     if ($cmp434) {
   195074       label = 112;
   195075       break;
   195076     } else {
   195077       label = 104;
   195078       break;
   195079     }
   195080    case 104:
   195081     $parent441 = $R331_1 + 24 | 0;
   195082     HEAP32[$parent441 >> 2] = $82;
   195083     $add_ptr6_sum248 = $and5 + 8 | 0;
   195084     $child442 = $mem + $add_ptr6_sum248 | 0;
   195085     $arrayidx443 = $child442;
   195086     $109 = HEAP32[$arrayidx443 >> 2] | 0;
   195087     $cmp444 = ($109 | 0) == 0;
   195088     if ($cmp444) {
   195089       label = 108;
   195090       break;
   195091     } else {
   195092       label = 105;
   195093       break;
   195094     }
   195095    case 105:
   195096     $110 = $109;
   195097     $111 = HEAP32[40820] | 0;
   195098     $cmp447 = $110 >>> 0 < $111 >>> 0;
   195099     if ($cmp447) {
   195100       label = 107;
   195101       break;
   195102     } else {
   195103       label = 106;
   195104       break;
   195105     }
   195106    case 106:
   195107     $arrayidx453 = $R331_1 + 16 | 0;
   195108     HEAP32[$arrayidx453 >> 2] = $109;
   195109     $parent454 = $109 + 24 | 0;
   195110     HEAP32[$parent454 >> 2] = $R331_1;
   195111     label = 108;
   195112     break;
   195113    case 107:
   195114     _abort();
   195115    case 108:
   195116     $child442_sum = $and5 + 12 | 0;
   195117     $arrayidx459 = $mem + $child442_sum | 0;
   195118     $112 = $arrayidx459;
   195119     $113 = HEAP32[$112 >> 2] | 0;
   195120     $cmp460 = ($113 | 0) == 0;
   195121     if ($cmp460) {
   195122       label = 113;
   195123       break;
   195124     } else {
   195125       label = 109;
   195126       break;
   195127     }
   195128    case 109:
   195129     $114 = $113;
   195130     $115 = HEAP32[40820] | 0;
   195131     $cmp463 = $114 >>> 0 < $115 >>> 0;
   195132     if ($cmp463) {
   195133       label = 111;
   195134       break;
   195135     } else {
   195136       label = 110;
   195137       break;
   195138     }
   195139    case 110:
   195140     $arrayidx469 = $R331_1 + 20 | 0;
   195141     HEAP32[$arrayidx469 >> 2] = $113;
   195142     $parent470 = $113 + 24 | 0;
   195143     HEAP32[$parent470 >> 2] = $R331_1;
   195144     label = 113;
   195145     break;
   195146    case 111:
   195147     _abort();
   195148    case 112:
   195149     _abort();
   195150    case 113:
   195151     $or479 = $add266 | 1;
   195152     $head480 = $p_0 + 4 | 0;
   195153     HEAP32[$head480 >> 2] = $or479;
   195154     $add_ptr481 = $58 + $add266 | 0;
   195155     $prev_foot482 = $add_ptr481;
   195156     HEAP32[$prev_foot482 >> 2] = $add266;
   195157     $116 = HEAP32[40821] | 0;
   195158     $cmp483 = ($p_0 | 0) == ($116 | 0);
   195159     if ($cmp483) {
   195160       label = 114;
   195161       break;
   195162     } else {
   195163       $psize_1 = $add266;
   195164       label = 116;
   195165       break;
   195166     }
   195167    case 114:
   195168     HEAP32[40818] = $add266;
   195169     label = 142;
   195170     break;
   195171    case 115:
   195172     $and491 = $60 & -2;
   195173     HEAP32[$59 >> 2] = $and491;
   195174     $or492 = $psize_0 | 1;
   195175     $head493 = $p_0 + 4 | 0;
   195176     HEAP32[$head493 >> 2] = $or492;
   195177     $add_ptr494 = $58 + $psize_0 | 0;
   195178     $prev_foot495 = $add_ptr494;
   195179     HEAP32[$prev_foot495 >> 2] = $psize_0;
   195180     $psize_1 = $psize_0;
   195181     label = 116;
   195182     break;
   195183    case 116:
   195184     $shr497 = $psize_1 >>> 3;
   195185     $cmp498 = $psize_1 >>> 0 < 256;
   195186     if ($cmp498) {
   195187       label = 117;
   195188       break;
   195189     } else {
   195190       label = 122;
   195191       break;
   195192     }
   195193    case 117:
   195194     $shl504 = $shr497 << 1;
   195195     $arrayidx505 = 163304 + ($shl504 << 2) | 0;
   195196     $117 = $arrayidx505;
   195197     $118 = HEAP32[40816] | 0;
   195198     $shl507 = 1 << $shr497;
   195199     $and508 = $118 & $shl507;
   195200     $tobool509 = ($and508 | 0) == 0;
   195201     if ($tobool509) {
   195202       label = 118;
   195203       break;
   195204     } else {
   195205       label = 119;
   195206       break;
   195207     }
   195208    case 118:
   195209     $or512 = $118 | $shl507;
   195210     HEAP32[40816] = $or512;
   195211     $F506_0 = $117;
   195212     label = 121;
   195213     break;
   195214    case 119:
   195215     $arrayidx505_sum246 = $shl504 + 2 | 0;
   195216     $119 = 163304 + ($arrayidx505_sum246 << 2) | 0;
   195217     $120 = HEAP32[$119 >> 2] | 0;
   195218     $121 = $120;
   195219     $122 = HEAP32[40820] | 0;
   195220     $cmp515 = $121 >>> 0 < $122 >>> 0;
   195221     if ($cmp515) {
   195222       label = 120;
   195223       break;
   195224     } else {
   195225       $F506_0 = $120;
   195226       label = 121;
   195227       break;
   195228     }
   195229    case 120:
   195230     _abort();
   195231    case 121:
   195232     $arrayidx505_sum = $shl504 + 2 | 0;
   195233     $123 = 163304 + ($arrayidx505_sum << 2) | 0;
   195234     HEAP32[$123 >> 2] = $p_0;
   195235     $bk525 = $F506_0 + 12 | 0;
   195236     HEAP32[$bk525 >> 2] = $p_0;
   195237     $fd526 = $p_0 + 8 | 0;
   195238     HEAP32[$fd526 >> 2] = $F506_0;
   195239     $bk527 = $p_0 + 12 | 0;
   195240     HEAP32[$bk527 >> 2] = $117;
   195241     label = 142;
   195242     break;
   195243    case 122:
   195244     $124 = $p_0;
   195245     $shr531 = $psize_1 >>> 8;
   195246     $cmp532 = ($shr531 | 0) == 0;
   195247     if ($cmp532) {
   195248       $I530_0 = 0;
   195249       label = 125;
   195250       break;
   195251     } else {
   195252       label = 123;
   195253       break;
   195254     }
   195255    case 123:
   195256     $cmp536 = $psize_1 >>> 0 > 16777215;
   195257     if ($cmp536) {
   195258       $I530_0 = 31;
   195259       label = 125;
   195260       break;
   195261     } else {
   195262       label = 124;
   195263       break;
   195264     }
   195265    case 124:
   195266     $sub = $shr531 + 1048320 | 0;
   195267     $shr540 = $sub >>> 16;
   195268     $and541 = $shr540 & 8;
   195269     $shl542 = $shr531 << $and541;
   195270     $sub543 = $shl542 + 520192 | 0;
   195271     $shr544 = $sub543 >>> 16;
   195272     $and545 = $shr544 & 4;
   195273     $add546 = $and545 | $and541;
   195274     $shl547 = $shl542 << $and545;
   195275     $sub548 = $shl547 + 245760 | 0;
   195276     $shr549 = $sub548 >>> 16;
   195277     $and550 = $shr549 & 2;
   195278     $add551 = $add546 | $and550;
   195279     $sub552 = 14 - $add551 | 0;
   195280     $shl553 = $shl547 << $and550;
   195281     $shr554 = $shl553 >>> 15;
   195282     $add555 = $sub552 + $shr554 | 0;
   195283     $shl556 = $add555 << 1;
   195284     $add557 = $add555 + 7 | 0;
   195285     $shr558 = $psize_1 >>> ($add557 >>> 0);
   195286     $and559 = $shr558 & 1;
   195287     $add560 = $and559 | $shl556;
   195288     $I530_0 = $add560;
   195289     label = 125;
   195290     break;
   195291    case 125:
   195292     $arrayidx563 = 163568 + ($I530_0 << 2) | 0;
   195293     $index564 = $p_0 + 28 | 0;
   195294     $I530_0_c = $I530_0;
   195295     HEAP32[$index564 >> 2] = $I530_0_c;
   195296     $arrayidx566 = $p_0 + 20 | 0;
   195297     HEAP32[$arrayidx566 >> 2] = 0;
   195298     $125 = $p_0 + 16 | 0;
   195299     HEAP32[$125 >> 2] = 0;
   195300     $126 = HEAP32[40817] | 0;
   195301     $shl569 = 1 << $I530_0;
   195302     $and570 = $126 & $shl569;
   195303     $tobool571 = ($and570 | 0) == 0;
   195304     if ($tobool571) {
   195305       label = 126;
   195306       break;
   195307     } else {
   195308       label = 127;
   195309       break;
   195310     }
   195311    case 126:
   195312     $or574 = $126 | $shl569;
   195313     HEAP32[40817] = $or574;
   195314     HEAP32[$arrayidx563 >> 2] = $124;
   195315     $parent575 = $p_0 + 24 | 0;
   195316     $_c = $arrayidx563;
   195317     HEAP32[$parent575 >> 2] = $_c;
   195318     $bk576 = $p_0 + 12 | 0;
   195319     HEAP32[$bk576 >> 2] = $p_0;
   195320     $fd577 = $p_0 + 8 | 0;
   195321     HEAP32[$fd577 >> 2] = $p_0;
   195322     label = 139;
   195323     break;
   195324    case 127:
   195325     $127 = HEAP32[$arrayidx563 >> 2] | 0;
   195326     $cmp580 = ($I530_0 | 0) == 31;
   195327     if ($cmp580) {
   195328       $cond = 0;
   195329       label = 129;
   195330       break;
   195331     } else {
   195332       label = 128;
   195333       break;
   195334     }
   195335    case 128:
   195336     $shr582 = $I530_0 >>> 1;
   195337     $sub585 = 25 - $shr582 | 0;
   195338     $cond = $sub585;
   195339     label = 129;
   195340     break;
   195341    case 129:
   195342     $shl586 = $psize_1 << $cond;
   195343     $K579_0 = $shl586;
   195344     $T_0 = $127;
   195345     label = 130;
   195346     break;
   195347    case 130:
   195348     $head587 = $T_0 + 4 | 0;
   195349     $128 = HEAP32[$head587 >> 2] | 0;
   195350     $and588 = $128 & -8;
   195351     $cmp589 = ($and588 | 0) == ($psize_1 | 0);
   195352     if ($cmp589) {
   195353       label = 135;
   195354       break;
   195355     } else {
   195356       label = 131;
   195357       break;
   195358     }
   195359    case 131:
   195360     $shr592 = $K579_0 >>> 31;
   195361     $arrayidx595 = $T_0 + 16 + ($shr592 << 2) | 0;
   195362     $129 = HEAP32[$arrayidx595 >> 2] | 0;
   195363     $cmp597 = ($129 | 0) == 0;
   195364     $shl596 = $K579_0 << 1;
   195365     if ($cmp597) {
   195366       label = 132;
   195367       break;
   195368     } else {
   195369       $K579_0 = $shl596;
   195370       $T_0 = $129;
   195371       label = 130;
   195372       break;
   195373     }
   195374    case 132:
   195375     $130 = $arrayidx595;
   195376     $131 = HEAP32[40820] | 0;
   195377     $cmp601 = $130 >>> 0 < $131 >>> 0;
   195378     if ($cmp601) {
   195379       label = 134;
   195380       break;
   195381     } else {
   195382       label = 133;
   195383       break;
   195384     }
   195385    case 133:
   195386     HEAP32[$arrayidx595 >> 2] = $124;
   195387     $parent606 = $p_0 + 24 | 0;
   195388     $T_0_c243 = $T_0;
   195389     HEAP32[$parent606 >> 2] = $T_0_c243;
   195390     $bk607 = $p_0 + 12 | 0;
   195391     HEAP32[$bk607 >> 2] = $p_0;
   195392     $fd608 = $p_0 + 8 | 0;
   195393     HEAP32[$fd608 >> 2] = $p_0;
   195394     label = 139;
   195395     break;
   195396    case 134:
   195397     _abort();
   195398    case 135:
   195399     $fd613 = $T_0 + 8 | 0;
   195400     $132 = HEAP32[$fd613 >> 2] | 0;
   195401     $133 = $T_0;
   195402     $134 = HEAP32[40820] | 0;
   195403     $cmp614 = $133 >>> 0 < $134 >>> 0;
   195404     if ($cmp614) {
   195405       label = 138;
   195406       break;
   195407     } else {
   195408       label = 136;
   195409       break;
   195410     }
   195411    case 136:
   195412     $135 = $132;
   195413     $cmp617 = $135 >>> 0 < $134 >>> 0;
   195414     if ($cmp617) {
   195415       label = 138;
   195416       break;
   195417     } else {
   195418       label = 137;
   195419       break;
   195420     }
   195421    case 137:
   195422     $bk624 = $132 + 12 | 0;
   195423     HEAP32[$bk624 >> 2] = $124;
   195424     HEAP32[$fd613 >> 2] = $124;
   195425     $fd626 = $p_0 + 8 | 0;
   195426     $_c242 = $132;
   195427     HEAP32[$fd626 >> 2] = $_c242;
   195428     $bk627 = $p_0 + 12 | 0;
   195429     $T_0_c = $T_0;
   195430     HEAP32[$bk627 >> 2] = $T_0_c;
   195431     $parent628 = $p_0 + 24 | 0;
   195432     HEAP32[$parent628 >> 2] = 0;
   195433     label = 139;
   195434     break;
   195435    case 138:
   195436     _abort();
   195437    case 139:
   195438     $136 = HEAP32[40824] | 0;
   195439     $dec = $136 - 1 | 0;
   195440     HEAP32[40824] = $dec;
   195441     $cmp632 = ($dec | 0) == 0;
   195442     if ($cmp632) {
   195443       label = 140;
   195444       break;
   195445     } else {
   195446       label = 142;
   195447       break;
   195448     }
   195449    case 140:
   195450     _release_unused_segments();
   195451     label = 142;
   195452     break;
   195453    case 141:
   195454     _abort();
   195455    case 142:
   195456     return;
   195457   }
   195458 }
   195459 function _release_unused_segments() {
   195460   var $sp_0_in = 0, $sp_0 = 0, $cmp = 0, $next4 = 0, label = 0;
   195461   label = 2;
   195462   while (1) switch (label | 0) {
   195463    case 2:
   195464     $sp_0_in = 163720;
   195465     label = 3;
   195466     break;
   195467    case 3:
   195468     $sp_0 = HEAP32[$sp_0_in >> 2] | 0;
   195469     $cmp = ($sp_0 | 0) == 0;
   195470     $next4 = $sp_0 + 8 | 0;
   195471     if ($cmp) {
   195472       label = 4;
   195473       break;
   195474     } else {
   195475       $sp_0_in = $next4;
   195476       label = 3;
   195477       break;
   195478     }
   195479    case 4:
   195480     HEAP32[40824] = -1;
   195481     return;
   195482   }
   195483 }
   195484 function _sys_trim($pad) {
   195485   $pad = $pad | 0;
   195486   var $0 = 0, $cmp = 0, $cmp1 = 0, $1 = 0, $cmp2 = 0, $add = 0, $2 = 0, $cmp3 = 0, $3 = 0, $add_neg = 0, $sub6 = 0, $sub = 0, $add7 = 0, $div = 0, $sub8 = 0, $mul = 0, $4 = 0, $call10 = 0, $sflags = 0, $5 = 0, $and = 0, $tobool11 = 0, $call20 = 0, $base = 0, $6 = 0, $size = 0, $7 = 0, $add_ptr = 0, $cmp21 = 0, $sub19 = 0, $cmp17 = 0, $sub19_mul = 0, $sub23 = 0, $call24 = 0, $call25 = 0, $cmp26 = 0, $cmp28 = 0, $or_cond = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $cmp34 = 0, $size36 = 0, $8 = 0, $sub37 = 0, $9 = 0, $sub38 = 0, $10 = 0, $11 = 0, $sub41 = 0, $phitmp = 0, $phitmp4 = 0, $12 = 0, $13 = 0, $cmp47 = 0, $released_2 = 0, label = 0;
   195487   label = 2;
   195488   while (1) switch (label | 0) {
   195489    case 2:
   195490     $0 = HEAP32[2802] | 0;
   195491     $cmp = ($0 | 0) == 0;
   195492     if ($cmp) {
   195493       label = 3;
   195494       break;
   195495     } else {
   195496       label = 4;
   195497       break;
   195498     }
   195499    case 3:
   195500     _init_mparams();
   195501     label = 4;
   195502     break;
   195503    case 4:
   195504     $cmp1 = $pad >>> 0 < 4294967232;
   195505     if ($cmp1) {
   195506       label = 5;
   195507       break;
   195508     } else {
   195509       $released_2 = 0;
   195510       label = 14;
   195511       break;
   195512     }
   195513    case 5:
   195514     $1 = HEAP32[40822] | 0;
   195515     $cmp2 = ($1 | 0) == 0;
   195516     if ($cmp2) {
   195517       $released_2 = 0;
   195518       label = 14;
   195519       break;
   195520     } else {
   195521       label = 6;
   195522       break;
   195523     }
   195524    case 6:
   195525     $add = $pad + 40 | 0;
   195526     $2 = HEAP32[40819] | 0;
   195527     $cmp3 = $2 >>> 0 > $add >>> 0;
   195528     if ($cmp3) {
   195529       label = 7;
   195530       break;
   195531     } else {
   195532       label = 12;
   195533       break;
   195534     }
   195535    case 7:
   195536     $3 = HEAP32[2804] | 0;
   195537     $add_neg = -40 - $pad | 0;
   195538     $sub6 = $add_neg - 1 | 0;
   195539     $sub = $sub6 + $2 | 0;
   195540     $add7 = $sub + $3 | 0;
   195541     $div = ($add7 >>> 0) / ($3 >>> 0) >>> 0;
   195542     $sub8 = $div - 1 | 0;
   195543     $mul = Math_imul($sub8, $3);
   195544     $4 = $1;
   195545     $call10 = _segment_holding($4) | 0;
   195546     $sflags = $call10 + 12 | 0;
   195547     $5 = HEAP32[$sflags >> 2] | 0;
   195548     $and = $5 & 8;
   195549     $tobool11 = ($and | 0) == 0;
   195550     if ($tobool11) {
   195551       label = 8;
   195552       break;
   195553     } else {
   195554       label = 12;
   195555       break;
   195556     }
   195557    case 8:
   195558     $call20 = _sbrk(0) | 0;
   195559     $base = $call10 | 0;
   195560     $6 = HEAP32[$base >> 2] | 0;
   195561     $size = $call10 + 4 | 0;
   195562     $7 = HEAP32[$size >> 2] | 0;
   195563     $add_ptr = $6 + $7 | 0;
   195564     $cmp21 = ($call20 | 0) == ($add_ptr | 0);
   195565     if ($cmp21) {
   195566       label = 9;
   195567       break;
   195568     } else {
   195569       label = 12;
   195570       break;
   195571     }
   195572    case 9:
   195573     $sub19 = -2147483648 - $3 | 0;
   195574     $cmp17 = $mul >>> 0 > 2147483646;
   195575     $sub19_mul = $cmp17 ? $sub19 : $mul;
   195576     $sub23 = -$sub19_mul | 0;
   195577     $call24 = _sbrk($sub23 | 0) | 0;
   195578     $call25 = _sbrk(0) | 0;
   195579     $cmp26 = ($call24 | 0) != -1;
   195580     $cmp28 = $call25 >>> 0 < $call20 >>> 0;
   195581     $or_cond = $cmp26 & $cmp28;
   195582     if ($or_cond) {
   195583       label = 10;
   195584       break;
   195585     } else {
   195586       label = 12;
   195587       break;
   195588     }
   195589    case 10:
   195590     $sub_ptr_lhs_cast = $call20;
   195591     $sub_ptr_rhs_cast = $call25;
   195592     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   195593     $cmp34 = ($call20 | 0) == ($call25 | 0);
   195594     if ($cmp34) {
   195595       label = 12;
   195596       break;
   195597     } else {
   195598       label = 11;
   195599       break;
   195600     }
   195601    case 11:
   195602     $size36 = $call10 + 4 | 0;
   195603     $8 = HEAP32[$size36 >> 2] | 0;
   195604     $sub37 = $8 - $sub_ptr_sub | 0;
   195605     HEAP32[$size36 >> 2] = $sub37;
   195606     $9 = HEAP32[40924] | 0;
   195607     $sub38 = $9 - $sub_ptr_sub | 0;
   195608     HEAP32[40924] = $sub38;
   195609     $10 = HEAP32[40822] | 0;
   195610     $11 = HEAP32[40819] | 0;
   195611     $sub41 = $11 - $sub_ptr_sub | 0;
   195612     _init_top($10, $sub41);
   195613     $phitmp = ($call20 | 0) != ($call25 | 0);
   195614     $phitmp4 = $phitmp & 1;
   195615     $released_2 = $phitmp4;
   195616     label = 14;
   195617     break;
   195618    case 12:
   195619     $12 = HEAP32[40819] | 0;
   195620     $13 = HEAP32[40823] | 0;
   195621     $cmp47 = $12 >>> 0 > $13 >>> 0;
   195622     if ($cmp47) {
   195623       label = 13;
   195624       break;
   195625     } else {
   195626       $released_2 = 0;
   195627       label = 14;
   195628       break;
   195629     }
   195630    case 13:
   195631     HEAP32[40823] = -1;
   195632     $released_2 = 0;
   195633     label = 14;
   195634     break;
   195635    case 14:
   195636     return $released_2 | 0;
   195637   }
   195638   return 0;
   195639 }
   195640 function _calloc($n_elements, $elem_size) {
   195641   $n_elements = $n_elements | 0;
   195642   $elem_size = $elem_size | 0;
   195643   var $cmp = 0, $mul = 0, $or = 0, $tobool = 0, $div = 0, $cmp1 = 0, $mul_ = 0, $req_0 = 0, $call = 0, $cmp4 = 0, $head = 0, $0 = 0, $1 = 0, $and6 = 0, $cmp7 = 0, label = 0;
   195644   label = 2;
   195645   while (1) switch (label | 0) {
   195646    case 2:
   195647     $cmp = ($n_elements | 0) == 0;
   195648     if ($cmp) {
   195649       $req_0 = 0;
   195650       label = 5;
   195651       break;
   195652     } else {
   195653       label = 3;
   195654       break;
   195655     }
   195656    case 3:
   195657     $mul = Math_imul($elem_size, $n_elements);
   195658     $or = $elem_size | $n_elements;
   195659     $tobool = $or >>> 0 > 65535;
   195660     if ($tobool) {
   195661       label = 4;
   195662       break;
   195663     } else {
   195664       $req_0 = $mul;
   195665       label = 5;
   195666       break;
   195667     }
   195668    case 4:
   195669     $div = ($mul >>> 0) / ($n_elements >>> 0) >>> 0;
   195670     $cmp1 = ($div | 0) == ($elem_size | 0);
   195671     $mul_ = $cmp1 ? $mul : -1;
   195672     $req_0 = $mul_;
   195673     label = 5;
   195674     break;
   195675    case 5:
   195676     $call = _malloc($req_0) | 0;
   195677     $cmp4 = ($call | 0) == 0;
   195678     if ($cmp4) {
   195679       label = 8;
   195680       break;
   195681     } else {
   195682       label = 6;
   195683       break;
   195684     }
   195685    case 6:
   195686     $head = $call - 4 | 0;
   195687     $0 = $head;
   195688     $1 = HEAP32[$0 >> 2] | 0;
   195689     $and6 = $1 & 3;
   195690     $cmp7 = ($and6 | 0) == 0;
   195691     if ($cmp7) {
   195692       label = 8;
   195693       break;
   195694     } else {
   195695       label = 7;
   195696       break;
   195697     }
   195698    case 7:
   195699     _memset($call | 0, 0, $req_0 | 0);
   195700     label = 8;
   195701     break;
   195702    case 8:
   195703     return $call | 0;
   195704   }
   195705   return 0;
   195706 }
   195707 function _realloc($oldmem, $bytes) {
   195708   $oldmem = $oldmem | 0;
   195709   $bytes = $bytes | 0;
   195710   var $cmp = 0, $call = 0, $cmp1 = 0, $call3 = 0, $cmp5 = 0, $add6 = 0, $and = 0, $cond = 0, $add_ptr = 0, $0 = 0, $call7 = 0, $cmp8 = 0, $add_ptr10 = 0, $1 = 0, $call12 = 0, $cmp13 = 0, $head = 0, $2 = 0, $3 = 0, $and15 = 0, $and17 = 0, $cmp18 = 0, $cond19 = 0, $sub = 0, $cmp20 = 0, $cond24 = 0, $mem_0 = 0, label = 0;
   195711   label = 2;
   195712   while (1) switch (label | 0) {
   195713    case 2:
   195714     $cmp = ($oldmem | 0) == 0;
   195715     if ($cmp) {
   195716       label = 3;
   195717       break;
   195718     } else {
   195719       label = 4;
   195720       break;
   195721     }
   195722    case 3:
   195723     $call = _malloc($bytes) | 0;
   195724     $mem_0 = $call;
   195725     label = 12;
   195726     break;
   195727    case 4:
   195728     $cmp1 = $bytes >>> 0 > 4294967231;
   195729     if ($cmp1) {
   195730       label = 5;
   195731       break;
   195732     } else {
   195733       label = 6;
   195734       break;
   195735     }
   195736    case 5:
   195737     $call3 = ___errno_location() | 0;
   195738     HEAP32[$call3 >> 2] = 12;
   195739     $mem_0 = 0;
   195740     label = 12;
   195741     break;
   195742    case 6:
   195743     $cmp5 = $bytes >>> 0 < 11;
   195744     if ($cmp5) {
   195745       $cond = 16;
   195746       label = 8;
   195747       break;
   195748     } else {
   195749       label = 7;
   195750       break;
   195751     }
   195752    case 7:
   195753     $add6 = $bytes + 11 | 0;
   195754     $and = $add6 & -8;
   195755     $cond = $and;
   195756     label = 8;
   195757     break;
   195758    case 8:
   195759     $add_ptr = $oldmem - 8 | 0;
   195760     $0 = $add_ptr;
   195761     $call7 = _try_realloc_chunk($0, $cond) | 0;
   195762     $cmp8 = ($call7 | 0) == 0;
   195763     if ($cmp8) {
   195764       label = 10;
   195765       break;
   195766     } else {
   195767       label = 9;
   195768       break;
   195769     }
   195770    case 9:
   195771     $add_ptr10 = $call7 + 8 | 0;
   195772     $1 = $add_ptr10;
   195773     $mem_0 = $1;
   195774     label = 12;
   195775     break;
   195776    case 10:
   195777     $call12 = _malloc($bytes) | 0;
   195778     $cmp13 = ($call12 | 0) == 0;
   195779     if ($cmp13) {
   195780       $mem_0 = 0;
   195781       label = 12;
   195782       break;
   195783     } else {
   195784       label = 11;
   195785       break;
   195786     }
   195787    case 11:
   195788     $head = $oldmem - 4 | 0;
   195789     $2 = $head;
   195790     $3 = HEAP32[$2 >> 2] | 0;
   195791     $and15 = $3 & -8;
   195792     $and17 = $3 & 3;
   195793     $cmp18 = ($and17 | 0) == 0;
   195794     $cond19 = $cmp18 ? 8 : 4;
   195795     $sub = $and15 - $cond19 | 0;
   195796     $cmp20 = $sub >>> 0 < $bytes >>> 0;
   195797     $cond24 = $cmp20 ? $sub : $bytes;
   195798     _memcpy($call12 | 0, $oldmem | 0, $cond24);
   195799     _free($oldmem);
   195800     $mem_0 = $call12;
   195801     label = 12;
   195802     break;
   195803    case 12:
   195804     return $mem_0 | 0;
   195805   }
   195806   return 0;
   195807 }
   195808 function _try_realloc_chunk($p, $nb) {
   195809   $p = $p | 0;
   195810   $nb = $nb | 0;
   195811   var $head = 0, $0 = 0, $and = 0, $1 = 0, $add_ptr = 0, $2 = 0, $3 = 0, $cmp = 0, $and2 = 0, $cmp3 = 0, $cmp5 = 0, $or_cond = 0, $add_ptr_sum2122 = 0, $head6 = 0, $4 = 0, $5 = 0, $and7 = 0, $phitmp = 0, $cmp11 = 0, $call = 0, $cmp13 = 0, $sub = 0, $cmp15 = 0, $add_ptr17 = 0, $6 = 0, $and19 = 0, $or = 0, $or20 = 0, $add_ptr17_sum = 0, $head23 = 0, $7 = 0, $or28 = 0, $8 = 0, $or32 = 0, $9 = 0, $cmp34 = 0, $10 = 0, $add = 0, $cmp36 = 0, $sub40 = 0, $add_ptr41 = 0, $11 = 0, $and43 = 0, $or44 = 0, $or45 = 0, $add_ptr41_sum = 0, $head48 = 0, $12 = 0, $or50 = 0, $13 = 0, $cmp56 = 0, $14 = 0, $add58 = 0, $cmp59 = 0, $sub62 = 0, $cmp63 = 0, $add_ptr66 = 0, $15 = 0, $add_ptr67 = 0, $and69 = 0, $or70 = 0, $or71 = 0, $add_ptr66_sum = 0, $head74 = 0, $16 = 0, $or76 = 0, $prev_foot = 0, $add_ptr67_sum = 0, $head79 = 0, $17 = 0, $18 = 0, $and80 = 0, $and87 = 0, $or88 = 0, $or89 = 0, $add_ptr91_sum = 0, $head92 = 0, $19 = 0, $20 = 0, $or93 = 0, $storemerge18 = 0, $storemerge = 0, $and100 = 0, $tobool101 = 0, $and104 = 0, $add105 = 0, $cmp106 = 0, $sub110 = 0, $shr = 0, $cmp111 = 0, $add_ptr_sum12 = 0, $fd = 0, $21 = 0, $22 = 0, $add_ptr_sum13 = 0, $bk = 0, $23 = 0, $24 = 0, $shl = 0, $arrayidx = 0, $25 = 0, $cmp114 = 0, $26 = 0, $cmp116 = 0, $bk118 = 0, $27 = 0, $cmp119 = 0, $cmp125 = 0, $shl127 = 0, $neg = 0, $28 = 0, $and128 = 0, $cmp133 = 0, $29 = 0, $30 = 0, $cmp136 = 0, $fd138 = 0, $31 = 0, $cmp139 = 0, $bk147 = 0, $fd148 = 0, $32 = 0, $add_ptr_sum = 0, $parent = 0, $33 = 0, $34 = 0, $add_ptr_sum2 = 0, $bk155 = 0, $35 = 0, $36 = 0, $cmp156 = 0, $add_ptr_sum9 = 0, $fd159 = 0, $37 = 0, $38 = 0, $39 = 0, $cmp162 = 0, $bk164 = 0, $40 = 0, $cmp165 = 0, $fd167 = 0, $41 = 0, $cmp168 = 0, $child_sum = 0, $arrayidx179 = 0, $42 = 0, $43 = 0, $cmp180 = 0, $add_ptr_sum3 = 0, $child = 0, $arrayidx182 = 0, $44 = 0, $cmp183 = 0, $RP_0 = 0, $R_0 = 0, $arrayidx186 = 0, $45 = 0, $cmp187 = 0, $arrayidx190 = 0, $46 = 0, $cmp191 = 0, $CP_0 = 0, $47 = 0, $48 = 0, $49 = 0, $cmp195 = 0, $R_1 = 0, $cmp203 = 0, $add_ptr_sum7 = 0, $index = 0, $50 = 0, $51 = 0, $arrayidx206 = 0, $52 = 0, $cmp207 = 0, $cond = 0, $53 = 0, $shl214 = 0, $neg215 = 0, $54 = 0, $and216 = 0, $55 = 0, $56 = 0, $cmp220 = 0, $arrayidx226 = 0, $57 = 0, $cmp227 = 0, $arrayidx234 = 0, $cmp239 = 0, $58 = 0, $59 = 0, $cmp243 = 0, $parent248 = 0, $add_ptr_sum8 = 0, $child249 = 0, $arrayidx250 = 0, $60 = 0, $cmp251 = 0, $61 = 0, $62 = 0, $cmp255 = 0, $arrayidx261 = 0, $parent262 = 0, $child249_sum = 0, $arrayidx267 = 0, $63 = 0, $64 = 0, $cmp268 = 0, $65 = 0, $66 = 0, $cmp272 = 0, $arrayidx278 = 0, $parent279 = 0, $cmp288 = 0, $67 = 0, $and294 = 0, $or295 = 0, $or296 = 0, $add_ptr298_sum6 = 0, $head299 = 0, $68 = 0, $69 = 0, $or300 = 0, $add_ptr303 = 0, $70 = 0, $71 = 0, $and305 = 0, $or306 = 0, $or307 = 0, $add_ptr303_sum = 0, $head310 = 0, $72 = 0, $or315 = 0, $add_ptr317_sum5 = 0, $head318 = 0, $73 = 0, $74 = 0, $or319 = 0, $newp_0 = 0, label = 0;
   195812   label = 2;
   195813   while (1) switch (label | 0) {
   195814    case 2:
   195815     $head = $p + 4 | 0;
   195816     $0 = HEAP32[$head >> 2] | 0;
   195817     $and = $0 & -8;
   195818     $1 = $p;
   195819     $add_ptr = $1 + $and | 0;
   195820     $2 = $add_ptr;
   195821     $3 = HEAP32[40820] | 0;
   195822     $cmp = $1 >>> 0 < $3 >>> 0;
   195823     if ($cmp) {
   195824       label = 70;
   195825       break;
   195826     } else {
   195827       label = 3;
   195828       break;
   195829     }
   195830    case 3:
   195831     $and2 = $0 & 3;
   195832     $cmp3 = ($and2 | 0) != 1;
   195833     $cmp5 = $1 >>> 0 < $add_ptr >>> 0;
   195834     $or_cond = $cmp3 & $cmp5;
   195835     if ($or_cond) {
   195836       label = 4;
   195837       break;
   195838     } else {
   195839       label = 70;
   195840       break;
   195841     }
   195842    case 4:
   195843     $add_ptr_sum2122 = $and | 4;
   195844     $head6 = $1 + $add_ptr_sum2122 | 0;
   195845     $4 = $head6;
   195846     $5 = HEAP32[$4 >> 2] | 0;
   195847     $and7 = $5 & 1;
   195848     $phitmp = ($and7 | 0) == 0;
   195849     if ($phitmp) {
   195850       label = 70;
   195851       break;
   195852     } else {
   195853       label = 5;
   195854       break;
   195855     }
   195856    case 5:
   195857     $cmp11 = ($and2 | 0) == 0;
   195858     if ($cmp11) {
   195859       label = 6;
   195860       break;
   195861     } else {
   195862       label = 7;
   195863       break;
   195864     }
   195865    case 6:
   195866     $call = _mmap_resize($p, $nb) | 0;
   195867     $newp_0 = $call;
   195868     label = 71;
   195869     break;
   195870    case 7:
   195871     $cmp13 = $and >>> 0 < $nb >>> 0;
   195872     if ($cmp13) {
   195873       label = 10;
   195874       break;
   195875     } else {
   195876       label = 8;
   195877       break;
   195878     }
   195879    case 8:
   195880     $sub = $and - $nb | 0;
   195881     $cmp15 = $sub >>> 0 > 15;
   195882     if ($cmp15) {
   195883       label = 9;
   195884       break;
   195885     } else {
   195886       $newp_0 = $p;
   195887       label = 71;
   195888       break;
   195889     }
   195890    case 9:
   195891     $add_ptr17 = $1 + $nb | 0;
   195892     $6 = $add_ptr17;
   195893     $and19 = $0 & 1;
   195894     $or = $and19 | $nb;
   195895     $or20 = $or | 2;
   195896     HEAP32[$head >> 2] = $or20;
   195897     $add_ptr17_sum = $nb + 4 | 0;
   195898     $head23 = $1 + $add_ptr17_sum | 0;
   195899     $7 = $head23;
   195900     $or28 = $sub | 3;
   195901     HEAP32[$7 >> 2] = $or28;
   195902     $8 = HEAP32[$4 >> 2] | 0;
   195903     $or32 = $8 | 1;
   195904     HEAP32[$4 >> 2] = $or32;
   195905     _dispose_chunk($6, $sub);
   195906     $newp_0 = $p;
   195907     label = 71;
   195908     break;
   195909    case 10:
   195910     $9 = HEAP32[40822] | 0;
   195911     $cmp34 = ($2 | 0) == ($9 | 0);
   195912     if ($cmp34) {
   195913       label = 11;
   195914       break;
   195915     } else {
   195916       label = 13;
   195917       break;
   195918     }
   195919    case 11:
   195920     $10 = HEAP32[40819] | 0;
   195921     $add = $10 + $and | 0;
   195922     $cmp36 = $add >>> 0 > $nb >>> 0;
   195923     if ($cmp36) {
   195924       label = 12;
   195925       break;
   195926     } else {
   195927       $newp_0 = 0;
   195928       label = 71;
   195929       break;
   195930     }
   195931    case 12:
   195932     $sub40 = $add - $nb | 0;
   195933     $add_ptr41 = $1 + $nb | 0;
   195934     $11 = $add_ptr41;
   195935     $and43 = $0 & 1;
   195936     $or44 = $and43 | $nb;
   195937     $or45 = $or44 | 2;
   195938     HEAP32[$head >> 2] = $or45;
   195939     $add_ptr41_sum = $nb + 4 | 0;
   195940     $head48 = $1 + $add_ptr41_sum | 0;
   195941     $12 = $head48;
   195942     $or50 = $sub40 | 1;
   195943     HEAP32[$12 >> 2] = $or50;
   195944     HEAP32[40822] = $11;
   195945     HEAP32[40819] = $sub40;
   195946     $newp_0 = $p;
   195947     label = 71;
   195948     break;
   195949    case 13:
   195950     $13 = HEAP32[40821] | 0;
   195951     $cmp56 = ($2 | 0) == ($13 | 0);
   195952     if ($cmp56) {
   195953       label = 14;
   195954       break;
   195955     } else {
   195956       label = 19;
   195957       break;
   195958     }
   195959    case 14:
   195960     $14 = HEAP32[40818] | 0;
   195961     $add58 = $14 + $and | 0;
   195962     $cmp59 = $add58 >>> 0 < $nb >>> 0;
   195963     if ($cmp59) {
   195964       $newp_0 = 0;
   195965       label = 71;
   195966       break;
   195967     } else {
   195968       label = 15;
   195969       break;
   195970     }
   195971    case 15:
   195972     $sub62 = $add58 - $nb | 0;
   195973     $cmp63 = $sub62 >>> 0 > 15;
   195974     if ($cmp63) {
   195975       label = 16;
   195976       break;
   195977     } else {
   195978       label = 17;
   195979       break;
   195980     }
   195981    case 16:
   195982     $add_ptr66 = $1 + $nb | 0;
   195983     $15 = $add_ptr66;
   195984     $add_ptr67 = $1 + $add58 | 0;
   195985     $and69 = $0 & 1;
   195986     $or70 = $and69 | $nb;
   195987     $or71 = $or70 | 2;
   195988     HEAP32[$head >> 2] = $or71;
   195989     $add_ptr66_sum = $nb + 4 | 0;
   195990     $head74 = $1 + $add_ptr66_sum | 0;
   195991     $16 = $head74;
   195992     $or76 = $sub62 | 1;
   195993     HEAP32[$16 >> 2] = $or76;
   195994     $prev_foot = $add_ptr67;
   195995     HEAP32[$prev_foot >> 2] = $sub62;
   195996     $add_ptr67_sum = $add58 + 4 | 0;
   195997     $head79 = $1 + $add_ptr67_sum | 0;
   195998     $17 = $head79;
   195999     $18 = HEAP32[$17 >> 2] | 0;
   196000     $and80 = $18 & -2;
   196001     HEAP32[$17 >> 2] = $and80;
   196002     $storemerge = $15;
   196003     $storemerge18 = $sub62;
   196004     label = 18;
   196005     break;
   196006    case 17:
   196007     $and87 = $0 & 1;
   196008     $or88 = $and87 | $add58;
   196009     $or89 = $or88 | 2;
   196010     HEAP32[$head >> 2] = $or89;
   196011     $add_ptr91_sum = $add58 + 4 | 0;
   196012     $head92 = $1 + $add_ptr91_sum | 0;
   196013     $19 = $head92;
   196014     $20 = HEAP32[$19 >> 2] | 0;
   196015     $or93 = $20 | 1;
   196016     HEAP32[$19 >> 2] = $or93;
   196017     $storemerge = 0;
   196018     $storemerge18 = 0;
   196019     label = 18;
   196020     break;
   196021    case 18:
   196022     HEAP32[40818] = $storemerge18;
   196023     HEAP32[40821] = $storemerge;
   196024     $newp_0 = $p;
   196025     label = 71;
   196026     break;
   196027    case 19:
   196028     $and100 = $5 & 2;
   196029     $tobool101 = ($and100 | 0) == 0;
   196030     if ($tobool101) {
   196031       label = 20;
   196032       break;
   196033     } else {
   196034       $newp_0 = 0;
   196035       label = 71;
   196036       break;
   196037     }
   196038    case 20:
   196039     $and104 = $5 & -8;
   196040     $add105 = $and104 + $and | 0;
   196041     $cmp106 = $add105 >>> 0 < $nb >>> 0;
   196042     if ($cmp106) {
   196043       $newp_0 = 0;
   196044       label = 71;
   196045       break;
   196046     } else {
   196047       label = 21;
   196048       break;
   196049     }
   196050    case 21:
   196051     $sub110 = $add105 - $nb | 0;
   196052     $shr = $5 >>> 3;
   196053     $cmp111 = $5 >>> 0 < 256;
   196054     if ($cmp111) {
   196055       label = 22;
   196056       break;
   196057     } else {
   196058       label = 33;
   196059       break;
   196060     }
   196061    case 22:
   196062     $add_ptr_sum12 = $and + 8 | 0;
   196063     $fd = $1 + $add_ptr_sum12 | 0;
   196064     $21 = $fd;
   196065     $22 = HEAP32[$21 >> 2] | 0;
   196066     $add_ptr_sum13 = $and + 12 | 0;
   196067     $bk = $1 + $add_ptr_sum13 | 0;
   196068     $23 = $bk;
   196069     $24 = HEAP32[$23 >> 2] | 0;
   196070     $shl = $shr << 1;
   196071     $arrayidx = 163304 + ($shl << 2) | 0;
   196072     $25 = $arrayidx;
   196073     $cmp114 = ($22 | 0) == ($25 | 0);
   196074     if ($cmp114) {
   196075       label = 25;
   196076       break;
   196077     } else {
   196078       label = 23;
   196079       break;
   196080     }
   196081    case 23:
   196082     $26 = $22;
   196083     $cmp116 = $26 >>> 0 < $3 >>> 0;
   196084     if ($cmp116) {
   196085       label = 32;
   196086       break;
   196087     } else {
   196088       label = 24;
   196089       break;
   196090     }
   196091    case 24:
   196092     $bk118 = $22 + 12 | 0;
   196093     $27 = HEAP32[$bk118 >> 2] | 0;
   196094     $cmp119 = ($27 | 0) == ($2 | 0);
   196095     if ($cmp119) {
   196096       label = 25;
   196097       break;
   196098     } else {
   196099       label = 32;
   196100       break;
   196101     }
   196102    case 25:
   196103     $cmp125 = ($24 | 0) == ($22 | 0);
   196104     if ($cmp125) {
   196105       label = 26;
   196106       break;
   196107     } else {
   196108       label = 27;
   196109       break;
   196110     }
   196111    case 26:
   196112     $shl127 = 1 << $shr;
   196113     $neg = $shl127 ^ -1;
   196114     $28 = HEAP32[40816] | 0;
   196115     $and128 = $28 & $neg;
   196116     HEAP32[40816] = $and128;
   196117     label = 67;
   196118     break;
   196119    case 27:
   196120     $cmp133 = ($24 | 0) == ($25 | 0);
   196121     if ($cmp133) {
   196122       label = 30;
   196123       break;
   196124     } else {
   196125       label = 28;
   196126       break;
   196127     }
   196128    case 28:
   196129     $29 = $24;
   196130     $30 = HEAP32[40820] | 0;
   196131     $cmp136 = $29 >>> 0 < $30 >>> 0;
   196132     if ($cmp136) {
   196133       label = 31;
   196134       break;
   196135     } else {
   196136       label = 29;
   196137       break;
   196138     }
   196139    case 29:
   196140     $fd138 = $24 + 8 | 0;
   196141     $31 = HEAP32[$fd138 >> 2] | 0;
   196142     $cmp139 = ($31 | 0) == ($2 | 0);
   196143     if ($cmp139) {
   196144       label = 30;
   196145       break;
   196146     } else {
   196147       label = 31;
   196148       break;
   196149     }
   196150    case 30:
   196151     $bk147 = $22 + 12 | 0;
   196152     HEAP32[$bk147 >> 2] = $24;
   196153     $fd148 = $24 + 8 | 0;
   196154     HEAP32[$fd148 >> 2] = $22;
   196155     label = 67;
   196156     break;
   196157    case 31:
   196158     _abort();
   196159     return 0;
   196160     return 0;
   196161    case 32:
   196162     _abort();
   196163     return 0;
   196164     return 0;
   196165    case 33:
   196166     $32 = $add_ptr;
   196167     $add_ptr_sum = $and + 24 | 0;
   196168     $parent = $1 + $add_ptr_sum | 0;
   196169     $33 = $parent;
   196170     $34 = HEAP32[$33 >> 2] | 0;
   196171     $add_ptr_sum2 = $and + 12 | 0;
   196172     $bk155 = $1 + $add_ptr_sum2 | 0;
   196173     $35 = $bk155;
   196174     $36 = HEAP32[$35 >> 2] | 0;
   196175     $cmp156 = ($36 | 0) == ($32 | 0);
   196176     if ($cmp156) {
   196177       label = 39;
   196178       break;
   196179     } else {
   196180       label = 34;
   196181       break;
   196182     }
   196183    case 34:
   196184     $add_ptr_sum9 = $and + 8 | 0;
   196185     $fd159 = $1 + $add_ptr_sum9 | 0;
   196186     $37 = $fd159;
   196187     $38 = HEAP32[$37 >> 2] | 0;
   196188     $39 = $38;
   196189     $cmp162 = $39 >>> 0 < $3 >>> 0;
   196190     if ($cmp162) {
   196191       label = 38;
   196192       break;
   196193     } else {
   196194       label = 35;
   196195       break;
   196196     }
   196197    case 35:
   196198     $bk164 = $38 + 12 | 0;
   196199     $40 = HEAP32[$bk164 >> 2] | 0;
   196200     $cmp165 = ($40 | 0) == ($32 | 0);
   196201     if ($cmp165) {
   196202       label = 36;
   196203       break;
   196204     } else {
   196205       label = 38;
   196206       break;
   196207     }
   196208    case 36:
   196209     $fd167 = $36 + 8 | 0;
   196210     $41 = HEAP32[$fd167 >> 2] | 0;
   196211     $cmp168 = ($41 | 0) == ($32 | 0);
   196212     if ($cmp168) {
   196213       label = 37;
   196214       break;
   196215     } else {
   196216       label = 38;
   196217       break;
   196218     }
   196219    case 37:
   196220     HEAP32[$bk164 >> 2] = $36;
   196221     HEAP32[$fd167 >> 2] = $38;
   196222     $R_1 = $36;
   196223     label = 47;
   196224     break;
   196225    case 38:
   196226     _abort();
   196227     return 0;
   196228     return 0;
   196229    case 39:
   196230     $child_sum = $and + 20 | 0;
   196231     $arrayidx179 = $1 + $child_sum | 0;
   196232     $42 = $arrayidx179;
   196233     $43 = HEAP32[$42 >> 2] | 0;
   196234     $cmp180 = ($43 | 0) == 0;
   196235     if ($cmp180) {
   196236       label = 40;
   196237       break;
   196238     } else {
   196239       $R_0 = $43;
   196240       $RP_0 = $42;
   196241       label = 41;
   196242       break;
   196243     }
   196244    case 40:
   196245     $add_ptr_sum3 = $and + 16 | 0;
   196246     $child = $1 + $add_ptr_sum3 | 0;
   196247     $arrayidx182 = $child;
   196248     $44 = HEAP32[$arrayidx182 >> 2] | 0;
   196249     $cmp183 = ($44 | 0) == 0;
   196250     if ($cmp183) {
   196251       $R_1 = 0;
   196252       label = 47;
   196253       break;
   196254     } else {
   196255       $R_0 = $44;
   196256       $RP_0 = $arrayidx182;
   196257       label = 41;
   196258       break;
   196259     }
   196260    case 41:
   196261     $arrayidx186 = $R_0 + 20 | 0;
   196262     $45 = HEAP32[$arrayidx186 >> 2] | 0;
   196263     $cmp187 = ($45 | 0) == 0;
   196264     if ($cmp187) {
   196265       label = 42;
   196266       break;
   196267     } else {
   196268       $CP_0 = $arrayidx186;
   196269       label = 43;
   196270       break;
   196271     }
   196272    case 42:
   196273     $arrayidx190 = $R_0 + 16 | 0;
   196274     $46 = HEAP32[$arrayidx190 >> 2] | 0;
   196275     $cmp191 = ($46 | 0) == 0;
   196276     if ($cmp191) {
   196277       label = 44;
   196278       break;
   196279     } else {
   196280       $CP_0 = $arrayidx190;
   196281       label = 43;
   196282       break;
   196283     }
   196284    case 43:
   196285     $47 = HEAP32[$CP_0 >> 2] | 0;
   196286     $R_0 = $47;
   196287     $RP_0 = $CP_0;
   196288     label = 41;
   196289     break;
   196290    case 44:
   196291     $48 = $RP_0;
   196292     $49 = HEAP32[40820] | 0;
   196293     $cmp195 = $48 >>> 0 < $49 >>> 0;
   196294     if ($cmp195) {
   196295       label = 46;
   196296       break;
   196297     } else {
   196298       label = 45;
   196299       break;
   196300     }
   196301    case 45:
   196302     HEAP32[$RP_0 >> 2] = 0;
   196303     $R_1 = $R_0;
   196304     label = 47;
   196305     break;
   196306    case 46:
   196307     _abort();
   196308     return 0;
   196309     return 0;
   196310    case 47:
   196311     $cmp203 = ($34 | 0) == 0;
   196312     if ($cmp203) {
   196313       label = 67;
   196314       break;
   196315     } else {
   196316       label = 48;
   196317       break;
   196318     }
   196319    case 48:
   196320     $add_ptr_sum7 = $and + 28 | 0;
   196321     $index = $1 + $add_ptr_sum7 | 0;
   196322     $50 = $index;
   196323     $51 = HEAP32[$50 >> 2] | 0;
   196324     $arrayidx206 = 163568 + ($51 << 2) | 0;
   196325     $52 = HEAP32[$arrayidx206 >> 2] | 0;
   196326     $cmp207 = ($32 | 0) == ($52 | 0);
   196327     if ($cmp207) {
   196328       label = 49;
   196329       break;
   196330     } else {
   196331       label = 51;
   196332       break;
   196333     }
   196334    case 49:
   196335     HEAP32[$arrayidx206 >> 2] = $R_1;
   196336     $cond = ($R_1 | 0) == 0;
   196337     if ($cond) {
   196338       label = 50;
   196339       break;
   196340     } else {
   196341       label = 57;
   196342       break;
   196343     }
   196344    case 50:
   196345     $53 = HEAP32[$50 >> 2] | 0;
   196346     $shl214 = 1 << $53;
   196347     $neg215 = $shl214 ^ -1;
   196348     $54 = HEAP32[40817] | 0;
   196349     $and216 = $54 & $neg215;
   196350     HEAP32[40817] = $and216;
   196351     label = 67;
   196352     break;
   196353    case 51:
   196354     $55 = $34;
   196355     $56 = HEAP32[40820] | 0;
   196356     $cmp220 = $55 >>> 0 < $56 >>> 0;
   196357     if ($cmp220) {
   196358       label = 55;
   196359       break;
   196360     } else {
   196361       label = 52;
   196362       break;
   196363     }
   196364    case 52:
   196365     $arrayidx226 = $34 + 16 | 0;
   196366     $57 = HEAP32[$arrayidx226 >> 2] | 0;
   196367     $cmp227 = ($57 | 0) == ($32 | 0);
   196368     if ($cmp227) {
   196369       label = 53;
   196370       break;
   196371     } else {
   196372       label = 54;
   196373       break;
   196374     }
   196375    case 53:
   196376     HEAP32[$arrayidx226 >> 2] = $R_1;
   196377     label = 56;
   196378     break;
   196379    case 54:
   196380     $arrayidx234 = $34 + 20 | 0;
   196381     HEAP32[$arrayidx234 >> 2] = $R_1;
   196382     label = 56;
   196383     break;
   196384    case 55:
   196385     _abort();
   196386     return 0;
   196387     return 0;
   196388    case 56:
   196389     $cmp239 = ($R_1 | 0) == 0;
   196390     if ($cmp239) {
   196391       label = 67;
   196392       break;
   196393     } else {
   196394       label = 57;
   196395       break;
   196396     }
   196397    case 57:
   196398     $58 = $R_1;
   196399     $59 = HEAP32[40820] | 0;
   196400     $cmp243 = $58 >>> 0 < $59 >>> 0;
   196401     if ($cmp243) {
   196402       label = 66;
   196403       break;
   196404     } else {
   196405       label = 58;
   196406       break;
   196407     }
   196408    case 58:
   196409     $parent248 = $R_1 + 24 | 0;
   196410     HEAP32[$parent248 >> 2] = $34;
   196411     $add_ptr_sum8 = $and + 16 | 0;
   196412     $child249 = $1 + $add_ptr_sum8 | 0;
   196413     $arrayidx250 = $child249;
   196414     $60 = HEAP32[$arrayidx250 >> 2] | 0;
   196415     $cmp251 = ($60 | 0) == 0;
   196416     if ($cmp251) {
   196417       label = 62;
   196418       break;
   196419     } else {
   196420       label = 59;
   196421       break;
   196422     }
   196423    case 59:
   196424     $61 = $60;
   196425     $62 = HEAP32[40820] | 0;
   196426     $cmp255 = $61 >>> 0 < $62 >>> 0;
   196427     if ($cmp255) {
   196428       label = 61;
   196429       break;
   196430     } else {
   196431       label = 60;
   196432       break;
   196433     }
   196434    case 60:
   196435     $arrayidx261 = $R_1 + 16 | 0;
   196436     HEAP32[$arrayidx261 >> 2] = $60;
   196437     $parent262 = $60 + 24 | 0;
   196438     HEAP32[$parent262 >> 2] = $R_1;
   196439     label = 62;
   196440     break;
   196441    case 61:
   196442     _abort();
   196443     return 0;
   196444     return 0;
   196445    case 62:
   196446     $child249_sum = $and + 20 | 0;
   196447     $arrayidx267 = $1 + $child249_sum | 0;
   196448     $63 = $arrayidx267;
   196449     $64 = HEAP32[$63 >> 2] | 0;
   196450     $cmp268 = ($64 | 0) == 0;
   196451     if ($cmp268) {
   196452       label = 67;
   196453       break;
   196454     } else {
   196455       label = 63;
   196456       break;
   196457     }
   196458    case 63:
   196459     $65 = $64;
   196460     $66 = HEAP32[40820] | 0;
   196461     $cmp272 = $65 >>> 0 < $66 >>> 0;
   196462     if ($cmp272) {
   196463       label = 65;
   196464       break;
   196465     } else {
   196466       label = 64;
   196467       break;
   196468     }
   196469    case 64:
   196470     $arrayidx278 = $R_1 + 20 | 0;
   196471     HEAP32[$arrayidx278 >> 2] = $64;
   196472     $parent279 = $64 + 24 | 0;
   196473     HEAP32[$parent279 >> 2] = $R_1;
   196474     label = 67;
   196475     break;
   196476    case 65:
   196477     _abort();
   196478     return 0;
   196479     return 0;
   196480    case 66:
   196481     _abort();
   196482     return 0;
   196483     return 0;
   196484    case 67:
   196485     $cmp288 = $sub110 >>> 0 < 16;
   196486     if ($cmp288) {
   196487       label = 68;
   196488       break;
   196489     } else {
   196490       label = 69;
   196491       break;
   196492     }
   196493    case 68:
   196494     $67 = HEAP32[$head >> 2] | 0;
   196495     $and294 = $67 & 1;
   196496     $or295 = $add105 | $and294;
   196497     $or296 = $or295 | 2;
   196498     HEAP32[$head >> 2] = $or296;
   196499     $add_ptr298_sum6 = $add105 | 4;
   196500     $head299 = $1 + $add_ptr298_sum6 | 0;
   196501     $68 = $head299;
   196502     $69 = HEAP32[$68 >> 2] | 0;
   196503     $or300 = $69 | 1;
   196504     HEAP32[$68 >> 2] = $or300;
   196505     $newp_0 = $p;
   196506     label = 71;
   196507     break;
   196508    case 69:
   196509     $add_ptr303 = $1 + $nb | 0;
   196510     $70 = $add_ptr303;
   196511     $71 = HEAP32[$head >> 2] | 0;
   196512     $and305 = $71 & 1;
   196513     $or306 = $and305 | $nb;
   196514     $or307 = $or306 | 2;
   196515     HEAP32[$head >> 2] = $or307;
   196516     $add_ptr303_sum = $nb + 4 | 0;
   196517     $head310 = $1 + $add_ptr303_sum | 0;
   196518     $72 = $head310;
   196519     $or315 = $sub110 | 3;
   196520     HEAP32[$72 >> 2] = $or315;
   196521     $add_ptr317_sum5 = $add105 | 4;
   196522     $head318 = $1 + $add_ptr317_sum5 | 0;
   196523     $73 = $head318;
   196524     $74 = HEAP32[$73 >> 2] | 0;
   196525     $or319 = $74 | 1;
   196526     HEAP32[$73 >> 2] = $or319;
   196527     _dispose_chunk($70, $sub110);
   196528     $newp_0 = $p;
   196529     label = 71;
   196530     break;
   196531    case 70:
   196532     _abort();
   196533     return 0;
   196534     return 0;
   196535    case 71:
   196536     return $newp_0 | 0;
   196537   }
   196538   return 0;
   196539 }
   196540 function _init_mparams() {
   196541   var $0 = 0, $cmp = 0, $call = 0, $sub = 0, $and = 0, $cmp1 = 0, $call6 = 0, $xor = 0, $and7 = 0, label = 0;
   196542   label = 2;
   196543   while (1) switch (label | 0) {
   196544    case 2:
   196545     $0 = HEAP32[2802] | 0;
   196546     $cmp = ($0 | 0) == 0;
   196547     if ($cmp) {
   196548       label = 3;
   196549       break;
   196550     } else {
   196551       label = 6;
   196552       break;
   196553     }
   196554    case 3:
   196555     $call = _sysconf(8) | 0;
   196556     $sub = $call - 1 | 0;
   196557     $and = $sub & $call;
   196558     $cmp1 = ($and | 0) == 0;
   196559     if ($cmp1) {
   196560       label = 5;
   196561       break;
   196562     } else {
   196563       label = 4;
   196564       break;
   196565     }
   196566    case 4:
   196567     _abort();
   196568    case 5:
   196569     HEAP32[2804] = $call;
   196570     HEAP32[2803] = $call;
   196571     HEAP32[2805] = -1;
   196572     HEAP32[2806] = 2097152;
   196573     HEAP32[2807] = 0;
   196574     HEAP32[40927] = 0;
   196575     $call6 = _time(0) | 0;
   196576     $xor = $call6 & -16;
   196577     $and7 = $xor ^ 1431655768;
   196578     HEAP32[2802] = $and7;
   196579     label = 6;
   196580     break;
   196581    case 6:
   196582     return;
   196583   }
   196584 }
   196585 function _mmap_resize($oldp, $nb) {
   196586   $oldp = $oldp | 0;
   196587   $nb = $nb | 0;
   196588   var $head = 0, $0 = 0, $and = 0, $cmp = 0, $add = 0, $cmp1 = 0, $sub = 0, $1 = 0, $shl = 0, $cmp2 = 0, $retval_0 = 0, label = 0;
   196589   label = 2;
   196590   while (1) switch (label | 0) {
   196591    case 2:
   196592     $head = $oldp + 4 | 0;
   196593     $0 = HEAP32[$head >> 2] | 0;
   196594     $and = $0 & -8;
   196595     $cmp = $nb >>> 0 < 256;
   196596     if ($cmp) {
   196597       $retval_0 = 0;
   196598       label = 6;
   196599       break;
   196600     } else {
   196601       label = 3;
   196602       break;
   196603     }
   196604    case 3:
   196605     $add = $nb + 4 | 0;
   196606     $cmp1 = $and >>> 0 < $add >>> 0;
   196607     if ($cmp1) {
   196608       label = 5;
   196609       break;
   196610     } else {
   196611       label = 4;
   196612       break;
   196613     }
   196614    case 4:
   196615     $sub = $and - $nb | 0;
   196616     $1 = HEAP32[2804] | 0;
   196617     $shl = $1 << 1;
   196618     $cmp2 = $sub >>> 0 > $shl >>> 0;
   196619     if ($cmp2) {
   196620       label = 5;
   196621       break;
   196622     } else {
   196623       $retval_0 = $oldp;
   196624       label = 6;
   196625       break;
   196626     }
   196627    case 5:
   196628     $retval_0 = 0;
   196629     label = 6;
   196630     break;
   196631    case 6:
   196632     return $retval_0 | 0;
   196633   }
   196634   return 0;
   196635 }
   196636 function _segment_holding($addr) {
   196637   $addr = $addr | 0;
   196638   var $sp_0 = 0, $base = 0, $0 = 0, $cmp = 0, $size = 0, $1 = 0, $add_ptr = 0, $cmp2 = 0, $next = 0, $2 = 0, $cmp3 = 0, $retval_0 = 0, label = 0;
   196639   label = 2;
   196640   while (1) switch (label | 0) {
   196641    case 2:
   196642     $sp_0 = 163712;
   196643     label = 3;
   196644     break;
   196645    case 3:
   196646     $base = $sp_0 | 0;
   196647     $0 = HEAP32[$base >> 2] | 0;
   196648     $cmp = $0 >>> 0 > $addr >>> 0;
   196649     if ($cmp) {
   196650       label = 5;
   196651       break;
   196652     } else {
   196653       label = 4;
   196654       break;
   196655     }
   196656    case 4:
   196657     $size = $sp_0 + 4 | 0;
   196658     $1 = HEAP32[$size >> 2] | 0;
   196659     $add_ptr = $0 + $1 | 0;
   196660     $cmp2 = $add_ptr >>> 0 > $addr >>> 0;
   196661     if ($cmp2) {
   196662       $retval_0 = $sp_0;
   196663       label = 6;
   196664       break;
   196665     } else {
   196666       label = 5;
   196667       break;
   196668     }
   196669    case 5:
   196670     $next = $sp_0 + 8 | 0;
   196671     $2 = HEAP32[$next >> 2] | 0;
   196672     $cmp3 = ($2 | 0) == 0;
   196673     if ($cmp3) {
   196674       $retval_0 = 0;
   196675       label = 6;
   196676       break;
   196677     } else {
   196678       $sp_0 = $2;
   196679       label = 3;
   196680       break;
   196681     }
   196682    case 6:
   196683     return $retval_0 | 0;
   196684   }
   196685   return 0;
   196686 }
   196687 function _dispose_chunk($p, $psize) {
   196688   $p = $p | 0;
   196689   $psize = $psize | 0;
   196690   var $0 = 0, $add_ptr = 0, $1 = 0, $head = 0, $2 = 0, $and = 0, $tobool = 0, $prev_foot = 0, $3 = 0, $and2 = 0, $cmp = 0, $idx_neg = 0, $add_ptr5 = 0, $4 = 0, $add6 = 0, $5 = 0, $cmp7 = 0, $6 = 0, $cmp10 = 0, $shr = 0, $cmp13 = 0, $add_ptr5_sum29 = 0, $fd = 0, $7 = 0, $8 = 0, $add_ptr5_sum30 = 0, $bk = 0, $9 = 0, $10 = 0, $shl = 0, $arrayidx = 0, $11 = 0, $cmp17 = 0, $12 = 0, $cmp20 = 0, $bk22 = 0, $13 = 0, $cmp23 = 0, $cmp28 = 0, $shl31 = 0, $neg = 0, $14 = 0, $and32 = 0, $cmp36 = 0, $15 = 0, $16 = 0, $cmp40 = 0, $fd43 = 0, $17 = 0, $cmp44 = 0, $bk52 = 0, $fd53 = 0, $18 = 0, $add_ptr5_sum22 = 0, $parent = 0, $19 = 0, $20 = 0, $add_ptr5_sum23 = 0, $bk60 = 0, $21 = 0, $22 = 0, $cmp61 = 0, $add_ptr5_sum27 = 0, $fd65 = 0, $23 = 0, $24 = 0, $25 = 0, $cmp68 = 0, $bk70 = 0, $26 = 0, $cmp71 = 0, $fd74 = 0, $27 = 0, $cmp75 = 0, $add_ptr5_sum24 = 0, $child_sum = 0, $arrayidx86 = 0, $28 = 0, $29 = 0, $cmp87 = 0, $child = 0, $arrayidx90 = 0, $30 = 0, $cmp91 = 0, $RP_0 = 0, $R_0 = 0, $arrayidx95 = 0, $31 = 0, $cmp96 = 0, $arrayidx100 = 0, $32 = 0, $cmp101 = 0, $CP_0 = 0, $33 = 0, $34 = 0, $35 = 0, $cmp106 = 0, $R_1 = 0, $cmp115 = 0, $add_ptr5_sum25 = 0, $index = 0, $36 = 0, $37 = 0, $arrayidx118 = 0, $38 = 0, $cmp119 = 0, $cond36 = 0, $39 = 0, $shl126 = 0, $neg127 = 0, $40 = 0, $and128 = 0, $41 = 0, $42 = 0, $cmp132 = 0, $arrayidx138 = 0, $43 = 0, $cmp139 = 0, $arrayidx146 = 0, $cmp151 = 0, $44 = 0, $45 = 0, $cmp155 = 0, $parent160 = 0, $add_ptr5_sum26 = 0, $child161 = 0, $arrayidx162 = 0, $46 = 0, $cmp163 = 0, $47 = 0, $48 = 0, $cmp167 = 0, $arrayidx173 = 0, $parent174 = 0, $child161_sum = 0, $arrayidx179 = 0, $49 = 0, $50 = 0, $cmp180 = 0, $51 = 0, $52 = 0, $cmp184 = 0, $arrayidx190 = 0, $parent191 = 0, $add_ptr_sum = 0, $head201 = 0, $53 = 0, $54 = 0, $and202 = 0, $cmp203 = 0, $55 = 0, $and207 = 0, $or = 0, $add_ptr5_sum = 0, $head208 = 0, $56 = 0, $prev_foot210 = 0, $psize_addr_0 = 0, $p_addr_0 = 0, $57 = 0, $cmp217 = 0, $add_ptr_sum1 = 0, $head222 = 0, $58 = 0, $59 = 0, $and223 = 0, $tobool224 = 0, $60 = 0, $cmp226 = 0, $61 = 0, $add229 = 0, $or231 = 0, $head232 = 0, $62 = 0, $cmp234 = 0, $63 = 0, $cmp242 = 0, $64 = 0, $add246 = 0, $or248 = 0, $head249 = 0, $65 = 0, $add_ptr250 = 0, $prev_foot251 = 0, $and254 = 0, $add255 = 0, $shr256 = 0, $cmp257 = 0, $add_ptr_sum17 = 0, $fd261 = 0, $66 = 0, $67 = 0, $add_ptr_sum18 = 0, $bk263 = 0, $68 = 0, $69 = 0, $shl266 = 0, $arrayidx268 = 0, $70 = 0, $cmp269 = 0, $71 = 0, $cmp273 = 0, $bk276 = 0, $72 = 0, $cmp277 = 0, $cmp286 = 0, $shl289 = 0, $neg290 = 0, $73 = 0, $and292 = 0, $cmp297 = 0, $74 = 0, $75 = 0, $cmp301 = 0, $fd304 = 0, $76 = 0, $cmp305 = 0, $bk314 = 0, $fd315 = 0, $77 = 0, $add_ptr_sum2 = 0, $parent324 = 0, $78 = 0, $79 = 0, $add_ptr_sum3 = 0, $bk326 = 0, $80 = 0, $81 = 0, $cmp327 = 0, $add_ptr_sum15 = 0, $fd331 = 0, $82 = 0, $83 = 0, $84 = 0, $cmp334 = 0, $bk337 = 0, $85 = 0, $cmp338 = 0, $fd341 = 0, $86 = 0, $cmp342 = 0, $child355_sum = 0, $arrayidx356 = 0, $87 = 0, $88 = 0, $cmp357 = 0, $add_ptr_sum4 = 0, $child355 = 0, $arrayidx361 = 0, $89 = 0, $cmp362 = 0, $RP354_0 = 0, $R325_0 = 0, $arrayidx368 = 0, $90 = 0, $cmp369 = 0, $arrayidx373 = 0, $91 = 0, $cmp374 = 0, $CP365_0 = 0, $92 = 0, $93 = 0, $94 = 0, $cmp381 = 0, $R325_1 = 0, $cmp390 = 0, $add_ptr_sum13 = 0, $index394 = 0, $95 = 0, $96 = 0, $arrayidx396 = 0, $97 = 0, $cmp397 = 0, $cond37 = 0, $98 = 0, $shl404 = 0, $neg405 = 0, $99 = 0, $and407 = 0, $100 = 0, $101 = 0, $cmp411 = 0, $arrayidx417 = 0, $102 = 0, $cmp418 = 0, $arrayidx425 = 0, $cmp430 = 0, $103 = 0, $104 = 0, $cmp434 = 0, $parent441 = 0, $add_ptr_sum14 = 0, $child442 = 0, $arrayidx443 = 0, $105 = 0, $cmp444 = 0, $106 = 0, $107 = 0, $cmp448 = 0, $arrayidx454 = 0, $parent455 = 0, $child442_sum = 0, $arrayidx460 = 0, $108 = 0, $109 = 0, $cmp461 = 0, $110 = 0, $111 = 0, $cmp465 = 0, $arrayidx471 = 0, $parent472 = 0, $or481 = 0, $head482 = 0, $112 = 0, $add_ptr483 = 0, $prev_foot484 = 0, $113 = 0, $cmp486 = 0, $and495 = 0, $or496 = 0, $head497 = 0, $114 = 0, $add_ptr498 = 0, $prev_foot499 = 0, $psize_addr_1 = 0, $shr501 = 0, $cmp502 = 0, $shl508 = 0, $arrayidx510 = 0, $115 = 0, $116 = 0, $shl513 = 0, $and514 = 0, $tobool515 = 0, $or519 = 0, $arrayidx510_sum12 = 0, $117 = 0, $118 = 0, $119 = 0, $120 = 0, $cmp523 = 0, $F511_0 = 0, $arrayidx510_sum = 0, $121 = 0, $bk533 = 0, $fd534 = 0, $bk535 = 0, $122 = 0, $shr540 = 0, $cmp541 = 0, $cmp545 = 0, $sub = 0, $shr549 = 0, $and550 = 0, $shl551 = 0, $sub552 = 0, $shr553 = 0, $and554 = 0, $add555 = 0, $shl556 = 0, $sub557 = 0, $shr558 = 0, $and559 = 0, $add560 = 0, $sub561 = 0, $shl562 = 0, $shr563 = 0, $add564 = 0, $shl565 = 0, $add566 = 0, $shr567 = 0, $and568 = 0, $add569 = 0, $I539_0 = 0, $arrayidx573 = 0, $index574 = 0, $I539_0_c = 0, $arrayidx576 = 0, $123 = 0, $124 = 0, $shl580 = 0, $and581 = 0, $tobool582 = 0, $or586 = 0, $parent587 = 0, $_c = 0, $bk588 = 0, $fd589 = 0, $125 = 0, $cmp592 = 0, $shr594 = 0, $sub597 = 0, $cond = 0, $shl598 = 0, $T_0 = 0, $K591_0 = 0, $head599 = 0, $126 = 0, $and600 = 0, $cmp601 = 0, $shr604 = 0, $arrayidx607 = 0, $127 = 0, $cmp609 = 0, $shl608 = 0, $128 = 0, $129 = 0, $cmp614 = 0, $parent619 = 0, $T_0_c9 = 0, $bk620 = 0, $fd621 = 0, $fd626 = 0, $130 = 0, $131 = 0, $132 = 0, $cmp628 = 0, $133 = 0, $cmp632 = 0, $bk639 = 0, $fd641 = 0, $_c8 = 0, $bk642 = 0, $T_0_c = 0, $parent643 = 0, label = 0;
   196691   label = 2;
   196692   while (1) switch (label | 0) {
   196693    case 2:
   196694     $0 = $p;
   196695     $add_ptr = $0 + $psize | 0;
   196696     $1 = $add_ptr;
   196697     $head = $p + 4 | 0;
   196698     $2 = HEAP32[$head >> 2] | 0;
   196699     $and = $2 & 1;
   196700     $tobool = ($and | 0) == 0;
   196701     if ($tobool) {
   196702       label = 3;
   196703       break;
   196704     } else {
   196705       $p_addr_0 = $p;
   196706       $psize_addr_0 = $psize;
   196707       label = 55;
   196708       break;
   196709     }
   196710    case 3:
   196711     $prev_foot = $p | 0;
   196712     $3 = HEAP32[$prev_foot >> 2] | 0;
   196713     $and2 = $2 & 3;
   196714     $cmp = ($and2 | 0) == 0;
   196715     if ($cmp) {
   196716       label = 135;
   196717       break;
   196718     } else {
   196719       label = 4;
   196720       break;
   196721     }
   196722    case 4:
   196723     $idx_neg = -$3 | 0;
   196724     $add_ptr5 = $0 + $idx_neg | 0;
   196725     $4 = $add_ptr5;
   196726     $add6 = $3 + $psize | 0;
   196727     $5 = HEAP32[40820] | 0;
   196728     $cmp7 = $add_ptr5 >>> 0 < $5 >>> 0;
   196729     if ($cmp7) {
   196730       label = 54;
   196731       break;
   196732     } else {
   196733       label = 5;
   196734       break;
   196735     }
   196736    case 5:
   196737     $6 = HEAP32[40821] | 0;
   196738     $cmp10 = ($4 | 0) == ($6 | 0);
   196739     if ($cmp10) {
   196740       label = 52;
   196741       break;
   196742     } else {
   196743       label = 6;
   196744       break;
   196745     }
   196746    case 6:
   196747     $shr = $3 >>> 3;
   196748     $cmp13 = $3 >>> 0 < 256;
   196749     if ($cmp13) {
   196750       label = 7;
   196751       break;
   196752     } else {
   196753       label = 18;
   196754       break;
   196755     }
   196756    case 7:
   196757     $add_ptr5_sum29 = 8 - $3 | 0;
   196758     $fd = $0 + $add_ptr5_sum29 | 0;
   196759     $7 = $fd;
   196760     $8 = HEAP32[$7 >> 2] | 0;
   196761     $add_ptr5_sum30 = 12 - $3 | 0;
   196762     $bk = $0 + $add_ptr5_sum30 | 0;
   196763     $9 = $bk;
   196764     $10 = HEAP32[$9 >> 2] | 0;
   196765     $shl = $shr << 1;
   196766     $arrayidx = 163304 + ($shl << 2) | 0;
   196767     $11 = $arrayidx;
   196768     $cmp17 = ($8 | 0) == ($11 | 0);
   196769     if ($cmp17) {
   196770       label = 10;
   196771       break;
   196772     } else {
   196773       label = 8;
   196774       break;
   196775     }
   196776    case 8:
   196777     $12 = $8;
   196778     $cmp20 = $12 >>> 0 < $5 >>> 0;
   196779     if ($cmp20) {
   196780       label = 17;
   196781       break;
   196782     } else {
   196783       label = 9;
   196784       break;
   196785     }
   196786    case 9:
   196787     $bk22 = $8 + 12 | 0;
   196788     $13 = HEAP32[$bk22 >> 2] | 0;
   196789     $cmp23 = ($13 | 0) == ($4 | 0);
   196790     if ($cmp23) {
   196791       label = 10;
   196792       break;
   196793     } else {
   196794       label = 17;
   196795       break;
   196796     }
   196797    case 10:
   196798     $cmp28 = ($10 | 0) == ($8 | 0);
   196799     if ($cmp28) {
   196800       label = 11;
   196801       break;
   196802     } else {
   196803       label = 12;
   196804       break;
   196805     }
   196806    case 11:
   196807     $shl31 = 1 << $shr;
   196808     $neg = $shl31 ^ -1;
   196809     $14 = HEAP32[40816] | 0;
   196810     $and32 = $14 & $neg;
   196811     HEAP32[40816] = $and32;
   196812     $p_addr_0 = $4;
   196813     $psize_addr_0 = $add6;
   196814     label = 55;
   196815     break;
   196816    case 12:
   196817     $cmp36 = ($10 | 0) == ($11 | 0);
   196818     if ($cmp36) {
   196819       label = 15;
   196820       break;
   196821     } else {
   196822       label = 13;
   196823       break;
   196824     }
   196825    case 13:
   196826     $15 = $10;
   196827     $16 = HEAP32[40820] | 0;
   196828     $cmp40 = $15 >>> 0 < $16 >>> 0;
   196829     if ($cmp40) {
   196830       label = 16;
   196831       break;
   196832     } else {
   196833       label = 14;
   196834       break;
   196835     }
   196836    case 14:
   196837     $fd43 = $10 + 8 | 0;
   196838     $17 = HEAP32[$fd43 >> 2] | 0;
   196839     $cmp44 = ($17 | 0) == ($4 | 0);
   196840     if ($cmp44) {
   196841       label = 15;
   196842       break;
   196843     } else {
   196844       label = 16;
   196845       break;
   196846     }
   196847    case 15:
   196848     $bk52 = $8 + 12 | 0;
   196849     HEAP32[$bk52 >> 2] = $10;
   196850     $fd53 = $10 + 8 | 0;
   196851     HEAP32[$fd53 >> 2] = $8;
   196852     $p_addr_0 = $4;
   196853     $psize_addr_0 = $add6;
   196854     label = 55;
   196855     break;
   196856    case 16:
   196857     _abort();
   196858    case 17:
   196859     _abort();
   196860    case 18:
   196861     $18 = $add_ptr5;
   196862     $add_ptr5_sum22 = 24 - $3 | 0;
   196863     $parent = $0 + $add_ptr5_sum22 | 0;
   196864     $19 = $parent;
   196865     $20 = HEAP32[$19 >> 2] | 0;
   196866     $add_ptr5_sum23 = 12 - $3 | 0;
   196867     $bk60 = $0 + $add_ptr5_sum23 | 0;
   196868     $21 = $bk60;
   196869     $22 = HEAP32[$21 >> 2] | 0;
   196870     $cmp61 = ($22 | 0) == ($18 | 0);
   196871     if ($cmp61) {
   196872       label = 24;
   196873       break;
   196874     } else {
   196875       label = 19;
   196876       break;
   196877     }
   196878    case 19:
   196879     $add_ptr5_sum27 = 8 - $3 | 0;
   196880     $fd65 = $0 + $add_ptr5_sum27 | 0;
   196881     $23 = $fd65;
   196882     $24 = HEAP32[$23 >> 2] | 0;
   196883     $25 = $24;
   196884     $cmp68 = $25 >>> 0 < $5 >>> 0;
   196885     if ($cmp68) {
   196886       label = 23;
   196887       break;
   196888     } else {
   196889       label = 20;
   196890       break;
   196891     }
   196892    case 20:
   196893     $bk70 = $24 + 12 | 0;
   196894     $26 = HEAP32[$bk70 >> 2] | 0;
   196895     $cmp71 = ($26 | 0) == ($18 | 0);
   196896     if ($cmp71) {
   196897       label = 21;
   196898       break;
   196899     } else {
   196900       label = 23;
   196901       break;
   196902     }
   196903    case 21:
   196904     $fd74 = $22 + 8 | 0;
   196905     $27 = HEAP32[$fd74 >> 2] | 0;
   196906     $cmp75 = ($27 | 0) == ($18 | 0);
   196907     if ($cmp75) {
   196908       label = 22;
   196909       break;
   196910     } else {
   196911       label = 23;
   196912       break;
   196913     }
   196914    case 22:
   196915     HEAP32[$bk70 >> 2] = $22;
   196916     HEAP32[$fd74 >> 2] = $24;
   196917     $R_1 = $22;
   196918     label = 32;
   196919     break;
   196920    case 23:
   196921     _abort();
   196922    case 24:
   196923     $add_ptr5_sum24 = 16 - $3 | 0;
   196924     $child_sum = $add_ptr5_sum24 + 4 | 0;
   196925     $arrayidx86 = $0 + $child_sum | 0;
   196926     $28 = $arrayidx86;
   196927     $29 = HEAP32[$28 >> 2] | 0;
   196928     $cmp87 = ($29 | 0) == 0;
   196929     if ($cmp87) {
   196930       label = 25;
   196931       break;
   196932     } else {
   196933       $R_0 = $29;
   196934       $RP_0 = $28;
   196935       label = 26;
   196936       break;
   196937     }
   196938    case 25:
   196939     $child = $0 + $add_ptr5_sum24 | 0;
   196940     $arrayidx90 = $child;
   196941     $30 = HEAP32[$arrayidx90 >> 2] | 0;
   196942     $cmp91 = ($30 | 0) == 0;
   196943     if ($cmp91) {
   196944       $R_1 = 0;
   196945       label = 32;
   196946       break;
   196947     } else {
   196948       $R_0 = $30;
   196949       $RP_0 = $arrayidx90;
   196950       label = 26;
   196951       break;
   196952     }
   196953    case 26:
   196954     $arrayidx95 = $R_0 + 20 | 0;
   196955     $31 = HEAP32[$arrayidx95 >> 2] | 0;
   196956     $cmp96 = ($31 | 0) == 0;
   196957     if ($cmp96) {
   196958       label = 27;
   196959       break;
   196960     } else {
   196961       $CP_0 = $arrayidx95;
   196962       label = 28;
   196963       break;
   196964     }
   196965    case 27:
   196966     $arrayidx100 = $R_0 + 16 | 0;
   196967     $32 = HEAP32[$arrayidx100 >> 2] | 0;
   196968     $cmp101 = ($32 | 0) == 0;
   196969     if ($cmp101) {
   196970       label = 29;
   196971       break;
   196972     } else {
   196973       $CP_0 = $arrayidx100;
   196974       label = 28;
   196975       break;
   196976     }
   196977    case 28:
   196978     $33 = HEAP32[$CP_0 >> 2] | 0;
   196979     $R_0 = $33;
   196980     $RP_0 = $CP_0;
   196981     label = 26;
   196982     break;
   196983    case 29:
   196984     $34 = $RP_0;
   196985     $35 = HEAP32[40820] | 0;
   196986     $cmp106 = $34 >>> 0 < $35 >>> 0;
   196987     if ($cmp106) {
   196988       label = 31;
   196989       break;
   196990     } else {
   196991       label = 30;
   196992       break;
   196993     }
   196994    case 30:
   196995     HEAP32[$RP_0 >> 2] = 0;
   196996     $R_1 = $R_0;
   196997     label = 32;
   196998     break;
   196999    case 31:
   197000     _abort();
   197001    case 32:
   197002     $cmp115 = ($20 | 0) == 0;
   197003     if ($cmp115) {
   197004       $p_addr_0 = $4;
   197005       $psize_addr_0 = $add6;
   197006       label = 55;
   197007       break;
   197008     } else {
   197009       label = 33;
   197010       break;
   197011     }
   197012    case 33:
   197013     $add_ptr5_sum25 = 28 - $3 | 0;
   197014     $index = $0 + $add_ptr5_sum25 | 0;
   197015     $36 = $index;
   197016     $37 = HEAP32[$36 >> 2] | 0;
   197017     $arrayidx118 = 163568 + ($37 << 2) | 0;
   197018     $38 = HEAP32[$arrayidx118 >> 2] | 0;
   197019     $cmp119 = ($18 | 0) == ($38 | 0);
   197020     if ($cmp119) {
   197021       label = 34;
   197022       break;
   197023     } else {
   197024       label = 36;
   197025       break;
   197026     }
   197027    case 34:
   197028     HEAP32[$arrayidx118 >> 2] = $R_1;
   197029     $cond36 = ($R_1 | 0) == 0;
   197030     if ($cond36) {
   197031       label = 35;
   197032       break;
   197033     } else {
   197034       label = 42;
   197035       break;
   197036     }
   197037    case 35:
   197038     $39 = HEAP32[$36 >> 2] | 0;
   197039     $shl126 = 1 << $39;
   197040     $neg127 = $shl126 ^ -1;
   197041     $40 = HEAP32[40817] | 0;
   197042     $and128 = $40 & $neg127;
   197043     HEAP32[40817] = $and128;
   197044     $p_addr_0 = $4;
   197045     $psize_addr_0 = $add6;
   197046     label = 55;
   197047     break;
   197048    case 36:
   197049     $41 = $20;
   197050     $42 = HEAP32[40820] | 0;
   197051     $cmp132 = $41 >>> 0 < $42 >>> 0;
   197052     if ($cmp132) {
   197053       label = 40;
   197054       break;
   197055     } else {
   197056       label = 37;
   197057       break;
   197058     }
   197059    case 37:
   197060     $arrayidx138 = $20 + 16 | 0;
   197061     $43 = HEAP32[$arrayidx138 >> 2] | 0;
   197062     $cmp139 = ($43 | 0) == ($18 | 0);
   197063     if ($cmp139) {
   197064       label = 38;
   197065       break;
   197066     } else {
   197067       label = 39;
   197068       break;
   197069     }
   197070    case 38:
   197071     HEAP32[$arrayidx138 >> 2] = $R_1;
   197072     label = 41;
   197073     break;
   197074    case 39:
   197075     $arrayidx146 = $20 + 20 | 0;
   197076     HEAP32[$arrayidx146 >> 2] = $R_1;
   197077     label = 41;
   197078     break;
   197079    case 40:
   197080     _abort();
   197081    case 41:
   197082     $cmp151 = ($R_1 | 0) == 0;
   197083     if ($cmp151) {
   197084       $p_addr_0 = $4;
   197085       $psize_addr_0 = $add6;
   197086       label = 55;
   197087       break;
   197088     } else {
   197089       label = 42;
   197090       break;
   197091     }
   197092    case 42:
   197093     $44 = $R_1;
   197094     $45 = HEAP32[40820] | 0;
   197095     $cmp155 = $44 >>> 0 < $45 >>> 0;
   197096     if ($cmp155) {
   197097       label = 51;
   197098       break;
   197099     } else {
   197100       label = 43;
   197101       break;
   197102     }
   197103    case 43:
   197104     $parent160 = $R_1 + 24 | 0;
   197105     HEAP32[$parent160 >> 2] = $20;
   197106     $add_ptr5_sum26 = 16 - $3 | 0;
   197107     $child161 = $0 + $add_ptr5_sum26 | 0;
   197108     $arrayidx162 = $child161;
   197109     $46 = HEAP32[$arrayidx162 >> 2] | 0;
   197110     $cmp163 = ($46 | 0) == 0;
   197111     if ($cmp163) {
   197112       label = 47;
   197113       break;
   197114     } else {
   197115       label = 44;
   197116       break;
   197117     }
   197118    case 44:
   197119     $47 = $46;
   197120     $48 = HEAP32[40820] | 0;
   197121     $cmp167 = $47 >>> 0 < $48 >>> 0;
   197122     if ($cmp167) {
   197123       label = 46;
   197124       break;
   197125     } else {
   197126       label = 45;
   197127       break;
   197128     }
   197129    case 45:
   197130     $arrayidx173 = $R_1 + 16 | 0;
   197131     HEAP32[$arrayidx173 >> 2] = $46;
   197132     $parent174 = $46 + 24 | 0;
   197133     HEAP32[$parent174 >> 2] = $R_1;
   197134     label = 47;
   197135     break;
   197136    case 46:
   197137     _abort();
   197138    case 47:
   197139     $child161_sum = $add_ptr5_sum26 + 4 | 0;
   197140     $arrayidx179 = $0 + $child161_sum | 0;
   197141     $49 = $arrayidx179;
   197142     $50 = HEAP32[$49 >> 2] | 0;
   197143     $cmp180 = ($50 | 0) == 0;
   197144     if ($cmp180) {
   197145       $p_addr_0 = $4;
   197146       $psize_addr_0 = $add6;
   197147       label = 55;
   197148       break;
   197149     } else {
   197150       label = 48;
   197151       break;
   197152     }
   197153    case 48:
   197154     $51 = $50;
   197155     $52 = HEAP32[40820] | 0;
   197156     $cmp184 = $51 >>> 0 < $52 >>> 0;
   197157     if ($cmp184) {
   197158       label = 50;
   197159       break;
   197160     } else {
   197161       label = 49;
   197162       break;
   197163     }
   197164    case 49:
   197165     $arrayidx190 = $R_1 + 20 | 0;
   197166     HEAP32[$arrayidx190 >> 2] = $50;
   197167     $parent191 = $50 + 24 | 0;
   197168     HEAP32[$parent191 >> 2] = $R_1;
   197169     $p_addr_0 = $4;
   197170     $psize_addr_0 = $add6;
   197171     label = 55;
   197172     break;
   197173    case 50:
   197174     _abort();
   197175    case 51:
   197176     _abort();
   197177    case 52:
   197178     $add_ptr_sum = $psize + 4 | 0;
   197179     $head201 = $0 + $add_ptr_sum | 0;
   197180     $53 = $head201;
   197181     $54 = HEAP32[$53 >> 2] | 0;
   197182     $and202 = $54 & 3;
   197183     $cmp203 = ($and202 | 0) == 3;
   197184     if ($cmp203) {
   197185       label = 53;
   197186       break;
   197187     } else {
   197188       $p_addr_0 = $4;
   197189       $psize_addr_0 = $add6;
   197190       label = 55;
   197191       break;
   197192     }
   197193    case 53:
   197194     HEAP32[40818] = $add6;
   197195     $55 = HEAP32[$53 >> 2] | 0;
   197196     $and207 = $55 & -2;
   197197     HEAP32[$53 >> 2] = $and207;
   197198     $or = $add6 | 1;
   197199     $add_ptr5_sum = 4 - $3 | 0;
   197200     $head208 = $0 + $add_ptr5_sum | 0;
   197201     $56 = $head208;
   197202     HEAP32[$56 >> 2] = $or;
   197203     $prev_foot210 = $add_ptr;
   197204     HEAP32[$prev_foot210 >> 2] = $add6;
   197205     label = 135;
   197206     break;
   197207    case 54:
   197208     _abort();
   197209    case 55:
   197210     $57 = HEAP32[40820] | 0;
   197211     $cmp217 = $add_ptr >>> 0 < $57 >>> 0;
   197212     if ($cmp217) {
   197213       label = 134;
   197214       break;
   197215     } else {
   197216       label = 56;
   197217       break;
   197218     }
   197219    case 56:
   197220     $add_ptr_sum1 = $psize + 4 | 0;
   197221     $head222 = $0 + $add_ptr_sum1 | 0;
   197222     $58 = $head222;
   197223     $59 = HEAP32[$58 >> 2] | 0;
   197224     $and223 = $59 & 2;
   197225     $tobool224 = ($and223 | 0) == 0;
   197226     if ($tobool224) {
   197227       label = 57;
   197228       break;
   197229     } else {
   197230       label = 110;
   197231       break;
   197232     }
   197233    case 57:
   197234     $60 = HEAP32[40822] | 0;
   197235     $cmp226 = ($1 | 0) == ($60 | 0);
   197236     if ($cmp226) {
   197237       label = 58;
   197238       break;
   197239     } else {
   197240       label = 60;
   197241       break;
   197242     }
   197243    case 58:
   197244     $61 = HEAP32[40819] | 0;
   197245     $add229 = $61 + $psize_addr_0 | 0;
   197246     HEAP32[40819] = $add229;
   197247     HEAP32[40822] = $p_addr_0;
   197248     $or231 = $add229 | 1;
   197249     $head232 = $p_addr_0 + 4 | 0;
   197250     HEAP32[$head232 >> 2] = $or231;
   197251     $62 = HEAP32[40821] | 0;
   197252     $cmp234 = ($p_addr_0 | 0) == ($62 | 0);
   197253     if ($cmp234) {
   197254       label = 59;
   197255       break;
   197256     } else {
   197257       label = 135;
   197258       break;
   197259     }
   197260    case 59:
   197261     HEAP32[40821] = 0;
   197262     HEAP32[40818] = 0;
   197263     label = 135;
   197264     break;
   197265    case 60:
   197266     $63 = HEAP32[40821] | 0;
   197267     $cmp242 = ($1 | 0) == ($63 | 0);
   197268     if ($cmp242) {
   197269       label = 61;
   197270       break;
   197271     } else {
   197272       label = 62;
   197273       break;
   197274     }
   197275    case 61:
   197276     $64 = HEAP32[40818] | 0;
   197277     $add246 = $64 + $psize_addr_0 | 0;
   197278     HEAP32[40818] = $add246;
   197279     HEAP32[40821] = $p_addr_0;
   197280     $or248 = $add246 | 1;
   197281     $head249 = $p_addr_0 + 4 | 0;
   197282     HEAP32[$head249 >> 2] = $or248;
   197283     $65 = $p_addr_0;
   197284     $add_ptr250 = $65 + $add246 | 0;
   197285     $prev_foot251 = $add_ptr250;
   197286     HEAP32[$prev_foot251 >> 2] = $add246;
   197287     label = 135;
   197288     break;
   197289    case 62:
   197290     $and254 = $59 & -8;
   197291     $add255 = $and254 + $psize_addr_0 | 0;
   197292     $shr256 = $59 >>> 3;
   197293     $cmp257 = $59 >>> 0 < 256;
   197294     if ($cmp257) {
   197295       label = 63;
   197296       break;
   197297     } else {
   197298       label = 74;
   197299       break;
   197300     }
   197301    case 63:
   197302     $add_ptr_sum17 = $psize + 8 | 0;
   197303     $fd261 = $0 + $add_ptr_sum17 | 0;
   197304     $66 = $fd261;
   197305     $67 = HEAP32[$66 >> 2] | 0;
   197306     $add_ptr_sum18 = $psize + 12 | 0;
   197307     $bk263 = $0 + $add_ptr_sum18 | 0;
   197308     $68 = $bk263;
   197309     $69 = HEAP32[$68 >> 2] | 0;
   197310     $shl266 = $shr256 << 1;
   197311     $arrayidx268 = 163304 + ($shl266 << 2) | 0;
   197312     $70 = $arrayidx268;
   197313     $cmp269 = ($67 | 0) == ($70 | 0);
   197314     if ($cmp269) {
   197315       label = 66;
   197316       break;
   197317     } else {
   197318       label = 64;
   197319       break;
   197320     }
   197321    case 64:
   197322     $71 = $67;
   197323     $cmp273 = $71 >>> 0 < $57 >>> 0;
   197324     if ($cmp273) {
   197325       label = 73;
   197326       break;
   197327     } else {
   197328       label = 65;
   197329       break;
   197330     }
   197331    case 65:
   197332     $bk276 = $67 + 12 | 0;
   197333     $72 = HEAP32[$bk276 >> 2] | 0;
   197334     $cmp277 = ($72 | 0) == ($1 | 0);
   197335     if ($cmp277) {
   197336       label = 66;
   197337       break;
   197338     } else {
   197339       label = 73;
   197340       break;
   197341     }
   197342    case 66:
   197343     $cmp286 = ($69 | 0) == ($67 | 0);
   197344     if ($cmp286) {
   197345       label = 67;
   197346       break;
   197347     } else {
   197348       label = 68;
   197349       break;
   197350     }
   197351    case 67:
   197352     $shl289 = 1 << $shr256;
   197353     $neg290 = $shl289 ^ -1;
   197354     $73 = HEAP32[40816] | 0;
   197355     $and292 = $73 & $neg290;
   197356     HEAP32[40816] = $and292;
   197357     label = 108;
   197358     break;
   197359    case 68:
   197360     $cmp297 = ($69 | 0) == ($70 | 0);
   197361     if ($cmp297) {
   197362       label = 71;
   197363       break;
   197364     } else {
   197365       label = 69;
   197366       break;
   197367     }
   197368    case 69:
   197369     $74 = $69;
   197370     $75 = HEAP32[40820] | 0;
   197371     $cmp301 = $74 >>> 0 < $75 >>> 0;
   197372     if ($cmp301) {
   197373       label = 72;
   197374       break;
   197375     } else {
   197376       label = 70;
   197377       break;
   197378     }
   197379    case 70:
   197380     $fd304 = $69 + 8 | 0;
   197381     $76 = HEAP32[$fd304 >> 2] | 0;
   197382     $cmp305 = ($76 | 0) == ($1 | 0);
   197383     if ($cmp305) {
   197384       label = 71;
   197385       break;
   197386     } else {
   197387       label = 72;
   197388       break;
   197389     }
   197390    case 71:
   197391     $bk314 = $67 + 12 | 0;
   197392     HEAP32[$bk314 >> 2] = $69;
   197393     $fd315 = $69 + 8 | 0;
   197394     HEAP32[$fd315 >> 2] = $67;
   197395     label = 108;
   197396     break;
   197397    case 72:
   197398     _abort();
   197399    case 73:
   197400     _abort();
   197401    case 74:
   197402     $77 = $add_ptr;
   197403     $add_ptr_sum2 = $psize + 24 | 0;
   197404     $parent324 = $0 + $add_ptr_sum2 | 0;
   197405     $78 = $parent324;
   197406     $79 = HEAP32[$78 >> 2] | 0;
   197407     $add_ptr_sum3 = $psize + 12 | 0;
   197408     $bk326 = $0 + $add_ptr_sum3 | 0;
   197409     $80 = $bk326;
   197410     $81 = HEAP32[$80 >> 2] | 0;
   197411     $cmp327 = ($81 | 0) == ($77 | 0);
   197412     if ($cmp327) {
   197413       label = 80;
   197414       break;
   197415     } else {
   197416       label = 75;
   197417       break;
   197418     }
   197419    case 75:
   197420     $add_ptr_sum15 = $psize + 8 | 0;
   197421     $fd331 = $0 + $add_ptr_sum15 | 0;
   197422     $82 = $fd331;
   197423     $83 = HEAP32[$82 >> 2] | 0;
   197424     $84 = $83;
   197425     $cmp334 = $84 >>> 0 < $57 >>> 0;
   197426     if ($cmp334) {
   197427       label = 79;
   197428       break;
   197429     } else {
   197430       label = 76;
   197431       break;
   197432     }
   197433    case 76:
   197434     $bk337 = $83 + 12 | 0;
   197435     $85 = HEAP32[$bk337 >> 2] | 0;
   197436     $cmp338 = ($85 | 0) == ($77 | 0);
   197437     if ($cmp338) {
   197438       label = 77;
   197439       break;
   197440     } else {
   197441       label = 79;
   197442       break;
   197443     }
   197444    case 77:
   197445     $fd341 = $81 + 8 | 0;
   197446     $86 = HEAP32[$fd341 >> 2] | 0;
   197447     $cmp342 = ($86 | 0) == ($77 | 0);
   197448     if ($cmp342) {
   197449       label = 78;
   197450       break;
   197451     } else {
   197452       label = 79;
   197453       break;
   197454     }
   197455    case 78:
   197456     HEAP32[$bk337 >> 2] = $81;
   197457     HEAP32[$fd341 >> 2] = $83;
   197458     $R325_1 = $81;
   197459     label = 88;
   197460     break;
   197461    case 79:
   197462     _abort();
   197463    case 80:
   197464     $child355_sum = $psize + 20 | 0;
   197465     $arrayidx356 = $0 + $child355_sum | 0;
   197466     $87 = $arrayidx356;
   197467     $88 = HEAP32[$87 >> 2] | 0;
   197468     $cmp357 = ($88 | 0) == 0;
   197469     if ($cmp357) {
   197470       label = 81;
   197471       break;
   197472     } else {
   197473       $R325_0 = $88;
   197474       $RP354_0 = $87;
   197475       label = 82;
   197476       break;
   197477     }
   197478    case 81:
   197479     $add_ptr_sum4 = $psize + 16 | 0;
   197480     $child355 = $0 + $add_ptr_sum4 | 0;
   197481     $arrayidx361 = $child355;
   197482     $89 = HEAP32[$arrayidx361 >> 2] | 0;
   197483     $cmp362 = ($89 | 0) == 0;
   197484     if ($cmp362) {
   197485       $R325_1 = 0;
   197486       label = 88;
   197487       break;
   197488     } else {
   197489       $R325_0 = $89;
   197490       $RP354_0 = $arrayidx361;
   197491       label = 82;
   197492       break;
   197493     }
   197494    case 82:
   197495     $arrayidx368 = $R325_0 + 20 | 0;
   197496     $90 = HEAP32[$arrayidx368 >> 2] | 0;
   197497     $cmp369 = ($90 | 0) == 0;
   197498     if ($cmp369) {
   197499       label = 83;
   197500       break;
   197501     } else {
   197502       $CP365_0 = $arrayidx368;
   197503       label = 84;
   197504       break;
   197505     }
   197506    case 83:
   197507     $arrayidx373 = $R325_0 + 16 | 0;
   197508     $91 = HEAP32[$arrayidx373 >> 2] | 0;
   197509     $cmp374 = ($91 | 0) == 0;
   197510     if ($cmp374) {
   197511       label = 85;
   197512       break;
   197513     } else {
   197514       $CP365_0 = $arrayidx373;
   197515       label = 84;
   197516       break;
   197517     }
   197518    case 84:
   197519     $92 = HEAP32[$CP365_0 >> 2] | 0;
   197520     $R325_0 = $92;
   197521     $RP354_0 = $CP365_0;
   197522     label = 82;
   197523     break;
   197524    case 85:
   197525     $93 = $RP354_0;
   197526     $94 = HEAP32[40820] | 0;
   197527     $cmp381 = $93 >>> 0 < $94 >>> 0;
   197528     if ($cmp381) {
   197529       label = 87;
   197530       break;
   197531     } else {
   197532       label = 86;
   197533       break;
   197534     }
   197535    case 86:
   197536     HEAP32[$RP354_0 >> 2] = 0;
   197537     $R325_1 = $R325_0;
   197538     label = 88;
   197539     break;
   197540    case 87:
   197541     _abort();
   197542    case 88:
   197543     $cmp390 = ($79 | 0) == 0;
   197544     if ($cmp390) {
   197545       label = 108;
   197546       break;
   197547     } else {
   197548       label = 89;
   197549       break;
   197550     }
   197551    case 89:
   197552     $add_ptr_sum13 = $psize + 28 | 0;
   197553     $index394 = $0 + $add_ptr_sum13 | 0;
   197554     $95 = $index394;
   197555     $96 = HEAP32[$95 >> 2] | 0;
   197556     $arrayidx396 = 163568 + ($96 << 2) | 0;
   197557     $97 = HEAP32[$arrayidx396 >> 2] | 0;
   197558     $cmp397 = ($77 | 0) == ($97 | 0);
   197559     if ($cmp397) {
   197560       label = 90;
   197561       break;
   197562     } else {
   197563       label = 92;
   197564       break;
   197565     }
   197566    case 90:
   197567     HEAP32[$arrayidx396 >> 2] = $R325_1;
   197568     $cond37 = ($R325_1 | 0) == 0;
   197569     if ($cond37) {
   197570       label = 91;
   197571       break;
   197572     } else {
   197573       label = 98;
   197574       break;
   197575     }
   197576    case 91:
   197577     $98 = HEAP32[$95 >> 2] | 0;
   197578     $shl404 = 1 << $98;
   197579     $neg405 = $shl404 ^ -1;
   197580     $99 = HEAP32[40817] | 0;
   197581     $and407 = $99 & $neg405;
   197582     HEAP32[40817] = $and407;
   197583     label = 108;
   197584     break;
   197585    case 92:
   197586     $100 = $79;
   197587     $101 = HEAP32[40820] | 0;
   197588     $cmp411 = $100 >>> 0 < $101 >>> 0;
   197589     if ($cmp411) {
   197590       label = 96;
   197591       break;
   197592     } else {
   197593       label = 93;
   197594       break;
   197595     }
   197596    case 93:
   197597     $arrayidx417 = $79 + 16 | 0;
   197598     $102 = HEAP32[$arrayidx417 >> 2] | 0;
   197599     $cmp418 = ($102 | 0) == ($77 | 0);
   197600     if ($cmp418) {
   197601       label = 94;
   197602       break;
   197603     } else {
   197604       label = 95;
   197605       break;
   197606     }
   197607    case 94:
   197608     HEAP32[$arrayidx417 >> 2] = $R325_1;
   197609     label = 97;
   197610     break;
   197611    case 95:
   197612     $arrayidx425 = $79 + 20 | 0;
   197613     HEAP32[$arrayidx425 >> 2] = $R325_1;
   197614     label = 97;
   197615     break;
   197616    case 96:
   197617     _abort();
   197618    case 97:
   197619     $cmp430 = ($R325_1 | 0) == 0;
   197620     if ($cmp430) {
   197621       label = 108;
   197622       break;
   197623     } else {
   197624       label = 98;
   197625       break;
   197626     }
   197627    case 98:
   197628     $103 = $R325_1;
   197629     $104 = HEAP32[40820] | 0;
   197630     $cmp434 = $103 >>> 0 < $104 >>> 0;
   197631     if ($cmp434) {
   197632       label = 107;
   197633       break;
   197634     } else {
   197635       label = 99;
   197636       break;
   197637     }
   197638    case 99:
   197639     $parent441 = $R325_1 + 24 | 0;
   197640     HEAP32[$parent441 >> 2] = $79;
   197641     $add_ptr_sum14 = $psize + 16 | 0;
   197642     $child442 = $0 + $add_ptr_sum14 | 0;
   197643     $arrayidx443 = $child442;
   197644     $105 = HEAP32[$arrayidx443 >> 2] | 0;
   197645     $cmp444 = ($105 | 0) == 0;
   197646     if ($cmp444) {
   197647       label = 103;
   197648       break;
   197649     } else {
   197650       label = 100;
   197651       break;
   197652     }
   197653    case 100:
   197654     $106 = $105;
   197655     $107 = HEAP32[40820] | 0;
   197656     $cmp448 = $106 >>> 0 < $107 >>> 0;
   197657     if ($cmp448) {
   197658       label = 102;
   197659       break;
   197660     } else {
   197661       label = 101;
   197662       break;
   197663     }
   197664    case 101:
   197665     $arrayidx454 = $R325_1 + 16 | 0;
   197666     HEAP32[$arrayidx454 >> 2] = $105;
   197667     $parent455 = $105 + 24 | 0;
   197668     HEAP32[$parent455 >> 2] = $R325_1;
   197669     label = 103;
   197670     break;
   197671    case 102:
   197672     _abort();
   197673    case 103:
   197674     $child442_sum = $psize + 20 | 0;
   197675     $arrayidx460 = $0 + $child442_sum | 0;
   197676     $108 = $arrayidx460;
   197677     $109 = HEAP32[$108 >> 2] | 0;
   197678     $cmp461 = ($109 | 0) == 0;
   197679     if ($cmp461) {
   197680       label = 108;
   197681       break;
   197682     } else {
   197683       label = 104;
   197684       break;
   197685     }
   197686    case 104:
   197687     $110 = $109;
   197688     $111 = HEAP32[40820] | 0;
   197689     $cmp465 = $110 >>> 0 < $111 >>> 0;
   197690     if ($cmp465) {
   197691       label = 106;
   197692       break;
   197693     } else {
   197694       label = 105;
   197695       break;
   197696     }
   197697    case 105:
   197698     $arrayidx471 = $R325_1 + 20 | 0;
   197699     HEAP32[$arrayidx471 >> 2] = $109;
   197700     $parent472 = $109 + 24 | 0;
   197701     HEAP32[$parent472 >> 2] = $R325_1;
   197702     label = 108;
   197703     break;
   197704    case 106:
   197705     _abort();
   197706    case 107:
   197707     _abort();
   197708    case 108:
   197709     $or481 = $add255 | 1;
   197710     $head482 = $p_addr_0 + 4 | 0;
   197711     HEAP32[$head482 >> 2] = $or481;
   197712     $112 = $p_addr_0;
   197713     $add_ptr483 = $112 + $add255 | 0;
   197714     $prev_foot484 = $add_ptr483;
   197715     HEAP32[$prev_foot484 >> 2] = $add255;
   197716     $113 = HEAP32[40821] | 0;
   197717     $cmp486 = ($p_addr_0 | 0) == ($113 | 0);
   197718     if ($cmp486) {
   197719       label = 109;
   197720       break;
   197721     } else {
   197722       $psize_addr_1 = $add255;
   197723       label = 111;
   197724       break;
   197725     }
   197726    case 109:
   197727     HEAP32[40818] = $add255;
   197728     label = 135;
   197729     break;
   197730    case 110:
   197731     $and495 = $59 & -2;
   197732     HEAP32[$58 >> 2] = $and495;
   197733     $or496 = $psize_addr_0 | 1;
   197734     $head497 = $p_addr_0 + 4 | 0;
   197735     HEAP32[$head497 >> 2] = $or496;
   197736     $114 = $p_addr_0;
   197737     $add_ptr498 = $114 + $psize_addr_0 | 0;
   197738     $prev_foot499 = $add_ptr498;
   197739     HEAP32[$prev_foot499 >> 2] = $psize_addr_0;
   197740     $psize_addr_1 = $psize_addr_0;
   197741     label = 111;
   197742     break;
   197743    case 111:
   197744     $shr501 = $psize_addr_1 >>> 3;
   197745     $cmp502 = $psize_addr_1 >>> 0 < 256;
   197746     if ($cmp502) {
   197747       label = 112;
   197748       break;
   197749     } else {
   197750       label = 117;
   197751       break;
   197752     }
   197753    case 112:
   197754     $shl508 = $shr501 << 1;
   197755     $arrayidx510 = 163304 + ($shl508 << 2) | 0;
   197756     $115 = $arrayidx510;
   197757     $116 = HEAP32[40816] | 0;
   197758     $shl513 = 1 << $shr501;
   197759     $and514 = $116 & $shl513;
   197760     $tobool515 = ($and514 | 0) == 0;
   197761     if ($tobool515) {
   197762       label = 113;
   197763       break;
   197764     } else {
   197765       label = 114;
   197766       break;
   197767     }
   197768    case 113:
   197769     $or519 = $116 | $shl513;
   197770     HEAP32[40816] = $or519;
   197771     $F511_0 = $115;
   197772     label = 116;
   197773     break;
   197774    case 114:
   197775     $arrayidx510_sum12 = $shl508 + 2 | 0;
   197776     $117 = 163304 + ($arrayidx510_sum12 << 2) | 0;
   197777     $118 = HEAP32[$117 >> 2] | 0;
   197778     $119 = $118;
   197779     $120 = HEAP32[40820] | 0;
   197780     $cmp523 = $119 >>> 0 < $120 >>> 0;
   197781     if ($cmp523) {
   197782       label = 115;
   197783       break;
   197784     } else {
   197785       $F511_0 = $118;
   197786       label = 116;
   197787       break;
   197788     }
   197789    case 115:
   197790     _abort();
   197791    case 116:
   197792     $arrayidx510_sum = $shl508 + 2 | 0;
   197793     $121 = 163304 + ($arrayidx510_sum << 2) | 0;
   197794     HEAP32[$121 >> 2] = $p_addr_0;
   197795     $bk533 = $F511_0 + 12 | 0;
   197796     HEAP32[$bk533 >> 2] = $p_addr_0;
   197797     $fd534 = $p_addr_0 + 8 | 0;
   197798     HEAP32[$fd534 >> 2] = $F511_0;
   197799     $bk535 = $p_addr_0 + 12 | 0;
   197800     HEAP32[$bk535 >> 2] = $115;
   197801     label = 135;
   197802     break;
   197803    case 117:
   197804     $122 = $p_addr_0;
   197805     $shr540 = $psize_addr_1 >>> 8;
   197806     $cmp541 = ($shr540 | 0) == 0;
   197807     if ($cmp541) {
   197808       $I539_0 = 0;
   197809       label = 120;
   197810       break;
   197811     } else {
   197812       label = 118;
   197813       break;
   197814     }
   197815    case 118:
   197816     $cmp545 = $psize_addr_1 >>> 0 > 16777215;
   197817     if ($cmp545) {
   197818       $I539_0 = 31;
   197819       label = 120;
   197820       break;
   197821     } else {
   197822       label = 119;
   197823       break;
   197824     }
   197825    case 119:
   197826     $sub = $shr540 + 1048320 | 0;
   197827     $shr549 = $sub >>> 16;
   197828     $and550 = $shr549 & 8;
   197829     $shl551 = $shr540 << $and550;
   197830     $sub552 = $shl551 + 520192 | 0;
   197831     $shr553 = $sub552 >>> 16;
   197832     $and554 = $shr553 & 4;
   197833     $add555 = $and554 | $and550;
   197834     $shl556 = $shl551 << $and554;
   197835     $sub557 = $shl556 + 245760 | 0;
   197836     $shr558 = $sub557 >>> 16;
   197837     $and559 = $shr558 & 2;
   197838     $add560 = $add555 | $and559;
   197839     $sub561 = 14 - $add560 | 0;
   197840     $shl562 = $shl556 << $and559;
   197841     $shr563 = $shl562 >>> 15;
   197842     $add564 = $sub561 + $shr563 | 0;
   197843     $shl565 = $add564 << 1;
   197844     $add566 = $add564 + 7 | 0;
   197845     $shr567 = $psize_addr_1 >>> ($add566 >>> 0);
   197846     $and568 = $shr567 & 1;
   197847     $add569 = $and568 | $shl565;
   197848     $I539_0 = $add569;
   197849     label = 120;
   197850     break;
   197851    case 120:
   197852     $arrayidx573 = 163568 + ($I539_0 << 2) | 0;
   197853     $index574 = $p_addr_0 + 28 | 0;
   197854     $I539_0_c = $I539_0;
   197855     HEAP32[$index574 >> 2] = $I539_0_c;
   197856     $arrayidx576 = $p_addr_0 + 20 | 0;
   197857     HEAP32[$arrayidx576 >> 2] = 0;
   197858     $123 = $p_addr_0 + 16 | 0;
   197859     HEAP32[$123 >> 2] = 0;
   197860     $124 = HEAP32[40817] | 0;
   197861     $shl580 = 1 << $I539_0;
   197862     $and581 = $124 & $shl580;
   197863     $tobool582 = ($and581 | 0) == 0;
   197864     if ($tobool582) {
   197865       label = 121;
   197866       break;
   197867     } else {
   197868       label = 122;
   197869       break;
   197870     }
   197871    case 121:
   197872     $or586 = $124 | $shl580;
   197873     HEAP32[40817] = $or586;
   197874     HEAP32[$arrayidx573 >> 2] = $122;
   197875     $parent587 = $p_addr_0 + 24 | 0;
   197876     $_c = $arrayidx573;
   197877     HEAP32[$parent587 >> 2] = $_c;
   197878     $bk588 = $p_addr_0 + 12 | 0;
   197879     HEAP32[$bk588 >> 2] = $p_addr_0;
   197880     $fd589 = $p_addr_0 + 8 | 0;
   197881     HEAP32[$fd589 >> 2] = $p_addr_0;
   197882     label = 135;
   197883     break;
   197884    case 122:
   197885     $125 = HEAP32[$arrayidx573 >> 2] | 0;
   197886     $cmp592 = ($I539_0 | 0) == 31;
   197887     if ($cmp592) {
   197888       $cond = 0;
   197889       label = 124;
   197890       break;
   197891     } else {
   197892       label = 123;
   197893       break;
   197894     }
   197895    case 123:
   197896     $shr594 = $I539_0 >>> 1;
   197897     $sub597 = 25 - $shr594 | 0;
   197898     $cond = $sub597;
   197899     label = 124;
   197900     break;
   197901    case 124:
   197902     $shl598 = $psize_addr_1 << $cond;
   197903     $K591_0 = $shl598;
   197904     $T_0 = $125;
   197905     label = 125;
   197906     break;
   197907    case 125:
   197908     $head599 = $T_0 + 4 | 0;
   197909     $126 = HEAP32[$head599 >> 2] | 0;
   197910     $and600 = $126 & -8;
   197911     $cmp601 = ($and600 | 0) == ($psize_addr_1 | 0);
   197912     if ($cmp601) {
   197913       label = 130;
   197914       break;
   197915     } else {
   197916       label = 126;
   197917       break;
   197918     }
   197919    case 126:
   197920     $shr604 = $K591_0 >>> 31;
   197921     $arrayidx607 = $T_0 + 16 + ($shr604 << 2) | 0;
   197922     $127 = HEAP32[$arrayidx607 >> 2] | 0;
   197923     $cmp609 = ($127 | 0) == 0;
   197924     $shl608 = $K591_0 << 1;
   197925     if ($cmp609) {
   197926       label = 127;
   197927       break;
   197928     } else {
   197929       $K591_0 = $shl608;
   197930       $T_0 = $127;
   197931       label = 125;
   197932       break;
   197933     }
   197934    case 127:
   197935     $128 = $arrayidx607;
   197936     $129 = HEAP32[40820] | 0;
   197937     $cmp614 = $128 >>> 0 < $129 >>> 0;
   197938     if ($cmp614) {
   197939       label = 129;
   197940       break;
   197941     } else {
   197942       label = 128;
   197943       break;
   197944     }
   197945    case 128:
   197946     HEAP32[$arrayidx607 >> 2] = $122;
   197947     $parent619 = $p_addr_0 + 24 | 0;
   197948     $T_0_c9 = $T_0;
   197949     HEAP32[$parent619 >> 2] = $T_0_c9;
   197950     $bk620 = $p_addr_0 + 12 | 0;
   197951     HEAP32[$bk620 >> 2] = $p_addr_0;
   197952     $fd621 = $p_addr_0 + 8 | 0;
   197953     HEAP32[$fd621 >> 2] = $p_addr_0;
   197954     label = 135;
   197955     break;
   197956    case 129:
   197957     _abort();
   197958    case 130:
   197959     $fd626 = $T_0 + 8 | 0;
   197960     $130 = HEAP32[$fd626 >> 2] | 0;
   197961     $131 = $T_0;
   197962     $132 = HEAP32[40820] | 0;
   197963     $cmp628 = $131 >>> 0 < $132 >>> 0;
   197964     if ($cmp628) {
   197965       label = 133;
   197966       break;
   197967     } else {
   197968       label = 131;
   197969       break;
   197970     }
   197971    case 131:
   197972     $133 = $130;
   197973     $cmp632 = $133 >>> 0 < $132 >>> 0;
   197974     if ($cmp632) {
   197975       label = 133;
   197976       break;
   197977     } else {
   197978       label = 132;
   197979       break;
   197980     }
   197981    case 132:
   197982     $bk639 = $130 + 12 | 0;
   197983     HEAP32[$bk639 >> 2] = $122;
   197984     HEAP32[$fd626 >> 2] = $122;
   197985     $fd641 = $p_addr_0 + 8 | 0;
   197986     $_c8 = $130;
   197987     HEAP32[$fd641 >> 2] = $_c8;
   197988     $bk642 = $p_addr_0 + 12 | 0;
   197989     $T_0_c = $T_0;
   197990     HEAP32[$bk642 >> 2] = $T_0_c;
   197991     $parent643 = $p_addr_0 + 24 | 0;
   197992     HEAP32[$parent643 >> 2] = 0;
   197993     label = 135;
   197994     break;
   197995    case 133:
   197996     _abort();
   197997    case 134:
   197998     _abort();
   197999    case 135:
   198000     return;
   198001   }
   198002 }
   198003 function _init_top($p, $psize) {
   198004   $p = $p | 0;
   198005   $psize = $psize | 0;
   198006   var $0 = 0, $add_ptr = 0, $1 = 0, $and = 0, $cmp = 0, $2 = 0, $and3 = 0, $cond = 0, $add_ptr4 = 0, $3 = 0, $sub5 = 0, $or = 0, $add_ptr4_sum = 0, $head = 0, $4 = 0, $add_ptr6_sum = 0, $head7 = 0, $5 = 0, $6 = 0, label = 0;
   198007   label = 2;
   198008   while (1) switch (label | 0) {
   198009    case 2:
   198010     $0 = $p;
   198011     $add_ptr = $p + 8 | 0;
   198012     $1 = $add_ptr;
   198013     $and = $1 & 7;
   198014     $cmp = ($and | 0) == 0;
   198015     if ($cmp) {
   198016       $cond = 0;
   198017       label = 4;
   198018       break;
   198019     } else {
   198020       label = 3;
   198021       break;
   198022     }
   198023    case 3:
   198024     $2 = -$1 | 0;
   198025     $and3 = $2 & 7;
   198026     $cond = $and3;
   198027     label = 4;
   198028     break;
   198029    case 4:
   198030     $add_ptr4 = $0 + $cond | 0;
   198031     $3 = $add_ptr4;
   198032     $sub5 = $psize - $cond | 0;
   198033     HEAP32[40822] = $3;
   198034     HEAP32[40819] = $sub5;
   198035     $or = $sub5 | 1;
   198036     $add_ptr4_sum = $cond + 4 | 0;
   198037     $head = $0 + $add_ptr4_sum | 0;
   198038     $4 = $head;
   198039     HEAP32[$4 >> 2] = $or;
   198040     $add_ptr6_sum = $psize + 4 | 0;
   198041     $head7 = $0 + $add_ptr6_sum | 0;
   198042     $5 = $head7;
   198043     HEAP32[$5 >> 2] = 40;
   198044     $6 = HEAP32[2806] | 0;
   198045     HEAP32[40823] = $6;
   198046     return;
   198047   }
   198048 }
   198049 function _init_bins() {
   198050   var $i_02 = 0, $shl = 0, $arrayidx = 0, $0 = 0, $arrayidx_sum = 0, $1 = 0, $arrayidx_sum1 = 0, $2 = 0, $inc = 0, $cmp = 0, label = 0;
   198051   label = 2;
   198052   while (1) switch (label | 0) {
   198053    case 2:
   198054     $i_02 = 0;
   198055     label = 3;
   198056     break;
   198057    case 3:
   198058     $shl = $i_02 << 1;
   198059     $arrayidx = 163304 + ($shl << 2) | 0;
   198060     $0 = $arrayidx;
   198061     $arrayidx_sum = $shl + 3 | 0;
   198062     $1 = 163304 + ($arrayidx_sum << 2) | 0;
   198063     HEAP32[$1 >> 2] = $0;
   198064     $arrayidx_sum1 = $shl + 2 | 0;
   198065     $2 = 163304 + ($arrayidx_sum1 << 2) | 0;
   198066     HEAP32[$2 >> 2] = $0;
   198067     $inc = $i_02 + 1 | 0;
   198068     $cmp = $inc >>> 0 < 32;
   198069     if ($cmp) {
   198070       $i_02 = $inc;
   198071       label = 3;
   198072       break;
   198073     } else {
   198074       label = 4;
   198075       break;
   198076     }
   198077    case 4:
   198078     return;
   198079   }
   198080 }
   198081 function _prepend_alloc($newbase, $oldbase, $nb) {
   198082   $newbase = $newbase | 0;
   198083   $oldbase = $oldbase | 0;
   198084   $nb = $nb | 0;
   198085   var $add_ptr = 0, $0 = 0, $and = 0, $cmp = 0, $1 = 0, $and3 = 0, $cond = 0, $add_ptr4 = 0, $add_ptr5 = 0, $2 = 0, $and6 = 0, $cmp7 = 0, $3 = 0, $and13 = 0, $cond15 = 0, $add_ptr16 = 0, $4 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $add_ptr4_sum = 0, $add_ptr17 = 0, $5 = 0, $sub18 = 0, $or19 = 0, $add_ptr4_sum1 = 0, $head = 0, $6 = 0, $7 = 0, $cmp20 = 0, $8 = 0, $add = 0, $or22 = 0, $add_ptr17_sum39 = 0, $head23 = 0, $9 = 0, $10 = 0, $cmp24 = 0, $11 = 0, $add26 = 0, $or28 = 0, $add_ptr17_sum37 = 0, $head29 = 0, $12 = 0, $add_ptr17_sum38 = 0, $add_ptr30 = 0, $prev_foot = 0, $add_ptr16_sum = 0, $head32 = 0, $13 = 0, $14 = 0, $and33 = 0, $cmp34 = 0, $and37 = 0, $shr = 0, $cmp38 = 0, $add_ptr16_sum3233 = 0, $fd = 0, $15 = 0, $16 = 0, $add_ptr16_sum34 = 0, $bk = 0, $17 = 0, $18 = 0, $shl = 0, $arrayidx = 0, $19 = 0, $cmp41 = 0, $20 = 0, $21 = 0, $cmp42 = 0, $bk43 = 0, $22 = 0, $cmp44 = 0, $cmp46 = 0, $shl48 = 0, $neg = 0, $23 = 0, $and49 = 0, $cmp54 = 0, $24 = 0, $25 = 0, $cmp57 = 0, $fd59 = 0, $26 = 0, $cmp60 = 0, $bk67 = 0, $fd68 = 0, $27 = 0, $add_ptr16_sum23 = 0, $parent = 0, $28 = 0, $29 = 0, $add_ptr16_sum4 = 0, $bk74 = 0, $30 = 0, $31 = 0, $cmp75 = 0, $add_ptr16_sum2930 = 0, $fd78 = 0, $32 = 0, $33 = 0, $34 = 0, $35 = 0, $cmp81 = 0, $bk82 = 0, $36 = 0, $cmp83 = 0, $fd85 = 0, $37 = 0, $cmp86 = 0, $add_ptr16_sum56 = 0, $child_sum = 0, $arrayidx96 = 0, $38 = 0, $39 = 0, $cmp97 = 0, $child = 0, $arrayidx99 = 0, $40 = 0, $cmp100 = 0, $RP_0 = 0, $R_0 = 0, $arrayidx103 = 0, $41 = 0, $cmp104 = 0, $arrayidx107 = 0, $42 = 0, $cmp108 = 0, $CP_0 = 0, $43 = 0, $44 = 0, $45 = 0, $cmp112 = 0, $R_1 = 0, $cmp120 = 0, $add_ptr16_sum26 = 0, $index = 0, $46 = 0, $47 = 0, $arrayidx123 = 0, $48 = 0, $cmp124 = 0, $cond41 = 0, $49 = 0, $shl131 = 0, $neg132 = 0, $50 = 0, $and133 = 0, $51 = 0, $52 = 0, $cmp137 = 0, $arrayidx143 = 0, $53 = 0, $cmp144 = 0, $arrayidx151 = 0, $cmp156 = 0, $54 = 0, $55 = 0, $cmp160 = 0, $parent165 = 0, $add_ptr16_sum2728 = 0, $child166 = 0, $arrayidx167 = 0, $56 = 0, $cmp168 = 0, $57 = 0, $58 = 0, $cmp172 = 0, $arrayidx178 = 0, $parent179 = 0, $child166_sum = 0, $arrayidx184 = 0, $59 = 0, $60 = 0, $cmp185 = 0, $61 = 0, $62 = 0, $cmp189 = 0, $arrayidx195 = 0, $parent196 = 0, $add_ptr16_sum7 = 0, $add_ptr205 = 0, $63 = 0, $add206 = 0, $qsize_0 = 0, $oldfirst_0 = 0, $head208 = 0, $64 = 0, $and209 = 0, $or210 = 0, $add_ptr17_sum = 0, $head211 = 0, $65 = 0, $add_ptr17_sum8 = 0, $add_ptr212 = 0, $prev_foot213 = 0, $shr214 = 0, $cmp215 = 0, $shl221 = 0, $arrayidx223 = 0, $66 = 0, $67 = 0, $shl226 = 0, $and227 = 0, $tobool228 = 0, $or232 = 0, $arrayidx223_sum25 = 0, $68 = 0, $69 = 0, $70 = 0, $71 = 0, $cmp236 = 0, $F224_0 = 0, $arrayidx223_sum = 0, $72 = 0, $bk246 = 0, $add_ptr17_sum23 = 0, $fd247 = 0, $73 = 0, $add_ptr17_sum24 = 0, $bk248 = 0, $74 = 0, $75 = 0, $shr253 = 0, $cmp254 = 0, $cmp258 = 0, $sub262 = 0, $shr263 = 0, $and264 = 0, $shl265 = 0, $sub266 = 0, $shr267 = 0, $and268 = 0, $add269 = 0, $shl270 = 0, $sub271 = 0, $shr272 = 0, $and273 = 0, $add274 = 0, $sub275 = 0, $shl276 = 0, $shr277 = 0, $add278 = 0, $shl279 = 0, $add280 = 0, $shr281 = 0, $and282 = 0, $add283 = 0, $I252_0 = 0, $arrayidx287 = 0, $add_ptr17_sum9 = 0, $index288 = 0, $76 = 0, $add_ptr17_sum10 = 0, $child289 = 0, $child289_sum = 0, $arrayidx290 = 0, $77 = 0, $arrayidx292 = 0, $78 = 0, $shl294 = 0, $and295 = 0, $tobool296 = 0, $or300 = 0, $79 = 0, $add_ptr17_sum11 = 0, $parent301 = 0, $80 = 0, $add_ptr17_sum12 = 0, $bk302 = 0, $81 = 0, $add_ptr17_sum13 = 0, $fd303 = 0, $82 = 0, $83 = 0, $cmp306 = 0, $shr310 = 0, $sub313 = 0, $cond315 = 0, $shl316 = 0, $T_0 = 0, $K305_0 = 0, $head317 = 0, $84 = 0, $and318 = 0, $cmp319 = 0, $shr322 = 0, $arrayidx325 = 0, $85 = 0, $cmp327 = 0, $shl326 = 0, $86 = 0, $87 = 0, $cmp332 = 0, $add_ptr17_sum20 = 0, $parent337 = 0, $88 = 0, $add_ptr17_sum21 = 0, $bk338 = 0, $89 = 0, $add_ptr17_sum22 = 0, $fd339 = 0, $90 = 0, $fd344 = 0, $91 = 0, $92 = 0, $93 = 0, $cmp346 = 0, $94 = 0, $cmp350 = 0, $bk357 = 0, $add_ptr17_sum17 = 0, $fd359 = 0, $95 = 0, $add_ptr17_sum18 = 0, $bk360 = 0, $96 = 0, $add_ptr17_sum19 = 0, $parent361 = 0, $97 = 0, $add_ptr4_sum1415 = 0, $add_ptr368 = 0, label = 0;
   198086   label = 2;
   198087   while (1) switch (label | 0) {
   198088    case 2:
   198089     $add_ptr = $newbase + 8 | 0;
   198090     $0 = $add_ptr;
   198091     $and = $0 & 7;
   198092     $cmp = ($and | 0) == 0;
   198093     if ($cmp) {
   198094       $cond = 0;
   198095       label = 4;
   198096       break;
   198097     } else {
   198098       label = 3;
   198099       break;
   198100     }
   198101    case 3:
   198102     $1 = -$0 | 0;
   198103     $and3 = $1 & 7;
   198104     $cond = $and3;
   198105     label = 4;
   198106     break;
   198107    case 4:
   198108     $add_ptr4 = $newbase + $cond | 0;
   198109     $add_ptr5 = $oldbase + 8 | 0;
   198110     $2 = $add_ptr5;
   198111     $and6 = $2 & 7;
   198112     $cmp7 = ($and6 | 0) == 0;
   198113     if ($cmp7) {
   198114       $cond15 = 0;
   198115       label = 6;
   198116       break;
   198117     } else {
   198118       label = 5;
   198119       break;
   198120     }
   198121    case 5:
   198122     $3 = -$2 | 0;
   198123     $and13 = $3 & 7;
   198124     $cond15 = $and13;
   198125     label = 6;
   198126     break;
   198127    case 6:
   198128     $add_ptr16 = $oldbase + $cond15 | 0;
   198129     $4 = $add_ptr16;
   198130     $sub_ptr_lhs_cast = $add_ptr16;
   198131     $sub_ptr_rhs_cast = $add_ptr4;
   198132     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   198133     $add_ptr4_sum = $cond + $nb | 0;
   198134     $add_ptr17 = $newbase + $add_ptr4_sum | 0;
   198135     $5 = $add_ptr17;
   198136     $sub18 = $sub_ptr_sub - $nb | 0;
   198137     $or19 = $nb | 3;
   198138     $add_ptr4_sum1 = $cond + 4 | 0;
   198139     $head = $newbase + $add_ptr4_sum1 | 0;
   198140     $6 = $head;
   198141     HEAP32[$6 >> 2] = $or19;
   198142     $7 = HEAP32[40822] | 0;
   198143     $cmp20 = ($4 | 0) == ($7 | 0);
   198144     if ($cmp20) {
   198145       label = 7;
   198146       break;
   198147     } else {
   198148       label = 8;
   198149       break;
   198150     }
   198151    case 7:
   198152     $8 = HEAP32[40819] | 0;
   198153     $add = $8 + $sub18 | 0;
   198154     HEAP32[40819] = $add;
   198155     HEAP32[40822] = $5;
   198156     $or22 = $add | 1;
   198157     $add_ptr17_sum39 = $add_ptr4_sum + 4 | 0;
   198158     $head23 = $newbase + $add_ptr17_sum39 | 0;
   198159     $9 = $head23;
   198160     HEAP32[$9 >> 2] = $or22;
   198161     label = 81;
   198162     break;
   198163    case 8:
   198164     $10 = HEAP32[40821] | 0;
   198165     $cmp24 = ($4 | 0) == ($10 | 0);
   198166     if ($cmp24) {
   198167       label = 9;
   198168       break;
   198169     } else {
   198170       label = 10;
   198171       break;
   198172     }
   198173    case 9:
   198174     $11 = HEAP32[40818] | 0;
   198175     $add26 = $11 + $sub18 | 0;
   198176     HEAP32[40818] = $add26;
   198177     HEAP32[40821] = $5;
   198178     $or28 = $add26 | 1;
   198179     $add_ptr17_sum37 = $add_ptr4_sum + 4 | 0;
   198180     $head29 = $newbase + $add_ptr17_sum37 | 0;
   198181     $12 = $head29;
   198182     HEAP32[$12 >> 2] = $or28;
   198183     $add_ptr17_sum38 = $add26 + $add_ptr4_sum | 0;
   198184     $add_ptr30 = $newbase + $add_ptr17_sum38 | 0;
   198185     $prev_foot = $add_ptr30;
   198186     HEAP32[$prev_foot >> 2] = $add26;
   198187     label = 81;
   198188     break;
   198189    case 10:
   198190     $add_ptr16_sum = $cond15 + 4 | 0;
   198191     $head32 = $oldbase + $add_ptr16_sum | 0;
   198192     $13 = $head32;
   198193     $14 = HEAP32[$13 >> 2] | 0;
   198194     $and33 = $14 & 3;
   198195     $cmp34 = ($and33 | 0) == 1;
   198196     if ($cmp34) {
   198197       label = 11;
   198198       break;
   198199     } else {
   198200       $oldfirst_0 = $4;
   198201       $qsize_0 = $sub18;
   198202       label = 58;
   198203       break;
   198204     }
   198205    case 11:
   198206     $and37 = $14 & -8;
   198207     $shr = $14 >>> 3;
   198208     $cmp38 = $14 >>> 0 < 256;
   198209     if ($cmp38) {
   198210       label = 12;
   198211       break;
   198212     } else {
   198213       label = 23;
   198214       break;
   198215     }
   198216    case 12:
   198217     $add_ptr16_sum3233 = $cond15 | 8;
   198218     $fd = $oldbase + $add_ptr16_sum3233 | 0;
   198219     $15 = $fd;
   198220     $16 = HEAP32[$15 >> 2] | 0;
   198221     $add_ptr16_sum34 = $cond15 + 12 | 0;
   198222     $bk = $oldbase + $add_ptr16_sum34 | 0;
   198223     $17 = $bk;
   198224     $18 = HEAP32[$17 >> 2] | 0;
   198225     $shl = $shr << 1;
   198226     $arrayidx = 163304 + ($shl << 2) | 0;
   198227     $19 = $arrayidx;
   198228     $cmp41 = ($16 | 0) == ($19 | 0);
   198229     if ($cmp41) {
   198230       label = 15;
   198231       break;
   198232     } else {
   198233       label = 13;
   198234       break;
   198235     }
   198236    case 13:
   198237     $20 = $16;
   198238     $21 = HEAP32[40820] | 0;
   198239     $cmp42 = $20 >>> 0 < $21 >>> 0;
   198240     if ($cmp42) {
   198241       label = 22;
   198242       break;
   198243     } else {
   198244       label = 14;
   198245       break;
   198246     }
   198247    case 14:
   198248     $bk43 = $16 + 12 | 0;
   198249     $22 = HEAP32[$bk43 >> 2] | 0;
   198250     $cmp44 = ($22 | 0) == ($4 | 0);
   198251     if ($cmp44) {
   198252       label = 15;
   198253       break;
   198254     } else {
   198255       label = 22;
   198256       break;
   198257     }
   198258    case 15:
   198259     $cmp46 = ($18 | 0) == ($16 | 0);
   198260     if ($cmp46) {
   198261       label = 16;
   198262       break;
   198263     } else {
   198264       label = 17;
   198265       break;
   198266     }
   198267    case 16:
   198268     $shl48 = 1 << $shr;
   198269     $neg = $shl48 ^ -1;
   198270     $23 = HEAP32[40816] | 0;
   198271     $and49 = $23 & $neg;
   198272     HEAP32[40816] = $and49;
   198273     label = 57;
   198274     break;
   198275    case 17:
   198276     $cmp54 = ($18 | 0) == ($19 | 0);
   198277     if ($cmp54) {
   198278       label = 20;
   198279       break;
   198280     } else {
   198281       label = 18;
   198282       break;
   198283     }
   198284    case 18:
   198285     $24 = $18;
   198286     $25 = HEAP32[40820] | 0;
   198287     $cmp57 = $24 >>> 0 < $25 >>> 0;
   198288     if ($cmp57) {
   198289       label = 21;
   198290       break;
   198291     } else {
   198292       label = 19;
   198293       break;
   198294     }
   198295    case 19:
   198296     $fd59 = $18 + 8 | 0;
   198297     $26 = HEAP32[$fd59 >> 2] | 0;
   198298     $cmp60 = ($26 | 0) == ($4 | 0);
   198299     if ($cmp60) {
   198300       label = 20;
   198301       break;
   198302     } else {
   198303       label = 21;
   198304       break;
   198305     }
   198306    case 20:
   198307     $bk67 = $16 + 12 | 0;
   198308     HEAP32[$bk67 >> 2] = $18;
   198309     $fd68 = $18 + 8 | 0;
   198310     HEAP32[$fd68 >> 2] = $16;
   198311     label = 57;
   198312     break;
   198313    case 21:
   198314     _abort();
   198315     return 0;
   198316     return 0;
   198317    case 22:
   198318     _abort();
   198319     return 0;
   198320     return 0;
   198321    case 23:
   198322     $27 = $add_ptr16;
   198323     $add_ptr16_sum23 = $cond15 | 24;
   198324     $parent = $oldbase + $add_ptr16_sum23 | 0;
   198325     $28 = $parent;
   198326     $29 = HEAP32[$28 >> 2] | 0;
   198327     $add_ptr16_sum4 = $cond15 + 12 | 0;
   198328     $bk74 = $oldbase + $add_ptr16_sum4 | 0;
   198329     $30 = $bk74;
   198330     $31 = HEAP32[$30 >> 2] | 0;
   198331     $cmp75 = ($31 | 0) == ($27 | 0);
   198332     if ($cmp75) {
   198333       label = 29;
   198334       break;
   198335     } else {
   198336       label = 24;
   198337       break;
   198338     }
   198339    case 24:
   198340     $add_ptr16_sum2930 = $cond15 | 8;
   198341     $fd78 = $oldbase + $add_ptr16_sum2930 | 0;
   198342     $32 = $fd78;
   198343     $33 = HEAP32[$32 >> 2] | 0;
   198344     $34 = $33;
   198345     $35 = HEAP32[40820] | 0;
   198346     $cmp81 = $34 >>> 0 < $35 >>> 0;
   198347     if ($cmp81) {
   198348       label = 28;
   198349       break;
   198350     } else {
   198351       label = 25;
   198352       break;
   198353     }
   198354    case 25:
   198355     $bk82 = $33 + 12 | 0;
   198356     $36 = HEAP32[$bk82 >> 2] | 0;
   198357     $cmp83 = ($36 | 0) == ($27 | 0);
   198358     if ($cmp83) {
   198359       label = 26;
   198360       break;
   198361     } else {
   198362       label = 28;
   198363       break;
   198364     }
   198365    case 26:
   198366     $fd85 = $31 + 8 | 0;
   198367     $37 = HEAP32[$fd85 >> 2] | 0;
   198368     $cmp86 = ($37 | 0) == ($27 | 0);
   198369     if ($cmp86) {
   198370       label = 27;
   198371       break;
   198372     } else {
   198373       label = 28;
   198374       break;
   198375     }
   198376    case 27:
   198377     HEAP32[$bk82 >> 2] = $31;
   198378     HEAP32[$fd85 >> 2] = $33;
   198379     $R_1 = $31;
   198380     label = 37;
   198381     break;
   198382    case 28:
   198383     _abort();
   198384     return 0;
   198385     return 0;
   198386    case 29:
   198387     $add_ptr16_sum56 = $cond15 | 16;
   198388     $child_sum = $add_ptr16_sum56 + 4 | 0;
   198389     $arrayidx96 = $oldbase + $child_sum | 0;
   198390     $38 = $arrayidx96;
   198391     $39 = HEAP32[$38 >> 2] | 0;
   198392     $cmp97 = ($39 | 0) == 0;
   198393     if ($cmp97) {
   198394       label = 30;
   198395       break;
   198396     } else {
   198397       $R_0 = $39;
   198398       $RP_0 = $38;
   198399       label = 31;
   198400       break;
   198401     }
   198402    case 30:
   198403     $child = $oldbase + $add_ptr16_sum56 | 0;
   198404     $arrayidx99 = $child;
   198405     $40 = HEAP32[$arrayidx99 >> 2] | 0;
   198406     $cmp100 = ($40 | 0) == 0;
   198407     if ($cmp100) {
   198408       $R_1 = 0;
   198409       label = 37;
   198410       break;
   198411     } else {
   198412       $R_0 = $40;
   198413       $RP_0 = $arrayidx99;
   198414       label = 31;
   198415       break;
   198416     }
   198417    case 31:
   198418     $arrayidx103 = $R_0 + 20 | 0;
   198419     $41 = HEAP32[$arrayidx103 >> 2] | 0;
   198420     $cmp104 = ($41 | 0) == 0;
   198421     if ($cmp104) {
   198422       label = 32;
   198423       break;
   198424     } else {
   198425       $CP_0 = $arrayidx103;
   198426       label = 33;
   198427       break;
   198428     }
   198429    case 32:
   198430     $arrayidx107 = $R_0 + 16 | 0;
   198431     $42 = HEAP32[$arrayidx107 >> 2] | 0;
   198432     $cmp108 = ($42 | 0) == 0;
   198433     if ($cmp108) {
   198434       label = 34;
   198435       break;
   198436     } else {
   198437       $CP_0 = $arrayidx107;
   198438       label = 33;
   198439       break;
   198440     }
   198441    case 33:
   198442     $43 = HEAP32[$CP_0 >> 2] | 0;
   198443     $R_0 = $43;
   198444     $RP_0 = $CP_0;
   198445     label = 31;
   198446     break;
   198447    case 34:
   198448     $44 = $RP_0;
   198449     $45 = HEAP32[40820] | 0;
   198450     $cmp112 = $44 >>> 0 < $45 >>> 0;
   198451     if ($cmp112) {
   198452       label = 36;
   198453       break;
   198454     } else {
   198455       label = 35;
   198456       break;
   198457     }
   198458    case 35:
   198459     HEAP32[$RP_0 >> 2] = 0;
   198460     $R_1 = $R_0;
   198461     label = 37;
   198462     break;
   198463    case 36:
   198464     _abort();
   198465     return 0;
   198466     return 0;
   198467    case 37:
   198468     $cmp120 = ($29 | 0) == 0;
   198469     if ($cmp120) {
   198470       label = 57;
   198471       break;
   198472     } else {
   198473       label = 38;
   198474       break;
   198475     }
   198476    case 38:
   198477     $add_ptr16_sum26 = $cond15 + 28 | 0;
   198478     $index = $oldbase + $add_ptr16_sum26 | 0;
   198479     $46 = $index;
   198480     $47 = HEAP32[$46 >> 2] | 0;
   198481     $arrayidx123 = 163568 + ($47 << 2) | 0;
   198482     $48 = HEAP32[$arrayidx123 >> 2] | 0;
   198483     $cmp124 = ($27 | 0) == ($48 | 0);
   198484     if ($cmp124) {
   198485       label = 39;
   198486       break;
   198487     } else {
   198488       label = 41;
   198489       break;
   198490     }
   198491    case 39:
   198492     HEAP32[$arrayidx123 >> 2] = $R_1;
   198493     $cond41 = ($R_1 | 0) == 0;
   198494     if ($cond41) {
   198495       label = 40;
   198496       break;
   198497     } else {
   198498       label = 47;
   198499       break;
   198500     }
   198501    case 40:
   198502     $49 = HEAP32[$46 >> 2] | 0;
   198503     $shl131 = 1 << $49;
   198504     $neg132 = $shl131 ^ -1;
   198505     $50 = HEAP32[40817] | 0;
   198506     $and133 = $50 & $neg132;
   198507     HEAP32[40817] = $and133;
   198508     label = 57;
   198509     break;
   198510    case 41:
   198511     $51 = $29;
   198512     $52 = HEAP32[40820] | 0;
   198513     $cmp137 = $51 >>> 0 < $52 >>> 0;
   198514     if ($cmp137) {
   198515       label = 45;
   198516       break;
   198517     } else {
   198518       label = 42;
   198519       break;
   198520     }
   198521    case 42:
   198522     $arrayidx143 = $29 + 16 | 0;
   198523     $53 = HEAP32[$arrayidx143 >> 2] | 0;
   198524     $cmp144 = ($53 | 0) == ($27 | 0);
   198525     if ($cmp144) {
   198526       label = 43;
   198527       break;
   198528     } else {
   198529       label = 44;
   198530       break;
   198531     }
   198532    case 43:
   198533     HEAP32[$arrayidx143 >> 2] = $R_1;
   198534     label = 46;
   198535     break;
   198536    case 44:
   198537     $arrayidx151 = $29 + 20 | 0;
   198538     HEAP32[$arrayidx151 >> 2] = $R_1;
   198539     label = 46;
   198540     break;
   198541    case 45:
   198542     _abort();
   198543     return 0;
   198544     return 0;
   198545    case 46:
   198546     $cmp156 = ($R_1 | 0) == 0;
   198547     if ($cmp156) {
   198548       label = 57;
   198549       break;
   198550     } else {
   198551       label = 47;
   198552       break;
   198553     }
   198554    case 47:
   198555     $54 = $R_1;
   198556     $55 = HEAP32[40820] | 0;
   198557     $cmp160 = $54 >>> 0 < $55 >>> 0;
   198558     if ($cmp160) {
   198559       label = 56;
   198560       break;
   198561     } else {
   198562       label = 48;
   198563       break;
   198564     }
   198565    case 48:
   198566     $parent165 = $R_1 + 24 | 0;
   198567     HEAP32[$parent165 >> 2] = $29;
   198568     $add_ptr16_sum2728 = $cond15 | 16;
   198569     $child166 = $oldbase + $add_ptr16_sum2728 | 0;
   198570     $arrayidx167 = $child166;
   198571     $56 = HEAP32[$arrayidx167 >> 2] | 0;
   198572     $cmp168 = ($56 | 0) == 0;
   198573     if ($cmp168) {
   198574       label = 52;
   198575       break;
   198576     } else {
   198577       label = 49;
   198578       break;
   198579     }
   198580    case 49:
   198581     $57 = $56;
   198582     $58 = HEAP32[40820] | 0;
   198583     $cmp172 = $57 >>> 0 < $58 >>> 0;
   198584     if ($cmp172) {
   198585       label = 51;
   198586       break;
   198587     } else {
   198588       label = 50;
   198589       break;
   198590     }
   198591    case 50:
   198592     $arrayidx178 = $R_1 + 16 | 0;
   198593     HEAP32[$arrayidx178 >> 2] = $56;
   198594     $parent179 = $56 + 24 | 0;
   198595     HEAP32[$parent179 >> 2] = $R_1;
   198596     label = 52;
   198597     break;
   198598    case 51:
   198599     _abort();
   198600     return 0;
   198601     return 0;
   198602    case 52:
   198603     $child166_sum = $add_ptr16_sum2728 + 4 | 0;
   198604     $arrayidx184 = $oldbase + $child166_sum | 0;
   198605     $59 = $arrayidx184;
   198606     $60 = HEAP32[$59 >> 2] | 0;
   198607     $cmp185 = ($60 | 0) == 0;
   198608     if ($cmp185) {
   198609       label = 57;
   198610       break;
   198611     } else {
   198612       label = 53;
   198613       break;
   198614     }
   198615    case 53:
   198616     $61 = $60;
   198617     $62 = HEAP32[40820] | 0;
   198618     $cmp189 = $61 >>> 0 < $62 >>> 0;
   198619     if ($cmp189) {
   198620       label = 55;
   198621       break;
   198622     } else {
   198623       label = 54;
   198624       break;
   198625     }
   198626    case 54:
   198627     $arrayidx195 = $R_1 + 20 | 0;
   198628     HEAP32[$arrayidx195 >> 2] = $60;
   198629     $parent196 = $60 + 24 | 0;
   198630     HEAP32[$parent196 >> 2] = $R_1;
   198631     label = 57;
   198632     break;
   198633    case 55:
   198634     _abort();
   198635     return 0;
   198636     return 0;
   198637    case 56:
   198638     _abort();
   198639     return 0;
   198640     return 0;
   198641    case 57:
   198642     $add_ptr16_sum7 = $and37 | $cond15;
   198643     $add_ptr205 = $oldbase + $add_ptr16_sum7 | 0;
   198644     $63 = $add_ptr205;
   198645     $add206 = $and37 + $sub18 | 0;
   198646     $oldfirst_0 = $63;
   198647     $qsize_0 = $add206;
   198648     label = 58;
   198649     break;
   198650    case 58:
   198651     $head208 = $oldfirst_0 + 4 | 0;
   198652     $64 = HEAP32[$head208 >> 2] | 0;
   198653     $and209 = $64 & -2;
   198654     HEAP32[$head208 >> 2] = $and209;
   198655     $or210 = $qsize_0 | 1;
   198656     $add_ptr17_sum = $add_ptr4_sum + 4 | 0;
   198657     $head211 = $newbase + $add_ptr17_sum | 0;
   198658     $65 = $head211;
   198659     HEAP32[$65 >> 2] = $or210;
   198660     $add_ptr17_sum8 = $qsize_0 + $add_ptr4_sum | 0;
   198661     $add_ptr212 = $newbase + $add_ptr17_sum8 | 0;
   198662     $prev_foot213 = $add_ptr212;
   198663     HEAP32[$prev_foot213 >> 2] = $qsize_0;
   198664     $shr214 = $qsize_0 >>> 3;
   198665     $cmp215 = $qsize_0 >>> 0 < 256;
   198666     if ($cmp215) {
   198667       label = 59;
   198668       break;
   198669     } else {
   198670       label = 64;
   198671       break;
   198672     }
   198673    case 59:
   198674     $shl221 = $shr214 << 1;
   198675     $arrayidx223 = 163304 + ($shl221 << 2) | 0;
   198676     $66 = $arrayidx223;
   198677     $67 = HEAP32[40816] | 0;
   198678     $shl226 = 1 << $shr214;
   198679     $and227 = $67 & $shl226;
   198680     $tobool228 = ($and227 | 0) == 0;
   198681     if ($tobool228) {
   198682       label = 60;
   198683       break;
   198684     } else {
   198685       label = 61;
   198686       break;
   198687     }
   198688    case 60:
   198689     $or232 = $67 | $shl226;
   198690     HEAP32[40816] = $or232;
   198691     $F224_0 = $66;
   198692     label = 63;
   198693     break;
   198694    case 61:
   198695     $arrayidx223_sum25 = $shl221 + 2 | 0;
   198696     $68 = 163304 + ($arrayidx223_sum25 << 2) | 0;
   198697     $69 = HEAP32[$68 >> 2] | 0;
   198698     $70 = $69;
   198699     $71 = HEAP32[40820] | 0;
   198700     $cmp236 = $70 >>> 0 < $71 >>> 0;
   198701     if ($cmp236) {
   198702       label = 62;
   198703       break;
   198704     } else {
   198705       $F224_0 = $69;
   198706       label = 63;
   198707       break;
   198708     }
   198709    case 62:
   198710     _abort();
   198711     return 0;
   198712     return 0;
   198713    case 63:
   198714     $arrayidx223_sum = $shl221 + 2 | 0;
   198715     $72 = 163304 + ($arrayidx223_sum << 2) | 0;
   198716     HEAP32[$72 >> 2] = $5;
   198717     $bk246 = $F224_0 + 12 | 0;
   198718     HEAP32[$bk246 >> 2] = $5;
   198719     $add_ptr17_sum23 = $add_ptr4_sum + 8 | 0;
   198720     $fd247 = $newbase + $add_ptr17_sum23 | 0;
   198721     $73 = $fd247;
   198722     HEAP32[$73 >> 2] = $F224_0;
   198723     $add_ptr17_sum24 = $add_ptr4_sum + 12 | 0;
   198724     $bk248 = $newbase + $add_ptr17_sum24 | 0;
   198725     $74 = $bk248;
   198726     HEAP32[$74 >> 2] = $66;
   198727     label = 81;
   198728     break;
   198729    case 64:
   198730     $75 = $add_ptr17;
   198731     $shr253 = $qsize_0 >>> 8;
   198732     $cmp254 = ($shr253 | 0) == 0;
   198733     if ($cmp254) {
   198734       $I252_0 = 0;
   198735       label = 67;
   198736       break;
   198737     } else {
   198738       label = 65;
   198739       break;
   198740     }
   198741    case 65:
   198742     $cmp258 = $qsize_0 >>> 0 > 16777215;
   198743     if ($cmp258) {
   198744       $I252_0 = 31;
   198745       label = 67;
   198746       break;
   198747     } else {
   198748       label = 66;
   198749       break;
   198750     }
   198751    case 66:
   198752     $sub262 = $shr253 + 1048320 | 0;
   198753     $shr263 = $sub262 >>> 16;
   198754     $and264 = $shr263 & 8;
   198755     $shl265 = $shr253 << $and264;
   198756     $sub266 = $shl265 + 520192 | 0;
   198757     $shr267 = $sub266 >>> 16;
   198758     $and268 = $shr267 & 4;
   198759     $add269 = $and268 | $and264;
   198760     $shl270 = $shl265 << $and268;
   198761     $sub271 = $shl270 + 245760 | 0;
   198762     $shr272 = $sub271 >>> 16;
   198763     $and273 = $shr272 & 2;
   198764     $add274 = $add269 | $and273;
   198765     $sub275 = 14 - $add274 | 0;
   198766     $shl276 = $shl270 << $and273;
   198767     $shr277 = $shl276 >>> 15;
   198768     $add278 = $sub275 + $shr277 | 0;
   198769     $shl279 = $add278 << 1;
   198770     $add280 = $add278 + 7 | 0;
   198771     $shr281 = $qsize_0 >>> ($add280 >>> 0);
   198772     $and282 = $shr281 & 1;
   198773     $add283 = $and282 | $shl279;
   198774     $I252_0 = $add283;
   198775     label = 67;
   198776     break;
   198777    case 67:
   198778     $arrayidx287 = 163568 + ($I252_0 << 2) | 0;
   198779     $add_ptr17_sum9 = $add_ptr4_sum + 28 | 0;
   198780     $index288 = $newbase + $add_ptr17_sum9 | 0;
   198781     $76 = $index288;
   198782     HEAP32[$76 >> 2] = $I252_0;
   198783     $add_ptr17_sum10 = $add_ptr4_sum + 16 | 0;
   198784     $child289 = $newbase + $add_ptr17_sum10 | 0;
   198785     $child289_sum = $add_ptr4_sum + 20 | 0;
   198786     $arrayidx290 = $newbase + $child289_sum | 0;
   198787     $77 = $arrayidx290;
   198788     HEAP32[$77 >> 2] = 0;
   198789     $arrayidx292 = $child289;
   198790     HEAP32[$arrayidx292 >> 2] = 0;
   198791     $78 = HEAP32[40817] | 0;
   198792     $shl294 = 1 << $I252_0;
   198793     $and295 = $78 & $shl294;
   198794     $tobool296 = ($and295 | 0) == 0;
   198795     if ($tobool296) {
   198796       label = 68;
   198797       break;
   198798     } else {
   198799       label = 69;
   198800       break;
   198801     }
   198802    case 68:
   198803     $or300 = $78 | $shl294;
   198804     HEAP32[40817] = $or300;
   198805     HEAP32[$arrayidx287 >> 2] = $75;
   198806     $79 = $arrayidx287;
   198807     $add_ptr17_sum11 = $add_ptr4_sum + 24 | 0;
   198808     $parent301 = $newbase + $add_ptr17_sum11 | 0;
   198809     $80 = $parent301;
   198810     HEAP32[$80 >> 2] = $79;
   198811     $add_ptr17_sum12 = $add_ptr4_sum + 12 | 0;
   198812     $bk302 = $newbase + $add_ptr17_sum12 | 0;
   198813     $81 = $bk302;
   198814     HEAP32[$81 >> 2] = $75;
   198815     $add_ptr17_sum13 = $add_ptr4_sum + 8 | 0;
   198816     $fd303 = $newbase + $add_ptr17_sum13 | 0;
   198817     $82 = $fd303;
   198818     HEAP32[$82 >> 2] = $75;
   198819     label = 81;
   198820     break;
   198821    case 69:
   198822     $83 = HEAP32[$arrayidx287 >> 2] | 0;
   198823     $cmp306 = ($I252_0 | 0) == 31;
   198824     if ($cmp306) {
   198825       $cond315 = 0;
   198826       label = 71;
   198827       break;
   198828     } else {
   198829       label = 70;
   198830       break;
   198831     }
   198832    case 70:
   198833     $shr310 = $I252_0 >>> 1;
   198834     $sub313 = 25 - $shr310 | 0;
   198835     $cond315 = $sub313;
   198836     label = 71;
   198837     break;
   198838    case 71:
   198839     $shl316 = $qsize_0 << $cond315;
   198840     $K305_0 = $shl316;
   198841     $T_0 = $83;
   198842     label = 72;
   198843     break;
   198844    case 72:
   198845     $head317 = $T_0 + 4 | 0;
   198846     $84 = HEAP32[$head317 >> 2] | 0;
   198847     $and318 = $84 & -8;
   198848     $cmp319 = ($and318 | 0) == ($qsize_0 | 0);
   198849     if ($cmp319) {
   198850       label = 77;
   198851       break;
   198852     } else {
   198853       label = 73;
   198854       break;
   198855     }
   198856    case 73:
   198857     $shr322 = $K305_0 >>> 31;
   198858     $arrayidx325 = $T_0 + 16 + ($shr322 << 2) | 0;
   198859     $85 = HEAP32[$arrayidx325 >> 2] | 0;
   198860     $cmp327 = ($85 | 0) == 0;
   198861     $shl326 = $K305_0 << 1;
   198862     if ($cmp327) {
   198863       label = 74;
   198864       break;
   198865     } else {
   198866       $K305_0 = $shl326;
   198867       $T_0 = $85;
   198868       label = 72;
   198869       break;
   198870     }
   198871    case 74:
   198872     $86 = $arrayidx325;
   198873     $87 = HEAP32[40820] | 0;
   198874     $cmp332 = $86 >>> 0 < $87 >>> 0;
   198875     if ($cmp332) {
   198876       label = 76;
   198877       break;
   198878     } else {
   198879       label = 75;
   198880       break;
   198881     }
   198882    case 75:
   198883     HEAP32[$arrayidx325 >> 2] = $75;
   198884     $add_ptr17_sum20 = $add_ptr4_sum + 24 | 0;
   198885     $parent337 = $newbase + $add_ptr17_sum20 | 0;
   198886     $88 = $parent337;
   198887     HEAP32[$88 >> 2] = $T_0;
   198888     $add_ptr17_sum21 = $add_ptr4_sum + 12 | 0;
   198889     $bk338 = $newbase + $add_ptr17_sum21 | 0;
   198890     $89 = $bk338;
   198891     HEAP32[$89 >> 2] = $75;
   198892     $add_ptr17_sum22 = $add_ptr4_sum + 8 | 0;
   198893     $fd339 = $newbase + $add_ptr17_sum22 | 0;
   198894     $90 = $fd339;
   198895     HEAP32[$90 >> 2] = $75;
   198896     label = 81;
   198897     break;
   198898    case 76:
   198899     _abort();
   198900     return 0;
   198901     return 0;
   198902    case 77:
   198903     $fd344 = $T_0 + 8 | 0;
   198904     $91 = HEAP32[$fd344 >> 2] | 0;
   198905     $92 = $T_0;
   198906     $93 = HEAP32[40820] | 0;
   198907     $cmp346 = $92 >>> 0 < $93 >>> 0;
   198908     if ($cmp346) {
   198909       label = 80;
   198910       break;
   198911     } else {
   198912       label = 78;
   198913       break;
   198914     }
   198915    case 78:
   198916     $94 = $91;
   198917     $cmp350 = $94 >>> 0 < $93 >>> 0;
   198918     if ($cmp350) {
   198919       label = 80;
   198920       break;
   198921     } else {
   198922       label = 79;
   198923       break;
   198924     }
   198925    case 79:
   198926     $bk357 = $91 + 12 | 0;
   198927     HEAP32[$bk357 >> 2] = $75;
   198928     HEAP32[$fd344 >> 2] = $75;
   198929     $add_ptr17_sum17 = $add_ptr4_sum + 8 | 0;
   198930     $fd359 = $newbase + $add_ptr17_sum17 | 0;
   198931     $95 = $fd359;
   198932     HEAP32[$95 >> 2] = $91;
   198933     $add_ptr17_sum18 = $add_ptr4_sum + 12 | 0;
   198934     $bk360 = $newbase + $add_ptr17_sum18 | 0;
   198935     $96 = $bk360;
   198936     HEAP32[$96 >> 2] = $T_0;
   198937     $add_ptr17_sum19 = $add_ptr4_sum + 24 | 0;
   198938     $parent361 = $newbase + $add_ptr17_sum19 | 0;
   198939     $97 = $parent361;
   198940     HEAP32[$97 >> 2] = 0;
   198941     label = 81;
   198942     break;
   198943    case 80:
   198944     _abort();
   198945     return 0;
   198946     return 0;
   198947    case 81:
   198948     $add_ptr4_sum1415 = $cond | 8;
   198949     $add_ptr368 = $newbase + $add_ptr4_sum1415 | 0;
   198950     return $add_ptr368 | 0;
   198951   }
   198952   return 0;
   198953 }
   198954 function _add_segment($tbase, $tsize) {
   198955   $tbase = $tbase | 0;
   198956   $tsize = $tsize | 0;
   198957   var $0 = 0, $1 = 0, $call = 0, $base = 0, $2 = 0, $size = 0, $3 = 0, $add_ptr = 0, $add_ptr_sum = 0, $add_ptr2_sum = 0, $add_ptr3 = 0, $4 = 0, $and = 0, $cmp = 0, $5 = 0, $and6 = 0, $cond = 0, $add_ptr2_sum1 = 0, $add_ptr7 = 0, $add_ptr82 = 0, $add_ptr8 = 0, $cmp9 = 0, $cond13 = 0, $add_ptr14 = 0, $6 = 0, $7 = 0, $sub16 = 0, $head = 0, $8 = 0, $add_ptr2412 = 0, $9 = 0, $10 = 0, $cmp2713 = 0, $add_ptr2414 = 0, $11 = 0, $12 = 0, $13 = 0, $cmp27 = 0, $cmp28 = 0, $sub_ptr_lhs_cast = 0, $sub_ptr_rhs_cast = 0, $sub_ptr_sub = 0, $add_ptr30 = 0, $add_ptr30_sum = 0, $head31 = 0, $14 = 0, $15 = 0, $and32 = 0, $or33 = 0, $head34 = 0, $prev_foot = 0, $shr = 0, $cmp36 = 0, $shl = 0, $arrayidx = 0, $16 = 0, $17 = 0, $shl39 = 0, $and40 = 0, $tobool = 0, $or44 = 0, $arrayidx_sum10 = 0, $18 = 0, $19 = 0, $20 = 0, $21 = 0, $cmp46 = 0, $F_0 = 0, $arrayidx_sum = 0, $22 = 0, $bk = 0, $fd54 = 0, $bk55 = 0, $23 = 0, $shr58 = 0, $cmp59 = 0, $cmp63 = 0, $sub67 = 0, $shr68 = 0, $and69 = 0, $shl70 = 0, $sub71 = 0, $shr72 = 0, $and73 = 0, $add74 = 0, $shl75 = 0, $sub76 = 0, $shr77 = 0, $and78 = 0, $add79 = 0, $sub80 = 0, $shl81 = 0, $shr82 = 0, $add83 = 0, $shl84 = 0, $add85 = 0, $shr86 = 0, $and87 = 0, $add88 = 0, $I57_0 = 0, $arrayidx91 = 0, $index = 0, $I57_0_c = 0, $arrayidx92 = 0, $24 = 0, $25 = 0, $shl95 = 0, $and96 = 0, $tobool97 = 0, $or101 = 0, $parent = 0, $_c = 0, $bk102 = 0, $fd103 = 0, $26 = 0, $cmp106 = 0, $shr110 = 0, $sub113 = 0, $cond115 = 0, $shl116 = 0, $T_0 = 0, $K105_0 = 0, $head118 = 0, $27 = 0, $and119 = 0, $cmp120 = 0, $shr123 = 0, $arrayidx126 = 0, $28 = 0, $cmp128 = 0, $shl127 = 0, $29 = 0, $30 = 0, $cmp133 = 0, $parent138 = 0, $T_0_c7 = 0, $bk139 = 0, $fd140 = 0, $fd145 = 0, $31 = 0, $32 = 0, $33 = 0, $cmp147 = 0, $34 = 0, $cmp150 = 0, $bk155 = 0, $fd157 = 0, $_c6 = 0, $bk158 = 0, $T_0_c = 0, $parent159 = 0, label = 0;
   198958   label = 2;
   198959   while (1) switch (label | 0) {
   198960    case 2:
   198961     $0 = HEAP32[40822] | 0;
   198962     $1 = $0;
   198963     $call = _segment_holding($1) | 0;
   198964     $base = $call | 0;
   198965     $2 = HEAP32[$base >> 2] | 0;
   198966     $size = $call + 4 | 0;
   198967     $3 = HEAP32[$size >> 2] | 0;
   198968     $add_ptr = $2 + $3 | 0;
   198969     $add_ptr_sum = $3 - 47 | 0;
   198970     $add_ptr2_sum = $3 - 39 | 0;
   198971     $add_ptr3 = $2 + $add_ptr2_sum | 0;
   198972     $4 = $add_ptr3;
   198973     $and = $4 & 7;
   198974     $cmp = ($and | 0) == 0;
   198975     if ($cmp) {
   198976       $cond = 0;
   198977       label = 4;
   198978       break;
   198979     } else {
   198980       label = 3;
   198981       break;
   198982     }
   198983    case 3:
   198984     $5 = -$4 | 0;
   198985     $and6 = $5 & 7;
   198986     $cond = $and6;
   198987     label = 4;
   198988     break;
   198989    case 4:
   198990     $add_ptr2_sum1 = $add_ptr_sum + $cond | 0;
   198991     $add_ptr7 = $2 + $add_ptr2_sum1 | 0;
   198992     $add_ptr82 = $0 + 16 | 0;
   198993     $add_ptr8 = $add_ptr82;
   198994     $cmp9 = $add_ptr7 >>> 0 < $add_ptr8 >>> 0;
   198995     $cond13 = $cmp9 ? $1 : $add_ptr7;
   198996     $add_ptr14 = $cond13 + 8 | 0;
   198997     $6 = $add_ptr14;
   198998     $7 = $tbase;
   198999     $sub16 = $tsize - 40 | 0;
   199000     _init_top($7, $sub16);
   199001     $head = $cond13 + 4 | 0;
   199002     $8 = $head;
   199003     HEAP32[$8 >> 2] = 27;
   199004     HEAP32[$add_ptr14 >> 2] = HEAP32[40928] | 0;
   199005     HEAP32[$add_ptr14 + 4 >> 2] = HEAP32[163716 >> 2] | 0;
   199006     HEAP32[$add_ptr14 + 8 >> 2] = HEAP32[163720 >> 2] | 0;
   199007     HEAP32[$add_ptr14 + 12 >> 2] = HEAP32[163724 >> 2] | 0;
   199008     HEAP32[40928] = $tbase;
   199009     HEAP32[40929] = $tsize;
   199010     HEAP32[40931] = 0;
   199011     HEAP32[40930] = $6;
   199012     $add_ptr2412 = $cond13 + 28 | 0;
   199013     $9 = $add_ptr2412;
   199014     HEAP32[$9 >> 2] = 7;
   199015     $10 = $cond13 + 32 | 0;
   199016     $cmp2713 = $10 >>> 0 < $add_ptr >>> 0;
   199017     if ($cmp2713) {
   199018       $add_ptr2414 = $9;
   199019       label = 5;
   199020       break;
   199021     } else {
   199022       label = 6;
   199023       break;
   199024     }
   199025    case 5:
   199026     $11 = $add_ptr2414 + 4 | 0;
   199027     HEAP32[$11 >> 2] = 7;
   199028     $12 = $add_ptr2414 + 8 | 0;
   199029     $13 = $12;
   199030     $cmp27 = $13 >>> 0 < $add_ptr >>> 0;
   199031     if ($cmp27) {
   199032       $add_ptr2414 = $11;
   199033       label = 5;
   199034       break;
   199035     } else {
   199036       label = 6;
   199037       break;
   199038     }
   199039    case 6:
   199040     $cmp28 = ($cond13 | 0) == ($1 | 0);
   199041     if ($cmp28) {
   199042       label = 30;
   199043       break;
   199044     } else {
   199045       label = 7;
   199046       break;
   199047     }
   199048    case 7:
   199049     $sub_ptr_lhs_cast = $cond13;
   199050     $sub_ptr_rhs_cast = $0;
   199051     $sub_ptr_sub = $sub_ptr_lhs_cast - $sub_ptr_rhs_cast | 0;
   199052     $add_ptr30 = $1 + $sub_ptr_sub | 0;
   199053     $add_ptr30_sum = $sub_ptr_sub + 4 | 0;
   199054     $head31 = $1 + $add_ptr30_sum | 0;
   199055     $14 = $head31;
   199056     $15 = HEAP32[$14 >> 2] | 0;
   199057     $and32 = $15 & -2;
   199058     HEAP32[$14 >> 2] = $and32;
   199059     $or33 = $sub_ptr_sub | 1;
   199060     $head34 = $0 + 4 | 0;
   199061     HEAP32[$head34 >> 2] = $or33;
   199062     $prev_foot = $add_ptr30;
   199063     HEAP32[$prev_foot >> 2] = $sub_ptr_sub;
   199064     $shr = $sub_ptr_sub >>> 3;
   199065     $cmp36 = $sub_ptr_sub >>> 0 < 256;
   199066     if ($cmp36) {
   199067       label = 8;
   199068       break;
   199069     } else {
   199070       label = 13;
   199071       break;
   199072     }
   199073    case 8:
   199074     $shl = $shr << 1;
   199075     $arrayidx = 163304 + ($shl << 2) | 0;
   199076     $16 = $arrayidx;
   199077     $17 = HEAP32[40816] | 0;
   199078     $shl39 = 1 << $shr;
   199079     $and40 = $17 & $shl39;
   199080     $tobool = ($and40 | 0) == 0;
   199081     if ($tobool) {
   199082       label = 9;
   199083       break;
   199084     } else {
   199085       label = 10;
   199086       break;
   199087     }
   199088    case 9:
   199089     $or44 = $17 | $shl39;
   199090     HEAP32[40816] = $or44;
   199091     $F_0 = $16;
   199092     label = 12;
   199093     break;
   199094    case 10:
   199095     $arrayidx_sum10 = $shl + 2 | 0;
   199096     $18 = 163304 + ($arrayidx_sum10 << 2) | 0;
   199097     $19 = HEAP32[$18 >> 2] | 0;
   199098     $20 = $19;
   199099     $21 = HEAP32[40820] | 0;
   199100     $cmp46 = $20 >>> 0 < $21 >>> 0;
   199101     if ($cmp46) {
   199102       label = 11;
   199103       break;
   199104     } else {
   199105       $F_0 = $19;
   199106       label = 12;
   199107       break;
   199108     }
   199109    case 11:
   199110     _abort();
   199111    case 12:
   199112     $arrayidx_sum = $shl + 2 | 0;
   199113     $22 = 163304 + ($arrayidx_sum << 2) | 0;
   199114     HEAP32[$22 >> 2] = $0;
   199115     $bk = $F_0 + 12 | 0;
   199116     HEAP32[$bk >> 2] = $0;
   199117     $fd54 = $0 + 8 | 0;
   199118     HEAP32[$fd54 >> 2] = $F_0;
   199119     $bk55 = $0 + 12 | 0;
   199120     HEAP32[$bk55 >> 2] = $16;
   199121     label = 30;
   199122     break;
   199123    case 13:
   199124     $23 = $0;
   199125     $shr58 = $sub_ptr_sub >>> 8;
   199126     $cmp59 = ($shr58 | 0) == 0;
   199127     if ($cmp59) {
   199128       $I57_0 = 0;
   199129       label = 16;
   199130       break;
   199131     } else {
   199132       label = 14;
   199133       break;
   199134     }
   199135    case 14:
   199136     $cmp63 = $sub_ptr_sub >>> 0 > 16777215;
   199137     if ($cmp63) {
   199138       $I57_0 = 31;
   199139       label = 16;
   199140       break;
   199141     } else {
   199142       label = 15;
   199143       break;
   199144     }
   199145    case 15:
   199146     $sub67 = $shr58 + 1048320 | 0;
   199147     $shr68 = $sub67 >>> 16;
   199148     $and69 = $shr68 & 8;
   199149     $shl70 = $shr58 << $and69;
   199150     $sub71 = $shl70 + 520192 | 0;
   199151     $shr72 = $sub71 >>> 16;
   199152     $and73 = $shr72 & 4;
   199153     $add74 = $and73 | $and69;
   199154     $shl75 = $shl70 << $and73;
   199155     $sub76 = $shl75 + 245760 | 0;
   199156     $shr77 = $sub76 >>> 16;
   199157     $and78 = $shr77 & 2;
   199158     $add79 = $add74 | $and78;
   199159     $sub80 = 14 - $add79 | 0;
   199160     $shl81 = $shl75 << $and78;
   199161     $shr82 = $shl81 >>> 15;
   199162     $add83 = $sub80 + $shr82 | 0;
   199163     $shl84 = $add83 << 1;
   199164     $add85 = $add83 + 7 | 0;
   199165     $shr86 = $sub_ptr_sub >>> ($add85 >>> 0);
   199166     $and87 = $shr86 & 1;
   199167     $add88 = $and87 | $shl84;
   199168     $I57_0 = $add88;
   199169     label = 16;
   199170     break;
   199171    case 16:
   199172     $arrayidx91 = 163568 + ($I57_0 << 2) | 0;
   199173     $index = $0 + 28 | 0;
   199174     $I57_0_c = $I57_0;
   199175     HEAP32[$index >> 2] = $I57_0_c;
   199176     $arrayidx92 = $0 + 20 | 0;
   199177     HEAP32[$arrayidx92 >> 2] = 0;
   199178     $24 = $0 + 16 | 0;
   199179     HEAP32[$24 >> 2] = 0;
   199180     $25 = HEAP32[40817] | 0;
   199181     $shl95 = 1 << $I57_0;
   199182     $and96 = $25 & $shl95;
   199183     $tobool97 = ($and96 | 0) == 0;
   199184     if ($tobool97) {
   199185       label = 17;
   199186       break;
   199187     } else {
   199188       label = 18;
   199189       break;
   199190     }
   199191    case 17:
   199192     $or101 = $25 | $shl95;
   199193     HEAP32[40817] = $or101;
   199194     HEAP32[$arrayidx91 >> 2] = $23;
   199195     $parent = $0 + 24 | 0;
   199196     $_c = $arrayidx91;
   199197     HEAP32[$parent >> 2] = $_c;
   199198     $bk102 = $0 + 12 | 0;
   199199     HEAP32[$bk102 >> 2] = $0;
   199200     $fd103 = $0 + 8 | 0;
   199201     HEAP32[$fd103 >> 2] = $0;
   199202     label = 30;
   199203     break;
   199204    case 18:
   199205     $26 = HEAP32[$arrayidx91 >> 2] | 0;
   199206     $cmp106 = ($I57_0 | 0) == 31;
   199207     if ($cmp106) {
   199208       $cond115 = 0;
   199209       label = 20;
   199210       break;
   199211     } else {
   199212       label = 19;
   199213       break;
   199214     }
   199215    case 19:
   199216     $shr110 = $I57_0 >>> 1;
   199217     $sub113 = 25 - $shr110 | 0;
   199218     $cond115 = $sub113;
   199219     label = 20;
   199220     break;
   199221    case 20:
   199222     $shl116 = $sub_ptr_sub << $cond115;
   199223     $K105_0 = $shl116;
   199224     $T_0 = $26;
   199225     label = 21;
   199226     break;
   199227    case 21:
   199228     $head118 = $T_0 + 4 | 0;
   199229     $27 = HEAP32[$head118 >> 2] | 0;
   199230     $and119 = $27 & -8;
   199231     $cmp120 = ($and119 | 0) == ($sub_ptr_sub | 0);
   199232     if ($cmp120) {
   199233       label = 26;
   199234       break;
   199235     } else {
   199236       label = 22;
   199237       break;
   199238     }
   199239    case 22:
   199240     $shr123 = $K105_0 >>> 31;
   199241     $arrayidx126 = $T_0 + 16 + ($shr123 << 2) | 0;
   199242     $28 = HEAP32[$arrayidx126 >> 2] | 0;
   199243     $cmp128 = ($28 | 0) == 0;
   199244     $shl127 = $K105_0 << 1;
   199245     if ($cmp128) {
   199246       label = 23;
   199247       break;
   199248     } else {
   199249       $K105_0 = $shl127;
   199250       $T_0 = $28;
   199251       label = 21;
   199252       break;
   199253     }
   199254    case 23:
   199255     $29 = $arrayidx126;
   199256     $30 = HEAP32[40820] | 0;
   199257     $cmp133 = $29 >>> 0 < $30 >>> 0;
   199258     if ($cmp133) {
   199259       label = 25;
   199260       break;
   199261     } else {
   199262       label = 24;
   199263       break;
   199264     }
   199265    case 24:
   199266     HEAP32[$arrayidx126 >> 2] = $23;
   199267     $parent138 = $0 + 24 | 0;
   199268     $T_0_c7 = $T_0;
   199269     HEAP32[$parent138 >> 2] = $T_0_c7;
   199270     $bk139 = $0 + 12 | 0;
   199271     HEAP32[$bk139 >> 2] = $0;
   199272     $fd140 = $0 + 8 | 0;
   199273     HEAP32[$fd140 >> 2] = $0;
   199274     label = 30;
   199275     break;
   199276    case 25:
   199277     _abort();
   199278    case 26:
   199279     $fd145 = $T_0 + 8 | 0;
   199280     $31 = HEAP32[$fd145 >> 2] | 0;
   199281     $32 = $T_0;
   199282     $33 = HEAP32[40820] | 0;
   199283     $cmp147 = $32 >>> 0 < $33 >>> 0;
   199284     if ($cmp147) {
   199285       label = 29;
   199286       break;
   199287     } else {
   199288       label = 27;
   199289       break;
   199290     }
   199291    case 27:
   199292     $34 = $31;
   199293     $cmp150 = $34 >>> 0 < $33 >>> 0;
   199294     if ($cmp150) {
   199295       label = 29;
   199296       break;
   199297     } else {
   199298       label = 28;
   199299       break;
   199300     }
   199301    case 28:
   199302     $bk155 = $31 + 12 | 0;
   199303     HEAP32[$bk155 >> 2] = $23;
   199304     HEAP32[$fd145 >> 2] = $23;
   199305     $fd157 = $0 + 8 | 0;
   199306     $_c6 = $31;
   199307     HEAP32[$fd157 >> 2] = $_c6;
   199308     $bk158 = $0 + 12 | 0;
   199309     $T_0_c = $T_0;
   199310     HEAP32[$bk158 >> 2] = $T_0_c;
   199311     $parent159 = $0 + 24 | 0;
   199312     HEAP32[$parent159 >> 2] = 0;
   199313     label = 30;
   199314     break;
   199315    case 29:
   199316     _abort();
   199317    case 30:
   199318     return;
   199319   }
   199320 }
   199321 function _strtod($string, $endPtr) {
   199322   $string = $string | 0;
   199323   $endPtr = $endPtr | 0;
   199324   var $p_0 = 0, $0 = 0, $conv = 0, $call = 0, $tobool = 0, $add_ptr = 0, $1 = 0, $add_ptr3 = 0, $add_ptr8 = 0, $sign_0 = 0, $p_2 = 0, $p_3 = 0, $mantSize_0 = 0, $decPt_0 = 0, $2 = 0, $conv10 = 0, $isdigittmp = 0, $isdigit = 0, $cmp14 = 0, $cmp16 = 0, $or_cond = 0, $decPt_1 = 0, $add_ptr21 = 0, $add = 0, $idx_neg = 0, $add_ptr22 = 0, $cmp23 = 0, $not_cmp23 = 0, $sub = 0, $mantSize_1 = 0, $decPt_2 = 0, $cmp28 = 0, $3 = 0, $fracExp_0_p = 0, $fracExp_0 = 0, $mantSize_2 = 0, $cmp35 = 0, $cmp4078 = 0, $phitmp = 0.0, $phitmp85 = 0.0, $cmp5673 = 0, $p_4_lcssa91 = 0, $mantSize_3_lcssa90 = 0, $frac1_0_lcssa89 = 0.0, $frac1_081 = 0, $mantSize_380 = 0, $p_479 = 0, $4 = 0, $add_ptr43 = 0, $cmp44 = 0, $5 = 0, $add_ptr48 = 0, $p_5 = 0, $c_0_in = 0, $c_0 = 0, $mul = 0, $sub50 = 0, $add51 = 0, $sub53 = 0, $cmp40 = 0, $frac2_076 = 0, $mantSize_475 = 0, $p_674 = 0, $6 = 0, $add_ptr60 = 0, $cmp61 = 0, $7 = 0, $add_ptr65 = 0, $p_7 = 0, $c_1_in = 0, $c_1 = 0, $mul67 = 0, $sub68 = 0, $add69 = 0, $sub71 = 0, $cmp56 = 0, $phitmp86 = 0.0, $frac1_0_lcssa88 = 0.0, $frac2_0_lcssa = 0.0, $add76 = 0.0, $8 = 0, $add_ptr86 = 0, $9 = 0, $add_ptr91 = 0, $add_ptr97 = 0, $expSign_0_ph = 0, $p_9_ph = 0, $10 = 0, $conv10166 = 0, $isdigittmp6067 = 0, $isdigit6168 = 0, $exp_070 = 0, $p_969 = 0, $mul105 = 0, $11 = 0, $conv106 = 0, $sub107 = 0, $add108 = 0, $add_ptr109 = 0, $12 = 0, $conv101 = 0, $isdigittmp60 = 0, $isdigit61 = 0, $expSign_1 = 0, $p_10 = 0, $exp_1 = 0, $tobool112 = 0, $13 = 0, $exp_2_p = 0, $exp_2 = 0, $cmp118 = 0, $sub121 = 0, $exp_3 = 0, $cmp124 = 0, $call127 = 0, $cmp13062 = 0, $exp_565 = 0, $d_064 = 0, $dblExp_063 = 0.0, $and = 0, $tobool133 = 0, $14 = 0.0, $mul135 = 0.0, $dblExp_1 = 0.0, $shr = 0, $add_ptr138 = 0, $cmp130 = 0, $dblExp_0_lcssa = 0.0, $tobool140 = 0, $div = 0.0, $mul143 = 0.0, $fraction_0 = 0.0, $p_11 = 0, $cmp145 = 0, $tobool149 = 0, $sub151 = 0.0, $retval_0 = 0.0, label = 0;
   199325   label = 2;
   199326   while (1) switch (label | 0) {
   199327    case 2:
   199328     $p_0 = $string;
   199329     label = 3;
   199330     break;
   199331    case 3:
   199332     $0 = HEAP8[$p_0] | 0;
   199333     $conv = $0 << 24 >> 24;
   199334     $call = _isspace($conv | 0) | 0;
   199335     $tobool = ($call | 0) == 0;
   199336     $add_ptr = $p_0 + 1 | 0;
   199337     if ($tobool) {
   199338       label = 4;
   199339       break;
   199340     } else {
   199341       $p_0 = $add_ptr;
   199342       label = 3;
   199343       break;
   199344     }
   199345    case 4:
   199346     $1 = HEAP8[$p_0] | 0;
   199347     if (($1 << 24 >> 24 | 0) == 45) {
   199348       label = 5;
   199349       break;
   199350     } else if (($1 << 24 >> 24 | 0) == 43) {
   199351       label = 6;
   199352       break;
   199353     } else {
   199354       $p_2 = $p_0;
   199355       $sign_0 = 0;
   199356       label = 7;
   199357       break;
   199358     }
   199359    case 5:
   199360     $add_ptr3 = $p_0 + 1 | 0;
   199361     $p_2 = $add_ptr3;
   199362     $sign_0 = 1;
   199363     label = 7;
   199364     break;
   199365    case 6:
   199366     $add_ptr8 = $p_0 + 1 | 0;
   199367     $p_2 = $add_ptr8;
   199368     $sign_0 = 0;
   199369     label = 7;
   199370     break;
   199371    case 7:
   199372     $decPt_0 = -1;
   199373     $mantSize_0 = 0;
   199374     $p_3 = $p_2;
   199375     label = 8;
   199376     break;
   199377    case 8:
   199378     $2 = HEAP8[$p_3] | 0;
   199379     $conv10 = $2 << 24 >> 24;
   199380     $isdigittmp = $conv10 - 48 | 0;
   199381     $isdigit = $isdigittmp >>> 0 < 10;
   199382     if ($isdigit) {
   199383       $decPt_1 = $decPt_0;
   199384       label = 10;
   199385       break;
   199386     } else {
   199387       label = 9;
   199388       break;
   199389     }
   199390    case 9:
   199391     $cmp14 = $2 << 24 >> 24 != 46;
   199392     $cmp16 = ($decPt_0 | 0) > -1;
   199393     $or_cond = $cmp14 | $cmp16;
   199394     if ($or_cond) {
   199395       label = 11;
   199396       break;
   199397     } else {
   199398       $decPt_1 = $mantSize_0;
   199399       label = 10;
   199400       break;
   199401     }
   199402    case 10:
   199403     $add_ptr21 = $p_3 + 1 | 0;
   199404     $add = $mantSize_0 + 1 | 0;
   199405     $decPt_0 = $decPt_1;
   199406     $mantSize_0 = $add;
   199407     $p_3 = $add_ptr21;
   199408     label = 8;
   199409     break;
   199410    case 11:
   199411     $idx_neg = -$mantSize_0 | 0;
   199412     $add_ptr22 = $p_3 + $idx_neg | 0;
   199413     $cmp23 = ($decPt_0 | 0) < 0;
   199414     $not_cmp23 = $cmp23 ^ 1;
   199415     $sub = $not_cmp23 << 31 >> 31;
   199416     $mantSize_1 = $sub + $mantSize_0 | 0;
   199417     $decPt_2 = $cmp23 ? $mantSize_0 : $decPt_0;
   199418     $cmp28 = ($mantSize_1 | 0) > 18;
   199419     $3 = -$mantSize_1 | 0;
   199420     $fracExp_0_p = $cmp28 ? -18 : $3;
   199421     $fracExp_0 = $fracExp_0_p + $decPt_2 | 0;
   199422     $mantSize_2 = $cmp28 ? 18 : $mantSize_1;
   199423     $cmp35 = ($mantSize_2 | 0) == 0;
   199424     if ($cmp35) {
   199425       $p_11 = $string;
   199426       $fraction_0 = 0.0;
   199427       label = 38;
   199428       break;
   199429     } else {
   199430       label = 12;
   199431       break;
   199432     }
   199433    case 12:
   199434     $cmp4078 = ($mantSize_2 | 0) > 9;
   199435     if ($cmp4078) {
   199436       $p_479 = $add_ptr22;
   199437       $mantSize_380 = $mantSize_2;
   199438       $frac1_081 = 0;
   199439       label = 16;
   199440       break;
   199441     } else {
   199442       label = 14;
   199443       break;
   199444     }
   199445    case 13:
   199446     $phitmp = +($add51 | 0);
   199447     $phitmp85 = $phitmp * 1.0e9;
   199448     $frac1_0_lcssa89 = $phitmp85;
   199449     $mantSize_3_lcssa90 = 9;
   199450     $p_4_lcssa91 = $p_5;
   199451     label = 15;
   199452     break;
   199453    case 14:
   199454     $cmp5673 = ($mantSize_2 | 0) > 0;
   199455     if ($cmp5673) {
   199456       $frac1_0_lcssa89 = 0.0;
   199457       $mantSize_3_lcssa90 = $mantSize_2;
   199458       $p_4_lcssa91 = $add_ptr22;
   199459       label = 15;
   199460       break;
   199461     } else {
   199462       $frac2_0_lcssa = 0.0;
   199463       $frac1_0_lcssa88 = 0.0;
   199464       label = 23;
   199465       break;
   199466     }
   199467    case 15:
   199468     $p_674 = $p_4_lcssa91;
   199469     $mantSize_475 = $mantSize_3_lcssa90;
   199470     $frac2_076 = 0;
   199471     label = 19;
   199472     break;
   199473    case 16:
   199474     $4 = HEAP8[$p_479] | 0;
   199475     $add_ptr43 = $p_479 + 1 | 0;
   199476     $cmp44 = $4 << 24 >> 24 == 46;
   199477     if ($cmp44) {
   199478       label = 17;
   199479       break;
   199480     } else {
   199481       $c_0_in = $4;
   199482       $p_5 = $add_ptr43;
   199483       label = 18;
   199484       break;
   199485     }
   199486    case 17:
   199487     $5 = HEAP8[$add_ptr43] | 0;
   199488     $add_ptr48 = $p_479 + 2 | 0;
   199489     $c_0_in = $5;
   199490     $p_5 = $add_ptr48;
   199491     label = 18;
   199492     break;
   199493    case 18:
   199494     $c_0 = $c_0_in << 24 >> 24;
   199495     $mul = $frac1_081 * 10 & -1;
   199496     $sub50 = $mul - 48 | 0;
   199497     $add51 = $sub50 + $c_0 | 0;
   199498     $sub53 = $mantSize_380 - 1 | 0;
   199499     $cmp40 = ($sub53 | 0) > 9;
   199500     if ($cmp40) {
   199501       $p_479 = $p_5;
   199502       $mantSize_380 = $sub53;
   199503       $frac1_081 = $add51;
   199504       label = 16;
   199505       break;
   199506     } else {
   199507       label = 13;
   199508       break;
   199509     }
   199510    case 19:
   199511     $6 = HEAP8[$p_674] | 0;
   199512     $add_ptr60 = $p_674 + 1 | 0;
   199513     $cmp61 = $6 << 24 >> 24 == 46;
   199514     if ($cmp61) {
   199515       label = 20;
   199516       break;
   199517     } else {
   199518       $c_1_in = $6;
   199519       $p_7 = $add_ptr60;
   199520       label = 21;
   199521       break;
   199522     }
   199523    case 20:
   199524     $7 = HEAP8[$add_ptr60] | 0;
   199525     $add_ptr65 = $p_674 + 2 | 0;
   199526     $c_1_in = $7;
   199527     $p_7 = $add_ptr65;
   199528     label = 21;
   199529     break;
   199530    case 21:
   199531     $c_1 = $c_1_in << 24 >> 24;
   199532     $mul67 = $frac2_076 * 10 & -1;
   199533     $sub68 = $mul67 - 48 | 0;
   199534     $add69 = $sub68 + $c_1 | 0;
   199535     $sub71 = $mantSize_475 - 1 | 0;
   199536     $cmp56 = ($sub71 | 0) > 0;
   199537     if ($cmp56) {
   199538       $p_674 = $p_7;
   199539       $mantSize_475 = $sub71;
   199540       $frac2_076 = $add69;
   199541       label = 19;
   199542       break;
   199543     } else {
   199544       label = 22;
   199545       break;
   199546     }
   199547    case 22:
   199548     $phitmp86 = +($add69 | 0);
   199549     $frac2_0_lcssa = $phitmp86;
   199550     $frac1_0_lcssa88 = $frac1_0_lcssa89;
   199551     label = 23;
   199552     break;
   199553    case 23:
   199554     $add76 = $frac1_0_lcssa88 + $frac2_0_lcssa;
   199555     $8 = HEAP8[$p_3] | 0;
   199556     if (($8 << 24 >> 24 | 0) == 69 | ($8 << 24 >> 24 | 0) == 101) {
   199557       label = 24;
   199558       break;
   199559     } else {
   199560       $exp_1 = 0;
   199561       $p_10 = $p_3;
   199562       $expSign_1 = 0;
   199563       label = 29;
   199564       break;
   199565     }
   199566    case 24:
   199567     $add_ptr86 = $p_3 + 1 | 0;
   199568     $9 = HEAP8[$add_ptr86] | 0;
   199569     if (($9 << 24 >> 24 | 0) == 45) {
   199570       label = 25;
   199571       break;
   199572     } else if (($9 << 24 >> 24 | 0) == 43) {
   199573       label = 26;
   199574       break;
   199575     } else {
   199576       $p_9_ph = $add_ptr86;
   199577       $expSign_0_ph = 0;
   199578       label = 27;
   199579       break;
   199580     }
   199581    case 25:
   199582     $add_ptr91 = $p_3 + 2 | 0;
   199583     $p_9_ph = $add_ptr91;
   199584     $expSign_0_ph = 1;
   199585     label = 27;
   199586     break;
   199587    case 26:
   199588     $add_ptr97 = $p_3 + 2 | 0;
   199589     $p_9_ph = $add_ptr97;
   199590     $expSign_0_ph = 0;
   199591     label = 27;
   199592     break;
   199593    case 27:
   199594     $10 = HEAP8[$p_9_ph] | 0;
   199595     $conv10166 = $10 << 24 >> 24;
   199596     $isdigittmp6067 = $conv10166 - 48 | 0;
   199597     $isdigit6168 = $isdigittmp6067 >>> 0 < 10;
   199598     if ($isdigit6168) {
   199599       $p_969 = $p_9_ph;
   199600       $exp_070 = 0;
   199601       label = 28;
   199602       break;
   199603     } else {
   199604       $exp_1 = 0;
   199605       $p_10 = $p_9_ph;
   199606       $expSign_1 = $expSign_0_ph;
   199607       label = 29;
   199608       break;
   199609     }
   199610    case 28:
   199611     $mul105 = $exp_070 * 10 & -1;
   199612     $11 = HEAP8[$p_969] | 0;
   199613     $conv106 = $11 << 24 >> 24;
   199614     $sub107 = $mul105 - 48 | 0;
   199615     $add108 = $sub107 + $conv106 | 0;
   199616     $add_ptr109 = $p_969 + 1 | 0;
   199617     $12 = HEAP8[$add_ptr109] | 0;
   199618     $conv101 = $12 << 24 >> 24;
   199619     $isdigittmp60 = $conv101 - 48 | 0;
   199620     $isdigit61 = $isdigittmp60 >>> 0 < 10;
   199621     if ($isdigit61) {
   199622       $p_969 = $add_ptr109;
   199623       $exp_070 = $add108;
   199624       label = 28;
   199625       break;
   199626     } else {
   199627       $exp_1 = $add108;
   199628       $p_10 = $add_ptr109;
   199629       $expSign_1 = $expSign_0_ph;
   199630       label = 29;
   199631       break;
   199632     }
   199633    case 29:
   199634     $tobool112 = ($expSign_1 | 0) == 0;
   199635     $13 = -$exp_1 | 0;
   199636     $exp_2_p = $tobool112 ? $exp_1 : $13;
   199637     $exp_2 = $fracExp_0 + $exp_2_p | 0;
   199638     $cmp118 = ($exp_2 | 0) < 0;
   199639     $sub121 = -$exp_2 | 0;
   199640     $exp_3 = $cmp118 ? $sub121 : $exp_2;
   199641     $cmp124 = ($exp_3 | 0) > 511;
   199642     if ($cmp124) {
   199643       label = 30;
   199644       break;
   199645     } else {
   199646       label = 31;
   199647       break;
   199648     }
   199649    case 30:
   199650     $call127 = ___errno_location() | 0;
   199651     HEAP32[$call127 >> 2] = 34;
   199652     $dblExp_063 = 1.0;
   199653     $d_064 = 5576;
   199654     $exp_565 = 511;
   199655     label = 32;
   199656     break;
   199657    case 31:
   199658     $cmp13062 = ($exp_3 | 0) == 0;
   199659     if ($cmp13062) {
   199660       $dblExp_0_lcssa = 1.0;
   199661       label = 35;
   199662       break;
   199663     } else {
   199664       $dblExp_063 = 1.0;
   199665       $d_064 = 5576;
   199666       $exp_565 = $exp_3;
   199667       label = 32;
   199668       break;
   199669     }
   199670    case 32:
   199671     $and = $exp_565 & 1;
   199672     $tobool133 = ($and | 0) == 0;
   199673     if ($tobool133) {
   199674       $dblExp_1 = $dblExp_063;
   199675       label = 34;
   199676       break;
   199677     } else {
   199678       label = 33;
   199679       break;
   199680     }
   199681    case 33:
   199682     $14 = +HEAPF64[$d_064 >> 3];
   199683     $mul135 = $dblExp_063 * $14;
   199684     $dblExp_1 = $mul135;
   199685     label = 34;
   199686     break;
   199687    case 34:
   199688     $shr = $exp_565 >> 1;
   199689     $add_ptr138 = $d_064 + 8 | 0;
   199690     $cmp130 = ($shr | 0) == 0;
   199691     if ($cmp130) {
   199692       $dblExp_0_lcssa = $dblExp_1;
   199693       label = 35;
   199694       break;
   199695     } else {
   199696       $dblExp_063 = $dblExp_1;
   199697       $d_064 = $add_ptr138;
   199698       $exp_565 = $shr;
   199699       label = 32;
   199700       break;
   199701     }
   199702    case 35:
   199703     $tobool140 = ($exp_2 | 0) > -1;
   199704     if ($tobool140) {
   199705       label = 37;
   199706       break;
   199707     } else {
   199708       label = 36;
   199709       break;
   199710     }
   199711    case 36:
   199712     $div = $add76 / $dblExp_0_lcssa;
   199713     $p_11 = $p_10;
   199714     $fraction_0 = $div;
   199715     label = 38;
   199716     break;
   199717    case 37:
   199718     $mul143 = $add76 * $dblExp_0_lcssa;
   199719     $p_11 = $p_10;
   199720     $fraction_0 = $mul143;
   199721     label = 38;
   199722     break;
   199723    case 38:
   199724     $cmp145 = ($endPtr | 0) == 0;
   199725     if ($cmp145) {
   199726       label = 40;
   199727       break;
   199728     } else {
   199729       label = 39;
   199730       break;
   199731     }
   199732    case 39:
   199733     HEAP32[$endPtr >> 2] = $p_11;
   199734     label = 40;
   199735     break;
   199736    case 40:
   199737     $tobool149 = ($sign_0 | 0) == 0;
   199738     if ($tobool149) {
   199739       $retval_0 = $fraction_0;
   199740       label = 42;
   199741       break;
   199742     } else {
   199743       label = 41;
   199744       break;
   199745     }
   199746    case 41:
   199747     $sub151 = -0.0 - $fraction_0;
   199748     $retval_0 = $sub151;
   199749     label = 42;
   199750     break;
   199751    case 42:
   199752     return +$retval_0;
   199753   }
   199754   return 0.0;
   199755 }
   199756 function _atof($str) {
   199757   $str = $str | 0;
   199758   return +(+_strtod($str, 0));
   199759 }
   199760 function _memcmp(p1, p2, num) {
   199761   p1 = p1 | 0;
   199762   p2 = p2 | 0;
   199763   num = num | 0;
   199764   var i = 0, v1 = 0, v2 = 0;
   199765   while ((i | 0) < (num | 0)) {
   199766     v1 = HEAPU8[p1 + i | 0] | 0;
   199767     v2 = HEAPU8[p2 + i | 0] | 0;
   199768     if ((v1 | 0) != (v2 | 0)) return ((v1 | 0) > (v2 | 0) ? 1 : -1) | 0;
   199769     i = i + 1 | 0;
   199770   }
   199771   return 0;
   199772 }
   199773 function _memcpy(dest, src, num) {
   199774   dest = dest | 0;
   199775   src = src | 0;
   199776   num = num | 0;
   199777   var ret = 0;
   199778   ret = dest | 0;
   199779   if ((dest & 3) == (src & 3)) {
   199780     while (dest & 3) {
   199781       if ((num | 0) == 0) return ret | 0;
   199782       HEAP8[dest] = HEAP8[src] | 0;
   199783       dest = dest + 1 | 0;
   199784       src = src + 1 | 0;
   199785       num = num - 1 | 0;
   199786     }
   199787     while ((num | 0) >= 4) {
   199788       HEAP32[dest >> 2] = HEAP32[src >> 2] | 0;
   199789       dest = dest + 4 | 0;
   199790       src = src + 4 | 0;
   199791       num = num - 4 | 0;
   199792     }
   199793   }
   199794   while ((num | 0) > 0) {
   199795     HEAP8[dest] = HEAP8[src] | 0;
   199796     dest = dest + 1 | 0;
   199797     src = src + 1 | 0;
   199798     num = num - 1 | 0;
   199799   }
   199800   return ret | 0;
   199801 }
   199802 function _strlen(ptr) {
   199803   ptr = ptr | 0;
   199804   var curr = 0;
   199805   curr = ptr;
   199806   while (HEAP8[curr] | 0) {
   199807     curr = curr + 1 | 0;
   199808   }
   199809   return curr - ptr | 0;
   199810 }
   199811 function _memset(ptr, value, num) {
   199812   ptr = ptr | 0;
   199813   value = value | 0;
   199814   num = num | 0;
   199815   var stop = 0, value4 = 0, stop4 = 0, unaligned = 0;
   199816   stop = ptr + num | 0;
   199817   if ((num | 0) >= 20) {
   199818     value = value & 255;
   199819     unaligned = ptr & 3;
   199820     value4 = value | value << 8 | value << 16 | value << 24;
   199821     stop4 = stop & ~3;
   199822     if (unaligned) {
   199823       unaligned = ptr + 4 - unaligned | 0;
   199824       while ((ptr | 0) < (unaligned | 0)) {
   199825         HEAP8[ptr] = value;
   199826         ptr = ptr + 1 | 0;
   199827       }
   199828     }
   199829     while ((ptr | 0) < (stop4 | 0)) {
   199830       HEAP32[ptr >> 2] = value4;
   199831       ptr = ptr + 4 | 0;
   199832     }
   199833   }
   199834   while ((ptr | 0) < (stop | 0)) {
   199835     HEAP8[ptr] = value;
   199836     ptr = ptr + 1 | 0;
   199837   }
   199838 }
   199839 function _strcpy(pdest, psrc) {
   199840   pdest = pdest | 0;
   199841   psrc = psrc | 0;
   199842   var i = 0;
   199843   do {
   199844     HEAP8[pdest + i | 0] = HEAP8[psrc + i | 0];
   199845     i = i + 1 | 0;
   199846   } while (HEAP8[psrc + (i - 1) | 0] | 0);
   199847   return pdest | 0;
   199848 }
   199849 function _strcat(pdest, psrc) {
   199850   pdest = pdest | 0;
   199851   psrc = psrc | 0;
   199852   var i = 0, pdestEnd = 0;
   199853   pdestEnd = pdest + (_strlen(pdest) | 0) | 0;
   199854   do {
   199855     HEAP8[pdestEnd + i | 0] = HEAP8[psrc + i | 0];
   199856     i = i + 1 | 0;
   199857   } while (HEAP8[psrc + (i - 1) | 0] | 0);
   199858   return pdest | 0;
   199859 }
   199860 function _strncpy(pdest, psrc, num) {
   199861   pdest = pdest | 0;
   199862   psrc = psrc | 0;
   199863   num = num | 0;
   199864   var padding = 0, i = 0;
   199865   while ((i | 0) < (num | 0)) {
   199866     HEAP8[pdest + i | 0] = padding ? 0 : HEAP8[psrc + i | 0] | 0;
   199867     padding = padding ? 1 : (HEAP8[psrc + i | 0] | 0) == 0;
   199868     i = i + 1 | 0;
   199869   }
   199870   return pdest | 0;
   199871 }
   199872 function _tolower(chr) {
   199873   chr = chr | 0;
   199874   if ((chr | 0) < 65) return chr | 0;
   199875   if ((chr | 0) > 90) return chr | 0;
   199876   return chr - 65 + 97 | 0;
   199877 }
   199878 function _memmove(dest, src, num) {
   199879   dest = dest | 0;
   199880   src = src | 0;
   199881   num = num | 0;
   199882   if ((src | 0) < (dest | 0) & (dest | 0) < (src + num | 0)) {
   199883     src = src + num | 0;
   199884     dest = dest + num | 0;
   199885     while ((num | 0) > 0) {
   199886       dest = dest - 1 | 0;
   199887       src = src - 1 | 0;
   199888       num = num - 1 | 0;
   199889       HEAP8[dest] = HEAP8[src] | 0;
   199890     }
   199891   } else {
   199892     _memcpy(dest, src, num);
   199893   }
   199894 }
   199895 function _ferror__wrapper(a1) {
   199896   a1 = a1 | 0;
   199897   return _ferror(a1 | 0) | 0;
   199898 }
   199899 function _fwrite__wrapper(a1, a2, a3, a4) {
   199900   a1 = a1 | 0;
   199901   a2 = a2 | 0;
   199902   a3 = a3 | 0;
   199903   a4 = a4 | 0;
   199904   return _fwrite(a1 | 0, a2 | 0, a3 | 0, a4 | 0) | 0;
   199905 }
   199906 function _fgets__wrapper(a1, a2, a3) {
   199907   a1 = a1 | 0;
   199908   a2 = a2 | 0;
   199909   a3 = a3 | 0;
   199910   return _fgets(a1 | 0, a2 | 0, a3 | 0) | 0;
   199911 }
   199912 function dynCall_iiiii(index, a1, a2, a3, a4) {
   199913   index = index | 0;
   199914   a1 = a1 | 0;
   199915   a2 = a2 | 0;
   199916   a3 = a3 | 0;
   199917   a4 = a4 | 0;
   199918   return FUNCTION_TABLE_iiiii[index & 1023](a1 | 0, a2 | 0, a3 | 0, a4 | 0) | 0;
   199919 }
   199920 function dynCall_viiiffi(index, a1, a2, a3, a4, a5, a6) {
   199921   index = index | 0;
   199922   a1 = a1 | 0;
   199923   a2 = a2 | 0;
   199924   a3 = a3 | 0;
   199925   a4 = +a4;
   199926   a5 = +a5;
   199927   a6 = a6 | 0;
   199928   FUNCTION_TABLE_viiiffi[index & 1023](a1 | 0, a2 | 0, a3 | 0, +a4, +a5, a6 | 0);
   199929 }
   199930 function dynCall_viiiii(index, a1, a2, a3, a4, a5) {
   199931   index = index | 0;
   199932   a1 = a1 | 0;
   199933   a2 = a2 | 0;
   199934   a3 = a3 | 0;
   199935   a4 = a4 | 0;
   199936   a5 = a5 | 0;
   199937   FUNCTION_TABLE_viiiii[index & 1023](a1 | 0, a2 | 0, a3 | 0, a4 | 0, a5 | 0);
   199938 }
   199939 function dynCall_vi(index, a1) {
   199940   index = index | 0;
   199941   a1 = a1 | 0;
   199942   FUNCTION_TABLE_vi[index & 1023](a1 | 0);
   199943 }
   199944 function dynCall_vii(index, a1, a2) {
   199945   index = index | 0;
   199946   a1 = a1 | 0;
   199947   a2 = a2 | 0;
   199948   FUNCTION_TABLE_vii[index & 1023](a1 | 0, a2 | 0);
   199949 }
   199950 function dynCall_ii(index, a1) {
   199951   index = index | 0;
   199952   a1 = a1 | 0;
   199953   return FUNCTION_TABLE_ii[index & 1023](a1 | 0) | 0;
   199954 }
   199955 function dynCall_iiii(index, a1, a2, a3) {
   199956   index = index | 0;
   199957   a1 = a1 | 0;
   199958   a2 = a2 | 0;
   199959   a3 = a3 | 0;
   199960   return FUNCTION_TABLE_iiii[index & 1023](a1 | 0, a2 | 0, a3 | 0) | 0;
   199961 }
   199962 function dynCall_viii(index, a1, a2, a3) {
   199963   index = index | 0;
   199964   a1 = a1 | 0;
   199965   a2 = a2 | 0;
   199966   a3 = a3 | 0;
   199967   FUNCTION_TABLE_viii[index & 1023](a1 | 0, a2 | 0, a3 | 0);
   199968 }
   199969 function dynCall_v(index) {
   199970   index = index | 0;
   199971   FUNCTION_TABLE_v[index & 1023]();
   199972 }
   199973 function dynCall_viiiiiiiii(index, a1, a2, a3, a4, a5, a6, a7, a8, a9) {
   199974   index = index | 0;
   199975   a1 = a1 | 0;
   199976   a2 = a2 | 0;
   199977   a3 = a3 | 0;
   199978   a4 = a4 | 0;
   199979   a5 = a5 | 0;
   199980   a6 = a6 | 0;
   199981   a7 = a7 | 0;
   199982   a8 = a8 | 0;
   199983   a9 = a9 | 0;
   199984   FUNCTION_TABLE_viiiiiiiii[index & 1023](a1 | 0, a2 | 0, a3 | 0, a4 | 0, a5 | 0, a6 | 0, a7 | 0, a8 | 0, a9 | 0);
   199985 }
   199986 function dynCall_viiiiii(index, a1, a2, a3, a4, a5, a6) {
   199987   index = index | 0;
   199988   a1 = a1 | 0;
   199989   a2 = a2 | 0;
   199990   a3 = a3 | 0;
   199991   a4 = a4 | 0;
   199992   a5 = a5 | 0;
   199993   a6 = a6 | 0;
   199994   FUNCTION_TABLE_viiiiii[index & 1023](a1 | 0, a2 | 0, a3 | 0, a4 | 0, a5 | 0, a6 | 0);
   199995 }
   199996 function dynCall_iii(index, a1, a2) {
   199997   index = index | 0;
   199998   a1 = a1 | 0;
   199999   a2 = a2 | 0;
   200000   return FUNCTION_TABLE_iii[index & 1023](a1 | 0, a2 | 0) | 0;
   200001 }
   200002 function dynCall_iiiiii(index, a1, a2, a3, a4, a5) {
   200003   index = index | 0;
   200004   a1 = a1 | 0;
   200005   a2 = a2 | 0;
   200006   a3 = a3 | 0;
   200007   a4 = a4 | 0;
   200008   a5 = a5 | 0;
   200009   return FUNCTION_TABLE_iiiiii[index & 1023](a1 | 0, a2 | 0, a3 | 0, a4 | 0, a5 | 0) | 0;
   200010 }
   200011 function dynCall_viiii(index, a1, a2, a3, a4) {
   200012   index = index | 0;
   200013   a1 = a1 | 0;
   200014   a2 = a2 | 0;
   200015   a3 = a3 | 0;
   200016   a4 = a4 | 0;
   200017   FUNCTION_TABLE_viiii[index & 1023](a1 | 0, a2 | 0, a3 | 0, a4 | 0);
   200018 }
   200019 function b0(p0, p1, p2, p3) {
   200020   p0 = p0 | 0;
   200021   p1 = p1 | 0;
   200022   p2 = p2 | 0;
   200023   p3 = p3 | 0;
   200024   abort(0);
   200025   return 0;
   200026 }
   200027 function b1(p0, p1, p2, p3, p4, p5) {
   200028   p0 = p0 | 0;
   200029   p1 = p1 | 0;
   200030   p2 = p2 | 0;
   200031   p3 = +p3;
   200032   p4 = +p4;
   200033   p5 = p5 | 0;
   200034   abort(1);
   200035 }
   200036 function b2(p0, p1, p2, p3, p4) {
   200037   p0 = p0 | 0;
   200038   p1 = p1 | 0;
   200039   p2 = p2 | 0;
   200040   p3 = p3 | 0;
   200041   p4 = p4 | 0;
   200042   abort(2);
   200043 }
   200044 function b3(p0) {
   200045   p0 = p0 | 0;
   200046   abort(3);
   200047 }
   200048 function b4(p0, p1) {
   200049   p0 = p0 | 0;
   200050   p1 = p1 | 0;
   200051   abort(4);
   200052 }
   200053 function b5(p0) {
   200054   p0 = p0 | 0;
   200055   abort(5);
   200056   return 0;
   200057 }
   200058 function b6(p0, p1, p2) {
   200059   p0 = p0 | 0;
   200060   p1 = p1 | 0;
   200061   p2 = p2 | 0;
   200062   abort(6);
   200063   return 0;
   200064 }
   200065 function b7(p0, p1, p2) {
   200066   p0 = p0 | 0;
   200067   p1 = p1 | 0;
   200068   p2 = p2 | 0;
   200069   abort(7);
   200070 }
   200071 function b8() {
   200072   abort(8);
   200073 }
   200074 function b9(p0, p1, p2, p3, p4, p5, p6, p7, p8) {
   200075   p0 = p0 | 0;
   200076   p1 = p1 | 0;
   200077   p2 = p2 | 0;
   200078   p3 = p3 | 0;
   200079   p4 = p4 | 0;
   200080   p5 = p5 | 0;
   200081   p6 = p6 | 0;
   200082   p7 = p7 | 0;
   200083   p8 = p8 | 0;
   200084   abort(9);
   200085 }
   200086 function b10(p0, p1, p2, p3, p4, p5) {
   200087   p0 = p0 | 0;
   200088   p1 = p1 | 0;
   200089   p2 = p2 | 0;
   200090   p3 = p3 | 0;
   200091   p4 = p4 | 0;
   200092   p5 = p5 | 0;
   200093   abort(10);
   200094 }
   200095 function b11(p0, p1) {
   200096   p0 = p0 | 0;
   200097   p1 = p1 | 0;
   200098   abort(11);
   200099   return 0;
   200100 }
   200101 function b12(p0, p1, p2, p3, p4) {
   200102   p0 = p0 | 0;
   200103   p1 = p1 | 0;
   200104   p2 = p2 | 0;
   200105   p3 = p3 | 0;
   200106   p4 = p4 | 0;
   200107   abort(12);
   200108   return 0;
   200109 }
   200110 function b13(p0, p1, p2, p3) {
   200111   p0 = p0 | 0;
   200112   p1 = p1 | 0;
   200113   p2 = p2 | 0;
   200114   p3 = p3 | 0;
   200115   abort(13);
   200116 }
   200117 // EMSCRIPTEN_END_FUNCS
   200118   var FUNCTION_TABLE_iiiii = [b0,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200119   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,_big2_cdataSectionTok
   200120   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200121   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200122   ,b0,b0,b0,b0,b0,b0,b0,_externalEntityContentProcessor,b0,b0
   200123   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200124   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200125   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,_cdataSectionProcessor
   200126   ,b0,b0,b0,_initScanProlog,b0,b0,b0,b0,b0,b0
   200127   ,b0,_normal_cdataSectionTok,b0,b0,b0,b0,b0,b0,b0,_normal_prologTok
   200128   ,b0,b0,b0,b0,b0,_prologProcessor,b0,_normal_isPublicId,b0,_big2_nameMatchesAscii
   200129   ,b0,b0,b0,b0,b0,_normal_contentTok,b0,b0,b0,b0
   200130   ,b0,_contentProcessor,b0,b0,b0,b0,b0,b0,b0,b0
   200131   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200132   ,b0,b0,b0,b0,b0,_normal_attributeValueTok,b0,b0,b0,b0
   200133   ,b0,_little2_attributeValueTok,b0,_prologInitProcessor,b0,b0,b0,b0,b0,b0
   200134   ,b0,b0,b0,b0,b0,b0,b0,_cmppair,b0,_gvfwrite
   200135   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200136   ,b0,_initScanContent,b0,_little2_cdataSectionTok,b0,b0,b0,b0,b0,b0
   200137   ,b0,_epilogProcessor,b0,b0,b0,b0,b0,b0,b0,b0
   200138   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200139   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200140   ,b0,b0,b0,_little2_isPublicId,b0,b0,b0,b0,b0,b0
   200141   ,b0,b0,b0,b0,b0,b0,b0,_dtmemory,b0,_big2_entityValueTok
   200142   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200143   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200144   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200145   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200146   ,b0,b0,b0,_normal_getAtts,b0,_big2_contentTok,b0,b0,b0,b0
   200147   ,b0,_big2_getAtts,b0,b0,b0,b0,b0,b0,b0,b0
   200148   ,b0,b0,b0,_little2_getAtts,b0,b0,b0,_fwrite__wrapper,b0,b0
   200149   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,_big2_isPublicId
   200150   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200151   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200152   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200153   ,b0,_little2_entityValueTok,b0,b0,b0,b0,b0,b0,b0,b0
   200154   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200155   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200156   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200157   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200158   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200159   ,b0,b0,b0,b0,b0,b0,b0,_little2_nameMatchesAscii,b0,b0
   200160   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200161   ,b0,_agcmpid,b0,b0,b0,b0,b0,b0,b0,b0
   200162   ,b0,b0,b0,b0,b0,b0,b0,_errorProcessor,b0,b0
   200163   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,_normal_nameMatchesAscii
   200164   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200165   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200166   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200167   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,_agcmpin
   200168   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200169   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,_little2_contentTok
   200170   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200171   ,b0,_little2_prologTok,b0,b0,b0,_big2_attributeValueTok,b0,b0,b0,b0
   200172   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200173   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200174   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200175   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200176   ,b0,b0,b0,_agcmpout,b0,b0,b0,b0,b0,b0
   200177   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200178   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200179   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200180   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200181   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200182   ,b0,b0,b0,_big2_prologTok,b0,b0,b0,b0,b0,b0
   200183   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200184   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,_normal_entityValueTok
   200185   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,_internalEntityProcessor
   200186   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200187   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200188   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200189   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200190   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200191   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200192   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200193   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200194   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200195   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200196   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200197   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200198   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200199   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200200   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200201   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200202   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200203   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200204   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200205   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200206   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200207   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200208   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200209   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200210   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200211   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200212   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200213   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200214   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200215   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200216   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200217   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200218   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0
   200219   ,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0,b0];
   200220   var FUNCTION_TABLE_viiiffi = [b1,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200221   ,b1,_arrow_type_box,b1,b1,b1,b1,b1,b1,b1,b1
   200222   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200223   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200224   ,b1,_arrow_type_crow,b1,b1,b1,b1,b1,b1,b1,b1
   200225   ,b1,b1,b1,_arrow_type_dot,b1,b1,b1,b1,b1,b1
   200226   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200227   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200228   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200229   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200230   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200231   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200232   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,_arrow_type_diamond
   200233   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200234   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200235   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200236   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200237   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200238   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200239   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200240   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200241   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200242   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200243   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200244   ,b1,_arrow_type_tee,b1,b1,b1,b1,b1,b1,b1,b1
   200245   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200246   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200247   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200248   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200249   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200250   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200251   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200252   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200253   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200254   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200255   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200256   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200257   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200258   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200259   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200260   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200261   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200262   ,b1,b1,b1,b1,b1,b1,b1,_arrow_type_normal,b1,b1
   200263   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200264   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200265   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200266   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200267   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200268   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200269   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200270   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200271   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200272   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200273   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200274   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200275   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200276   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200277   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200278   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200279   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200280   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200281   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200282   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200283   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200284   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200285   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200286   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200287   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200288   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200289   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200290   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200291   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200292   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200293   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200294   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200295   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200296   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200297   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200298   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200299   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200300   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200301   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200302   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200303   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200304   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200305   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200306   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200307   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200308   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200309   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200310   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200311   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200312   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200313   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200314   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200315   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200316   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200317   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200318   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200319   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200320   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1
   200321   ,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1,b1];
   200322   var FUNCTION_TABLE_viiiii = [b2,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200323   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200324   ,b2,b2,b2,_svg_begin_anchor,b2,_utf8_toUtf8,b2,b2,b2,b2
   200325   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200326   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200327   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200328   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200329   ,b2,_latin1_toUtf8,b2,b2,b2,b2,b2,b2,b2,b2
   200330   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200331   ,b2,b2,b2,b2,b2,_ascii_toUtf8,b2,b2,b2,b2
   200332   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200333   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200334   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200335   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200336   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200337   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200338   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200339   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200340   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,_little2_toUtf16
   200341   ,b2,b2,b2,b2,b2,b2,b2,_big2_toUtf8,b2,b2
   200342   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200343   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200344   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200345   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200346   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200347   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200348   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200349   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200350   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200351   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200352   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200353   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200354   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200355   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200356   ,b2,b2,b2,b2,b2,b2,b2,_unknown_toUtf8,b2,_utf8_toUtf16
   200357   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200358   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200359   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200360   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200361   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200362   ,b2,b2,b2,b2,b2,b2,b2,_vml_begin_anchor,b2,b2
   200363   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200364   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200365   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200366   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200367   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200368   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,_latin1_toUtf16
   200369   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200370   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,_psgen_begin_anchor
   200371   ,b2,b2,b2,b2,b2,_little2_toUtf8,b2,b2,b2,b2
   200372   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200373   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200374   ,b2,b2,b2,_unknown_toUtf16,b2,b2,b2,b2,b2,b2
   200375   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200376   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200377   ,b2,b2,b2,_psgen_library_shape,b2,b2,b2,b2,b2,b2
   200378   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200379   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200380   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200381   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200382   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200383   ,b2,b2,b2,_big2_toUtf16,b2,b2,b2,b2,b2,_map_begin_anchor
   200384   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200385   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200386   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200387   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200388   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200389   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200390   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200391   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200392   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200393   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200394   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200395   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200396   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200397   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200398   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200399   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200400   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200401   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200402   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200403   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200404   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200405   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200406   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200407   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200408   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200409   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200410   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200411   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200412   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200413   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200414   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200415   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200416   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200417   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200418   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200419   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200420   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200421   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200422   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2
   200423   ,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2,b2];
   200424   var FUNCTION_TABLE_vi = [b3,b3,_psgen_end_node,b3,b3,b3,b3,b3,b3,b3,b3
   200425   ,b3,b3,b3,b3,b3,b3,b3,_tkgen_begin_job,b3,b3
   200426   ,b3,b3,b3,b3,b3,b3,b3,_dot_cleanup,b3,b3
   200427   ,b3,b3,b3,b3,b3,_psgen_end_page,b3,b3,b3,b3
   200428   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,_svg_begin_cluster
   200429   ,b3,_psgen_begin_graph,b3,b3,b3,b3,b3,b3,b3,b3
   200430   ,b3,_point_init,b3,b3,b3,_record_init,b3,b3,b3,b3
   200431   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200432   ,b3,_gvevent_refresh,b3,b3,b3,b3,b3,b3,b3,b3
   200433   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200434   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200435   ,b3,b3,b3,_svg_begin_job,b3,b3,b3,_svg_end_layer,b3,b3
   200436   ,b3,b3,b3,_tkgen_begin_edge,b3,_epsf_free,b3,_vml_end_graph,b3,b3
   200437   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200438   ,b3,_psgen_end_job,b3,_poly_free,b3,b3,b3,b3,b3,b3
   200439   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,_ps_freeimage
   200440   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200441   ,b3,b3,b3,_vml_begin_job,b3,b3,b3,b3,b3,b3
   200442   ,b3,b3,b3,b3,b3,b3,b3,_vml_begin_graph,b3,b3
   200443   ,b3,b3,b3,b3,b3,_svg_begin_node,b3,b3,b3,b3
   200444   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200445   ,b3,_svg_begin_edge,b3,b3,b3,b3,b3,b3,b3,b3
   200446   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200447   ,b3,_svg_begin_graph,b3,b3,b3,b3,b3,b3,b3,b3
   200448   ,b3,b3,b3,_svg_begin_page,b3,b3,b3,b3,b3,b3
   200449   ,b3,_svg_end_page,b3,b3,b3,b3,b3,_gvevent_delete,b3,_psgen_end_edge
   200450   ,b3,_psgen_begin_page,b3,b3,b3,b3,b3,b3,b3,b3
   200451   ,b3,b3,b3,_fig_end_edge,b3,b3,b3,b3,b3,b3
   200452   ,b3,_psgen_begin_node,b3,b3,b3,b3,b3,b3,b3,b3
   200453   ,b3,b3,b3,b3,b3,_dot_begin_graph,b3,b3,b3,b3
   200454   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200455   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200456   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200457   ,b3,_vml_end_anchor,b3,b3,b3,b3,b3,_fig_begin_edge,b3,_fig_begin_page
   200458   ,b3,b3,b3,_xdot_end_cluster,b3,b3,b3,b3,b3,b3
   200459   ,b3,b3,b3,b3,b3,_map_begin_page,b3,_psgen_begin_job,b3,b3
   200460   ,b3,b3,b3,b3,b3,b3,b3,_tkgen_begin_graph,b3,b3
   200461   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200462   ,b3,b3,b3,b3,b3,_psgen_begin_edge,b3,b3,b3,b3
   200463   ,b3,_psgen_begin_cluster,b3,b3,b3,_svg_end_graph,b3,b3,b3,_fig_end_graph
   200464   ,b3,b3,b3,_record_free,b3,b3,b3,b3,b3,b3
   200465   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200466   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200467   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200468   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200469   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200470   ,b3,b3,b3,b3,b3,b3,b3,_svg_end_cluster,b3,b3
   200471   ,b3,_tkgen_begin_node,b3,b3,b3,_poly_init,b3,_psgen_end_cluster,b3,b3
   200472   ,b3,b3,b3,_xdot_end_node,b3,b3,b3,_svg_end_node,b3,b3
   200473   ,b3,b3,b3,_svg_end_edge,b3,b3,b3,b3,b3,b3
   200474   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200475   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200476   ,b3,b3,b3,b3,b3,_fig_begin_graph,b3,_free,b3,b3
   200477   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200478   ,b3,b3,b3,b3,b3,b3,b3,_xdot_end_edge,b3,b3
   200479   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200480   ,b3,_dot_end_graph,b3,b3,b3,b3,b3,b3,b3,b3
   200481   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200482   ,b3,b3,b3,b3,b3,_fig_begin_node,b3,b3,b3,_dot_layout
   200483   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200484   ,b3,b3,b3,b3,b3,_svg_end_anchor,b3,b3,b3,b3
   200485   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200486   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,_map_end_page
   200487   ,b3,_epsf_init,b3,b3,b3,b3,b3,b3,b3,b3
   200488   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200489   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,_fig_end_node
   200490   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200491   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200492   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200493   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200494   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200495   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200496   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200497   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200498   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200499   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200500   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200501   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200502   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200503   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200504   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200505   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200506   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200507   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200508   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200509   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200510   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200511   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200512   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200513   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200514   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200515   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200516   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200517   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200518   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200519   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200520   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200521   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200522   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200523   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200524   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3
   200525   ,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3,b3];
   200526   var FUNCTION_TABLE_vii = [b4,b4,b4,b4,b4,b4,b4,b4,_vml_comment,b4,b4
   200527   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200528   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200529   ,b4,b4,b4,_point_gencode,b4,b4,b4,_epsf_gencode,b4,b4
   200530   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200531   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200532   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200533   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200534   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200535   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200536   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200537   ,b4,_tkgen_comment,b4,b4,b4,b4,b4,b4,b4,b4
   200538   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200539   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200540   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200541   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200542   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200543   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200544   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200545   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200546   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200547   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200548   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200549   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200550   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200551   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200552   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200553   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200554   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200555   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200556   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200557   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200558   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200559   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200560   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200561   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200562   ,b4,b4,b4,_fig_comment,b4,b4,b4,b4,b4,b4
   200563   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200564   ,b4,b4,b4,_endElement,b4,b4,b4,b4,b4,b4
   200565   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200566   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200567   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200568   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200569   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200570   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200571   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200572   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200573   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200574   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200575   ,b4,b4,b4,b4,b4,b4,b4,_poly_gencode,b4,b4
   200576   ,b4,_agerrorf,b4,b4,b4,b4,b4,b4,b4,b4
   200577   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200578   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200579   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200580   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200581   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200582   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200583   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200584   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200585   ,b4,b4,b4,_fig_resolve_color,b4,b4,b4,b4,b4,b4
   200586   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200587   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200588   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200589   ,b4,b4,b4,_record_gencode,b4,b4,b4,_svg_comment,b4,b4
   200590   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200591   ,b4,b4,b4,b4,b4,_vizRenderFromString,b4,b4,b4,b4
   200592   ,b4,b4,b4,b4,b4,_gvevent_layout,b4,b4,b4,b4
   200593   ,b4,b4,b4,b4,b4,b4,b4,_gvevent_motion,b4,b4
   200594   ,b4,_psgen_comment,b4,b4,b4,b4,b4,b4,b4,b4
   200595   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200596   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200597   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200598   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200599   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200600   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200601   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200602   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200603   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200604   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200605   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200606   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200607   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200608   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200609   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200610   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200611   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200612   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200613   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200614   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200615   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200616   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200617   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200618   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200619   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200620   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200621   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200622   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200623   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200624   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200625   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200626   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4
   200627   ,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4,b4];
   200628   var FUNCTION_TABLE_ii = [b5,b5,b5,b5,b5,b5,b5,b5,b5,b5,_up_cb
   200629   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200630   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200631   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,_zoom_in_cb
   200632   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200633   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200634   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200635   ,b5,b5,b5,_ferror__wrapper,b5,b5,b5,b5,b5,b5
   200636   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200637   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200638   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200639   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200640   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200641   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200642   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200643   ,b5,b5,b5,b5,b5,_zoom_out_cb,b5,b5,b5,b5
   200644   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200645   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,_down_cb
   200646   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200647   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200648   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200649   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200650   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200651   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200652   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200653   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200654   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200655   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200656   ,b5,b5,b5,b5,b5,b5,b5,_gvferror,b5,b5
   200657   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200658   ,b5,b5,b5,b5,b5,_malloc,b5,b5,b5,b5
   200659   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200660   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200661   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200662   ,b5,_toggle_fit_cb,b5,b5,b5,b5,b5,b5,b5,b5
   200663   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200664   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200665   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200666   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200667   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200668   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200669   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200670   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200671   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200672   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200673   ,b5,b5,b5,b5,b5,_quit_cb,b5,b5,b5,b5
   200674   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200675   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200676   ,b5,_spline_merge,b5,b5,b5,_swap_ends_p,b5,b5,b5,b5
   200677   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200678   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200679   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200680   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200681   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200682   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200683   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200684   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200685   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200686   ,b5,b5,b5,b5,b5,b5,b5,_left_cb,b5,b5
   200687   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200688   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200689   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200690   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200691   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200692   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200693   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200694   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200695   ,b5,b5,b5,_right_cb,b5,b5,b5,b5,b5,b5
   200696   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200697   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200698   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200699   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200700   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200701   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200702   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200703   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200704   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200705   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200706   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200707   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200708   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200709   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200710   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200711   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200712   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200713   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200714   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200715   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200716   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200717   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200718   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200719   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200720   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200721   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200722   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200723   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200724   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200725   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200726   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200727   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200728   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5
   200729   ,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5,b5];
   200730   var FUNCTION_TABLE_iiii = [b6,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200731   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200732   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200733   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200734   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200735   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200736   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200737   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200738   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200739   ,b6,b6,b6,b6,b6,b6,b6,_printedge,b6,b6
   200740   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200741   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200742   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200743   ,b6,_dtlist,b6,b6,b6,b6,b6,b6,b6,b6
   200744   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200745   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200746   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200747   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200748   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200749   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200750   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200751   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200752   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200753   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200754   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200755   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200756   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200757   ,b6,b6,b6,b6,b6,_big2_predefinedEntityName,b6,b6,b6,b6
   200758   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200759   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200760   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200761   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200762   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200763   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200764   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200765   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,_agraphattr
   200766   ,b6,_little2_sameName,b6,b6,b6,b6,b6,b6,b6,b6
   200767   ,b6,_copydictf,b6,b6,b6,b6,b6,b6,b6,_agnodeattr
   200768   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200769   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200770   ,b6,_normal_predefinedEntityName,b6,b6,b6,b6,b6,b6,b6,b6
   200771   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200772   ,b6,b6,b6,b6,b6,_big2_sameName,b6,b6,b6,b6
   200773   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200774   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200775   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200776   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200777   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200778   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200779   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200780   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200781   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200782   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200783   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200784   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200785   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200786   ,b6,b6,b6,b6,b6,_little2_predefinedEntityName,b6,b6,b6,b6
   200787   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200788   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200789   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200790   ,b6,b6,b6,b6,b6,b6,b6,_fgets__wrapper,b6,_normal_sameName
   200791   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200792   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200793   ,b6,b6,b6,b6,b6,_dttree,b6,b6,b6,b6
   200794   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,_dtvsearch
   200795   ,b6,b6,b6,_agedgeattr,b6,b6,b6,b6,b6,b6
   200796   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200797   ,b6,b6,b6,b6,b6,_memgets,b6,b6,b6,b6
   200798   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200799   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200800   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200801   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200802   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200803   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200804   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200805   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200806   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200807   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200808   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200809   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200810   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200811   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200812   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200813   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200814   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200815   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200816   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200817   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200818   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200819   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200820   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200821   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200822   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200823   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200824   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200825   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200826   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200827   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200828   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200829   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200830   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6
   200831   ,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6,b6];
   200832   var FUNCTION_TABLE_viii = [b7,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200833   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200834   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200835   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200836   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200837   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200838   ,b7,b7,b7,b7,b7,b7,b7,_free_fitem,b7,b7
   200839   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200840   ,b7,b7,b7,b7,b7,b7,b7,_svg_textpara,b7,b7
   200841   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200842   ,b7,_free_item,b7,b7,b7,b7,b7,b7,b7,b7
   200843   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200844   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200845   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200846   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200847   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200848   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200849   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200850   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200851   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200852   ,b7,_vml_ellipse,b7,_vml_polyline,b7,b7,b7,_gvevent_button_press,b7,b7
   200853   ,b7,b7,b7,b7,b7,b7,b7,_psgen_polyline,b7,b7
   200854   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,_tkgen_polyline
   200855   ,b7,b7,b7,_characterData,b7,b7,b7,b7,b7,b7
   200856   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,_xdot_polyline
   200857   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200858   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200859   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,_psgen_textpara
   200860   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200861   ,b7,b7,b7,b7,b7,b7,b7,_fig_textpara,b7,b7
   200862   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,_free_string_entry
   200863   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200864   ,b7,_freePair,b7,b7,b7,b7,b7,b7,b7,b7
   200865   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200866   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200867   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200868   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,_free_ritem
   200869   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200870   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,_xdot_ellipse
   200871   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200872   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200873   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200874   ,b7,b7,b7,_tkgen_textpara,b7,b7,b7,b7,b7,_gvevent_render
   200875   ,b7,b7,b7,b7,b7,_ps_image_free,b7,b7,b7,b7
   200876   ,b7,b7,b7,b7,b7,_usershape_close,b7,b7,b7,_free_citem
   200877   ,b7,b7,b7,_svg_ellipse,b7,b7,b7,b7,b7,b7
   200878   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200879   ,b7,b7,b7,_free_fpara,b7,b7,b7,b7,b7,_fig_ellipse
   200880   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200881   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200882   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,_vml_textpara
   200883   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200884   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200885   ,b7,b7,b7,_gvevent_button_release,b7,b7,b7,b7,b7,b7
   200886   ,b7,_fig_polyline,b7,b7,b7,b7,b7,b7,b7,b7
   200887   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,_gvevent_modify
   200888   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200889   ,b7,b7,b7,_tkgen_ellipse,b7,b7,b7,b7,b7,_xdot_textpara
   200890   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200891   ,b7,b7,b7,b7,b7,b7,b7,_startElement,b7,b7
   200892   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200893   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200894   ,b7,b7,b7,b7,b7,_gvevent_read,b7,_psgen_ellipse,b7,b7
   200895   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,_svg_polyline
   200896   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200897   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200898   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200899   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200900   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200901   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200902   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200903   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200904   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200905   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200906   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200907   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200908   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200909   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200910   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200911   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200912   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200913   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200914   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200915   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200916   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200917   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200918   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200919   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200920   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200921   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200922   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200923   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200924   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200925   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200926   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200927   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200928   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200929   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200930   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200931   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200932   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7
   200933   ,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7,b7];
   200934   var FUNCTION_TABLE_v = [b8,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200935   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200936   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200937   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200938   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200939   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200940   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200941   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200942   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200943   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200944   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200945   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200946   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200947   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200948   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200949   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200950   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200951   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200952   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200953   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200954   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200955   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200956   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200957   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200958   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200959   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200960   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200961   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200962   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200963   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200964   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200965   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200966   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200967   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200968   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200969   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200970   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200971   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200972   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200973   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200974   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200975   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200976   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200977   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200978   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200979   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200980   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200981   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200982   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200983   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200984   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200985   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200986   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200987   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200988   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200989   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200990   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200991   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200992   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200993   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200994   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200995   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200996   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200997   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200998   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   200999   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   201000   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   201001   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   201002   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   201003   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   201004   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   201005   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   201006   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   201007   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   201008   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   201009   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   201010   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   201011   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   201012   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   201013   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   201014   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   201015   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   201016   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   201017   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   201018   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   201019   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   201020   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   201021   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   201022   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   201023   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   201024   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   201025   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   201026   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   201027   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   201028   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   201029   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   201030   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   201031   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   201032   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   201033   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   201034   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8
   201035   ,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8,b8];
   201036   var FUNCTION_TABLE_viiiiiiiii = [b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9,b9];
   201037   var FUNCTION_TABLE_viiiiii = [b10,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201038   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201039   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201040   ,b10,_fig_bezier,b10,b10,b10,b10,b10,b10,b10,b10
   201041   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201042   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201043   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201044   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201045   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,_vml_bezier
   201046   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201047   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201048   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201049   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201050   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201051   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201052   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201053   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201054   ,b10,_psgen_bezier,b10,b10,b10,b10,b10,b10,b10,b10
   201055   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201056   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201057   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201058   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201059   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201060   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201061   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201062   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201063   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201064   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201065   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201066   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201067   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201068   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201069   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201070   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201071   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201072   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201073   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201074   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201075   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201076   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201077   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,_svg_bezier
   201078   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201079   ,b10,_xdot_bezier,b10,b10,b10,b10,b10,b10,b10,b10
   201080   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201081   ,b10,b10,b10,_tkgen_bezier,b10,b10,b10,b10,b10,b10
   201082   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201083   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201084   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201085   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201086   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201087   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201088   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201089   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201090   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201091   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201092   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201093   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201094   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201095   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201096   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201097   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201098   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201099   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201100   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201101   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201102   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201103   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201104   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201105   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201106   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201107   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201108   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201109   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201110   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201111   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201112   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201113   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201114   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201115   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201116   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201117   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201118   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201119   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201120   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201121   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201122   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201123   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201124   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201125   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201126   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201127   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201128   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201129   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201130   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201131   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201132   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201133   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201134   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201135   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201136   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201137   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10
   201138   ,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10,b10];
   201139   var FUNCTION_TABLE_iii = [b11,b11,b11,b11,_icmp,b11,_titlefn,b11,b11,b11,b11
   201140   ,b11,b11,b11,_compFunction2,b11,b11,b11,b11,b11,b11
   201141   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,_cell_halignfn
   201142   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201143   ,b11,b11,b11,b11,b11,_normal_charRefNumber,b11,b11,b11,b11
   201144   ,b11,b11,b11,b11,b11,_edgelblcmpfn,b11,_halignfn,b11,_epsf_inside
   201145   ,b11,b11,b11,_utf8_isName2,b11,b11,b11,b11,b11,_srcfn
   201146   ,b11,b11,b11,b11,b11,_idfn,b11,_normal_nameLength,b11,b11
   201147   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201148   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201149   ,b11,b11,b11,_cellpaddingfn,b11,b11,b11,b11,b11,b11
   201150   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201151   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201152   ,b11,b11,b11,b11,b11,_ordercmpf,b11,b11,b11,b11
   201153   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,_cellspacingfn
   201154   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201155   ,b11,_unknown_isNmstrt,b11,b11,b11,b11,b11,b11,b11,b11
   201156   ,b11,b11,b11,b11,b11,_comp_entities,b11,_utf8_isNmstrt3,b11,b11
   201157   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201158   ,b11,b11,b11,_cellborderfn,b11,b11,b11,b11,b11,_edgeidcmpf
   201159   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201160   ,b11,b11,b11,_little2_nameLength,b11,_valignfn,b11,b11,b11,_alignfn
   201161   ,b11,_scalefn,b11,b11,b11,_utf8_isInvalid2,b11,_utf8_isInvalid3,b11,b11
   201162   ,b11,b11,b11,b11,b11,_utf8_isInvalid4,b11,b11,b11,b11
   201163   ,b11,b11,b11,b11,b11,_targetfn,b11,_unknown_isName,b11,b11
   201164   ,b11,b11,b11,_gvrender_comparestr,b11,b11,b11,b11,b11,b11
   201165   ,b11,b11,b11,_fixedsizefn,b11,b11,b11,b11,b11,b11
   201166   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201167   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201168   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201169   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201170   ,b11,_bgcolorfn,b11,b11,b11,b11,b11,b11,b11,b11
   201171   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201172   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201173   ,b11,b11,b11,b11,b11,_widthfn,b11,b11,b11,b11
   201174   ,b11,b11,b11,_utf8_isNmstrt2,b11,b11,b11,b11,b11,b11
   201175   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201176   ,b11,b11,b11,b11,b11,_unknown_isInvalid,b11,b11,b11,b11
   201177   ,b11,_borderfn,b11,b11,b11,b11,b11,_little2_charRefNumber,b11,b11
   201178   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201179   ,b11,b11,b11,b11,b11,_nodeposcmpf,b11,b11,b11,b11
   201180   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201181   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201182   ,b11,b11,b11,b11,b11,b11,b11,_stylefn,b11,b11
   201183   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201184   ,b11,b11,b11,b11,b11,b11,b11,_hreffn,b11,b11
   201185   ,b11,_colspanfn,b11,_poly_inside,b11,_isNever,b11,b11,b11,b11
   201186   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201187   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201188   ,b11,_realloc,b11,b11,b11,b11,b11,b11,b11,b11
   201189   ,b11,b11,b11,b11,b11,_utf8_isName3,b11,_balignfn,b11,b11
   201190   ,b11,b11,b11,_normal_skipS,b11,_rowspanfn,b11,b11,b11,b11
   201191   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201192   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201193   ,b11,b11,b11,_little2_skipS,b11,b11,b11,b11,b11,b11
   201194   ,b11,b11,b11,b11,b11,b11,b11,_fontcmpf,b11,b11
   201195   ,b11,b11,b11,_colorcmpf,b11,b11,b11,b11,b11,b11
   201196   ,b11,_ptsizefn,b11,b11,b11,_edgecmp,b11,b11,b11,b11
   201197   ,b11,_record_inside,b11,b11,b11,b11,b11,b11,b11,b11
   201198   ,b11,_compFunction,b11,b11,b11,b11,b11,b11,b11,_inside
   201199   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201200   ,b11,_big2_skipS,b11,b11,b11,_fontcolorfn,b11,b11,b11,b11
   201201   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201202   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201203   ,b11,_point_inside,b11,b11,b11,_big2_nameLength,b11,b11,b11,b11
   201204   ,b11,_facefn,b11,b11,b11,b11,b11,_pencolorfn,b11,b11
   201205   ,b11,_portfn,b11,_big2_charRefNumber,b11,b11,b11,b11,b11,b11
   201206   ,b11,_heightfn,b11,b11,b11,b11,b11,b11,b11,b11
   201207   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201208   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201209   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201210   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201211   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201212   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201213   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201214   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201215   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201216   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201217   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201218   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201219   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201220   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201221   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201222   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201223   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201224   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201225   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201226   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201227   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201228   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201229   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201230   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201231   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201232   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201233   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201234   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201235   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201236   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201237   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201238   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201239   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11
   201240   ,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11,b11];
   201241   var FUNCTION_TABLE_iiiiii = [b12,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201242   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201243   ,b12,_prolog2,b12,b12,b12,b12,b12,b12,b12,b12
   201244   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201245   ,b12,b12,b12,_prolog1,b12,b12,b12,b12,b12,b12
   201246   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201247   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201248   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201249   ,b12,b12,b12,b12,b12,_element0,b12,b12,b12,b12
   201250   ,b12,b12,b12,_notation2,b12,b12,b12,b12,b12,b12
   201251   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201252   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,_doctype0
   201253   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201254   ,b12,b12,b12,_error,b12,b12,b12,_poly_path,b12,b12
   201255   ,b12,b12,b12,b12,b12,b12,b12,_internalSubset,b12,b12
   201256   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201257   ,b12,b12,b12,b12,b12,_attlist6,b12,b12,b12,b12
   201258   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201259   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201260   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201261   ,b12,b12,b12,b12,b12,_declClose,b12,b12,b12,b12
   201262   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201263   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201264   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201265   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201266   ,b12,b12,b12,b12,b12,_doctype2,b12,b12,b12,b12
   201267   ,b12,b12,b12,b12,b12,b12,b12,_element4,b12,_element5
   201268   ,b12,_element2,b12,b12,b12,b12,b12,_element1,b12,b12
   201269   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201270   ,b12,b12,b12,_element6,b12,b12,b12,b12,b12,b12
   201271   ,b12,_element7,b12,b12,b12,b12,b12,b12,b12,b12
   201272   ,b12,b12,b12,_entity8,b12,_entity9,b12,_attlist2,b12,b12
   201273   ,b12,b12,b12,_entity3,b12,_entity0,b12,_entity1,b12,_entity6
   201274   ,b12,b12,b12,_entity4,b12,_element3,b12,b12,b12,b12
   201275   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201276   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201277   ,b12,b12,b12,b12,b12,_notation0,b12,b12,b12,b12
   201278   ,b12,b12,b12,b12,b12,b12,b12,_attlist8,b12,b12
   201279   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201280   ,b12,b12,b12,_attlist5,b12,b12,b12,b12,b12,b12
   201281   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201282   ,b12,_attlist7,b12,b12,b12,b12,b12,b12,b12,b12
   201283   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201284   ,b12,b12,b12,_prolog0,b12,b12,b12,b12,b12,_attlist0
   201285   ,b12,_entity2,b12,b12,b12,b12,b12,b12,b12,b12
   201286   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201287   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201288   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201289   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201290   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201291   ,b12,b12,b12,_attlist9,b12,b12,b12,b12,b12,b12
   201292   ,b12,_attlist4,b12,b12,b12,b12,b12,_attlist1,b12,b12
   201293   ,b12,_attlist3,b12,b12,b12,b12,b12,b12,b12,_entity5
   201294   ,b12,b12,b12,b12,b12,b12,b12,_doctype4,b12,_doctype5
   201295   ,b12,b12,b12,b12,b12,_doctype1,b12,b12,b12,_doctype3
   201296   ,b12,_entity10,b12,b12,b12,b12,b12,b12,b12,b12
   201297   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201298   ,b12,b12,b12,b12,b12,b12,b12,_notation1,b12,b12
   201299   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201300   ,b12,b12,b12,b12,b12,_notation3,b12,b12,b12,b12
   201301   ,b12,b12,b12,_record_path,b12,b12,b12,b12,b12,b12
   201302   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201303   ,b12,_notation4,b12,_entity7,b12,b12,b12,b12,b12,b12
   201304   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201305   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201306   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201307   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201308   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201309   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201310   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201311   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201312   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201313   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201314   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201315   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201316   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201317   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201318   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201319   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201320   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201321   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201322   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201323   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201324   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201325   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201326   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201327   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201328   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201329   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201330   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201331   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201332   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201333   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201334   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201335   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201336   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201337   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201338   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201339   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201340   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201341   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12
   201342   ,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12,b12];
   201343   var FUNCTION_TABLE_viiii = [b13,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201344   ,b13,b13,b13,b13,b13,_little2_updatePosition,b13,b13,b13,b13
   201345   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201346   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201347   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201348   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201349   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201350   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201351   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201352   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201353   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201354   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201355   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201356   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,_core_loadimage_fig
   201357   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201358   ,b13,b13,b13,b13,b13,b13,b13,_psgen_polygon,b13,b13
   201359   ,b13,b13,b13,_core_loadimage_vml,b13,b13,b13,b13,b13,b13
   201360   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201361   ,b13,b13,b13,b13,b13,_core_loadimage_xdot,b13,b13,b13,b13
   201362   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201363   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,_normal_updatePosition
   201364   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201365   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201366   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201367   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201368   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201369   ,b13,b13,b13,b13,b13,_core_loadimage_vrml,b13,b13,b13,b13
   201370   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201371   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,_vml_polygon
   201372   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,_core_loadimage_ps
   201373   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201374   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201375   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201376   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201377   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201378   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201379   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201380   ,b13,b13,b13,_core_loadimage_pslib,b13,b13,b13,b13,b13,b13
   201381   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201382   ,b13,b13,b13,b13,b13,b13,b13,_initUpdatePosition,b13,b13
   201383   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201384   ,b13,b13,b13,_svg_begin_layer,b13,_core_loadimage_null,b13,b13,b13,_poly_port
   201385   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201386   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201387   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201388   ,b13,_xdot_polygon,b13,b13,b13,b13,b13,b13,b13,b13
   201389   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201390   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201391   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201392   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201393   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201394   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201395   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201396   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201397   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201398   ,b13,b13,b13,b13,b13,_core_loadimage_svg,b13,b13,b13,b13
   201399   ,b13,b13,b13,b13,b13,b13,b13,_record_port,b13,_tkgen_polygon
   201400   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201401   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201402   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201403   ,b13,_svg_polygon,b13,b13,b13,b13,b13,b13,b13,b13
   201404   ,b13,b13,b13,b13,b13,b13,b13,_big2_updatePosition,b13,b13
   201405   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201406   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201407   ,b13,b13,b13,b13,b13,b13,b13,_psgen_begin_layer,b13,b13
   201408   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201409   ,b13,b13,b13,b13,b13,b13,b13,_fig_polygon,b13,b13
   201410   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201411   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201412   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201413   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201414   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201415   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201416   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201417   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201418   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201419   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201420   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201421   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201422   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201423   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201424   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201425   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201426   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201427   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201428   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201429   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201430   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201431   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201432   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201433   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201434   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201435   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201436   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201437   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201438   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201439   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201440   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201441   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201442   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201443   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13
   201444   ,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13,b13];
   201445   return { _memcmp: _memcmp, _strlen: _strlen, _strcat: _strcat, _free: _free, _vizRenderFromString: _vizRenderFromString, _strncpy: _strncpy, _memmove: _memmove, _tolower: _tolower, _memset: _memset, _malloc: _malloc, _memcpy: _memcpy, _realloc: _realloc, _strcpy: _strcpy, _calloc: _calloc, stackAlloc: stackAlloc, stackSave: stackSave, stackRestore: stackRestore, setThrew: setThrew, setTempRet0: setTempRet0, setTempRet1: setTempRet1, setTempRet2: setTempRet2, setTempRet3: setTempRet3, setTempRet4: setTempRet4, setTempRet5: setTempRet5, setTempRet6: setTempRet6, setTempRet7: setTempRet7, setTempRet8: setTempRet8, setTempRet9: setTempRet9, dynCall_iiiii: dynCall_iiiii, dynCall_viiiffi: dynCall_viiiffi, dynCall_viiiii: dynCall_viiiii, dynCall_vi: dynCall_vi, dynCall_vii: dynCall_vii, dynCall_ii: dynCall_ii, dynCall_iiii: dynCall_iiii, dynCall_viii: dynCall_viii, dynCall_v: dynCall_v, dynCall_viiiiiiiii: dynCall_viiiiiiiii, dynCall_viiiiii: dynCall_viiiiii, dynCall_iii: dynCall_iii, dynCall_iiiiii: dynCall_iiiiii, dynCall_viiii: dynCall_viiii };
   201446 })
   201447 // EMSCRIPTEN_END_ASM
   201448 ({ Math: Math, Int8Array: Int8Array, Int16Array: Int16Array, Int32Array: Int32Array, Uint8Array: Uint8Array, Uint16Array: Uint16Array, Uint32Array: Uint32Array, Float32Array: Float32Array, Float64Array: Float64Array }, { abort: abort, assert: assert, asmPrintInt: asmPrintInt, asmPrintFloat: asmPrintFloat, copyTempDouble: copyTempDouble, copyTempFloat: copyTempFloat, min: Math_min, invoke_iiiii: invoke_iiiii, invoke_viiiffi: invoke_viiiffi, invoke_viiiii: invoke_viiiii, invoke_vi: invoke_vi, invoke_vii: invoke_vii, invoke_ii: invoke_ii, invoke_iiii: invoke_iiii, invoke_viii: invoke_viii, invoke_v: invoke_v, invoke_viiiiiiiii: invoke_viiiiiiiii, invoke_viiiiii: invoke_viiiiii, invoke_iii: invoke_iii, invoke_iiiiii: invoke_iiiiii, invoke_viiii: invoke_viiii, _lseek: _lseek, __scanString: __scanString, _fclose: _fclose, __isFloat: __isFloat, _fflush: _fflush, _strtol: _strtol, _fputc: _fputc, _strtok: _strtok, _fwrite: _fwrite, _tmpnam: _tmpnam, _isspace: _isspace, _read: _read, _ceil: _ceil, _fileno: _fileno, _strstr: _strstr, _fsync: _fsync, _strcmp: _strcmp, _strncmp: _strncmp, _tmpfile: _tmpfile, _snprintf: _snprintf, _fgetc: _fgetc, _hypot: _hypot, _fgets: _fgets, _close: _close, _strchr: _strchr, ___setErrNo: ___setErrNo, _access: _access, _ftell: _ftell, _exit: _exit, _sprintf: _sprintf, _strrchr: _strrchr, _ferror: _ferror, _cos: _cos, _putenv: _putenv, _times: _times, _bsearch: _bsearch, _islower: _islower, __exit: __exit, _isupper: _isupper, _rand: _rand, _setlocale: _setlocale, _bcopy: _bcopy, _toupper: _toupper, _pread: _pread, _fopen: _fopen, _open: _open, _isalnum: _isalnum, _qsort: _qsort, _isalpha: _isalpha, _strdup: _strdup, _srand: _srand, __formatString: __formatString, _getenv: _getenv, _atoi: _atoi, _vfprintf: _vfprintf, _llvm_pow_f64: _llvm_pow_f64, _sbrk: _sbrk, ___errno_location: ___errno_location, _strerror: _strerror, _fstat: _fstat, __parseInt: __parseInt, _freeXDot: _freeXDot, _vsprintf: _vsprintf, _vsnprintf: _vsnprintf, _sscanf: _sscanf, _sysconf: _sysconf, _fread: _fread, _strtok_r: _strtok_r, _abort: _abort, _fprintf: _fprintf, ___buildEnvironment: ___buildEnvironment, _feof: _feof, _statXDot: _statXDot, _ispunct: _ispunct, _fabs: _fabs, __reallyNegative: __reallyNegative, _fseek: _fseek, _sqrt: _sqrt, _write: _write, _sin: _sin, _stat: _stat, _parseXDotF: _parseXDotF, _llvm_va_end: _llvm_va_end, ___assert_func: ___assert_func, _pwrite: _pwrite, _strerror_r: _strerror_r, _iscntrl: _iscntrl, _atan2: _atan2, _time: _time, STACKTOP: STACKTOP, STACK_MAX: STACK_MAX, tempDoublePtr: tempDoublePtr, ABORT: ABORT, NaN: NaN, Infinity: Infinity, _stdout: _stdout, _stderr: _stderr }, buffer);
   201449 var _memcmp = Module["_memcmp"] = asm._memcmp;
   201450 var _strlen = Module["_strlen"] = asm._strlen;
   201451 var _strcat = Module["_strcat"] = asm._strcat;
   201452 var _free = Module["_free"] = asm._free;
   201453 var _vizRenderFromString = Module["_vizRenderFromString"] = asm._vizRenderFromString;
   201454 var _strncpy = Module["_strncpy"] = asm._strncpy;
   201455 var _memmove = Module["_memmove"] = asm._memmove;
   201456 var _tolower = Module["_tolower"] = asm._tolower;
   201457 var _memset = Module["_memset"] = asm._memset;
   201458 var _malloc = Module["_malloc"] = asm._malloc;
   201459 var _memcpy = Module["_memcpy"] = asm._memcpy;
   201460 var _realloc = Module["_realloc"] = asm._realloc;
   201461 var _strcpy = Module["_strcpy"] = asm._strcpy;
   201462 var _calloc = Module["_calloc"] = asm._calloc;
   201463 var dynCall_iiiii = Module["dynCall_iiiii"] = asm.dynCall_iiiii;
   201464 var dynCall_viiiffi = Module["dynCall_viiiffi"] = asm.dynCall_viiiffi;
   201465 var dynCall_viiiii = Module["dynCall_viiiii"] = asm.dynCall_viiiii;
   201466 var dynCall_vi = Module["dynCall_vi"] = asm.dynCall_vi;
   201467 var dynCall_vii = Module["dynCall_vii"] = asm.dynCall_vii;
   201468 var dynCall_ii = Module["dynCall_ii"] = asm.dynCall_ii;
   201469 var dynCall_iiii = Module["dynCall_iiii"] = asm.dynCall_iiii;
   201470 var dynCall_viii = Module["dynCall_viii"] = asm.dynCall_viii;
   201471 var dynCall_v = Module["dynCall_v"] = asm.dynCall_v;
   201472 var dynCall_viiiiiiiii = Module["dynCall_viiiiiiiii"] = asm.dynCall_viiiiiiiii;
   201473 var dynCall_viiiiii = Module["dynCall_viiiiii"] = asm.dynCall_viiiiii;
   201474 var dynCall_iii = Module["dynCall_iii"] = asm.dynCall_iii;
   201475 var dynCall_iiiiii = Module["dynCall_iiiiii"] = asm.dynCall_iiiiii;
   201476 var dynCall_viiii = Module["dynCall_viiii"] = asm.dynCall_viiii;
   201477 Runtime.stackAlloc = function(size) { return asm.stackAlloc(size) };
   201478 Runtime.stackSave = function() { return asm.stackSave() };
   201479 Runtime.stackRestore = function(top) { asm.stackRestore(top) };
   201480 // Warning: printing of i64 values may be slightly rounded! No deep i64 math used, so precise i64 code not included
   201481 var i64Math = null;
   201482 // === Auto-generated postamble setup entry stuff ===
   201483 Module.callMain = function callMain(args) {
   201484   assert(runDependencies == 0, 'cannot call main when async dependencies remain! (listen on __ATMAIN__)');
   201485   assert(!Module['preRun'] || Module['preRun'].length == 0, 'cannot call main when preRun functions remain to be called');
   201486   args = args || [];
   201487   ensureInitRuntime();
   201488   var argc = args.length+1;
   201489   function pad() {
   201490     for (var i = 0; i < 4-1; i++) {
   201491       argv.push(0);
   201492     }
   201493   }
   201494   var argv = [allocate(intArrayFromString("/bin/this.program"), 'i8', ALLOC_NORMAL) ];
   201495   pad();
   201496   for (var i = 0; i < argc-1; i = i + 1) {
   201497     argv.push(allocate(intArrayFromString(args[i]), 'i8', ALLOC_NORMAL));
   201498     pad();
   201499   }
   201500   argv.push(0);
   201501   argv = allocate(argv, 'i32', ALLOC_NORMAL);
   201502   var ret;
   201503   var initialStackTop = STACKTOP;
   201504   try {
   201505     ret = Module['_main'](argc, argv, 0);
   201506   }
   201507   catch(e) {
   201508     if (e.name == 'ExitStatus') {
   201509       return e.status;
   201510     } else if (e == 'SimulateInfiniteLoop') {
   201511       Module['noExitRuntime'] = true;
   201512     } else {
   201513       throw e;
   201514     }
   201515   } finally {
   201516     STACKTOP = initialStackTop;
   201517   }
   201518   return ret;
   201519 }
   201520 function run(args) {
   201521   args = args || Module['arguments'];
   201522   if (runDependencies > 0) {
   201523     Module.printErr('run() called, but dependencies remain, so not running');
   201524     return 0;
   201525   }
   201526   if (Module['preRun']) {
   201527     if (typeof Module['preRun'] == 'function') Module['preRun'] = [Module['preRun']];
   201528     var toRun = Module['preRun'];
   201529     Module['preRun'] = [];
   201530     for (var i = toRun.length-1; i >= 0; i--) {
   201531       toRun[i]();
   201532     }
   201533     if (runDependencies > 0) {
   201534       // a preRun added a dependency, run will be called later
   201535       return 0;
   201536     }
   201537   }
   201538   function doRun() {
   201539     ensureInitRuntime();
   201540     preMain();
   201541     var ret = 0;
   201542     calledRun = true;
   201543     if (Module['_main'] && shouldRunNow) {
   201544       ret = Module.callMain(args);
   201545       if (!Module['noExitRuntime']) {
   201546         exitRuntime();
   201547       }
   201548     }
   201549     if (Module['postRun']) {
   201550       if (typeof Module['postRun'] == 'function') Module['postRun'] = [Module['postRun']];
   201551       while (Module['postRun'].length > 0) {
   201552         Module['postRun'].pop()();
   201553       }
   201554     }
   201555     return ret;
   201556   }
   201557   if (Module['setStatus']) {
   201558     Module['setStatus']('Running...');
   201559     setTimeout(function() {
   201560       setTimeout(function() {
   201561         Module['setStatus']('');
   201562       }, 1);
   201563       doRun();
   201564     }, 1);
   201565     return 0;
   201566   } else {
   201567     return doRun();
   201568   }
   201569 }
   201570 Module['run'] = Module.run = run;
   201571 // {{PRE_RUN_ADDITIONS}}
   201572 if (Module['preInit']) {
   201573   if (typeof Module['preInit'] == 'function') Module['preInit'] = [Module['preInit']];
   201574   while (Module['preInit'].length > 0) {
   201575     Module['preInit'].pop()();
   201576   }
   201577 }
   201578 // shouldRunNow refers to calling main(), not run().
   201579 var shouldRunNow = true;
   201580 if (Module['noInitialRun']) {
   201581   shouldRunNow = false;
   201582 }
   201583 run();
   201584 // {{POST_RUN_ADDITIONS}}
   201585   // {{MODULE_ADDITIONS}}
   201586   Module["ccall"]("vizRenderFromString", "number", ["string", "string"], [src, format]);
   201587   return Module["return"];
   201588 }
   201589