HomeSort by relevance Sort by last modified time
    Searched refs:TRIANGLE (Results 1 - 21 of 21) sorted by null

  /external/chromium_org/third_party/mesa/src/src/gallium/auxiliary/draw/
draw_decompose_tmp.h 45 #define TRIANGLE_ADJ(flags, i0, a0, i1, a1, i2, a2) TRIANGLE(flags, i0, i1, i2)
104 TRIANGLE(flags, idx[0], idx[1], idx[2]);
121 TRIANGLE(flags, idx[1], idx[0], idx[2]);
123 TRIANGLE(flags, idx[0], idx[1], idx[2]);
133 TRIANGLE(flags, idx[0], idx[2], idx[1]);
135 TRIANGLE(flags, idx[0], idx[1], idx[2]);
153 TRIANGLE(flags, idx[0], idx[1], idx[2]);
161 TRIANGLE(flags, idx[1], idx[2], idx[0]);
179 TRIANGLE(flags, idx[0], idx[1], idx[3]);
183 TRIANGLE(flags, idx[1], idx[2], idx[3])
    [all...]
draw_so_emit_tmp.h 30 #define TRIANGLE(flags,i0,i1,i2) so_tri(so,i0,i1,i2)
draw_gs_tmp.h 29 #define TRIANGLE(flags,i0,i1,i2) gs_tri(gs,i0,i1,i2)
draw_pipe.c 148 * Build primitive to render a triangle with vertices at v0, v1, v2.
174 #define TRIANGLE(flags,i0,i1,i2) \
278 #define TRIANGLE(flags,i0,i1,i2) \
  /external/mesa3d/src/gallium/auxiliary/draw/
draw_decompose_tmp.h 45 #define TRIANGLE_ADJ(flags, i0, a0, i1, a1, i2, a2) TRIANGLE(flags, i0, i1, i2)
104 TRIANGLE(flags, idx[0], idx[1], idx[2]);
121 TRIANGLE(flags, idx[1], idx[0], idx[2]);
123 TRIANGLE(flags, idx[0], idx[1], idx[2]);
133 TRIANGLE(flags, idx[0], idx[2], idx[1]);
135 TRIANGLE(flags, idx[0], idx[1], idx[2]);
153 TRIANGLE(flags, idx[0], idx[1], idx[2]);
161 TRIANGLE(flags, idx[1], idx[2], idx[0]);
179 TRIANGLE(flags, idx[0], idx[1], idx[3]);
183 TRIANGLE(flags, idx[1], idx[2], idx[3])
    [all...]
draw_so_emit_tmp.h 30 #define TRIANGLE(flags,i0,i1,i2) so_tri(so,i0,i1,i2)
draw_gs_tmp.h 29 #define TRIANGLE(flags,i0,i1,i2) gs_tri(gs,i0,i1,i2)
draw_pipe.c 148 * Build primitive to render a triangle with vertices at v0, v1, v2.
174 #define TRIANGLE(flags,i0,i1,i2) \
278 #define TRIANGLE(flags,i0,i1,i2) \
  /external/deqp/modules/gles2/functional/
es2fScissorTests.cpp 66 { "contained_tris", "Triangles fully inside scissor area (single call)", Vec4(0.1f, 0.1f, 0.8f, 0.8f), Vec4(0.2f, 0.2f, 0.6f, 0.6f), TRIANGLE, 30 },
67 { "partial_tris", "Triangles partially inside scissor area (single call)", Vec4(0.3f, 0.3f, 0.4f, 0.4f), Vec4(0.2f, 0.2f, 0.6f, 0.6f), TRIANGLE, 30 },
68 { "contained_tri", "Triangle fully inside scissor area", Vec4(0.1f, 0.1f, 0.8f, 0.8f), Vec4(0.2f, 0.2f, 0.6f, 0.6f), TRIANGLE, 1 },
69 { "enclosing_tri", "Triangle fully covering scissor area", Vec4(0.4f, 0.4f, 0.2f, 0.2f), Vec4(0.2f, 0.2f, 0.6f, 0.6f), TRIANGLE, 1 },
70 { "partial_tri", "Triangle partially inside scissor area", Vec4(0.4f, 0.4f, 0.6f, 0.6f), Vec4(0.0f, 0.0f, 1.0f, 1.0f), TRIANGLE, 1 },
71 { "outside_render_tri", "Triangle with scissor area outside render target", Vec4(1.4f, 1.4f, 0.6f, 0.6f), Vec4(0.0f, 0.0f, 0.6f, 0.6f), TRIANGLE, 1 }
    [all...]
  /external/deqp/modules/gles3/functional/
es3fScissorTests.cpp 76 { "contained_quads", "Triangles fully inside scissor area (single call)", Vec4(0.1f, 0.1f, 0.8f, 0.8f), Vec4(0.2f, 0.2f, 0.6f, 0.6f), TRIANGLE, 30 },
77 { "partial_quads", "Triangles partially inside scissor area (single call)", Vec4(0.3f, 0.3f, 0.4f, 0.4f), Vec4(0.2f, 0.2f, 0.6f, 0.6f), TRIANGLE, 30 },
78 { "contained_tri", "Triangle fully inside scissor area", Vec4(0.1f, 0.1f, 0.8f, 0.8f), Vec4(0.2f, 0.2f, 0.6f, 0.6f), TRIANGLE, 1 },
79 { "enclosing_tri", "Triangle fully covering scissor area", Vec4(0.4f, 0.4f, 0.2f, 0.2f), Vec4(0.2f, 0.2f, 0.6f, 0.6f), TRIANGLE, 1 },
80 { "partial_tri", "Triangle partially inside scissor area", Vec4(0.4f, 0.4f, 0.6f, 0.6f), Vec4(0.0f, 0.0f, 1.0f, 1.0f), TRIANGLE, 1 },
81 { "outside_render_tri", "Triangle with scissor area outside render target", Vec4(1.4f, 1.4f, 0.6f, 0.6f), Vec4(0.0f, 0.0f, 0.6f, 0.6f), TRIANGLE, 1 }
    [all...]
  /external/chromium_org/third_party/WebKit/Source/modules/webaudio/
OscillatorNode.h 51 TRIANGLE = 3,
OscillatorNode.cpp 90 case TRIANGLE:
91 return "triangle";
108 else if (type == "triangle")
109 setType(TRIANGLE);
133 case TRIANGLE: {
PeriodicWave.cpp 85 periodicWave->generateBasicWaveform(OscillatorNode::TRIANGLE);
275 case OscillatorNode::TRIANGLE:
276 // Triangle-shaped waveform going from 0 at time 0 to 1 at time pi/2 and back to 0 at
  /external/deqp/modules/glshared/
glsScissorTests.hpp 57 TRIANGLE,
glsScissorTests.cpp 385 case TRIANGLE: drawPrimitives(gl, program, GL_TRIANGLES, positions, indices); break;
  /external/clang/test/SemaCXX/
typo-correction-pt2.cpp 117 TRIANGLE,
127 switch (obj.type()) { // expected-warning {{enumeration values 'SQUARE', 'TRIANGLE', and 'CIRCLE' not handled in switch}}
129 case TRIANGLE: // expected-error-re {{use of undeclared identifier 'TRIANGLE'{{$}}}}
  /frameworks/base/rs/java/android/renderscript/
Mesh.java 67 TRIANGLE (3),
70 * Vertices will be rendered as a connected triangle strip
72 * triangle defined by a new vertex
544 * and triangle by triangle
745 * Adds a new triangle to the mesh builder
747 * @param idx1 index of the first vertex in the triangle
748 * @param idx2 index of the second vertex in the triangle
749 * @param idx3 index of the third vertex in the triangle
808 smb.addIndexSetType(Element.U16(mRS), mIndexCount, Primitive.TRIANGLE);
    [all...]
  /packages/wallpapers/NoiseField/src/com/android/noisefield/
NoiseFieldRS.java 157 backgroundBuilder.addIndexSetType(Primitive.TRIANGLE);
  /packages/wallpapers/PhaseBeam/src/com/android/phasebeam/
PhaseBeamRS.java 172 backgroundBuilder.addIndexSetType(Primitive.TRIANGLE);
  /external/fonttools/Lib/fontTools/
agl.py 655 25BC;triagdn;BLACK DOWN-POINTING TRIANGLE
658 25B2;triagup;BLACK UP-POINTING TRIANGLE
  /prebuilts/sdk/14/
android.jar 

Completed in 249 milliseconds