HomeSort by relevance Sort by last modified time
    Searched refs:regA (Results 1 - 13 of 13) sorted by null

  /external/smali/smali/src/main/antlr3/
smaliTreeWalker.g 767 byte regA = parseRegister_nibble($REGISTER.text);
772 $method::methodBuilder.addInstruction(new BuilderInstruction11n(opcode, regA, litB));
780 short regA = parseRegister_byte($REGISTER.text);
782 $method::methodBuilder.addInstruction(new BuilderInstruction11x(opcode, regA));
790 byte regA = parseRegister_nibble($registerA.text);
793 $method::methodBuilder.addInstruction(new BuilderInstruction12x(opcode, regA, regB));
822 short regA = parseRegister_byte($REGISTER.text);
826 $method::methodBuilder.addInstruction(new BuilderInstruction21c(opcode, regA,
835 short regA = parseRegister_byte($REGISTER.text);
837 $method::methodBuilder.addInstruction(new BuilderInstruction21c(opcode, regA,
    [all...]
  /external/llvm/include/llvm/Target/
TargetRegisterInfo.h 394 bool regsOverlap(unsigned regA, unsigned regB) const {
395 if (regA == regB) return true;
396 if (isVirtualRegister(regA) || isVirtualRegister(regB))
400 MCRegUnitIterator RUA(regA, this);
    [all...]
  /dalvik/dx/src/com/android/dx/ssa/
SCCP.java 253 int regA = specA.getReg();
255 latticeValues[regA] == CONSTANT) {
256 cA = latticeConstants[regA];
369 int regA = sources.get(0).getReg();
373 if (latticeValues[regA] != CONSTANT) {
376 cA = latticeConstants[regA];
  /external/dexmaker/src/dx/java/com/android/dx/ssa/
SCCP.java 254 int regA = specA.getReg();
256 latticeValues[regA] == CONSTANT) {
257 cA = latticeConstants[regA];
370 int regA = sources.get(0).getReg();
374 if (latticeValues[regA] != CONSTANT) {
377 cA = latticeConstants[regA];
  /external/smali/smali/src/main/java/org/jf/smali/
smaliTreeWalker.java     [all...]
  /external/llvm/lib/CodeGen/
TwoAddressInstructionPass.cpp 106 bool isProfitableToCommute(unsigned regA, unsigned regB, unsigned regC,
112 bool isProfitableToConv3Addr(unsigned RegA, unsigned RegB);
116 unsigned RegA, unsigned RegB, unsigned Dist);
494 regsAreCompatible(unsigned RegA, unsigned RegB, const TargetRegisterInfo *TRI) {
495 if (RegA == RegB)
497 if (!RegA || !RegB)
499 return TRI->regsOverlap(RegA, RegB);
507 isProfitableToCommute(unsigned regA, unsigned regB, unsigned regC,
543 unsigned ToRegA = getMappedReg(regA, DstRegMap);
593 unsigned RegA = MI->getOperand(0).getReg()
    [all...]
  /external/chromium_org/third_party/libvpx/source/libvpx/vp9/common/arm/neon/
vp9_idct32x32_add_neon.asm 241 DO_BUTTERFLY $regC, $regD, $regA, $regB, $first_constant, $second_constant, $reg1, $reg2, $reg3, $reg4
255 ; (used) two for inputs (regA-regD), one for constants (q15)
258 vmull.s16 q10, $regA, d31
268 vmull.s16 q11, $regA, d30
    [all...]
  /external/libvpx/libvpx/vp9/common/arm/neon/
vp9_idct32x32_add_neon.asm 241 DO_BUTTERFLY $regC, $regD, $regA, $regB, $first_constant, $second_constant, $reg1, $reg2, $reg3, $reg4
255 ; (used) two for inputs (regA-regD), one for constants (q15)
258 vmull.s16 q10, $regA, d31
268 vmull.s16 q11, $regA, d30
    [all...]
  /hardware/intel/common/omx-components/videocodec/libvpx_internal/libvpx/vp9/common/arm/neon/
vp9_idct32x32_add_neon.asm 241 DO_BUTTERFLY $regC, $regD, $regA, $regB, $first_constant, $second_constant, $reg1, $reg2, $reg3, $reg4
255 ; (used) two for inputs (regA-regD), one for constants (q15)
258 vmull.s16 q10, $regA, d31
268 vmull.s16 q11, $regA, d30
    [all...]
  /external/valgrind/main/VEX/priv/
guest_arm_toIR.c     [all...]
  /prebuilts/tools/common/m2/repository/com/google/dexmaker/dexmaker/1.0/
dexmaker-1.0.jar 
  /prebuilts/sdk/tools/darwin/lib/
dx.jar 
  /prebuilts/sdk/tools/lib/
dx.jar 

Completed in 537 milliseconds