HomeSort by relevance Sort by last modified time
    Searched full:min_delay (Results 1 - 21 of 21) sorted by null

  /external/chromium_org/components/domain_reliability/
scheduler_unittest.cc 90 TimeDelta min_delay() const { return params_.minimum_upload_delay; } function in class:domain_reliability::__anon10947::DomainReliabilitySchedulerTest
126 ASSERT_TRUE(CheckPendingUpload(min_delay(), max_delay()));
127 time_.Advance(min_delay());
132 ASSERT_TRUE(CheckPendingUpload(min_delay(), max_delay()));
133 time_.Advance(min_delay());
142 ASSERT_TRUE(CheckPendingUpload(min_delay(), max_delay()));
143 time_.Advance(min_delay());
148 ASSERT_TRUE(CheckPendingUpload(zero_delta(), max_delay() - min_delay()));
159 ASSERT_TRUE(CheckPendingUpload(min_delay(), max_delay()));
160 time_.Advance(min_delay());
    [all...]
dispatcher.cc 19 base::TimeDelta min_delay,
25 base::TimeDelta min_delay; member in struct:domain_reliability::DomainReliabilityDispatcher::Task
32 base::TimeDelta min_delay,
36 min_delay(min_delay),
52 base::TimeDelta min_delay,
56 DCHECK(min_delay <= max_delay);
58 Task* task = new Task(closure, time_->CreateTimer(), min_delay, max_delay);
62 else if (min_delay.InMicroseconds() < 0)
91 task->min_delay,
    [all...]
dispatcher.h 32 // Schedules |task| to be executed between |min_delay| and |max_delay| from
33 // now. The task will be run at most |max_delay| from now; once |min_delay|
37 base::TimeDelta min_delay,
scheduler.cc 157 base::TimeDelta min_delay = std::max(min_by_deadline, min_by_backoff) - now; local
160 VLOG(1) << "Scheduling upload for between " << min_delay.InSeconds()
163 callback_.Run(min_delay, max_delay);
context.h 67 void ScheduleUpload(base::TimeDelta min_delay, base::TimeDelta max_delay);
context_unittest.cc 53 TimeDelta min_delay() const { return params_.minimum_upload_delay; } function in class:domain_reliability::__anon10942::DomainReliabilityContextTest
context.cc 218 base::TimeDelta min_delay,
224 min_delay, local
  /external/chromium_org/chrome/browser/sync/test/integration/
retry_verifier.cc 21 delay_info.min_delay = backoff_s + (-1 * current_delay/
25 delay_info.min_delay = std::max(static_cast<int64>(1),
26 std::min(delay_info.min_delay, syncer::kMaxBackoffSeconds));
40 delay_table[0].min_delay = static_cast<int64>(2);
44 delay_table[i].min_delay = CalculateDelay(delay_table[i-1].min_delay).
45 min_delay;
64 << " Retry table min: " << delay_table[retry_count].min_delay
66 return ((time_elapsed.InSeconds() >= delay_table[retry_count].min_delay));
retry_verifier.h 20 int64 min_delay; member in struct:DelayInfo
  /packages/apps/Calendar/tests/src/com/android/calendar/
AsyncQueryServiceTest.java 63 private static final int MIN_DELAY = 50;
65 private static final int BASE_TEST_WAIT_TIME = MIN_DELAY * 5;
256 work[index++] = generateWork(MIN_DELAY * 2);
258 work[index++] = generateWork(MIN_DELAY * 1);
260 work[index++] = generateWork(MIN_DELAY * 3);
277 work[index++] = generateWork(MIN_DELAY * 2);
279 work[index++] = generateWork(MIN_DELAY);
281 work[index] = generateWork(MIN_DELAY * 3);
305 work[index++] = generateWork(MIN_DELAY * 2);
307 work[index++] = generateWork(MIN_DELAY);
    [all...]
  /frameworks/support/v4/java/android/support/v4/widget/
ContentLoadingProgressBar.java 32 private static final int MIN_DELAY = 500; // ms
124 postDelayed(mDelayedShow, MIN_DELAY);
  /external/chromium_org/webkit/browser/quota/
storage_monitor.cc 53 base::TimeDelta min_delay = base::TimeDelta::Max(); local
83 if (delay < min_delay)
84 min_delay = delay;
96 min_delay,
  /external/chromium_org/media/cast/test/utility/
udp_proxy.h 85 // packet is asically |min_delay| + random( |random_delay| )
  /packages/apps/UnifiedEmail/src/com/android/mail/
MinTimeProgressDialog.java 25 * MinTimeProgressDialog implements a ProgressDialog that waits MIN_DELAY ms to
MinTimeProgressView.java 25 * MinTimeProgressView implements a ProgressBar that waits MIN_DELAY ms to be
  /prebuilts/gcc/linux-x86/host/x86_64-linux-glibc2.11-4.6/sysroot/usr/include/X11/extensions/
multibuf.h 148 int /* min_delay */,
  /prebuilts/gcc/linux-x86/host/x86_64-linux-glibc2.11-4.8/sysroot/usr/include/X11/extensions/
multibuf.h 148 int /* min_delay */,
  /external/bluetooth/bluedroid/stack/btm/
btm_inq.c 466 ** min_delay - minimum amount of time between successive inquiries
478 UINT16 min_delay, tBTM_INQ_RESULTS_CB *p_results_cb)
485 p_inqparms->filter_cond_type, min_delay, max_delay);
504 min_delay <= p_inqparms->duration ||
505 min_delay < BTM_PER_INQ_MIN_MIN_PERIOD ||
506 min_delay > BTM_PER_INQ_MAX_MIN_PERIOD ||
507 max_delay <= min_delay ||
517 p_inq->per_min_delay = min_delay;
    [all...]
  /hardware/libhardware/include/hardware/
sensors.h     [all...]
  /external/chromium_org/content/browser/web_contents/
web_contents_impl.cc 2683 base::TimeDelta min_delay = local
    [all...]
  /external/bluetooth/bluedroid/stack/include/
btm_api.h     [all...]

Completed in 1161 milliseconds