HomeSort by relevance Sort by last modified time
    Searched defs:Control (Results 1 - 25 of 46) sorted by null

1 2

  /packages/apps/Gallery2/src/com/android/gallery3d/filtershow/controller/
Control.java 24 public interface Control {
  /external/chromium_org/pdf/
control.cc 5 #include "pdf/control.h"
12 Control::Control()
19 Control::~Control() {
22 bool Control::Create(uint32 id, const pp::Rect& rc,
34 bool Control::HandleEvent(const pp::InputEvent& event) {
38 void Control::PaintMultipleRects(pp::ImageData* image_data,
48 // paint control into buffer image data only once and copy requested
59 // Temporary move control to origin (0,0) and draw it into temp buffer
    [all...]
control.h 24 class Control {
38 Control();
39 virtual ~Control();
48 // Paint control into multiple destination rects.
73 typedef Control::Owner ControlOwner;
  /external/chromium_org/third_party/libvpx/source/libvpx/test/
decode_test_driver.h 62 void Control(int ctrl_id, int arg) {
68 void Control(int ctrl_id, const void *arg) {
encode_test_driver.h 119 void Control(int ctrl_id, int arg) {
124 void Control(int ctrl_id, struct vpx_scaling_mode *arg) {
129 void Control(int ctrl_id, struct vpx_svc_layer_id *arg) {
135 void Control(int ctrl_id, vpx_active_map_t *arg) {
  /external/libvpx/libvpx/test/
decode_test_driver.h 62 void Control(int ctrl_id, int arg) {
68 void Control(int ctrl_id, const void *arg) {
encode_test_driver.h 119 void Control(int ctrl_id, int arg) {
124 void Control(int ctrl_id, struct vpx_scaling_mode *arg) {
129 void Control(int ctrl_id, struct vpx_svc_layer_id *arg) {
135 void Control(int ctrl_id, vpx_active_map_t *arg) {
  /hardware/intel/common/omx-components/videocodec/libvpx_internal/libvpx/test/
decode_test_driver.h 62 void Control(int ctrl_id, int arg) {
68 void Control(int ctrl_id, const void *arg) {
encode_test_driver.h 119 void Control(int ctrl_id, int arg) {
124 void Control(int ctrl_id, struct vpx_scaling_mode *arg) {
129 void Control(int ctrl_id, struct vpx_svc_layer_id *arg) {
135 void Control(int ctrl_id, vpx_active_map_t *arg) {
  /external/chromium_org/third_party/angle/samples/angle/sample_util/
Event.h 32 bool Control;
  /external/chromium_org/third_party/skia/third_party/harfbuzz/src/
harfbuzz-indic.cpp 62 Control,
908 return Control;
1197 bool control = false; local
    [all...]
  /libcore/luni/src/main/java/java/util/
ResourceBundle.java 242 * Finds the named resource bundle for the specified base name and control.
246 * @param control
247 * the control that control the access sequence
252 public static ResourceBundle getBundle(String baseName, ResourceBundle.Control control) {
253 return getBundle(baseName, Locale.getDefault(), getLoader(), control);
257 * Finds the named resource bundle for the specified base name and control.
263 * @param control
264 * the control that control the access sequenc
    [all...]
  /external/chromium_org/third_party/WebKit/Source/devtools/front_end/network/
NetworkPanel.js 92 WebInspector.NetworkLogView._responseHeaderColumns = ["Cache-Control", "Connection", "Content-Encoding", "Content-Length", "ETag", "Keep-Alive", "Last-Modified", "Server", "Vary"];
95 "Cache-Control": false, "Connection": false, "Content-Encoding": false, "Content-Length": false, "ETag": false, "Keep-Alive": false, "Last-Modified": false, "Server": false, "Vary": false
116 "Cache-Control": WebInspector.UIString("Cache-Control"),
    [all...]
  /external/qemu/distrib/sdl-1.2.15/src/video/fbcon/
riva_mmio.h 231 #ifdef Control
232 #undef Control
234 U032 Control;
258 #ifdef Control
259 #undef Control
261 U032 Control;
  /prebuilts/gcc/linux-x86/host/x86_64-w64-mingw32-4.8/x86_64-w64-mingw32/include/
ntddcdrm.h 101 UCHAR Control : 4;
253 UCHAR Control : 4;
313 UCHAR Control : 4;
ntddchgr.h 133 /* CHANGER_SET_ACCESS.Control constants */
240 ULONG Control;
wtypes.h 271 SECURITY_DESCRIPTOR_CONTROL Control;
winsock2.h 832 WSABUF Control;
    [all...]
winioctl.h     [all...]
ksmedia.h 689 ULONG Control;
    [all...]
netmon.h 681 BYTE Control;
693 BYTE Control;
713 BYTE Control;
    [all...]
  /prebuilts/python/darwin-x86/2.7.5/lib/python2.7/lib-tk/
Tix.py 621 class Control(TixWidget):
622 """Control - An entry field with value change arrows. The user can
    [all...]
  /prebuilts/python/linux-x86/2.7.5/lib/python2.7/lib-tk/
Tix.py 621 class Control(TixWidget):
622 """Control - An entry field with value change arrows. The user can
    [all...]
  /prebuilts/gcc/linux-x86/host/x86_64-w64-mingw32-4.8/x86_64-w64-mingw32/include/ddk/
mce.h 741 ULONGLONG Control:1;
scsi.h 718 /* CDROM audio control */
757 UCHAR Control;
766 UCHAR Control;
776 UCHAR Control;
    [all...]

Completed in 1280 milliseconds

1 2