HomeSort by relevance Sort by last modified time
    Searched defs:Mult (Results 1 - 12 of 12) sorted by null

  /external/apache-xml/src/main/java/org/apache/xpath/operations/
Mult.java 19 * $Id: Mult.java 468655 2006-10-28 07:12:06Z minchau $
30 public class Mult extends Operation
  /external/chromium_org/third_party/libwebp/utils/
alpha_processing.c 26 static uint32_t Mult(uint8_t x, uint32_t mult) {
27 const uint32_t v = (x * mult + HALF) >> MFIX;
148 out |= Mult(argb >> 0, scale) << 0;
149 out |= Mult(argb >> 8, scale) << 8;
150 out |= Mult(argb >> 16, scale) << 16;
176 ptr[x] = Mult(ptr[x], scale);
  /external/chromium_org/third_party/libwebp/dsp/
alpha_processing.c 26 static uint32_t Mult(uint8_t x, uint32_t mult) {
27 const uint32_t v = (x * mult + HALF) >> MFIX;
148 out |= Mult(argb >> 0, scale) << 0;
149 out |= Mult(argb >> 8, scale) << 8;
150 out |= Mult(argb >> 16, scale) << 16;
167 ptr[x] = Mult(ptr[x], scale);
229 const uint32_t mult = MULTIPLIER(a); local
230 rgb[4 * i + 0] = PREMULTIPLY(rgb[4 * i + 0], mult);
231 rgb[4 * i + 1] = PREMULTIPLY(rgb[4 * i + 1], mult);
266 const uint32_t mult = MULTIPLIER(a); local
    [all...]
  /external/webp/src/dsp/
alpha_processing.c 26 static uint32_t Mult(uint8_t x, uint32_t mult) {
27 const uint32_t v = (x * mult + HALF) >> MFIX;
148 out |= Mult(argb >> 0, scale) << 0;
149 out |= Mult(argb >> 8, scale) << 8;
150 out |= Mult(argb >> 16, scale) << 16;
167 ptr[x] = Mult(ptr[x], scale);
229 const uint32_t mult = MULTIPLIER(a); local
230 rgb[4 * i + 0] = PREMULTIPLY(rgb[4 * i + 0], mult);
231 rgb[4 * i + 1] = PREMULTIPLY(rgb[4 * i + 1], mult);
266 const uint32_t mult = MULTIPLIER(a); local
    [all...]
  /art/compiler/utils/mips/
assembler_mips.cc 186 void MipsAssembler::Mult(Register rs, Register rt) {
435 Mult(rs, rt);
    [all...]
  /external/llvm/lib/Target/Mips/
MipsISelLowering.h 78 // Mult nodes.
79 Mult,
140 MULT,
MipsSEISelLowering.cpp 361 case ISD::SMUL_LOHI: return lowerMulDiv(Op, MipsISD::Mult, true, true, DAG);
363 case ISD::MULHS: return lowerMulDiv(Op, MipsISD::Mult, false, true, DAG);
365 case ISD::MUL: return lowerMulDiv(Op, MipsISD::Mult, true, false, DAG);
418 // here, which will result in MultNode being mapped to a single MULT
419 // instruction node rather than a pair of MULT and MADD instructions being
490 // here, which will result in MultNode being mapped to a single MULT
491 // instruction node rather than a pair of MULT and MSUB instructions being
    [all...]
  /prebuilts/python/darwin-x86/2.7.5/include/python2.7/
Python-ast.h 18 typedef enum _operator { Add=1, Sub=2, Mult=3, Div=4, Mod=5, Pow=6, LShift=7,
  /prebuilts/python/linux-x86/2.7.5/include/python2.7/
Python-ast.h 18 typedef enum _operator { Add=1, Sub=2, Mult=3, Div=4, Mod=5, Pow=6, LShift=7,
  /external/chromium_org/v8/src/mips/
macro-assembler-mips.cc 667 mult(rs, rt.rm());
677 mult(rs, at);
686 void MacroAssembler::Mult(Register rs, const Operand& rt) {
688 mult(rs, rt.rm());
693 mult(rs, at);
    [all...]
  /prebuilts/misc/common/jython/
jython.jar 
  /prebuilts/tools/common/m2/repository/org/python/jython/2.5.3/
jython-2.5.3.jar 

Completed in 318 milliseconds