HomeSort by relevance Sort by last modified time
    Searched refs:mantissa (Results 1 - 25 of 183) sorted by null

1 2 3 4 5 6 7 8

  /external/chromium_org/third_party/skia/experimental/Intersection/
IntersectionUtilities.cpp 11 // get sign, exponent, mantissa from double
12 // Translate the double into sign, exponent and mantissa.
17 long mantissa = bits & 0xfffffffffffffL;
20 // but there's no extra normalisation bit in the mantissa
26 // bit to the front of the mantissa
29 mantissa = mantissa | (1L<<52);
33 // treating the mantissa as m.0 rather than 0.m, so we need
37 if (mantissa == 0)
43 while((mantissa & 1) == 0
    [all...]
  /external/skia/experimental/Intersection/
IntersectionUtilities.cpp 11 // get sign, exponent, mantissa from double
12 // Translate the double into sign, exponent and mantissa.
17 long mantissa = bits & 0xfffffffffffffL;
20 // but there's no extra normalisation bit in the mantissa
26 // bit to the front of the mantissa
29 mantissa = mantissa | (1L<<52);
33 // treating the mantissa as m.0 rather than 0.m, so we need
37 if (mantissa == 0)
43 while((mantissa & 1) == 0
    [all...]
  /external/deqp/framework/delibs/debase/
deFloat16.c 32 int mantissa; local
42 mantissa = x.i & 0x007fffff;
53 mantissa = mantissa | 0x00800000;
55 /* Round mantissa to nearest (10+e) */
59 int b = (mantissa >> t) & 1;
61 mantissa = (mantissa + a + b) >> t;
64 return (deFloat16) (sign | mantissa);
68 if (mantissa == 0
106 int mantissa; local
    [all...]
  /external/nanopb-c/examples/using_double_on_avr/
double_conversion.c 22 uint64_t mantissa; local
27 mantissa = in.i & 0x7FFFFF;
36 if (!mantissa)
44 mantissa <<= 1;
45 while (!(mantissa & 0x800000))
47 mantissa <<= 1;
50 mantissa &= 0x7FFFFF;
55 mantissa <<= 29;
56 mantissa |= (uint64_t)(exponent + 1023) << 52;
57 mantissa |= (uint64_t)sign << 63
66 uint32_t mantissa; local
    [all...]
  /frameworks/native/opengl/tools/glgen/specs/gles11/
GLES10Ext.spec 1 GLbitfield glQueryMatrixxOES ( GLfixed *mantissa, GLint *exponent )
  /frameworks/base/opengl/java/android/opengl/
GLES10Ext.java 28 // C function GLbitfield glQueryMatrixxOES ( GLfixed *mantissa, GLint *exponent )
31 int[] mantissa,
37 // C function GLbitfield glQueryMatrixxOES ( GLfixed *mantissa, GLint *exponent )
40 java.nio.IntBuffer mantissa,
  /frameworks/base/opengl/java/javax/microedition/khronos/opengles/
GL10Ext.java 25 int[] mantissa,
32 java.nio.IntBuffer mantissa,
  /system/media/audio_utils/
minifloat.c 51 int mantissa = (int) (r * ONE_FLOAT); local
52 return exp > 0 ? (exp << MANTISSA_BITS) | (mantissa & ~HIDDEN_BIT) :
53 (mantissa >> (1 - exp)) & MANTISSA_MAX;
58 int mantissa = a & MANTISSA_MAX; local
60 return ldexpf((exponent > 0 ? HIDDEN_BIT | mantissa : mantissa << 1) / ONE_FLOAT,
  /external/chromium_org/third_party/mesa/src/src/gallium/auxiliary/util/
u_format_r11g11b10f.h 61 int mantissa = f32.ui & 0x007fffff; local
71 if (mantissa) {
90 mantissa >>= UF11_MANTISSA_SHIFT;
91 uf11 = exponent << UF11_EXPONENT_SHIFT | mantissa;
105 int mantissa = (val & 0x003f); local
110 if (mantissa != 0) {
112 f32.f = scale * mantissa;
116 f32.ui = F32_INFINITY | mantissa;
127 decimal = 1.0f + (float) mantissa / 64;
147 int mantissa = f32.ui & 0x007fffff local
191 int mantissa = (val & 0x001f); local
    [all...]
  /external/mesa3d/src/gallium/auxiliary/util/
u_format_r11g11b10f.h 61 int mantissa = f32.ui & 0x007fffff; local
71 if (mantissa) {
90 mantissa >>= UF11_MANTISSA_SHIFT;
91 uf11 = exponent << UF11_EXPONENT_SHIFT | mantissa;
105 int mantissa = (val & 0x003f); local
110 if (mantissa != 0) {
112 f32.f = scale * mantissa;
116 f32.ui = F32_INFINITY | mantissa;
127 decimal = 1.0f + (float) mantissa / 64;
147 int mantissa = f32.ui & 0x007fffff local
191 int mantissa = (val & 0x001f); local
    [all...]
  /frameworks/opt/net/voip/src/jni/rtp/
G711Codec.cpp 61 int mantissa = (sample >> (exponent + 3)) & 0x0F; local
62 ulaws[i] = ~(sign | (exponent << 4) | mantissa);
76 int mantissa = ulaw & 0x0F; local
77 int sample = (((mantissa << 3) + 132) << exponent) - 132;
111 int mantissa = (sample >> (exponent == 0 ? 4 : exponent + 3)) & 0x0F; local
112 alaws[i] = (sign | (exponent << 4) | mantissa) ^ 0xD5;
126 int mantissa = alaw & 0x0F; local
127 int sample = (exponent == 0 ? (mantissa << 4) + 8 :
128 ((mantissa << 3) + 132) << exponent);
  /libcore/luni/src/main/java/java/lang/
HexStringParser.java 71 private long mantissa; field in class:HexStringParser
120 return sign | exponent | mantissa;
149 * Parses the mantissa field.
180 mantissa = Long.parseLong(significand, HEX_RADIX);
192 mantissa = 0;
197 mantissa = 0;
218 mantissa = mantissa & MANTISSA_MASK;
223 desiredWidth += (int)exponent;//lends bit from mantissa to exponent
227 mantissa = mantissa & MANTISSA_MASK
    [all...]
  /external/chromium_org/third_party/mesa/src/src/mesa/main/
querymatrix.c 24 * GLbitfield glQueryMatrixxOES( GLfixed mantissa[16],
26 * mantissa[16] contains the contents of the current matrix in GLfixed
29 * is close to mantissa[i] * 2^exponent[i]. The function returns a status
93 extern GLbitfield GL_APIENTRY _es_QueryMatrixxOES(GLfixed mantissa[16], GLint exponent[16]);
99 GLbitfield GL_APIENTRY _es_QueryMatrixxOES(GLfixed mantissa[16], GLint exponent[16])
166 mantissa[i] = FLOAT_TO_FIXED(normalizedFraction);
181 mantissa[i] = INT_TO_FIXED(0);
191 mantissa[i] = INT_TO_FIXED(1);
194 mantissa[i] = -INT_TO_FIXED(1);
204 mantissa[i] = INT_TO_FIXED(2)
    [all...]
  /external/mesa3d/src/mesa/main/
querymatrix.c 24 * GLbitfield glQueryMatrixxOES( GLfixed mantissa[16],
26 * mantissa[16] contains the contents of the current matrix in GLfixed
29 * is close to mantissa[i] * 2^exponent[i]. The function returns a status
91 extern GLbitfield GL_APIENTRY _es_QueryMatrixxOES(GLfixed mantissa[16], GLint exponent[16]);
97 GLbitfield GL_APIENTRY _es_QueryMatrixxOES(GLfixed mantissa[16], GLint exponent[16])
164 mantissa[i] = FLOAT_TO_FIXED(normalizedFraction);
179 mantissa[i] = INT_TO_FIXED(0);
189 mantissa[i] = INT_TO_FIXED(1);
192 mantissa[i] = -INT_TO_FIXED(1);
202 mantissa[i] = INT_TO_FIXED(2)
    [all...]
  /external/chromium_org/third_party/yasm/source/patched-yasm/libyasm/
floatnum.c 43 * Sign exponent mantissa (80 bits)
48 * Mantissa does NOT have an implied one bit (it's explicit).
51 /*@only@*/ wordptr mantissa; /* Allocated to MANT_BITS bits */ member in struct:yasm_floatnum
78 unsigned char mantissa[MANT_BYTES]; /* little endian mantissa */ member in struct:POT_Entry_Source_s
145 /* Initialize mantissa */
146 e->f.mantissa = BitVector_Create(MANT_BITS, FALSE);
147 BitVector_Block_Store(e->f.mantissa, s->mantissa, MANT_BYTES);
201 BitVector_Destroy(POT_TableN[i].f.mantissa);
    [all...]
  /external/valgrind/main/VEX/switchback/
test_emfloat.c 512 u8 sign; /* Mantissa sign */
514 u16 mantissa[INTERNAL_FPF_PRECISION]; member in struct:__anon36048
533 static void ShiftMantLeft1(u16 *carry,u16 *mantissa);
534 static void ShiftMantRight1(u16 *carry,u16 *mantissa);
701 dest->mantissa[i]=0;
721 dest->mantissa[i]=0;
730 ** as the mantissa bits go.
739 dest->mantissa[0]=0x4000;
741 dest->mantissa[i]=0;
750 ** number's mantissa. It checks for an all-zero mantissa
873 u16 *mantissa; local
    [all...]
  /hardware/invensense/6515/libsensors_iio/software/simple_apps/playback/linux/
and_constructor.h 25 range.mantissa = (long)x; \
29 x = (float)(range.mantissa); \
  /prebuilts/gcc/linux-x86/host/x86_64-linux-glibc2.11-4.6/sysroot/usr/include/
ieee754.h 38 unsigned int mantissa:23; member in struct:ieee754_float::__anon45623
41 unsigned int mantissa:23; member in struct:ieee754_float::__anon45623
54 unsigned int mantissa:22; member in struct:ieee754_float::__anon45624
57 unsigned int mantissa:22; member in struct:ieee754_float::__anon45624
78 /* Together these comprise the mantissa. */
89 /* Together these comprise the mantissa. */
105 /* Together these comprise the mantissa. */
116 /* Together these comprise the mantissa. */
  /prebuilts/gcc/linux-x86/host/x86_64-linux-glibc2.11-4.8/sysroot/usr/include/
ieee754.h 38 unsigned int mantissa:23; member in struct:ieee754_float::__anon47359
41 unsigned int mantissa:23; member in struct:ieee754_float::__anon47359
54 unsigned int mantissa:22; member in struct:ieee754_float::__anon47360
57 unsigned int mantissa:22; member in struct:ieee754_float::__anon47360
78 /* Together these comprise the mantissa. */
89 /* Together these comprise the mantissa. */
105 /* Together these comprise the mantissa. */
116 /* Together these comprise the mantissa. */
  /external/chromium_org/third_party/mesa/src/src/gallium/drivers/r300/compiler/
radeon_inline_literals.c 14 * 22:0 mantissa
19 * 0:2 mantissa
26 unsigned mantissa = float_bits & 0x007fffff; local
41 if (mantissa & mantissa_mask) {
44 mantissa, mantissa_mask,
45 mantissa & mantissa_mask);
50 r300_mantissa = (mantissa & ~mantissa_mask) >> 20;
  /external/mesa3d/src/gallium/drivers/r300/compiler/
radeon_inline_literals.c 14 * 22:0 mantissa
19 * 0:2 mantissa
26 unsigned mantissa = float_bits & 0x007fffff; local
41 if (mantissa & mantissa_mask) {
44 mantissa, mantissa_mask,
45 mantissa & mantissa_mask);
50 r300_mantissa = (mantissa & ~mantissa_mask) >> 20;
  /frameworks/base/core/java/android/bluetooth/
BluetoothGattCharacteristic.java 578 * @param mantissa Mantissa for this characteristic
584 public boolean setValue(int mantissa, int exponent, int formatType, int offset) {
591 mantissa = intToSignedBits(mantissa, 12);
593 mValue[offset++] = (byte)(mantissa & 0xFF);
594 mValue[offset] = (byte)((mantissa >> 8) & 0x0F);
599 mantissa = intToSignedBits(mantissa, 24);
601 mValue[offset++] = (byte)(mantissa & 0xFF)
659 int mantissa = unsignedToSigned(unsignedByteToInt(b0) local
669 int mantissa = unsignedToSigned(unsignedByteToInt(b0) local
    [all...]
  /external/chromium_org/third_party/angle/src/common/
mathutil.h 164 unsigned int mantissa = (abs & 0x007FFFFF) | 0x00800000; local
169 abs = mantissa >> e;
330 unsigned short mantissa = fp11 & 0x3F; local
335 return bitCast<float>(0x7f800000 | (mantissa << 17));
343 else if (mantissa != 0)
351 mantissa <<= 1;
353 while ((mantissa & 0x40) == 0);
355 mantissa = mantissa & 0x3F;
362 return bitCast<float>(((exponent + 112) << 23) | (mantissa << 17))
369 unsigned short mantissa = fp11 & 0x1F; local
    [all...]
  /external/chromium_org/v8/test/mjsunit/es6/
math-fround.js 47 var mantissa = 1 + this.mantissa_23_bits * mantissa_23_shift +
49 return sign * Math.pow(2, exponent) * mantissa;
59 var mantissa = 1 + (this.mantissa_23_bits + round) * mantissa_23_shift;
60 return sign * Math.pow(2, exponent) * mantissa;
78 var mantissa = (mantissa_23_bits + round) * mantissa_23_shift;
79 return sign * Math.pow(2, -126) * mantissa;
  /external/aac/libSBRdec/src/
transcendent.h 114 \brief Add two values given by mantissa and exponent.
120 inline void FDK_add_MantExp(FIXP_SGL a_m, /*!< Mantissa of 1st operand a */
122 FIXP_SGL b_m, /*!< Mantissa of 2nd operand b */
124 FIXP_SGL *ptrSum_m, /*!< Mantissa of result */
136 for compensation, the mantissa is shifted right. */
158 inline void FDK_add_MantExp(FIXP_DBL a, /*!< Mantissa of 1st operand a */
160 FIXP_DBL b, /*!< Mantissa of 2nd operand b */
162 FIXP_DBL *ptrSum, /*!< Mantissa of result */
174 for compensation, the mantissa is shifted right. */
198 \brief Divide two values given by mantissa and exponent
    [all...]

Completed in 757 milliseconds

1 2 3 4 5 6 7 8