HomeSort by relevance Sort by last modified time
    Searched full:done_event (Results 1 - 16 of 16) sorted by null

  /external/chromium_org/content/browser/media/capture/
desktop_capture_device_unittest.cc 172 base::WaitableEvent done_event(false, false);
180 InvokeWithoutArgs(&done_event, &base::WaitableEvent::Signal)));
189 EXPECT_TRUE(done_event.TimedWait(TestTimeouts::action_max_timeout()));
209 base::WaitableEvent done_event(false, false);
217 InvokeWithoutArgs(&done_event, &base::WaitableEvent::Signal)));
231 EXPECT_TRUE(done_event.TimedWait(TestTimeouts::action_max_timeout()));
232 done_event.Reset();
233 EXPECT_TRUE(done_event.TimedWait(TestTimeouts::action_max_timeout()));
254 base::WaitableEvent done_event(false, false);
260 InvokeWithoutArgs(&done_event, &base::WaitableEvent::Signal)))
    [all...]
  /external/chromium_org/ipc/
ipc_sync_message_filter.cc 40 base::WaitableEvent done_event(true, false);
44 &done_event);
58 base::WaitableEvent* events[2] = { shutdown_event_, &done_event };
95 (*iter)->done_event->Signal();
125 (*iter)->done_event->Signal();
ipc_sync_message.h 102 : id(id), deserializer(d), done_event(e), send_result(false) { }
105 base::WaitableEvent* done_event; member in struct:IPC::PendingSyncMsg
ipc_sync_channel.cc 269 delete msg.done_event;
270 msg.done_event = NULL;
289 return deserializers_.back().done_event;
317 deserializers_.back().done_event->Signal();
375 iter->done_event->Signal();
387 iter->done_event->Signal();
ipc_sync_channel_unittest.cc 118 WaitableEvent* done_event() { return done_.get(); } function in class:IPC::__anon12591::Worker
257 workers[i]->done_event()->Wait();
915 // Tests http://b/1474092 - that if after the done_event is set but before
1028 server.done_event()->Wait();
1029 server.done_event()->Reset();
1032 server.done_event()->Wait();
    [all...]
  /external/chromium_org/remoting/ios/bridge/
frame_consumer_bridge.cc 24 base::WaitableEvent done_event(true, false);
26 &base::WaitableEvent::Signal, base::Unretained(&done_event)));
27 done_event.Wait();
client_instance.cc 107 base::WaitableEvent done_event(true, false);
114 base::Unretained(&done_event))));
116 done_event.Wait();
126 base::WaitableEvent done_event(true, false);
132 base::Unretained(&done_event))));
134 done_event.Wait();
  /external/chromium_org/jingle/glue/
thread_wrapper.cc 19 done_event(true, false) {
25 base::WaitableEvent done_event; member in struct:jingle_glue::JingleThreadWrapper::PendingSend
122 (*it)->done_event.Signal();
170 while (!pending_send.done_event.IsSignaled()) {
171 base::WaitableEvent* events[] = {&pending_send.done_event,
197 pending_send->done_event.Signal();
thread_wrapper_unittest.cc 234 base::WaitableEvent* done_event) {
238 done_event->Signal();
  /external/chromium_org/chrome/browser/sync/test/integration/
autofill_helper.cc 63 base::WaitableEvent* done_event) {
67 done_event->Signal();
71 WaitableEvent done_event(false, false);
74 Bind(&RunOnDBThreadAndSignal, task, &done_event));
75 done_event.Wait();
79 WaitableEvent done_event(false, false);
83 .WillOnce(SignalEvent(&done_event));
94 done_event.Wait();
189 WaitableEvent done_event(false, false);
192 .WillOnce(SignalEvent(&done_event));
    [all...]
  /external/chromium_org/remoting/client/jni/
jni_frame_consumer.cc 33 base::WaitableEvent done_event(true, false);
35 base::Bind(&base::WaitableEvent::Signal, base::Unretained(&done_event)));
36 done_event.Wait();
chromoting_jni_runtime.cc 197 base::WaitableEvent done_event(false, false);
201 &done_event));
202 done_event.Wait();
206 &done_event));
207 done_event.Wait();
  /external/chromium_org/remoting/client/plugin/
pepper_view.cc 81 base::WaitableEvent done_event(true, false);
83 base::Bind(&base::WaitableEvent::Signal, base::Unretained(&done_event)));
84 done_event.Wait();
  /external/chromium_org/remoting/host/
remoting_me2me_host.cc     [all...]
  /external/chromium_org/chrome/browser/extensions/api/downloads/
downloads_api_browsertest.cc 713 base::WaitableEvent done_event(true, false);
720 base::Unretained(&done_event)));
722 done_event.Wait();
729 base::WaitableEvent* done_event,
733 done_event->Signal();
741 base::WaitableEvent* done_event) {
747 base::Unretained(done_event)));
    [all...]
  /device/asus/tilapia/recovery/lib/
libIMCdownload.a 3 ?'|'|'|m`m`m`????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????????4?4?4?4?T?s?s?s?s?s?s?s?s?s?s???????????????????????????????????????????@?@?@?@?@?@?@?@?@?@?@?@?@?@?@?@?@?@?@?@?@?@?@?@?@?@?@?@?@?@?@?@?@?@?@?@?????????????????????????????????????????v?v?v?v?v?v?v?v?v?v?v?v?v?v?v?v?v?v?v?v?v?v?v?v?v?v?v???????????????????????????????????????????-?-?-?-?-?-?-?-?-?-?-?-?-?-?-?-?-?-??h?h??????????????????????????????????????????????????????????????????????????????????????????????????????????????????P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?P?Pm m m m m m m m m m m m m m m m m m m m m m COMPORT_initCOMPORT_openCOMPORT_set_gp0PrintDebugDataEMU_ReadFileEMU_WriteFilegetCharformatBuffergetHexIMC_stpcpyDebugdebug_mutextrace_filedebug_activeGLOBAL_callback_stubGLOBAL_warning_dummyGLOBAL_callbackcallbackGLOBAL_set_done_eventdone_eventget_os_api_error_textGLOBAL_textGLOBAL_signal_not_in_idleidle_eventGLOBAL_TOC_filenameWriteStringToFileShowMessageGLOBAL_GetFileVersionsprintf_version_infoGLOBAL_get_special_folderGetSystem32FileVersionGetOSVersionGLOBAL_use_pack_sizeGLOBAL_upload_mbn_dataGLOBAL_use_sec_pack_erase_flagGLOBAL_force_area_eraseGLOBAL_usb_deviceGLOBAL_cond_dyn_eep_eraseGLOBAL_use_pre_eraseGLOBAL_comm_timeout_baseGLOBAL_boot_timeoutGLOBAL_use_plain_textGLOBAL_dut_in_test_modeGLOBAL_dut_test_requestGLOBAL_platform_groupGLOBAL_use_alt_boot_speedGLOBAL_use_hw_ch_changeGLOBAL_use_vp_tx_chunk_sizeGLOBAL_use_vp_tx_chunk_delayGLOBAL_NVM_flashless_optionsGLOBAL_NVM_flashless_pathGLOBAL_UseCOMPortEmulationGLOBAL_EmulateRxAvailGLOBAL_EmulateRxGLOBAL_EmulateTxGLOBAL_ban_eep_mode_writesGLOBAL_check_sign_hw_cfg_valueGLOBAL_skip_wr_pack_crcGLOBAL_usb_auto_modeGLOBAL_force_plain_uploadGLOBAL_nand_partition_configGLOBAL_trace_bufferGLOBAL_trace_filenameGLOBAL_trace_enabledGLOBAL_dll_instance_numberGLOBAL_erase_modeGLOBAL_MFH_in_idleGLOBAL_SFH_in_idleGLOBAL_want_clock_nowGLOBAL_clockMEM_mallocMEM_freeMEM_get_last_errorMEM_set_last_errorDecryptSecPackTIMER_new_timer_secsTIMER_timer_expiredTIMER_stop_timerTIMER_new_timerTIMER_start_chronom_millisecTIMER_start_chronom_secTIMER_stop_chronom_millisecTIMER_stop_chronom_secTIMER_get_time_elapsed_chronom_millisecTIMER_get_time_elapsed_chronom_secBOOT_initboot_managertarget_capabilitiesdll_capabilitiesext_target_capabilitiesext_dll_capabilitiesBOOT_closeBOOT_clockBOOT_set_EBL_load_speedBOOT_ErrBOOT_clear_last_errorBOOT_get_last_errorBOOT_clear_statusBOOT_get_statusBOOT_start_bootBOOT_abort_processBOOT_delete_channelBOOT_add_channelBOOT_infoBOOT_showp_boot_managerBOOT_egoldBOOT_egold_handlerknown_targetsflshload_eliteLengthflshload_elitebootload_eliteLengthbootload_eliteflshload_evoice_v3Lengthflshload_evoice_v3flshload_evoice_v2Lengthflshload_evoice_v2flshload_evoiceLengthflshload_evoicebootload_evoice_v3Lengthbootload_evoice_v3bootload_evoice_v2Lengthbootload_evoice_v2bootload_evoiceLengthbootload_evoicepsiload_eliteLengthpsiload_eliteBOOT_sgoldBOOT_sgold_handlerebl_xg618_es2Lengthebl_xg618_es2ebl_xg618Lengthebl_xg618ebl_xgold213Lengthebl_xgold213ebl_sgrLengthebl_sgrebl_sg3_nandLengthebl_sg3_nandebl_sg3Lengthebl_sg3ebl_sg2Lengthebl_sg2bootload_xg618_es2Lengthbootload_xg618_es2bootload_xg618Lengthbootload_xg618bootload_xgold213Lengthbootload_xgold213bootload_sgr_es2_mpelite_nandLengthbootload_sgr_es2_mpelite_nandbootload_sgr_mpelite_nandLengthbootload_sgr_mpelite_nandbootload_sg3Lengthbootload_sg3bootload_sgr_es2Lengthbootload_sgr_es2bootload_sgrLengthbootload_sgrbootload_sg2Lengthbootload_sg2fastloadLengthfastloadDUT_usb_testCOMM_error_reportCOMM_initCOMM_clockCOMM_abort_processCOMM_open_portCOMM_ctrl_port_open_portCOMM_close_ctrl_portCOMM_set_comport_buffersCOMM_set_baudrateCOMM_add_channelCOMM_send_bufferCOMM_flush_rx_bufferCOMM_flush_tx_bufferCOMM_get_rtsCOMM_set_rtsCOMM_get_dtrCOMM_set_dtrCOMM_get_dsrCOMM_ctrl_port_get_rtsCOMM_ctrl_port_set_rtsCOMM_ctrl_port_get_dtrCOMM_ctrl_port_set_dtrCOMM_ctrl_port_get_dsrCOMM_get_statusCOMM_clear_statusCOMM_set_statusCOMM_get_last_errorCOMM_clear_last_errorCOMM_start_AT_modeCOMM_stop_AT_modeCOMM_send_AT_commandcomm_rebuild_active_listCOMM_close_comportCOMM_delete_channelCOMM_closeCOMM_close_physical_device_onlyopen_fw_download_portCOMM_receive_bufferMHF_callbackmfh_callback_storemfh_init_ctxmfh_close_ctxMFH_initMFH_closeMFH_clockMFH_add_channelMFH_delete_channelMFH_get_statusMFH_abort_processMFH_open_comm_portMFH_close_comm_portMFH_GetDebugHeaderOffsetMFH_TOC_get_nof_itemsMFH_TOC_get_memory_classMFH_TOC_get_filenameMFH_TOC_get_sw_optionMFH_TOC_get_checksumMFH_TOC_get_filesizeMFH_TOC_get_flash_technogyMFH_TOC_get_hw_platformMFH_ZIP_get_sizeMFH_ZIP_get_dataMFH_NVM_get_nof_user_optionsMFH_NVM_get_user_optionMFH_NVM_set_user_optionPROT_initPROT_ErrPROT_set_last_errorPROT_get_last_errorPROT_abort_processPROT_set_statusPROT_get_statusPROT_rx_packPROT_tx_packPROT_set_protocolPROT_delete_channelPROT_closePROT_add_channelPROT_clockPROT_infopack_nametarget_error_decode_pack_ebl2target_error_decode_packebl2_filesebl2_sec_errorsebl2_std_errorsEEP_linearizeEEP_linearize_beringEEP_RegisterCallBackEEP_InitCurrCfgIsLoadedDepIsLoadedNewCfgIsLoadedEEP_FreeAllMemEEP_List_AllEEP_Load_CurrCFG_filephEepRevEEP_Load_NewCFG_fileEEP_Load_DEP_fileEEP_CnvToBinEEP_CnvFromBinfxCbFuncAllListDataTypeNamesFileFindTextFlashInitReadSFH_COMM_callbackSFH_AT_Command_SentSFH_get_sw_version_dataSFH_GetDebugHeaderOffset_fileSFH_GetDebugHeaderOffsetinit_ctxclose_ctxfailfail_global_textSFH_BOOT_callbackSFH_TRA_callbackSFH_initSFH_closeSFH_clockSFH_add_channelSFH_delete_channelSFH_get_statusSFH_abort_processSFH_download_fls_fileSFH_download_eep_fileSFH_download_dffs_fileSFH_download_cust_fileSFH_update_static_eepromSFH_upload_bin_imageSFH_download_bin_imageSFH_erase_imageSFH_open_comm_portSFH_close_comm_portSFH_boot_targetSFH_boot_bin_targetSFH_set_error_stringSFH_control_modem_signalsSFH_data_receivedSFH_start_AT_modeSFH_stop_AT_modeSFH_AT_sendSFH_force_target_resetSFH_end_of_processSFH_get_flash_idSFH_get_flash_voltageSFH_out_of_session_commSFH_get_ebl_infoSFH_get_nof_progressbarsSFH_get_used_channelSFH_set_nvm_optionsmem_class_nameSList_newSList_AddObjectSList_AddSList_IndexOfSList_ClearSList_deleteSList_DeleteSList_StringSList_ObjectSList_Sortget_psi_error_nameget_psi_error_descriptionGLOBAL_format_textGLOBAL_get_raw_textIFWD_INFO_structIFWD_ERR_structIMC_bootcore_stringTRA_callback_txTRA_callback_rxTRA_initTRA_closeTRA_add_channelTRA_delete_channelTRA_get_statusTRA_abort_processTRA_receive_file_dataTRA_receive_mem_dataTRA_receive_mem_data_no_txTRA_get_last_checksumTRA_clear_checksumTRA_FastChecksumTRA_send_mem_dataTRA_send_file_dataTRA_clockTRA_CalcChecksumTRA_CapabilitiesChangedTRA_GetCommTimeOutThreadFuncIsNotZeroIFWD_DL_get_dll_versionIFWD_DL_get_sw_version_dataIFWD_DL_force_target_resetIFWD_DL_end_of_processIFWD_DL_set_dll_parameterIFWD_DL_dll_get_parameterIFWD_DL_dll_get_nof_progressbarsIFWD_DL_init_callbackIFWD_DL_open_comm_portIFWD_DL_close_comm_portIFWD_DL_boot_targetIFWD_DL_boot_bin_targetIFWD_DL_set_get_control_signalIFWD_DL_download_fls_fileIFWD_DL_download_eep_fileIFWD_DL_download_dffs_fileIFWD_DL_download_cust_fileIFWD_DL_upload_eep_fileIFWD_DL_update_static_eepromIFWD_DL_upload_bin_imageIFWD_DL_download_bin_imageIFWD_DL_erase_imageIFWD_DL_verify_flash_imageIFWD_DL_abort_processIFWD_DL_start_AT_modeIFWD_DL_stop_AT_modeIFWD_DL_AT_sendIFWD_DL_TOC_get_nof_itemsIFWD_DL_TOC_get_memory_classIFWD_DL_TOC_get_sw_optionIFWD_DL_TOC_get_filenameIFWD_DL_TOC_get_checksumIFWD_DL_TOC_get_filesizeIFWD_DL_TOC_get_flash_technogyIFWD_DL_TOC_get_hw_platformIFWD_DL_NVM_set_flashless_optionIFWD_DL_set_external_file_handlingIFWD_DL_NVM_get_nof_user_optionsIFWD_DL_NVM_get_user_optionIFWD_DL_NVM_set_user_optionIFWD_DL_out_of_session_commSetupUniqueLoopMutexDllMainThreadIdThreadHandlemy_unloadmy_loadIFWD_DL_trace_insertTest_SetupIFWD_switch_portIFWD_get_next_free_channelm_hinst_dldll_filedll_file_pathdone_modeini_file_nameErrorTextThreadTerminatefindMemClassIFWDfunctionLastStatusErrorTextSetBaudrateSetComPortSetTraceFileSetEraseModeOpenComPortResetModemDownloadFilesmemclassesm_erase_modem_com_portm_trace_filem_boot_okm_test_modecomport_openm_baudratem_channelm_comm_timeoutm_boot_timeout// 238 `
    [all...]

Completed in 364 milliseconds