Home | History | Annotate | Download | only in a64

Lines Matching refs:kXRegSize

246   VIXL_ASSERT((reg_size == kXRegSize) || (reg_size == kWRegSize));
264 int64_t s_src1 = src1 << (kXRegSize - kWRegSize);
265 int64_t s_src2 = src2 << (kXRegSize - kWRegSize);
266 int64_t s_result = result << (kXRegSize - kWRegSize);
302 int64_t mask = reg_size == kXRegSize ? kXRegMask : kWRegMask;
310 unsigned s_shift = kXRegSize - reg_size;
359 int64_t mask = (reg_size == kXRegSize) ? kXRegMask : kWRegMask;
508 set_reg(kXRegSize,
589 unsigned reg_size = instr->SixtyFourBits() ? kXRegSize : kWRegSize;
620 unsigned reg_size = instr->SixtyFourBits() ? kXRegSize : kWRegSize;
636 unsigned reg_size = instr->SixtyFourBits() ? kXRegSize : kWRegSize;
646 unsigned reg_size = instr->SixtyFourBits() ? kXRegSize : kWRegSize;
665 unsigned reg_size = instr->SixtyFourBits() ? kXRegSize : kWRegSize;
683 unsigned reg_size = instr->SixtyFourBits() ? kXRegSize : kWRegSize;
711 unsigned reg_size = instr->SixtyFourBits() ? kXRegSize : kWRegSize;
722 unsigned reg_size = instr->SixtyFourBits() ? kXRegSize : kWRegSize;
767 int64_t offset = ExtendValue(kXRegSize, xreg(instr->Rm()), ext,
795 set_xreg(srcdst, ExtendValue(kXRegSize, MemoryRead8(address), SXTB));
803 set_xreg(srcdst, ExtendValue(kXRegSize, MemoryRead16(address), SXTH));
807 set_xreg(srcdst, ExtendValue(kXRegSize, MemoryRead32(address), SXTW));
874 set_xreg(rt, ExtendValue(kXRegSize, MemoryRead32(address), SXTW));
875 set_xreg(rt2, ExtendValue(kXRegSize,
1075 unsigned reg_size = instr->SixtyFourBits() ? kXRegSize : kWRegSize;
1086 kXRegSize)); break;
1093 case CLZ_x: set_xreg(dst, CountLeadingZeros(xreg(src), kXRegSize)); break;
1099 set_xreg(dst, CountLeadingSignBits(xreg(src), kXRegSize));
1108 VIXL_ASSERT((num_bits == kWRegSize) || (num_bits == kXRegSize));
1208 unsigned reg_size = instr->SixtyFourBits() ? kXRegSize : kWRegSize;
1244 unsigned reg_size = instr->SixtyFourBits() ? kXRegSize : kWRegSize;
1275 unsigned reg_size = instr->SixtyFourBits() ? kXRegSize : kWRegSize;
1329 unsigned reg_size = (instr->SixtyFourBits() != 0) ? kXRegSize