HomeSort by relevance Sort by last modified time
    Searched refs:TRACE_ENABLE (Results 1 - 8 of 8) sorted by null

  /external/v8/src/arm64/
instructions-arm64.h 467 // once. Otherwise TRACE_ENABLE and TRACE_DISABLE will enable or disable tracing
478 // __ debug("trace disasm", 1, TRACE_ENABLE | LOG_DISASM);
481 // __ debug("trace rets", 2, TRACE_ENABLE | LOG_REGS);
500 TRACE_ENABLE = 1 << 6,
simulator-arm64.cc     [all...]
  /external/vixl/test/
test-simulator-a64.cc 70 __ Trace(LOG_STATE, TRACE_ENABLE); \
73 __ Trace(LOG_WRITE, TRACE_ENABLE); \
76 __ Trace(LOG_DISASM, TRACE_ENABLE); \
    [all...]
test-assembler-a64.cc 127 __ Trace(LOG_STATE, TRACE_ENABLE); \
130 __ Trace(LOG_WRITE, TRACE_ENABLE); \
133 __ Trace(LOG_DISASM, TRACE_ENABLE); \
    [all...]
test-disasm-a64.cc     [all...]
  /external/vixl/src/vixl/a64/
simulator-a64.h 136 TRACE_ENABLE = 1,
    [all...]
simulator-a64.cc     [all...]
  /external/v8/test/cctest/
test-assembler-arm64.cc 148 __ Debug("Start test.", __LINE__, TRACE_ENABLE | LOG_ALL);
    [all...]

Completed in 98 milliseconds