HomeSort by relevance Sort by last modified time
    Searched full:regression (Results 76 - 100 of 1144) sorted by null

1 2 34 5 6 7 8 91011>>

  /external/libxml2/result/HTML/
reg1.html.sax 10 SAX.characters(Regression test 1, 17)
21 SAX.characters(Regression test 1, 17)
reg2.html.sax 10 SAX.characters(Regression test 2, 17)
21 SAX.characters(Regression test 2, 17)
reg3.html.sax 10 SAX.characters(Regression test 3, 17)
21 SAX.characters(Regression test 3, 17)
reg4.html.sax 10 SAX.characters(Regression test 4, 17)
21 SAX.characters(Regression test 4, 17)
  /art/test/086-null-super/
info.txt 4 This is a regression test for a defect in Dalvik, which was assuming
  /art/test/087-gc-after-link/
info.txt 4 This is a regression test for a defect in Dalvik, which was assuming
  /art/test/472-unreachable-if-regression/
info.txt 0 Regression test for crashes during compilation of methods which end
  /dalvik/dx/tests/109-int-branch/
info.txt 2 (Regression test.)
  /dalvik/dx/tests/112-dex-return-jsr-result/
info.txt 4 In particular, this is a regression test for a bug which caused a
  /external/chromium-trace/trace-viewer/tracing/third_party/vinn/third_party/parse5/benchmark/
package.json 4 "description": "parse5 regression benchmark",
  /external/clang/test/CodeGen/
2004-01-01-UnknownInitSize.c 4 * This regression test ensures that the C front end can compile initializers
  /external/clang/test/Frontend/
Weverything.c 0 // Regression check that -pedantic-errors doesn't cause other diagnostics to
  /external/compiler-rt/test/asan/TestCases/Posix/
strerror_r_test.cc 3 // Regression test for PR17138.
  /external/compiler-rt/test/sanitizer_common/TestCases/Linux/
clock_gettime.c 2 // Regression test for PR15823
  /external/javassist/src/test/test/javassist/proxy/
JASSIST113RegressionTest.java 7 * Test for regression error detailed in JASSIST-113
  /external/llvm/test/CodeGen/X86/
fast-isel-bail.ll 3 ; This file is for regression tests for cases where FastISel needs
  /external/strace/tests/
umovestr2.test 3 # umovestr short read regression test
  /external/valgrind/drd/tests/
new_delete.cpp 1 /** Simple regression test triggering the C++ operators new and delete. */
  /external/apache-commons-math/src/main/java/org/apache/commons/math/stat/regression/
MultipleLinearRegression.java 17 package org.apache.commons.math.stat.regression;
20 * The multiple linear regression can be represented in matrix-notation.
25 * <b>regressors</b>, b is <code>k-vector</code> of <b>regression parameters</b> and <code>u</code> is an <code>n-vector</code>
36 * Estimates the regression parameters b.
43 * Estimates the variance of the regression parameters, ie Var(b).
64 * Returns the standard errors of the regression parameters.
66 * @return standard errors of estimated regression parameters
  /external/llvm/test/ExecutionEngine/MCJIT/
2003-08-21-EnvironmentTest.ll 4 ; Regression Test: EnvironmentTest.ll
7 ; This is a regression test that verifies that the JIT passes the
  /external/llvm/test/ExecutionEngine/OrcMCJIT/
2003-08-21-EnvironmentTest.ll 4 ; Regression Test: EnvironmentTest.ll
7 ; This is a regression test that verifies that the JIT passes the
  /art/test/474-fp-sub-neg/
info.txt 0 Regression check for optimizing simplify instruction pass.
  /external/compiler-rt/test/lsan/TestCases/
new_array_with_dtor_0.cc 0 // Regression test:
  /external/compiler-rt/test/msan/
pthread_getattr_np_deadlock.cc 3 // Regression test for a deadlock in pthread_getattr_np
  /external/eigen/Eigen/
LeastSquares 19 * This module provides linear regression and related features.

Completed in 1656 milliseconds

1 2 34 5 6 7 8 91011>>