HomeSort by relevance Sort by last modified time
    Searched refs:Usage (Results 126 - 150 of 340) sorted by null

1 2 3 4 56 7 8 91011>>

  /external/valgrind/VEX/switchback/
binary_switchback.pl 42 sub Usage {
43 print "Usage: binary_switchback.pl test_ref [last_good [last_bad]]\n";
53 Usage();
  /ndk/sources/host-tools/make-3.81/config/
mdate-sh 40 Usage: mdate-sh [--help] [--version] FILE
  /art/cmdline/
cmdline_types.h 82 return Result::Usage(
524 return Result::Usage(std::string("Unknown -Xgc option ") + gc_option);
626 return Result::Usage(std::string("Unknown -verbose option ") + verbose_options[j]);
748 return StringResult::Usage(std::string() + "Missing char " + c + " in option " + s);
  /external/bison/build-aux/
compile 36 # there to prevent tools from complaining about whitespace usage.
204 Usage: compile [--help] [--version] PROGRAM [ARGS]
gnu-web-doc-update 34 Usage: $ME
mdate-sh 35 # is contrary to our usage. Disable this feature.
47 Usage: mdate-sh [--help] [--version] FILE
  /external/curl/tests/
stunnel.pem 93 X509v3 Key Usage:
95 X509v3 Extended Key Usage:
  /external/icu/android_icu4j/src/main/java/android/icu/util/
Currency.java 90 * Currency Usage used for Decimal Format
94 * a setting to specify currency usage which determines currency digit and rounding
95 * for standard usage, for example: "50.00 NT$"
100 * a setting to specify currency usage which determines currency digit and rounding
101 * for cash usage, for example: "50 NT$"
752 * be displayed for this currency with Usage.
753 * @param Usage the usage of currency(Standard or Cash)
757 public int getDefaultFractionDigits(CurrencyUsage Usage) {
759 CurrencyDigits digits = info.currencyDigits(subType, Usage);
    [all...]
  /external/icu/icu4j/main/classes/core/src/com/ibm/icu/util/
Currency.java 93 * Currency Usage used for Decimal Format
98 * a setting to specify currency usage which determines currency digit and rounding
99 * for standard usage, for example: "50.00 NT$"
105 * a setting to specify currency usage which determines currency digit and rounding
106 * for cash usage, for example: "50 NT$"
779 * be displayed for this currency with Usage.
780 * @param Usage the usage of currency(Standard or Cash)
785 public int getDefaultFractionDigits(CurrencyUsage Usage) {
787 CurrencyDigits digits = info.currencyDigits(subType, Usage);
    [all...]
  /external/iptables/iptables/
iptables-apply 38 function usage() function
41 Usage: $PROGNAME [options] ruleset
81 (-h|--help) usage >&2; exit 0;;
  /external/libcap-ng/libcap-ng-0.7/
compile 36 # there to prevent tools from complaining about whitespace usage.
204 Usage: compile [--help] [--version] PROGRAM [ARGS]
  /external/libevent/
compile 35 # there to prevent tools from complaining about whitespace usage.
236 Usage: compile [--help] [--version] PROGRAM [ARGS]
  /external/libmicrohttpd/doc/
mdate-sh 35 # is contrary to our usage. Disable this feature.
47 Usage: mdate-sh [--help] [--version] FILE
  /external/libopus/
compile 36 # there to prevent tools from complaining about whitespace usage.
237 Usage: compile [--help] [--version] PROGRAM [ARGS]
  /external/libvpx/libvpx/build/make/
iosbuild.sh 169 # Create the vpx_config.h shim that allows usage of vpx_config.h from
202 Usage: ${0##*/} [arguments]
  /external/libvpx/libvpx/tools/
ftfy.sh 5 usage() { function
7 Usage: $self [option]
103 [ $# -lt 2 ] || usage
143 -h|--help) usage;;
148 *) usage;;
  /external/mesa3d/src/mesa/drivers/dri/nouveau/
nouveau_bufferobj.c 76 const GLvoid *data, GLenum usage,
83 obj->Usage = usage;
90 (size < 512 && usage == GL_DYNAMIC_DRAW_ARB) ||
  /external/mesa3d/src/mesa/drivers/dri/radeon/
radeon_buffer_objects.c 89 GLenum usage,
96 radeon_obj->Base.Usage = usage;
  /external/pcre/dist/
ar-lib 105 Usage: $me [--help] [--version] PROGRAM ACTION ARCHIVE [MEMBER...]
  /external/sonivox/arm-wt-22k/lib_src/
ARM-E_mastergain_gnu.s 8 @ Usage:
61 @ Register usage
  /external/strace/xlat/
gen.sh 29 usage() function
32 Usage: $0 <input> <output>
244 *) usage ;;
  /external/svox/pico_resources/tools/LingwareBuilding/PicoLingware_tools_windows/tools/
symshift.pl 78 Usage:
  /external/vboot_reference/utility/
vbutil_what_keys 9 Usage: vbutil_what_keys [-v|-V] IMAGE [IMAGE...]
  /frameworks/compile/slang/tests/
test.py 185 def Usage():
186 """Print out usage information."""
187 print ('Usage: %s [OPTION]... [TESTNAME]...'
207 Usage()
  /hardware/intel/common/omx-components/videocodec/libvpx_internal/libvpx/tools/
ftfy.sh 5 usage() { function
7 Usage: $self [option]
103 [ $# -lt 2 ] || usage
144 -h|--help) usage;;
149 *) usage;;

Completed in 711 milliseconds

1 2 3 4 56 7 8 91011>>