HomeSort by relevance Sort by last modified time
    Searched refs:overlaps (Results 1 - 25 of 123) sorted by null

1 2 3 4 5

  /toolchain/binutils/binutils-2.25/gas/testsuite/gas/arm/
ldrd-unpredictable.l 2 [^:]*:6: Warning: index register overlaps transfer register
3 [^:]*:7: Warning: index register overlaps transfer register
5 [^:]*:9: Warning: base register written back, and overlaps second transfer register
7 [^:]*:14: Warning: base register written back, and overlaps second transfer register
thumb2_ldstd_unpredictable.l 2 [^:]*:5: Warning: base register written back, and overlaps one of transfer registers
3 [^:]*:6: Warning: base register written back, and overlaps one of transfer registers
4 [^:]*:7: Warning: base register written back, and overlaps one of transfer registers
5 [^:]*:8: Warning: base register written back, and overlaps one of transfer registers
6 [^:]*:10: Warning: base register written back, and overlaps one of transfer registers
7 [^:]*:11: Warning: base register written back, and overlaps one of transfer registers
8 [^:]*:12: Warning: base register written back, and overlaps one of transfer registers
9 [^:]*:13: Warning: base register written back, and overlaps one of transfer registers
  /external/libvpx/libvpx/vp8/decoder/
ec_types.h 21 /* The area (pixel area in Q6) the block pointed to by bmi overlaps
34 OVERLAP_NODE overlaps[MAX_OVERLAPS]; member in struct:__anon17979
37 /* Structure used to hold all the overlaps of a macroblock. The overlaps of a
38 * macroblock is further divided into block overlaps.
42 B_OVERLAP overlaps[16]; member in struct:__anon17980
error_concealment.c 48 if (pbi->overlaps != NULL)
50 vpx_free(pbi->overlaps);
51 pbi->overlaps = NULL;
54 pbi->overlaps = vpx_calloc(pbi->common.mb_rows * pbi->common.mb_cols,
57 if (pbi->overlaps == NULL)
65 vpx_free(pbi->overlaps);
66 pbi->overlaps = NULL;
69 /* Inserts a new overlap area value to the list of overlaps of a block */
70 static void assign_overlap(OVERLAP_NODE* overlaps,
77 /* Find and assign to the next empty overlap node in the list of overlaps
    [all...]
onyxd_int.h 119 MB_OVERLAP *overlaps; member in struct:VP8D_COMP
  /hardware/intel/common/omx-components/videocodec/libvpx_internal/libvpx/vp8/decoder/
ec_types.h 21 /* The area (pixel area in Q6) the block pointed to by bmi overlaps
34 OVERLAP_NODE overlaps[MAX_OVERLAPS]; member in struct:__anon31464
37 /* Structure used to hold all the overlaps of a macroblock. The overlaps of a
38 * macroblock is further divided into block overlaps.
42 B_OVERLAP overlaps[16]; member in struct:__anon31465
error_concealment.c 49 if (pbi->overlaps != NULL)
51 vpx_free(pbi->overlaps);
52 pbi->overlaps = NULL;
55 pbi->overlaps = vpx_calloc(pbi->common.mb_rows * pbi->common.mb_cols,
58 if (pbi->overlaps == NULL)
66 vpx_free(pbi->overlaps);
67 pbi->overlaps = NULL;
70 /* Inserts a new overlap area value to the list of overlaps of a block */
71 static void assign_overlap(OVERLAP_NODE* overlaps,
78 /* Find and assign to the next empty overlap node in the list of overlaps
    [all...]
onyxd_int.h 119 MB_OVERLAP *overlaps; member in struct:VP8D_COMP
  /external/libgdx/gdx/test/com/badlogic/gdx/math/
Shape2DTest.java 18 assertTrue(c1.overlaps(c1));
19 assertTrue(c1.overlaps(c2));
20 assertFalse(c1.overlaps(c3));
21 assertTrue(c1.overlaps(c4));
22 assertTrue(c4.overlaps(c1));
34 assertTrue(r1.overlaps(r1));
35 assertFalse(r1.overlaps(r2));
  /libcore/harmony-tests/src/test/java/org/apache/harmony/tests/java/nio/channels/
FileLockTest.java 146 * @tests java.nio.channels.FileLock#overlaps(long, long)
149 assertTrue(mockLock.overlaps(0, 11));
150 assertFalse(mockLock.overlaps(0, 10));
151 assertTrue(mockLock.overlaps(100, 110));
152 assertTrue(mockLock.overlaps(99, 110));
153 assertFalse(mockLock.overlaps(-1, 10));
155 assertTrue(mockLock.overlaps(1, 120));
156 assertTrue(mockLock.overlaps(20, 50));
  /toolchain/binutils/binutils-2.25/ld/testsuite/ld-scripts/
rgn-over7.d 4 # error: \A[^ \n]*?ld[^:\n]*?: [^\n]*?section `\.text' will not fit in region `r1'\n[^ \n]*?ld[^:\n]*?: section \.data loaded at \[0+1008,0+1013\] overlaps section \.text loaded at \[0+1000,0+100b\]\n[^ \n]*?ld[^:\n]*?: region `r1' overflowed by 4 bytes\Z
  /libcore/ojluni/src/main/java/java/nio/channels/
FileLock.java 57 * not overlap. The {@link #overlaps overlaps} method may be used to test
58 * whether a candidate lock range overlaps an existing lock.
221 * Tells whether or not this lock overlaps the given lock range. </p>
226 public final boolean overlaps(long position, long size) { method in class:FileLock
  /toolchain/binutils/binutils-2.25/ld/testsuite/ld-mmix/
orph-d-awpe.d 8 #error: overlaps section .text
  /external/antlr/antlr-3.4/runtime/Ruby/lib/antlr3/
util.rb 164 def overlaps?( range )
169 not overlaps?( range )
  /external/opencv3/modules/features2d/src/
evaluation.cpp 318 bool commonPart, std::vector<SIdx>& overlaps, float minOverlap )
321 overlaps.clear();
325 overlaps.clear();
326 overlaps.reserve(cvRound(keypoints1.size() * keypoints2t.size() * 0.01));
370 overlaps.push_back(SIdx(ov, (int)i1, (int)i2));
376 std::sort( overlaps.begin(), overlaps.end() );
380 It pos = overlaps.begin();
381 It end = overlaps.end();
388 overlaps.erase(pos, overlaps.end())
429 std::vector<SIdx> overlaps; local
    [all...]
  /external/antlr/antlr-3.4/runtime/Ruby/test/functional/token-rewrite/
basic.rb 234 error.to_s.should == %q<operation (replace @ 1..2 : "foo") overlaps with previous operation (replace @ 0..3 : "bar")>
245 error.to_s.should == %q<operation (insert-before @ 4 : "y") overlaps with previous operation (replace @ 2..4 : "x")>
256 error.to_s.should == %q<operation (replace @ 3..5 : "foo") overlaps with previous operation (replace @ 2..4 : "xyz")>
267 error.to_s.should == %q<operation (replace @ 1..3 : "foo") overlaps with previous operation (replace @ 2..4 : "xyz")>
  /external/libgdx/gdx/src/com/badlogic/gdx/math/collision/
Sphere.java 47 public boolean overlaps (Sphere sphere) { method in class:Sphere
  /frameworks/native/services/inputflinger/
InputWindow.cpp 57 bool InputWindowInfo::overlaps(const InputWindowInfo* other) const { function in class:android::InputWindowInfo
  /build/tools/releasetools/
test_rangelib.py 42 self.assertTrue(RangeSet("10-19 30-34").overlaps(RangeSet("18-32")))
43 self.assertFalse(RangeSet("10-19 30-34").overlaps(RangeSet("22-28")))
  /prebuilts/python/linux-x86/2.7.5/lib/python2.7/site-packages/setools/
nodeconquery.py 42 network_overlap If true, the net will match if it overlaps with
58 range_overlap If true, the criteria will match if it overlaps
136 if not self.network.overlaps(net):
  /external/skia/src/pathops/
SkPathOpsCommon.cpp 513 SkOpCoincidence overlaps;
515 SkOpCoincidence* pairs = overlaps.isEmpty() ? coincidence : &overlaps;
520 // For each coincident pair that overlaps another, when the receivers (the 1st of the pair)
522 pairs->findOverlaps(&overlaps, allocator);
524 } while (!overlaps.isEmpty());
  /external/guava/guava-tests/test/com/google/common/collect/
ImmutableRangeSetTest.java 355 boolean overlaps = false;
358 overlaps = true;
364 assertFalse(overlaps);
367 assertTrue(overlaps);
  /external/libgdx/gdx/src/com/badlogic/gdx/math/
Circle.java 180 * @return whether this circle overlaps the other circle. */
181 public boolean overlaps (Circle c) { method in class:Circle
  /external/libgdx/tests/gdx-tests/src/com/badlogic/gdx/tests/
SimpleStageCullingTest.java 99 visible = camRect.overlaps(actorRect);
  /external/llvm/lib/Target/WebAssembly/
WebAssemblyRegColoring.cpp 148 if (!OtherLI->empty() && OtherLI->overlaps(*LI))

Completed in 1009 milliseconds

1 2 3 4 5