Home | History | Annotate | Download | only in test

Lines Matching refs:ArrayTest

47 class CV_ImgWarpBaseTest : public cvtest::ArrayTest
82 int code = cvtest::ArrayTest::read_params( fs );
89 cvtest::ArrayTest::get_minmax_bounds( i, j, type, low, high );
104 cvtest::ArrayTest::get_test_array_types_and_sizes( test_case_idx, sizes, types );
119 cvtest::ArrayTest::fill_array( test_case_idx, i, j, arr );
124 int code = cvtest::ArrayTest::prepare_test_case( test_case_idx );
967 class CV_UndistortMapTest : public cvtest::ArrayTest
1001 cvtest::ArrayTest::get_test_array_types_and_sizes( test_case_idx, sizes, types );
1022 cvtest::ArrayTest::fill_array( test_case_idx, i, j, arr );
1046 int code = cvtest::ArrayTest::prepare_test_case( test_case_idx );