HomeSort by relevance Sort by last modified time
    Searched refs:mantissa (Results 1 - 25 of 179) sorted by null

1 2 3 4 5 6 7 8

  /external/nanopb-c/examples/using_double_on_avr/
double_conversion.c 22 uint64_t mantissa; local
27 mantissa = in.i & 0x7FFFFF;
36 if (!mantissa)
44 mantissa <<= 1;
45 while (!(mantissa & 0x800000))
47 mantissa <<= 1;
50 mantissa &= 0x7FFFFF;
55 mantissa <<= 29;
56 mantissa |= (uint64_t)(exponent + 1023) << 52;
57 mantissa |= (uint64_t)sign << 63
66 uint32_t mantissa; local
    [all...]
  /frameworks/native/opengl/tools/glgen/specs/gles11/
GLES10Ext.spec 1 GLbitfield glQueryMatrixxOES ( GLfixed *mantissa, GLint *exponent )
  /external/deqp/framework/delibs/debase/
deFloat16.c 32 deUint32 mantissa; local
42 mantissa = x.u & 0x007fffffu;
53 mantissa = mantissa | 0x00800000u;
55 /* Round mantissa to nearest (10+e) */
59 deUint32 b = (mantissa >> t) & 1u;
61 mantissa = (mantissa + a + b) >> t;
64 return (deFloat16) (sign | mantissa);
68 if (mantissa == 0u
106 deUint32 mantissa; local
    [all...]
  /frameworks/base/opengl/java/android/opengl/
GLES10Ext.java 28 // C function GLbitfield glQueryMatrixxOES ( GLfixed *mantissa, GLint *exponent )
31 int[] mantissa,
37 // C function GLbitfield glQueryMatrixxOES ( GLfixed *mantissa, GLint *exponent )
40 java.nio.IntBuffer mantissa,
  /frameworks/base/opengl/java/javax/microedition/khronos/opengles/
GL10Ext.java 25 int[] mantissa,
32 java.nio.IntBuffer mantissa,
  /external/crcalc/src/com/hp/creals/
StringFloatRep.java 43 mantissa = m;
52 * A string representation of the mantissa. The decimal point is implicitly
55 public String mantissa; field in class:StringFloatRep
61 * The mantissa is scaled by radix**exponent.
70 (sign < 0? "-" : "") + mantissa + "E" + Integer.toString(exponent)
  /system/media/audio_utils/
minifloat.c 51 int mantissa = (int) (r * ONE_FLOAT); local
52 return exp > 0 ? (exp << MANTISSA_BITS) | (mantissa & ~HIDDEN_BIT) :
53 (mantissa >> (1 - exp)) & MANTISSA_MAX;
58 int mantissa = a & MANTISSA_MAX; local
60 return ldexpf((exponent > 0 ? HIDDEN_BIT | mantissa : mantissa << 1) / ONE_FLOAT,
  /external/mesa3d/src/gallium/auxiliary/util/
u_format_r11g11b10f.h 61 int mantissa = f32.ui & 0x007fffff; local
71 if (mantissa) {
90 mantissa >>= UF11_MANTISSA_SHIFT;
91 uf11 = exponent << UF11_EXPONENT_SHIFT | mantissa;
105 int mantissa = (val & 0x003f); local
110 if (mantissa != 0) {
112 f32.f = scale * mantissa;
116 f32.ui = F32_INFINITY | mantissa;
127 decimal = 1.0f + (float) mantissa / 64;
147 int mantissa = f32.ui & 0x007fffff local
191 int mantissa = (val & 0x001f); local
    [all...]
  /external/vixl/src/vixl/
utils.cc 96 float float_pack(uint32_t sign, uint32_t exp, uint32_t mantissa) {
97 uint32_t bits = (sign << 31) | (exp << 23) | mantissa;
102 double double_pack(uint64_t sign, uint64_t exp, uint64_t mantissa) {
103 uint64_t bits = (sign << 63) | (exp << 52) | mantissa;
114 uint16_t mantissa = value & mantissa_mask; local
116 if (mantissa == 0) {
121 if (mantissa == 0) {
  /external/webrtc/webrtc/modules/rtp_rtcp/source/rtcp_packet/
tmmbr.cc 36 uint32_t* mantissa,
38 // input_base10 = mantissa * 2^exp
49 *mantissa = (input_base10 >> exponent);
56 uint32_t mantissa = 0; local
58 ComputeMantissaAnd6bitBase2Exponent(bitrate_bps, 17, &mantissa, &exp);
61 AssignUWord8(buffer, pos, (exp << 2) + ((mantissa >> 15) & 0x03));
62 AssignUWord8(buffer, pos, mantissa >> 7);
63 AssignUWord8(buffer, pos, (mantissa << 1) +
77 // | MxTBR Exp | MxTBR Mantissa |Measured Overhead|
tmmbn.cc 34 uint32_t* mantissa,
36 // input_base10 = mantissa * 2^exp
47 *mantissa = (input_base10 >> exponent);
54 uint32_t mantissa = 0; local
56 ComputeMantissaAnd6bitBase2Exponent(bitrate_bps, 17, &mantissa, &exp);
59 AssignUWord8(buffer, pos, (exp << 2) + ((mantissa >> 15) & 0x03));
60 AssignUWord8(buffer, pos, mantissa >> 7);
61 AssignUWord8(buffer, pos, (mantissa << 1) +
75 // | MxTBR Exp | MxTBR Mantissa |Measured Overhead|
  /frameworks/opt/net/voip/src/jni/rtp/
G711Codec.cpp 61 int mantissa = (sample >> (exponent + 3)) & 0x0F; local
62 ulaws[i] = ~(sign | (exponent << 4) | mantissa);
76 int mantissa = ulaw & 0x0F; local
77 int sample = (((mantissa << 3) + 132) << exponent) - 132;
111 int mantissa = (sample >> (exponent == 0 ? 4 : exponent + 3)) & 0x0F; local
112 alaws[i] = (sign | (exponent << 4) | mantissa) ^ 0xD5;
126 int mantissa = alaw & 0x0F; local
127 int sample = (exponent == 0 ? (mantissa << 4) + 8 :
128 ((mantissa << 3) + 132) << exponent);
  /cts/tests/tests/renderscript/src/android/renderscript/cts/
Float16Utils.java 28 // 16-bit masks for extracting sign, exponent and mantissa bits
41 // NaN has all exponent bits set to 1 and a non-zero mantissa
47 // Infinity has all exponent bits set to 1 and zeroes in mantissa
53 // Subnormal numbers have exponent bits set to 0 and a non-zero mantissa
74 // Extract sign, exponent and mantissa
77 int mantissa = val & MANTISSA_MASK; local
79 // 0.<mantissa> = <mantissa> * 2^-10
80 float mantissaAsFloat = Math.scalb(mantissa, -10);
94 // value is 2^(exponent - 15) * 1.<mantissa>
128 long mantissa = valueBits & DOUBLE_MANTISSA_MASK; \/\/ 52-bit mantissa local
231 long mantissa = valueBits & DOUBLE_MANTISSA_MASK; \/\/ 52-bit mantissa local
309 long mantissa = valueBits & DOUBLE_MANTISSA_MASK; \/\/ 52-bit mantissa local
    [all...]
  /external/mesa3d/src/mesa/main/
querymatrix.c 24 * GLbitfield glQueryMatrixxOES( GLfixed mantissa[16],
26 * mantissa[16] contains the contents of the current matrix in GLfixed
29 * is close to mantissa[i] * 2^exponent[i]. The function returns a status
91 extern GLbitfield GL_APIENTRY _es_QueryMatrixxOES(GLfixed mantissa[16], GLint exponent[16]);
97 GLbitfield GL_APIENTRY _es_QueryMatrixxOES(GLfixed mantissa[16], GLint exponent[16])
164 mantissa[i] = FLOAT_TO_FIXED(normalizedFraction);
179 mantissa[i] = INT_TO_FIXED(0);
189 mantissa[i] = INT_TO_FIXED(1);
192 mantissa[i] = -INT_TO_FIXED(1);
202 mantissa[i] = INT_TO_FIXED(2)
    [all...]
  /external/dng_sdk/source/
dng_utils.h 810 int32 mantissa = halfValue & 0x000003ff; local
815 if (mantissa == 0)
829 while (!(mantissa & 0x00000400))
831 mantissa <<= 1;
836 mantissa &= ~0x00000400;
845 if (mantissa == 0)
868 mantissa <<= 13;
870 // Assemble sign, exponent and mantissa.
872 return (uint32) ((sign << 31) | (exponent << 23) | mantissa);
883 int32 mantissa = i & 0x007fffff local
981 int32 mantissa = (((int32) input [1]) << 8) | input[2]; local
1053 int32 mantissa = input & 0x007FFFFF; local
    [all...]
  /external/valgrind/VEX/switchback/
test_emfloat.c 510 u8 sign; /* Mantissa sign */
512 u16 mantissa[INTERNAL_FPF_PRECISION]; member in struct:__anon24899
531 static void ShiftMantLeft1(u16 *carry,u16 *mantissa);
532 static void ShiftMantRight1(u16 *carry,u16 *mantissa);
699 dest->mantissa[i]=0;
719 dest->mantissa[i]=0;
728 ** as the mantissa bits go.
737 dest->mantissa[0]=0x4000;
739 dest->mantissa[i]=0;
748 ** number's mantissa. It checks for an all-zero mantissa
871 u16 *mantissa; local
    [all...]
  /hardware/invensense/6515/libsensors_iio/software/simple_apps/playback/linux/
and_constructor.h 25 range.mantissa = (long)x; \
29 x = (float)(range.mantissa); \
  /external/opencv3/3rdparty/include/ffmpeg_/libavutil/
intfloat_readwrite.h 30 uint8_t mantissa[8]; member in struct:AVExtFloat
  /prebuilts/gcc/linux-x86/host/x86_64-linux-glibc2.11-4.8/sysroot/usr/include/
ieee754.h 38 unsigned int mantissa:23; member in struct:ieee754_float::__anon38524
41 unsigned int mantissa:23; member in struct:ieee754_float::__anon38524
54 unsigned int mantissa:22; member in struct:ieee754_float::__anon38525
57 unsigned int mantissa:22; member in struct:ieee754_float::__anon38525
78 /* Together these comprise the mantissa. */
89 /* Together these comprise the mantissa. */
105 /* Together these comprise the mantissa. */
116 /* Together these comprise the mantissa. */
  /prebuilts/gcc/linux-x86/host/x86_64-linux-glibc2.15-4.8/sysroot/usr/include/
ieee754.h 38 unsigned int mantissa:23; member in struct:ieee754_float::__anon40426
41 unsigned int mantissa:23; member in struct:ieee754_float::__anon40426
54 unsigned int mantissa:22; member in struct:ieee754_float::__anon40427
57 unsigned int mantissa:22; member in struct:ieee754_float::__anon40427
78 /* Together these comprise the mantissa. */
89 /* Together these comprise the mantissa. */
105 /* Together these comprise the mantissa. */
116 /* Together these comprise the mantissa. */
  /external/mesa3d/src/gallium/drivers/r300/compiler/
radeon_inline_literals.c 14 * 22:0 mantissa
19 * 0:2 mantissa
26 unsigned mantissa = float_bits & 0x007fffff; local
41 if (mantissa & mantissa_mask) {
44 mantissa, mantissa_mask,
45 mantissa & mantissa_mask);
50 r300_mantissa = (mantissa & ~mantissa_mask) >> 20;
  /external/google-benchmark/src/
string_util.cc 33 double one_k, std::string* mantissa,
57 *mantissa = mantissa_stream.str();
71 *mantissa = mantissa_stream.str();
81 *mantissa = mantissa_stream.str();
100 std::string mantissa; local
102 ToExponentAndMantissa(value, threshold, precision, 1024.0, &mantissa,
104 return mantissa + ExponentToPrefix(exponent, false);
  /frameworks/base/core/java/android/bluetooth/
BluetoothGattCharacteristic.java 638 * @param mantissa Mantissa for this characteristic
644 public boolean setValue(int mantissa, int exponent, int formatType, int offset) {
651 mantissa = intToSignedBits(mantissa, 12);
653 mValue[offset++] = (byte)(mantissa & 0xFF);
654 mValue[offset] = (byte)((mantissa >> 8) & 0x0F);
659 mantissa = intToSignedBits(mantissa, 24);
661 mValue[offset++] = (byte)(mantissa & 0xFF)
719 int mantissa = unsignedToSigned(unsignedByteToInt(b0) local
729 int mantissa = unsignedToSigned(unsignedByteToInt(b0) local
    [all...]
  /external/icu/icu4c/source/i18n/
precision.cpp 259 int64_t mantissa,
271 if (mantissa > -1000000000000000000LL /* -1e18 */
272 && mantissa < 1000000000000000000LL /* 1e18 */) {
273 digits.fAbsIntValue = mantissa;
285 if (mantissa == 0) {
291 while (mantissa % 10 == 0) {
292 mantissa /= 10;
295 if (mantissa < 0) {
296 digits.fDigits.append((char) -(mantissa % -10), status);
297 mantissa /= -10
    [all...]
  /external/v8/test/mjsunit/es6/
math-fround.js 65 var mantissa = 1 + this.mantissa_23_bits * mantissa_23_shift +
67 return sign * Math.pow(2, exponent) * mantissa;
77 var mantissa = 1 + (this.mantissa_23_bits + round) * mantissa_23_shift;
78 return sign * Math.pow(2, exponent) * mantissa;
96 var mantissa = (mantissa_23_bits + round) * mantissa_23_shift;
97 return sign * Math.pow(2, -126) * mantissa;

Completed in 1787 milliseconds

1 2 3 4 5 6 7 8