HomeSort by relevance Sort by last modified time
    Searched refs:testl (Results 1 - 25 of 159) sorted by null

1 2 3 4 5 6 7

  /art/runtime/interpreter/mterp/x86/
op_goto.S 9 testl rINST, rINST
op_goto_16.S 9 testl rINST, rINST
op_goto_32.S 14 testl rINST, rINST
op_return_void.S 4 testl $$(THREAD_SUSPEND_OR_CHECKPOINT_REQUEST), THREAD_FLAGS_OFFSET(%eax)
op_return_void_no_barrier.S 2 testl $$(THREAD_SUSPEND_OR_CHECKPOINT_REQUEST), THREAD_FLAGS_OFFSET(%eax)
op_throw.S 7 testl %eax, %eax
zcmp.S 12 testl rINST, rINST
op_array_length.S 7 testl %ecx, %ecx # is null?
op_return.S 10 testl $$(THREAD_SUSPEND_OR_CHECKPOINT_REQUEST), THREAD_FLAGS_OFFSET(%eax)
op_return_wide.S 8 testl $$(THREAD_SUSPEND_OR_CHECKPOINT_REQUEST), THREAD_FLAGS_OFFSET(%eax)
bindiv.S 12 testl %ecx, %ecx
16 testl $$0xFFFFFF00, %edx # If both arguments are less
19 testl $$0xFFFF0000, %edx # If both arguments are less
bincmp.S 16 testl rINST, rINST
bindivLit8.S 10 testl %ecx, %ecx
op_aget.S 13 testl %eax, %eax # null array object?
  /toolchain/binutils/binutils-2.25/ld/testsuite/ld-i386/
pcrel8.s 7 testl $0x12345678, %ss:0x76543210(,%eax,4)
  /art/runtime/interpreter/mterp/x86_64/
op_return_void_no_barrier.S 2 testl $$(THREAD_SUSPEND_OR_CHECKPOINT_REQUEST), THREAD_FLAGS_OFFSET(OUT_ARG0)
op_packed_switch.S 16 testl %eax, %eax
op_return.S 10 testl $$(THREAD_SUSPEND_OR_CHECKPOINT_REQUEST), THREAD_FLAGS_OFFSET(OUT_ARG0)
op_return_void.S 4 testl $$(THREAD_SUSPEND_OR_CHECKPOINT_REQUEST), THREAD_FLAGS_OFFSET(OUT_ARG0)
op_return_wide.S 8 testl $$(THREAD_SUSPEND_OR_CHECKPOINT_REQUEST), THREAD_FLAGS_OFFSET(OUT_ARG0)
op_array_length.S 7 testl %ecx, %ecx # is null?
  /art/runtime/arch/x86/
jni_entrypoints_x86.S 30 testl %eax, %eax // check if returned method code is null
  /external/llvm/test/MC/COFF/
weak.s 18 testl %eax, %eax
  /external/swiftshader/third_party/LLVM/test/MC/COFF/
weak.s 17 testl %eax, %eax
  /external/valgrind/coregrind/
m_cpuid.S 87 testl %esi, %esi
92 testl %esi, %esi
97 testl %esi, %esi
102 testl %esi, %esi

Completed in 128 milliseconds

1 2 3 4 5 6 7