HomeSort by relevance Sort by last modified time
    Searched defs:PACKED (Results 1 - 25 of 31) sorted by null

1 2

  /external/mesa3d/prebuilt-intermediates/main/
enums.c 33 typedef struct PACKED {
    [all...]
  /system/nfc/halimpl/bcm2079x/gki/ulinux/
data_types.h 40 #define PACKED __packed
43 #define PACKED
  /system/nfc/src/gki/ulinux/
data_types.h 41 #define PACKED __packed
44 #define PACKED
  /art/runtime/base/
macros.h 56 #define PACKED(x) __attribute__ ((__aligned__(x), __packed__))
  /external/clang/test/CodeGenCXX/
override-layout.cpp 7 // If not explicitly disabled, set PACKED to the packed attribute.
8 #ifndef PACKED
9 # define PACKED __attribute__((packed))
17 int x[6] PACKED;
24 } PACKED;
27 struct PACKED X2 : public X1, public X0, public Empty1 {
33 struct PACKED X3 : virtual public X1, public X0 {
39 struct PACKED X4
    [all...]
  /external/mesa3d/src/util/
macros.h 140 * packed, to trade off performance for space.
143 #define PACKED __attribute__((__packed__))
145 #define PACKED
  /external/clang/test/CodeGen/
override-layout.c 6 // If not explicitly disabled, set PACKED to the packed attribute.
7 #ifndef PACKED
8 # define PACKED __attribute__((packed))
18 int x[6] PACKED;
27 } PACKED;
32 struct PACKED X2 {
41 short x PACKED;
58 struct PACKED X5 { double a[19]; signed char b; }
    [all...]
  /external/mesa3d/src/mesa/main/
format_parser.py 35 PACKED = 'packed'
241 layout -- One of 'array', 'packed' 'other', or a compressed layout
286 if self.layout == PACKED:
338 elif self.layout == PACKED:
360 array formats even though they are technically packed.
373 if self.layout not in (ARRAY, PACKED):
382 if self.layout not in (ARRAY, PACKED):
475 if self.layout == PACKED:
  /external/syslinux/gpxe/src/arch/i386/include/
registers.h 31 } PACKED;
33 } PACKED reg16_t;
49 } PACKED;
52 } PACKED reg32_t;
83 } PACKED;
91 } PACKED;
99 } PACKED;
107 } PACKED;
111 } PACKED;
145 } PACKED;
    [all...]
pxe.h 13 } PACKED;
90 } PACKED;
124 } PACKED;
141 } PACKED;
  /hardware/qcom/wlan/qcwcn/wifi_hal/
nan_cert.h 162 typedef struct PACKED {
  /external/syslinux/gpxe/src/core/
proto_eth_slow.c 31 } PACKED;
57 } PACKED;
75 } PACKED;
  /external/syslinux/gpxe/src/include/
compiler.h 461 /** Declare a data structure as packed. */
462 #define PACKED __attribute__ (( packed ))
  /external/syslinux/libinstaller/
syslxint.h 28 /* gcc 4.7 miscompiles packed structures in MS-bitfield mode */
29 # define PACKED __attribute__((packed,gcc_struct))
31 # define PACKED __attribute__((packed))
34 # error "Need to define PACKED for this compiler"
220 } PACKED;
248 } PACKED bs16;
264 } PACKED bs32;
265 } PACKED;
    [all...]
  /external/cblas/testing/
c_cblat2.f     [all...]
c_dblat2.f     [all...]
c_sblat2.f     [all...]
c_zblat2.f     [all...]
  /external/eigen/blas/testing/
cblat2.f 820 LOGICAL BANDED, FULL, NULL, PACKED, RESET, SAME
842 PACKED = SNAME( 3: 3 ).EQ.'P'
848 ELSE IF( PACKED )THEN
881 IF( PACKED )THEN
    [all...]
dblat2.f 806 LOGICAL BANDED, FULL, NULL, PACKED, RESET, SAME
828 PACKED = SNAME( 3: 3 ).EQ.'P'
834 ELSE IF( PACKED )THEN
867 IF( PACKED )THEN
    [all...]
sblat2.f 806 LOGICAL BANDED, FULL, NULL, PACKED, RESET, SAME
828 PACKED = SNAME( 3: 3 ).EQ.'P'
834 ELSE IF( PACKED )THEN
867 IF( PACKED )THEN
    [all...]
zblat2.f 823 LOGICAL BANDED, FULL, NULL, PACKED, RESET, SAME
845 PACKED = SNAME( 3: 3 ).EQ.'P'
851 ELSE IF( PACKED )THEN
884 IF( PACKED )THEN
    [all...]
  /device/linaro/bootloader/edk2/MdePkg/Include/
Base.h 118 #define PACKED __packed
120 #define PACKED
    [all...]
  /external/mesa3d/src/gallium/drivers/freedreno/a2xx/
instr-a2xx.h 27 #define PACKED __attribute__((__packed__))
125 typedef struct PACKED {
202 typedef struct PACKED {
215 typedef struct PACKED {
224 typedef struct PACKED {
237 typedef struct PACKED {
246 typedef union PACKED {
251 struct PACKED {
310 typedef struct PACKED {
344 typedef struct PACKED {
    [all...]
  /external/mesa3d/src/gallium/drivers/freedreno/ir3/
instr-a3xx.h 27 #define PACKED __attribute__((__packed__))
261 typedef union PACKED {
263 struct PACKED {
288 typedef struct PACKED {
290 union PACKED {
291 struct PACKED {
295 struct PACKED {
299 struct PACKED {
318 typedef struct PACKED {
320 union PACKED {
    [all...]

Completed in 610 milliseconds

1 2