HomeSort by relevance Sort by last modified time
    Searched refs:U1 (Results 1 - 25 of 153) sorted by null

1 2 3 4 5 6 7

  /external/clang/test/CXX/class/class.union/
p2-0x.cpp 5 union U1 {
14 constexpr int U1::k1;
15 constexpr int U1::k2;
16 int U1::k3;
18 const double U1::k4;
19 const double U1::k5;
  /external/clang/test/CodeGen/
mcu-struct-return.c 9 union U1 {
10 } u1; variable in typeref:union:U1
38 union U1 foo1() { return u1; }
43 struct S1 bar3(union U1 u) { return s1; }
52 union U1 x1 = foo1();
xcore-stringtype.c 141 // CHECK: !{{[0-9]+}} = !{void (%union.U1*)* @unionType1,
142 // CHECK: !"f{0}(u(U1){m(pu2){p(u(U2){m(pu3){p(u(U3){m(u1){u(U1){}}})}})}})"}
144 // CHECK: !"f{0}(u(U2){m(pu3){p(u(U3){m(u1){u(U1){m(pu2){p(u(U2){})}}}})}})"}
146 // CHECK: !"f{0}(u(U3){m(u1){u(U1){m(pu2){p(u(U2){m(pu3){p(u(U3){})}})}}}})"}
148 // CHECK: !"f{0}(u(U4){m(u1){u(U1){m(pu2){p(u(U2){m(pu3){p(u(U3){m(u1){u(U1){}}})}})}}}})"
158 union U3{union U1 u1;}; member in union:U3
159 union U4{union U1 u1;}; member in union:U4
    [all...]
  /external/libopus/src/
mlp_train.h 64 float U1, U2, S, x;
66 U1 = ((float)rand())/RAND_MAX;
68 U1 = 2*U1-1;
70 S = U1*U1 + U2*U2;
72 x = sd*sqrt(-2 * log(S) / S) * U1;
  /external/valgrind/memcheck/tests/
sh-mem-random.c 13 typedef unsigned char U1;
37 U8 build(int size, U1 byte)
63 U1 make_defined ( U1 x )
65 volatile U1 xx = x;
70 void check(U1* arr, int n, char* who)
73 U1* shadow = malloc(n);
74 U1 arr_i;
100 static inline U1 randomU1 ( void )
112 void do_test_at ( U1* arr
    [all...]
sh-mem.c 12 typedef unsigned char U1;
38 U8 build(int size, U1 byte)
68 void check_all(U4 x, U4 y, U1 expected_byte, U1 expected_byte_alt,
71 U1 sh[SZB_OF_a]; // Used for getting a[]'s V bits
89 U1 *undefA, expected_byte, expected_byte_alt;
102 assert( ((U1*)(&a[0])) < ((U1*)(32ULL * 1024*1024*1024)/*32G*/) );
106 assert(1 == sizeof(U1));
145 Ty* aNb = (Ty*)(((U1*)aN) + h); /* set offset from a[] */
    [all...]
  /prebuilts/misc/common/swig/include/2.0.11/csharp/
std_pair.i 26 template <class U1, class U2> pair(const pair<U1, U2> &p);
  /prebuilts/misc/common/swig/include/2.0.11/d/
std_pair.i 26 template <class U1, class U2> pair(const pair<U1, U2> &p);
  /prebuilts/misc/common/swig/include/2.0.11/go/
std_pair.i 26 template <class U1, class U2> pair(const pair<U1, U2> &p);
  /prebuilts/misc/common/swig/include/2.0.11/java/
std_pair.i 26 template <class U1, class U2> pair(const pair<U1, U2> &p);
  /prebuilts/misc/common/swig/include/2.0.11/ocaml/
std_pair.i 26 template <class U1, class U2> pair(const pair<U1, U2> &p);
  /prebuilts/misc/common/swig/include/2.0.11/perl5/
std_pair.i 26 template <class U1, class U2> pair(const pair<U1, U2> &p);
  /prebuilts/misc/common/swig/include/2.0.11/php/
std_pair.i 26 template <class U1, class U2> pair(const pair<U1, U2> &p);
  /prebuilts/misc/common/swig/include/2.0.11/tcl/
std_pair.i 26 template <class U1, class U2> pair(const pair<U1, U2> &p);
  /external/mesa3d/src/compiler/glsl/glcpp/tests/
067-nested-ifdef-ifndef.c 6 #ifdef U1
34 #ifndef U1
  /prebuilts/ndk/r11/sources/cxx-stl/llvm-libc++/libcxx/test/utilities/meta/meta.unary/meta.unary.prop/
is_final.pass.cpp 19 union U1 { };
46 test_is_not_final<U1>();
47 test_is_not_final<U1*>();
  /external/valgrind/memcheck/tests/common/
sh-mem-vec128.tmpl.c 22 typedef unsigned char U1;
37 volatile U1* p = (U1*)&w32;
57 static inline U1 randomU1 ( void )
66 static inline U1 self_shadow ( U1 x )
68 U1 res = 0xFF;
74 static inline U1 get_shadow ( U1 x )
76 U1 res = 0
    [all...]
  /frameworks/av/media/libstagefright/codecs/on2/h264dec/omxdl/reference/vc/m4p10/src/
omxVCM4P10_PredictIntra_4x4.c 154 * UL U0 U1 U2 U3 U4 U5 U6 U7
163 #define U1 pSrcAbove[1]
181 pDst[y*dstStep+1] = U1;
203 pTmp[0] = (OMX_U8)((U0 + 2*U1 + U2 + 2)>>2);
204 pTmp[1] = (OMX_U8)((U1 + 2*U2 + U3 + 2)>>2);
236 pTmp[4] = (OMX_U8)((U1 + 2*U0 + UL + 2)>>2);
237 pTmp[5] = (OMX_U8)((U2 + 2*U1 + U0 + 2)>>2);
238 pTmp[6] = (OMX_U8)((U3 + 2*U2 + U1 + 2)>>2);
257 pTmp[4] = (OMX_U8)((UL + 2*U0 + U1 + 2)>>2);
258 pTmp[5] = (OMX_U8)((U0 + U1 + 1)>>1)
    [all...]
  /external/clang/test/CodeGenCXX/
x86_64-arguments-avx.cpp 23 union U1 {
29 union U1;
  /external/llvm/unittests/Support/
TypeNameTest.cpp 20 union U1 {};
28 StringRef U1Name = getTypeName<N1::U1>();
35 EXPECT_TRUE(U1Name.endswith("::N1::U1")) << U1Name.str();
  /external/clang/test/SemaCXX/
inherit.cpp 21 union U1 : public A { }; // expected-error{{unions cannot have base classes}}
  /external/libcxx/test/std/utilities/meta/meta.unary/meta.unary.prop/
is_final.pass.cpp 19 union U1 { };
58 test_is_not_final<U1>();
59 test_is_not_final<U1*>();
  /frameworks/native/libs/vr/libpdx/private/pdx/rpc/
copy_cv_reference.h 17 using U1 =
22 typename std::add_volatile<U1>::type, U1>::type;
  /prebuilts/ndk/r13/sources/cxx-stl/llvm-libc++/test/std/utilities/meta/meta.unary/meta.unary.prop/
is_final.pass.cpp 20 union U1 { };
59 test_is_not_final<U1>();
60 test_is_not_final<U1*>();
  /external/compiler-rt/lib/asan/tests/
asan_oob_test.cc 70 OOBTest<U1>();
115 oob_test<U1>(10, -1);
119 oob_test<U1>(kLargeMalloc, -1);
123 oob_test<U1>(10, 10);
127 oob_test<U1>(kLargeMalloc, kLargeMalloc);

Completed in 1018 milliseconds

1 2 3 4 5 6 7