HomeSort by relevance Sort by last modified time
    Searched refs:bidirectional (Results 1 - 25 of 44) sorted by null

1 2

  /device/linaro/bootloader/edk2/AppPkg/Applications/Python/Python-2.7.2/Lib/test/
test_unicodedata.py 96 self.db.bidirectional(char),
149 self.assertEqual(self.db.bidirectional(u'\uFFFE'), '')
150 self.assertEqual(self.db.bidirectional(u' '), 'WS')
151 self.assertEqual(self.db.bidirectional(u'A'), 'L')
152 self.assertEqual(self.db.bidirectional(u'\U00020000'), 'L')
154 self.assertRaises(TypeError, self.db.bidirectional)
155 self.assertRaises(TypeError, self.db.bidirectional, u'xx')
  /external/python/cpython2/Lib/test/
test_unicodedata.py 96 self.db.bidirectional(char),
149 self.assertEqual(self.db.bidirectional(u'\uFFFE'), '')
150 self.assertEqual(self.db.bidirectional(u' '), 'WS')
151 self.assertEqual(self.db.bidirectional(u'A'), 'L')
152 self.assertEqual(self.db.bidirectional(u'\U00020000'), 'L')
154 self.assertRaises(TypeError, self.db.bidirectional)
155 self.assertRaises(TypeError, self.db.bidirectional, u'xx')
  /prebuilts/gdb/darwin-x86/lib/python2.7/test/
test_unicodedata.py 96 self.db.bidirectional(char),
149 self.assertEqual(self.db.bidirectional(u'\uFFFE'), '')
150 self.assertEqual(self.db.bidirectional(u' '), 'WS')
151 self.assertEqual(self.db.bidirectional(u'A'), 'L')
152 self.assertEqual(self.db.bidirectional(u'\U00020000'), 'L')
154 self.assertRaises(TypeError, self.db.bidirectional)
155 self.assertRaises(TypeError, self.db.bidirectional, u'xx')
  /prebuilts/gdb/linux-x86/lib/python2.7/test/
test_unicodedata.py 96 self.db.bidirectional(char),
149 self.assertEqual(self.db.bidirectional(u'\uFFFE'), '')
150 self.assertEqual(self.db.bidirectional(u' '), 'WS')
151 self.assertEqual(self.db.bidirectional(u'A'), 'L')
152 self.assertEqual(self.db.bidirectional(u'\U00020000'), 'L')
154 self.assertRaises(TypeError, self.db.bidirectional)
155 self.assertRaises(TypeError, self.db.bidirectional, u'xx')
  /prebuilts/python/darwin-x86/2.7.5/lib/python2.7/test/
test_unicodedata.py 96 self.db.bidirectional(char),
149 self.assertEqual(self.db.bidirectional(u'\uFFFE'), '')
150 self.assertEqual(self.db.bidirectional(u' '), 'WS')
151 self.assertEqual(self.db.bidirectional(u'A'), 'L')
152 self.assertEqual(self.db.bidirectional(u'\U00020000'), 'L')
154 self.assertRaises(TypeError, self.db.bidirectional)
155 self.assertRaises(TypeError, self.db.bidirectional, u'xx')
  /prebuilts/python/linux-x86/2.7.5/lib/python2.7/test/
test_unicodedata.py 96 self.db.bidirectional(char),
149 self.assertEqual(self.db.bidirectional(u'\uFFFE'), '')
150 self.assertEqual(self.db.bidirectional(u' '), 'WS')
151 self.assertEqual(self.db.bidirectional(u'A'), 'L')
152 self.assertEqual(self.db.bidirectional(u'\U00020000'), 'L')
154 self.assertRaises(TypeError, self.db.bidirectional)
155 self.assertRaises(TypeError, self.db.bidirectional, u'xx')
  /device/linaro/bootloader/edk2/AppPkg/Applications/Python/Python-2.7.2/Tools/pybench/
Unicode.py 473 bidirectional = unicodedata.bidirectional
486 bidirectional(c)
495 bidirectional(c)
504 bidirectional(c)
513 bidirectional(c)
522 bidirectional(c)
535 bidirectional = unicodedata.bidirectional
  /external/python/cpython2/Tools/pybench/
Unicode.py 473 bidirectional = unicodedata.bidirectional
486 bidirectional(c)
495 bidirectional(c)
504 bidirectional(c)
513 bidirectional(c)
522 bidirectional(c)
535 bidirectional = unicodedata.bidirectional
  /external/autotest/client/tests/netpipe/
netpipe.py 34 def run_once(self, server_ip, client_ip, role, bidirectional=False,
41 if bidirectional:
  /prebuilts/go/darwin-x86/test/chan/
perm.go 8 // receive-only, and bidirectional channels.
  /prebuilts/go/linux-x86/test/chan/
perm.go 8 // receive-only, and bidirectional channels.
  /external/icu/icu4c/source/i18n/
tridpars.h 279 * @param bidirectional if true, register the reverse relation
284 UBool bidirectional,
tridpars.cpp 645 * @param bidirectional if TRUE, register the reverse relation
650 UBool bidirectional,
657 // If target == inverseTarget then force bidirectional => FALSE
658 if (bidirectional && 0==target.caseCompare(inverseTarget, U_FOLD_CASE_DEFAULT)) {
659 bidirectional = FALSE;
670 if (bidirectional) {
translit.cpp     [all...]
  /external/icu/icu4c/source/i18n/unicode/
translit.h     [all...]
  /device/linaro/bootloader/edk2/AppPkg/Applications/Python/Python-2.7.2/Tools/unicode/
makeunicodedata.py 117 bidirectional = BIDIRECTIONAL_NAMES.index(record[4])
122 category, combining, bidirectional, mirrored, eastasianwidth,
371 bidirectional = record[4]
379 if 'Line_Break' in properties or bidirectional == "B":
382 if category == "Zs" or bidirectional in ("WS", "B", "S"):
500 print >>fp, "/* Returns 1 for Unicode characters having the bidirectional"
533 print >>fp, " * property 'BK', 'CR', 'LF' or 'NL' or having bidirectional"
    [all...]
mkstringprep.py 13 if unicodedata.bidirectional(unichr(i)) in cats:
401 # D.1 Characters with bidirectional property "R" or "AL"
411 return unicodedata.bidirectional(code) in ("R","AL")
414 # D.2 Characters with bidirectional property "L"
424 return unicodedata.bidirectional(code) == "L"
  /external/python/cpython2/Tools/unicode/
makeunicodedata.py 117 bidirectional = BIDIRECTIONAL_NAMES.index(record[4])
122 category, combining, bidirectional, mirrored, eastasianwidth,
371 bidirectional = record[4]
379 if 'Line_Break' in properties or bidirectional == "B":
382 if category == "Zs" or bidirectional in ("WS", "B", "S"):
500 print >>fp, "/* Returns 1 for Unicode characters having the bidirectional"
533 print >>fp, " * property 'BK', 'CR', 'LF' or 'NL' or having bidirectional"
    [all...]
mkstringprep.py 13 if unicodedata.bidirectional(unichr(i)) in cats:
401 # D.1 Characters with bidirectional property "R" or "AL"
411 return unicodedata.bidirectional(code) in ("R","AL")
414 # D.2 Characters with bidirectional property "L"
424 return unicodedata.bidirectional(code) == "L"
  /external/icu/android_icu4j/src/main/java/android/icu/text/
TransliteratorIDParser.java 558 * @param bidirectional if true, register the reverse relation
563 boolean bidirectional) {
565 if (bidirectional && !target.equalsIgnoreCase(inverseTarget)) {
Transliterator.java     [all...]
  /external/icu/icu4j/main/classes/translit/src/com/ibm/icu/text/
TransliteratorIDParser.java 557 * @param bidirectional if true, register the reverse relation
562 boolean bidirectional) {
564 if (bidirectional && !target.equalsIgnoreCase(inverseTarget)) {
  /device/linaro/bootloader/edk2/AppPkg/Applications/Python/Python-2.7.10/Modules/
unicodedata.c 25 const unsigned char bidirectional; /* index into member in struct:__anon5550
275 "bidirectional(unichr)\n\
277 Returns the bidirectional class assigned to the Unicode character\n\
288 if (!PyArg_ParseTuple(args, "O!:bidirectional",
294 index = (int) _getrecord_ex(c)->bidirectional;
339 a \"mirrored\" character in bidirectional text, 0 otherwise.");
    [all...]
  /device/linaro/bootloader/edk2/AppPkg/Applications/Python/Python-2.7.2/Lib/
stringprep.py 268 return unicodedata.bidirectional(code) in ("R","AL")
272 return unicodedata.bidirectional(code) == "L"
  /device/linaro/bootloader/edk2/AppPkg/Applications/Python/Python-2.7.2/Modules/
unicodedata.c 25 const unsigned char bidirectional; /* index into member in struct:__anon5894
275 "bidirectional(unichr)\n\
277 Returns the bidirectional category assigned to the Unicode character\n\
288 if (!PyArg_ParseTuple(args, "O!:bidirectional",
294 index = (int) _getrecord_ex(c)->bidirectional;
339 a \"mirrored\" character in bidirectional text, 0 otherwise.");
    [all...]

Completed in 1641 milliseconds

1 2