HomeSort by relevance Sort by last modified time
    Searched refs:mantissa (Results 1 - 25 of 305) sorted by null

1 2 3 4 5 6 7 8 91011>>

  /external/nanopb-c/examples/using_double_on_avr/
double_conversion.c 22 uint64_t mantissa; local
27 mantissa = in.i & 0x7FFFFF;
36 if (!mantissa)
44 mantissa <<= 1;
45 while (!(mantissa & 0x800000))
47 mantissa <<= 1;
50 mantissa &= 0x7FFFFF;
55 mantissa <<= 29;
56 mantissa |= (uint64_t)(exponent + 1023) << 52;
57 mantissa |= (uint64_t)sign << 63
66 uint32_t mantissa; local
    [all...]
  /frameworks/native/opengl/tools/glgen/specs/gles11/
GLES10Ext.spec 1 GLbitfield glQueryMatrixxOES ( GLfixed *mantissa, GLint *exponent )
  /external/deqp/framework/delibs/debase/
deFloat16.c 32 deUint32 mantissa; local
42 mantissa = x.u & 0x007fffffu;
53 mantissa = mantissa | 0x00800000u;
55 /* Round mantissa to nearest (10+e) */
59 deUint32 b = (mantissa >> t) & 1u;
61 mantissa = (mantissa + a + b) >> t;
64 return (deFloat16) (sign | mantissa);
68 if (mantissa == 0u
148 deUint32 mantissa; local
263 deUint32 mantissa; local
    [all...]
deFloat16Test.c 29 static float getFloat32 (deUint32 sign, deUint32 biased_exponent, deUint32 mantissa)
37 x.u = (sign << 31) | (biased_exponent << 23) | mantissa;
42 static deFloat16 getFloat16 (deUint16 sign, deUint16 biased_exponent, deUint16 mantissa)
44 return (deFloat16) ((sign << 15) | (biased_exponent << 10) | mantissa);
101 deUint32 mantissa = deRandom_getUint32(&rnd); local
103 mantissa &= 0x7fffffu; /* Take the last 23 bits */
104 mantissa |= (mantissa == 0); /* Make sure it is not zero */
106 DE_TEST_ASSERT(deFloat32To16RTZ(getFloat32(0, 0, mantissa)) == getFloat16(0, 0, 0));
107 DE_TEST_ASSERT(deFloat32To16RTZ(getFloat32(1, 0, mantissa)) == getFloat16(1, 0, 0))
120 deUint32 mantissa = deRandom_getUint32(&rnd); local
164 deUint32 mantissa = deRandom_getUint32(&rnd); local
181 deUint32 mantissa = deRandom_getUint32(&rnd); local
223 deUint32 mantissa = deRandom_getUint32(&rnd); local
241 deUint32 mantissa = deRandom_getUint32(&rnd); local
325 deUint32 mantissa = deRandom_getUint32(&rnd); local
    [all...]
  /frameworks/base/opengl/java/android/opengl/
GLES10Ext.java 28 // C function GLbitfield glQueryMatrixxOES ( GLfixed *mantissa, GLint *exponent )
31 int[] mantissa,
37 // C function GLbitfield glQueryMatrixxOES ( GLfixed *mantissa, GLint *exponent )
40 java.nio.IntBuffer mantissa,
  /frameworks/base/opengl/java/javax/microedition/khronos/opengles/
GL10Ext.java 25 int[] mantissa,
32 java.nio.IntBuffer mantissa,
  /external/mesa3d/src/mesa/main/
querymatrix.h 36 _mesa_QueryMatrixxOES(GLfixed mantissa[16], GLint exponent[16]);
querymatrix.c 25 * GLbitfield glQueryMatrixxOES( GLfixed mantissa[16],
27 * mantissa[16] contains the contents of the current matrix in GLfixed
30 * is close to mantissa[i] * 2^exponent[i]. The function returns a status
42 _mesa_QueryMatrixxOES(GLfixed mantissa[16], GLint exponent[16])
108 mantissa[i] = FLOAT_TO_FIXED(normalizedFraction);
123 mantissa[i] = INT_TO_FIXED(0);
133 mantissa[i] = INT_TO_FIXED(1);
136 mantissa[i] = -INT_TO_FIXED(1);
146 mantissa[i] = INT_TO_FIXED(2);
  /external/crcalc/src/com/hp/creals/
StringFloatRep.java 43 mantissa = m;
52 * A string representation of the mantissa. The decimal point is implicitly
55 public String mantissa; field in class:StringFloatRep
61 * The mantissa is scaled by radix**exponent.
70 (sign < 0? "-" : "") + mantissa + "E" + Integer.toString(exponent)
  /libcore/ojluni/src/main/java/sun/misc/
FormattedFloatingDecimal.java 42 private char[] mantissa; field in class:FormattedFloatingDecimal
59 this.mantissa = fdConverter.toJavaFormatString().toCharArray();
110 return mantissa;
161 * Fills mantissa and exponent char arrays for compatible format.
169 mantissa = create(isNegative, nDigits + extraZeros + 2);
170 System.arraycopy(digits, 0, mantissa, startIndex, nDigits);
171 Arrays.fill(mantissa, startIndex + nDigits, startIndex + nDigits + extraZeros, '0');
172 mantissa[startIndex + nDigits + extraZeros] = '.';
173 mantissa[startIndex + nDigits + extraZeros+1] = '0';
176 mantissa = create(isNegative, exp + 1 + t)
    [all...]
  /system/media/audio_utils/
minifloat.c 51 int mantissa = (int) (r * ONE_FLOAT); local
52 return exp > 0 ? (exp << MANTISSA_BITS) | (mantissa & ~HIDDEN_BIT) :
53 (mantissa >> (1 - exp)) & MANTISSA_MAX;
58 int mantissa = a & MANTISSA_MAX; local
60 return ldexpf((exponent > 0 ? HIDDEN_BIT | mantissa : mantissa << 1) / ONE_FLOAT,
  /external/mesa3d/src/mesa/drivers/dri/i965/
brw_packed_float.c 29 unsigned mantissa:23; member in struct:fu::__anon28601
44 unsigned mantissa = fu.s.mantissa >> (23 - 4); local
46 unsigned vf = (fu.s.sign << 7) | (exponent << 4) | mantissa;
52 /* Make sure the mantissa fits in 4-bits and the exponent in 3-bits. */
72 fu.s.mantissa = (vf & 0xf) << (23 - 4);
  /external/mesa3d/src/util/
format_r11g11b10f.h 63 int mantissa = f32.ui & 0x007fffff; local
73 if (mantissa) {
91 mantissa >>= UF11_MANTISSA_SHIFT;
92 uf11 = exponent << UF11_EXPONENT_SHIFT | mantissa;
106 int mantissa = (val & 0x003f); local
111 if (mantissa != 0) {
113 f32.f = scale * mantissa;
116 f32.ui = F32_INFINITY | mantissa;
125 decimal = 1.0f + (float) mantissa / 64;
145 int mantissa = f32.ui & 0x007fffff local
188 int mantissa = (val & 0x001f); local
    [all...]
  /external/webrtc/webrtc/modules/rtp_rtcp/source/rtcp_packet/
tmmbr.cc 36 uint32_t* mantissa,
38 // input_base10 = mantissa * 2^exp
49 *mantissa = (input_base10 >> exponent);
56 uint32_t mantissa = 0; local
58 ComputeMantissaAnd6bitBase2Exponent(bitrate_bps, 17, &mantissa, &exp);
61 AssignUWord8(buffer, pos, (exp << 2) + ((mantissa >> 15) & 0x03));
62 AssignUWord8(buffer, pos, mantissa >> 7);
63 AssignUWord8(buffer, pos, (mantissa << 1) +
77 // | MxTBR Exp | MxTBR Mantissa |Measured Overhead|
tmmbn.cc 34 uint32_t* mantissa,
36 // input_base10 = mantissa * 2^exp
47 *mantissa = (input_base10 >> exponent);
54 uint32_t mantissa = 0; local
56 ComputeMantissaAnd6bitBase2Exponent(bitrate_bps, 17, &mantissa, &exp);
59 AssignUWord8(buffer, pos, (exp << 2) + ((mantissa >> 15) & 0x03));
60 AssignUWord8(buffer, pos, mantissa >> 7);
61 AssignUWord8(buffer, pos, (mantissa << 1) +
75 // | MxTBR Exp | MxTBR Mantissa |Measured Overhead|
  /frameworks/opt/net/voip/src/jni/rtp/
G711Codec.cpp 61 int mantissa = (sample >> (exponent + 3)) & 0x0F; local
62 ulaws[i] = ~(sign | (exponent << 4) | mantissa);
76 int mantissa = ulaw & 0x0F; local
77 int sample = (((mantissa << 3) + 132) << exponent) - 132;
111 int mantissa = (sample >> (exponent == 0 ? 4 : exponent + 3)) & 0x0F; local
112 alaws[i] = (sign | (exponent << 4) | mantissa) ^ 0xD5;
126 int mantissa = alaw & 0x0F; local
127 int sample = (exponent == 0 ? (mantissa << 4) + 8 :
128 ((mantissa << 3) + 132) << exponent);
  /cts/tests/tests/renderscript/src/android/renderscript/cts/
Float16Utils.java 28 // 16-bit masks for extracting sign, exponent and mantissa bits
41 // NaN has all exponent bits set to 1 and a non-zero mantissa
47 // Infinity has all exponent bits set to 1 and zeroes in mantissa
53 // Subnormal numbers have exponent bits set to 0 and a non-zero mantissa
74 // Extract sign, exponent and mantissa
77 int mantissa = val & MANTISSA_MASK; local
79 // 0.<mantissa> = <mantissa> * 2^-10
80 float mantissaAsFloat = Math.scalb(mantissa, -10);
94 // value is 2^(exponent - 15) * 1.<mantissa>
128 long mantissa = valueBits & DOUBLE_MANTISSA_MASK; \/\/ 52-bit mantissa local
231 long mantissa = valueBits & DOUBLE_MANTISSA_MASK; \/\/ 52-bit mantissa local
309 long mantissa = valueBits & DOUBLE_MANTISSA_MASK; \/\/ 52-bit mantissa local
    [all...]
  /external/vixl/src/
utils-vixl.cc 97 float FloatPack(uint32_t sign, uint32_t exp, uint32_t mantissa) {
98 uint32_t bits = (sign << 31) | (exp << 23) | mantissa;
103 double DoublePack(uint64_t sign, uint64_t exp, uint64_t mantissa) {
104 uint64_t bits = (sign << 63) | (exp << 52) | mantissa;
115 uint16_t mantissa = value & mantissa_mask; local
117 if (mantissa == 0) {
122 if (mantissa == 0) {
  /external/dng_sdk/source/
dng_utils.h 810 int32 mantissa = halfValue & 0x000003ff; local
815 if (mantissa == 0)
829 while (!(mantissa & 0x00000400))
831 mantissa <<= 1;
836 mantissa &= ~0x00000400;
845 if (mantissa == 0)
868 mantissa <<= 13;
870 // Assemble sign, exponent and mantissa.
872 return (uint32) ((sign << 31) | (exponent << 23) | mantissa);
883 int32 mantissa = i & 0x007fffff local
981 int32 mantissa = (((int32) input [1]) << 8) | input[2]; local
1053 int32 mantissa = input & 0x007FFFFF; local
    [all...]
  /hardware/invensense/6515/libsensors_iio/software/simple_apps/playback/linux/
and_constructor.h 25 range.mantissa = (long)x; \
29 x = (float)(range.mantissa); \
  /external/swiftshader/src/Common/
Math.hpp 373 static const float Offset = -24.0f; // Exponent Bias (15) + Number of mantissa bits per component (9) = 24
391 unsigned short mantissa = fp11 & 0x3F; local
397 output = 0x7f800000 | (mantissa << 17);
405 else if(mantissa != 0)
413 mantissa <<= 1;
414 } while((mantissa & 0x40) == 0);
416 mantissa = mantissa & 0x3F;
423 output = ((exponent + 112) << 23) | (mantissa << 17);
432 unsigned short mantissa = fp10 & 0x1F local
    [all...]
  /prebuilts/gcc/linux-x86/host/x86_64-linux-glibc2.11-4.8/sysroot/usr/include/
ieee754.h 38 unsigned int mantissa:23; member in struct:ieee754_float::__anon54575
41 unsigned int mantissa:23; member in struct:ieee754_float::__anon54575
54 unsigned int mantissa:22; member in struct:ieee754_float::__anon54576
57 unsigned int mantissa:22; member in struct:ieee754_float::__anon54576
78 /* Together these comprise the mantissa. */
89 /* Together these comprise the mantissa. */
105 /* Together these comprise the mantissa. */
116 /* Together these comprise the mantissa. */
  /prebuilts/gcc/linux-x86/host/x86_64-linux-glibc2.15-4.8/sysroot/usr/include/
ieee754.h 38 unsigned int mantissa:23; member in struct:ieee754_float::__anon56480
41 unsigned int mantissa:23; member in struct:ieee754_float::__anon56480
54 unsigned int mantissa:22; member in struct:ieee754_float::__anon56481
57 unsigned int mantissa:22; member in struct:ieee754_float::__anon56481
78 /* Together these comprise the mantissa. */
89 /* Together these comprise the mantissa. */
105 /* Together these comprise the mantissa. */
116 /* Together these comprise the mantissa. */
  /external/mesa3d/src/gallium/drivers/r300/compiler/
radeon_inline_literals.c 39 * 22:0 mantissa
44 * 0:2 mantissa
51 unsigned mantissa = float_bits & 0x007fffff; local
66 if (mantissa & mantissa_mask) {
69 mantissa, mantissa_mask,
70 mantissa & mantissa_mask);
75 r300_mantissa = (mantissa & ~mantissa_mask) >> 20;
  /prebuilts/go/darwin-x86/src/math/big/
rat.go 33 mantissa := bits & (1<<52 - 1)
41 mantissa |= 1 << 52
48 for mantissa&1 == 0 && shift > 0 {
49 mantissa >>= 1
53 z.a.SetUint64(mantissa)
73 // mantissa
97 // This is 2 or 3 more than the float32 mantissa field width of Msize:
116 mantissa := low32(q)
117 haveRem := len(r) > 0 // mantissa&1 && !haveRem => remainder is exactly half
121 if mantissa>>Msize2 == 1
    [all...]

Completed in 935 milliseconds

1 2 3 4 5 6 7 8 91011>>