HomeSort by relevance Sort by last modified time
    Searched refs:min_delay (Results 1 - 6 of 6) sorted by null

  /external/libchrome/base/message_loop/
message_pump_default.cc 66 // run a delayed task. |min_delay| is the minimum possible wait to
68 constexpr TimeDelta min_delay = TimeDelta::FromMilliseconds(1); local
70 delay = std::max(delay, min_delay);
  /external/ltp/testcases/realtime/func/sched_latency/
sched_latency.c 120 nsec_t delay, avg_delay = 0, start_delay, min_delay = -1ULL, max_delay = local
184 if (delay < min_delay)
185 min_delay = delay;
226 printf("Min: %4llu us: %s\n", min_delay,
227 min_delay < pass_criteria ? "PASS" : "FAIL");
  /external/mesa3d/src/gallium/drivers/freedreno/ir3/
ir3_sched.c 373 unsigned min_delay = ~0; local
390 if (delay < min_delay) {
392 min_delay = delay;
395 if (min_delay == 0)
  /system/bt/stack/btm/
btm_inq.cc 392 * min_delay - minimum amount of time between successive
406 uint16_t max_delay, uint16_t min_delay,
415 p_inqparms->filter_cond_type, min_delay, max_delay);
432 min_delay <= p_inqparms->duration ||
433 min_delay < BTM_PER_INQ_MIN_MIN_PERIOD ||
434 min_delay > BTM_PER_INQ_MAX_MIN_PERIOD || max_delay <= min_delay ||
446 p_inq->per_min_delay = min_delay;
    [all...]
  /external/autotest/tko/
db.py 105 self.min_delay = get_value("AUTOTEST_WEB", "global_db_min_retry_delay",
140 delay = random.randint(self.min_delay, self.max_delay)
  /system/bt/stack/include/
btm_api.h 332 * min_delay - minimum amount of time between successive
346 tBTM_INQ_PARMS* p_inqparms, uint16_t max_delay, uint16_t min_delay,
    [all...]

Completed in 290 milliseconds