HomeSort by relevance Sort by last modified time
    Searched refs:overlaps (Results 1 - 25 of 158) sorted by null

1 2 3 4 5 6 7

  /toolchain/binutils/binutils-2.25/gas/testsuite/gas/arm/
ldrd-unpredictable.l 2 [^:]*:6: Warning: index register overlaps transfer register
3 [^:]*:7: Warning: index register overlaps transfer register
5 [^:]*:9: Warning: base register written back, and overlaps second transfer register
7 [^:]*:14: Warning: base register written back, and overlaps second transfer register
thumb2_ldstd_unpredictable.l 2 [^:]*:5: Warning: base register written back, and overlaps one of transfer registers
3 [^:]*:6: Warning: base register written back, and overlaps one of transfer registers
4 [^:]*:7: Warning: base register written back, and overlaps one of transfer registers
5 [^:]*:8: Warning: base register written back, and overlaps one of transfer registers
6 [^:]*:10: Warning: base register written back, and overlaps one of transfer registers
7 [^:]*:11: Warning: base register written back, and overlaps one of transfer registers
8 [^:]*:12: Warning: base register written back, and overlaps one of transfer registers
9 [^:]*:13: Warning: base register written back, and overlaps one of transfer registers
  /external/libvpx/libvpx/vp8/decoder/
ec_types.h 20 /* The area (pixel area in Q6) the block pointed to by bmi overlaps
31 OVERLAP_NODE overlaps[MAX_OVERLAPS]; member in struct:__anon24691
34 /* Structure used to hold all the overlaps of a macroblock. The overlaps of a
35 * macroblock is further divided into block overlaps.
37 typedef struct { B_OVERLAP overlaps[16]; } MB_OVERLAP; member in struct:__anon24692
error_concealment.c 44 if (pbi->overlaps != NULL) {
45 vpx_free(pbi->overlaps);
46 pbi->overlaps = NULL;
49 pbi->overlaps =
52 if (pbi->overlaps == NULL) return -1;
58 vpx_free(pbi->overlaps);
59 pbi->overlaps = NULL;
62 /* Inserts a new overlap area value to the list of overlaps of a block */
63 static void assign_overlap(OVERLAP_NODE *overlaps, union b_mode_info *bmi,
67 /* Find and assign to the next empty overlap node in the list of overlaps
    [all...]
onyxd_int.h 108 MB_OVERLAP *overlaps; member in struct:VP8D_COMP
  /libcore/harmony-tests/src/test/java/org/apache/harmony/tests/java/nio/channels/
FileLockTest.java 146 * @tests java.nio.channels.FileLock#overlaps(long, long)
149 assertTrue(mockLock.overlaps(0, 11));
150 assertFalse(mockLock.overlaps(0, 10));
151 assertTrue(mockLock.overlaps(100, 110));
152 assertTrue(mockLock.overlaps(99, 110));
153 assertFalse(mockLock.overlaps(-1, 10));
155 assertTrue(mockLock.overlaps(1, 120));
156 assertTrue(mockLock.overlaps(20, 50));
  /toolchain/binutils/binutils-2.25/ld/testsuite/ld-scripts/
rgn-over7.d 4 # error: \A[^ \n]*?ld[^:\n]*?: [^\n]*?section `\.text' will not fit in region `r1'\n[^ \n]*?ld[^:\n]*?: section \.data loaded at \[0+1008,0+1013\] overlaps section \.text loaded at \[0+1000,0+100b\]\n[^ \n]*?ld[^:\n]*?: region `r1' overflowed by 4 bytes\Z
  /external/swiftshader/third_party/LLVM/include/llvm/CodeGen/
MachineLoopRanges.h 55 /// overlaps - Return true if this loop overlaps the given range of machine
57 bool overlaps(SlotIndex Start, SlotIndex Stop);
  /toolchain/binutils/binutils-2.25/ld/testsuite/ld-mmix/
orph-d-awpe.d 8 #error: overlaps section .text
  /external/antlr/antlr-3.4/runtime/Ruby/lib/antlr3/
util.rb 164 def overlaps?( range )
169 not overlaps?( range )
  /external/antlr/antlr-3.4/runtime/Ruby/test/functional/token-rewrite/
basic.rb 234 error.to_s.should == %q<operation (replace @ 1..2 : "foo") overlaps with previous operation (replace @ 0..3 : "bar")>
245 error.to_s.should == %q<operation (insert-before @ 4 : "y") overlaps with previous operation (replace @ 2..4 : "x")>
256 error.to_s.should == %q<operation (replace @ 3..5 : "foo") overlaps with previous operation (replace @ 2..4 : "xyz")>
267 error.to_s.should == %q<operation (replace @ 1..3 : "foo") overlaps with previous operation (replace @ 2..4 : "xyz")>
  /frameworks/native/services/inputflinger/
InputWindow.cpp 60 bool InputWindowInfo::overlaps(const InputWindowInfo* other) const { function in class:android::InputWindowInfo
  /libcore/ojluni/src/main/java/java/nio/channels/
FileLock.java 60 * not overlap. The {@link #overlaps overlaps} method may be used to test
61 * whether a candidate lock range overlaps an existing lock.
262 * Tells whether or not this lock overlaps the given lock range.
272 public final boolean overlaps(long position, long size) { method in class:FileLock
  /external/skia/src/pathops/
SkPathOpsCommon.cpp 322 SkOpCoincidence overlaps(globalState);
325 SkOpCoincidence* pairs = overlaps.isEmpty() ? coincidence : &overlaps;
330 // For each coincident pair that overlaps another, when the receivers (the 1st of the pair)
332 if (!pairs->findOverlaps(&overlaps DEBUG_ITER_PARAMS(SAFETY_COUNT - safetyHatch))) {
339 } while (!overlaps.isEmpty());
SkOpCoincidence.cpp 186 // if there is an existing pair that overlaps the addition, extend it
562 // return true if span overlaps existing and needs to adjust the coincident list
566 SkTDArray<SkCoincidentSpans*>* overlaps) const {
570 overlaps);
572 return this->checkOverlap(check, oppSeg, coinSeg, oppTe, oppTs, coinTe, coinTs, overlaps);
605 *overlaps->append() = check; // partial overlap, extend existing entry
651 SkTDArray<SkCoincidentSpans*> overlaps; local
653 if (!this->checkOverlap(fTop, coinSeg, oppSeg, coinTs, coinTe, oppTs, oppTe, &overlaps)) {
657 coinTe, oppTs, oppTe, &overlaps)) {
660 SkCoincidentSpans* overlap = overlaps.count() ? overlaps[0] : nullptr
    [all...]
  /external/valgrind/memcheck/tests/
leak-autofreepool-5.stderr.exp 7 Block 0x..........0x........ overlaps with block 0x..........0x........
  /external/swiftshader/third_party/LLVM/lib/CodeGen/
MachineLoopRanges.cpp 70 /// overlaps - Return true if this loop overlaps the given range of machine
72 bool MachineLoopRange::overlaps(SlotIndex Start, SlotIndex Stop) { function in class:MachineLoopRange
  /prebuilts/python/linux-x86/2.7.5/lib/python2.7/site-packages/setools/
nodeconquery.py 43 network_overlap If true, the net will match if it overlaps with
59 range_overlap If true, the criteria will match if it overlaps
137 if not self.network.overlaps(net):
  /build/make/tools/releasetools/
test_rangelib.py 42 self.assertTrue(RangeSet("10-19 30-34").overlaps(RangeSet("18-32")))
43 self.assertFalse(RangeSet("10-19 30-34").overlaps(RangeSet("22-28")))
  /external/llvm/lib/Target/Hexagon/
HexagonBlockRanges.cpp 30 bool HexagonBlockRanges::IndexRange::overlaps(const IndexRange &A) const { function in class:HexagonBlockRanges::IndexRange
58 assert(end() == A.start() || overlaps(A));
95 if (Merge || Iter->overlaps(*Next)) {
110 if (!A.overlaps(B)) {
149 if (Rg.overlaps(Range)) {
  /prebuilts/clang/host/darwin-x86/clang-3957855/prebuilt_include/llvm/include/llvm/CodeGen/
ScheduleDAG.h 130 bool overlaps(const SDep &Other) const;
133 return overlaps(Other) && Latency == Other.Latency;
469 inline bool SDep::overlaps(const SDep &Other) const { function in class:llvm::SUnit::SDep
  /prebuilts/clang/host/darwin-x86/clang-3960126/prebuilt_include/llvm/include/llvm/CodeGen/
ScheduleDAG.h 130 bool overlaps(const SDep &Other) const;
133 return overlaps(Other) && Latency == Other.Latency;
469 inline bool SDep::overlaps(const SDep &Other) const { function in class:llvm::SUnit::SDep
  /prebuilts/clang/host/darwin-x86/clang-3977809/prebuilt_include/llvm/include/llvm/CodeGen/
ScheduleDAG.h 130 bool overlaps(const SDep &Other) const;
133 return overlaps(Other) && Latency == Other.Latency;
469 inline bool SDep::overlaps(const SDep &Other) const { function in class:llvm::SUnit::SDep
  /prebuilts/clang/host/darwin-x86/clang-4053586/prebuilt_include/llvm/include/llvm/CodeGen/
ScheduleDAG.h 130 bool overlaps(const SDep &Other) const;
133 return overlaps(Other) && Latency == Other.Latency;
469 inline bool SDep::overlaps(const SDep &Other) const { function in class:llvm::SUnit::SDep
  /prebuilts/clang/host/linux-x86/clang-3957855/prebuilt_include/llvm/include/llvm/CodeGen/
ScheduleDAG.h 130 bool overlaps(const SDep &Other) const;
133 return overlaps(Other) && Latency == Other.Latency;
469 inline bool SDep::overlaps(const SDep &Other) const { function in class:llvm::SUnit::SDep

Completed in 822 milliseconds

1 2 3 4 5 6 7