HomeSort by relevance Sort by last modified time
    Searched refs:stages (Results 1 - 25 of 182) sorted by null

1 2 3 4 5 6 7 8

  /external/webrtc/webrtc/common_audio/signal_processing/
complex_bit_reverse.c 19 /* Indexes for the case of stages == 7. */
30 /* Indexes for the case of stages == 8. */
49 void WebRtcSpl_ComplexBitReverse(int16_t* __restrict complex_data, int stages) {
50 /* For any specific value of stages, we know exactly the indexes that are
52 * stages are 7 and 8, so we use tables to save unnecessary iterations and
55 if (stages == 7 || stages == 8) {
60 if (stages == 8) {
81 int n = 1 << stages;
101 * This is similar to the loop in the stages == 7 or 8 cases
    [all...]
complex_bit_reverse_mips.c 64 void WebRtcSpl_ComplexBitReverse(int16_t frfi[], int stages) {
71 if (stages == 8) {
123 } else if (stages == 7) {
complex_fft_mips.c 22 int WebRtcSpl_ComplexFFT(int16_t frfi[], int stages, int mode) {
40 n = 1 << stages;
151 int WebRtcSpl_ComplexIFFT(int16_t frfi[], int stages, int mode) {
161 n = 1 << stages;
complex_bit_reverse_arm.S 33 mov r1, r3, asl r1 @ n = 1 << stages;
92 index_7: @ Indexes for stages == 7.
102 index_8: @ Indexes for stages == 8.
complex_fft.c 29 int WebRtcSpl_ComplexFFT(int16_t frfi[], int stages, int mode)
36 * and should not be changed depending on the input parameter 'stages'
38 n = 1 << stages;
44 depending on the input parameter 'stages' */
59 * parameter 'stages'. It will result in 0 <= j < N_SINE_WAVE/2
99 * parameter 'stages'. It will result in 0 <= j < N_SINE_WAVE/2
158 int WebRtcSpl_ComplexIFFT(int16_t frfi[], int stages, int mode)
167 * and should not be changed depending on the input parameter 'stages'
169 n = 1 << stages;
177 depending on the input parameter 'stages' */
    [all...]
  /external/skia/src/jumper/
build_stages.py 15 stages = 'src/jumper/SkJumper_stages.cpp' variable
23 stages = sys.argv[4] if len(sys.argv) > 4 else stages variable
39 ['-c', stages] +
42 ['-c', stages] +
45 ['-c', stages] +
48 ['-c', stages] +
61 ['-c', stages] +
64 ['-c', stages] +
69 ['-c', stages]
    [all...]
  /external/apache-commons-math/src/main/java/org/apache/commons/math/ode/nonstiff/
RungeKuttaIntegrator.java 106 final int stages = c.length + 1; local
110 final double[][] yDotK = new double[stages][];
111 for (int i = 0; i < stages; ++i) {
124 interpolator = new DummyStepInterpolator(yTmp, yDotK[stages - 1], forward);
145 // next stages
146 for (int k = 1; k < stages; ++k) {
163 for (int l = 1; l < stages; ++l) {
172 System.arraycopy(yDotK[stages - 1], 0, yDotTmp, 0, y0.length);
EmbeddedRungeKuttaIntegrator.java 56 * has s stages. This behaviour is true only for successful steps, if
203 final int stages = c.length + 1; local
207 final double[][] yDotK = new double[stages][y0.length];
218 interpolator = new DummyStepInterpolator(yTmp, yDotK[stages - 1], forward);
264 // next stages
265 for (int k = 1; k < stages; ++k) {
282 for (int l = 1; l < stages; ++l) {
303 System.arraycopy(yDotK[stages - 1], 0, yDotTmp, 0, y0.length);
369 * @param yDotK derivatives computed during the first stages
  /external/skia/src/core/
SkRasterPipeline.cpp 34 auto stages = fAlloc->makeArrayDefault<StageList>(src.fNumStages); local
39 stages[n] = *st;
40 stages[n].prev = &stages[n-1];
43 stages[0] = *st;
44 stages[0].prev = fStages;
46 fStages = &stages[src.fNumStages - 1];
52 SkDebugf("SkRasterPipeline, %d stages (in reverse)\n", fNumStages);
  /external/mesa3d/src/gallium/drivers/ilo/core/
ilo_state_urb.c 106 * Same for other stages.
624 } stages[4]; local
630 stages[0].alloc_8kb = conf->vs_urb_alloc_8kb;
631 stages[1].alloc_8kb = conf->hs_urb_alloc_8kb;
632 stages[2].alloc_8kb = conf->ds_urb_alloc_8kb;
633 stages[3].alloc_8kb = conf->gs_urb_alloc_8kb;
635 stages[0].entry_rows = conf->vs_entry_rows;
636 stages[1].entry_rows = conf->hs_entry_rows;
637 stages[2].entry_rows = conf->ds_entry_rows;
638 stages[3].entry_rows = conf->gs_entry_rows
    [all...]
  /external/mesa3d/src/mesa/tnl/
t_pipeline.h 41 const struct tnl_pipeline_stage **stages );
t_pipeline.c 39 const struct tnl_pipeline_stage **stages )
48 for (i = 0 ; i < MAX_PIPELINE_STAGES && stages[i] ; i++) {
49 struct tnl_pipeline_stage *s = &tnl->pipeline.stages[i];
50 memcpy(s, stages[i], sizeof(*s));
64 struct tnl_pipeline_stage *s = &tnl->pipeline.stages[i];
211 struct tnl_pipeline_stage *s = &tnl->pipeline.stages[i];
240 struct tnl_pipeline_stage *s = &tnl->pipeline.stages[i];
254 * tampering with the internals of these stages in the way that
255 * drivers did in Mesa 3.4. These stages are basically black boxes,
260 * - removing redundant stages (making sure that the software rasterize
    [all...]
  /external/harfbuzz_ng/src/
hb-ot-map-private.hh 113 assert (stage <= stages[table_index].len);
114 unsigned int start = stage ? stages[table_index][stage - 1].last_lookup : 0;
115 unsigned int end = stage < stages[table_index].len ? stages[table_index][stage].last_lookup : lookups[table_index].len;
132 stages[table_index].finish ();
146 hb_prealloced_array_t<stage_map_t, 4> stages[2]; /* GSUB/GPOS */
187 stages[table_index].finish ();
234 hb_prealloced_array_t<stage_info_t, 8> stages[2]; /* GSUB/GPOS */ variable
hb-ot-map.cc 125 stage_info_t *s = stages[table_index].push ();
317 if (stage_index < stages[table_index].len && stages[table_index][stage_index].index == stage) {
318 hb_ot_map_t::stage_map_t *stage_map = m.stages[table_index].push ();
321 stage_map->pause_func = stages[table_index][stage_index].pause_func;
  /prebuilts/ndk/r13/sources/third_party/shaderc/libshaderc_util/src/
compiler.cc 339 std::vector<std::tuple<string_piece, size_t, string_piece>> stages;
350 stages.emplace_back(filename, logical_line_no, stage_value);
370 if (stages.empty()) return std::make_pair(EShLangCount, "");
374 const string_piece& first_pragma_filename = std::get<0>(stages[0]);
375 const std::string first_pragma_line = std::to_string(std::get<1>(stages[0]));
376 const string_piece& first_pragma_stage = std::get<2>(stages[0]);
392 for (size_t i = 1; i < stages.size(); ++i) {
393 const string_piece& current_stage = std::get<2>(stages[i]);
395 const string_piece& current_filename = std::get<0>(stages[i]);
396 const std::string current_line = std::to_string(std::get<1>(stages[i]))
    [all...]
  /external/mesa3d/src/mesa/main/
pipelineobj.h 75 _mesa_UseProgramStages(GLuint pipeline, GLbitfield stages, GLuint program);
pipelineobj.c 222 * Bound program to severals stages of the pipeline
225 _mesa_UseProgramStages(GLuint pipeline, GLbitfield stages, GLuint program)
235 pipeline, stages, program);
249 * "If stages is not the special value ALL_SHADER_BITS, and has a bit
261 if (stages != GL_ALL_SHADER_BITS && (stages & ~any_valid_stages) != 0) {
262 _mesa_error(ctx, GL_INVALID_VALUE, "glUseProgramStages(Stages)");
297 * shader stages in the pipeline program pipeline object are not
314 /* Enable individual stages from the program as requested by the
324 * stages, it is as if the pipeline object has no programmable stag
    [all...]
  /external/syslinux/com32/modules/
kontron_wdt.h 108 int stages; member in struct:kempld_watchdog_data
kontron_wdt.c 162 wdt->stages = 0;
182 wdt->stages++;
184 /* assign available stages to timeout and pretimeout */
185 if (wdt->stages == 1)
187 else if (wdt->stages == 2) {
199 printf("Cannot allocate stages\n");
369 /* probe how many usable stages we have */
371 printf("Cannot Probe Stages\n");
  /external/webrtc/webrtc/modules/audio_processing/ns/
nsx_core_c.c 75 (inst->stages + 11);
89 nShifts = 7 - inst->stages; // WIDTH_PR_MAP_SHIFT - inst->stages + 5;
150 normTmp = WEBRTC_SPL_MIN(20 - inst->stages,
153 tmpU32no1 = inst->featureSpecDiff << normTmp; // Q(normTmp-2*stages)
154 tmpU32no2 = inst->timeAvgMagnEnergy >> (20 - inst->stages - normTmp);
156 // Q(20 - inst->stages)
nsx_core.c 346 tabind = inst->stages - inst->normData;
356 // magn is in Q(-stages), and the real lmagn values are:
357 // real_lmagn(i)=log(magn(i)*2^stages)=log(magn(i))+log(2^stages)
370 // + log(2^stages)
461 (int16_t)(inst->noiseSupFilter[i])) >> 14); // Q(normData-stages)
463 (int16_t)(inst->noiseSupFilter[i])) >> 14); // Q(normData-stages)
603 // Output in Q(minNorm-stages)
604 tmp32no1 += (inst->minNorm - inst->stages) << 11;
619 // Shift fractional part to Q(minNorm-stages)
    [all...]
  /external/libopus/celt/
kiss_fft.c 359 int stages=0; local
382 facbuf[2*stages] = p;
383 if (p==2 && stages > 1)
385 facbuf[2*stages] = 4;
388 stages++;
394 for (i=0;i<stages/2;i++)
398 facbuf[2*i] = facbuf[2*(stages-i-1)];
399 facbuf[2*(stages-i-1)] = tmp;
401 for (i=0;i<stages;i++)
  /external/mesa3d/src/compiler/glsl/
linker.h 78 gl_linked_shader **stages);
  /external/tremolo/Tremolo/
res012.c 89 if(k+1>info->stages)info->stages=k+1;
146 for(s=0;s<info->stages;s++){
224 for(s=0;s<info->stages;s++){
  /external/mesa3d/src/mesa/drivers/dri/i965/
gen7_urb.c 52 * Currently we split the constant buffer space evenly among whatever stages
75 int stages = 2 + gs_present + 2 * tess_present; local
77 /* Divide up the available space equally between stages. Because we
81 unsigned size_per_stage = avail_size / stages;
87 unsigned fs_size = avail_size - size_per_stage * (stages - 1);

Completed in 221 milliseconds

1 2 3 4 5 6 7 8