Home | History | Annotate | Download | only in Modules

Lines Matching defs:bidirectional

25     const unsigned char bidirectional;  /* index into

275 "bidirectional(unichr)\n\
277 Returns the bidirectional category assigned to the Unicode character\n\
288 if (!PyArg_ParseTuple(args, "O!:bidirectional",
294 index = (int) _getrecord_ex(c)->bidirectional;
339 a \"mirrored\" character in bidirectional text, 0 otherwise.");
1175 {"bidirectional", unicodedata_bidirectional, METH_VARARGS,