HomeSort by relevance Sort by last modified time
    Searched defs:isZero (Results 1 - 25 of 389) sorted by null

1 2 3 4 5 6 7 8 91011>>

  /prebuilts/go/darwin-x86/src/math/
hypot_amd64.s 27 JEQ isZero
49 isZero:
log_amd64.s 27 JEQ isZero
109 isZero:
  /prebuilts/go/linux-x86/src/math/
hypot_amd64.s 27 JEQ isZero
49 isZero:
log_amd64.s 27 JEQ isZero
109 isZero:
  /frameworks/base/libs/hwui/tests/unit/
FontRendererTests.cpp 24 static bool isZero(uint8_t* data, int size) {
50 EXPECT_FALSE(isZero(result.image, result.width * result.height));
  /art/tools/ahat/src/main/com/android/ahat/heapdump/
Size.java 81 public boolean isZero() {
92 if (isZero()) {
94 } else if (other.isZero()) {
  /external/pdfium/third_party/bigint/
BigUnsignedInABase.hh 117 bool isZero() const { return NumberlikeArray<Digit>::isEmpty(); }
BigInteger.hh 51 sign = mag.isZero() ? zero : positive;
59 sign = mag.isZero() ? zero : positive;
95 bool isZero() const { return sign == zero; } // A bit special
166 if (x.isZero())
174 if (x.isZero())
204 if (x.isZero())
214 if (x.isZero())
  /prebuilts/go/darwin-x86/doc/play/
peano.go 28 func isZero(x *Number) bool {
43 if isZero(y) {
50 if isZero(x) || isZero(y) {
57 if isZero(n) {
74 if isZero(x) {
  /prebuilts/go/linux-x86/doc/play/
peano.go 28 func isZero(x *Number) bool {
43 if isZero(y) {
50 if isZero(x) || isZero(y) {
57 if isZero(n) {
74 if isZero(x) {
  /external/skia/include/core/
SkSize.h 25 bool isZero() const { return 0 == fWidth && 0 == fHeight; }
67 bool isZero() const { return 0 == fWidth && 0 == fHeight; }
SkPoint.h 94 bool isZero() const { return (fX | fY) == 0; }
232 bool isZero() const { return (0 == fX) & (0 == fY); }
  /external/skqp/include/core/
SkSize.h 25 bool isZero() const { return 0 == fWidth && 0 == fHeight; }
67 bool isZero() const { return 0 == fWidth && 0 == fHeight; }
SkPoint.h 93 bool isZero() const { return (fX | fY) == 0; }
230 bool isZero() const { return (0 == fX) & (0 == fY); }
  /frameworks/base/libs/hwui/utils/
MathUtils.h 33 inline static bool isZero(float value) {
79 inline static bool areEqual(float valueA, float valueB) { return isZero(valueA - valueB); }
  /libcore/ojluni/src/test/java/time/tck/java/time/chrono/
TCKChronoPeriod.java 150 assertEquals(periodPositive.isZero(), false);
154 assertEquals(periodZero.isZero(), true);
158 assertEquals(periodNegative.isZero(), false);
  /libcore/ojluni/src/main/java/java/time/chrono/
ChronoPeriod.java 172 default boolean isZero() {
  /external/clang/lib/ARCMigrate/
TransZeroOutPropsInDealloc.cpp 190 return isZero(BOE->getRHS());
211 return isZero(cast<OpaqueValueExpr>(BO->getRHS())->getSourceExpr());
214 bool isZero(Expr *E) {
  /external/clang/lib/StaticAnalyzer/Checkers/
TestAfterDivZeroChecker.cpp 91 bool isZero(SVal S, CheckerContext &C) const;
138 bool TestAfterDivZeroChecker::isZero(SVal S, CheckerContext &C) const {
210 if (!isZero(S, C))
  /external/eigen/Eigen/src/Core/
CwiseNullaryOp.h 481 EIGEN_DEVICE_FUNC bool DenseBase<Derived>::isZero(const RealScalar& prec) const
  /external/icu/android_icu4j/src/main/java/android/icu/impl/number/
DecimalQuantity.java 95 public boolean isZero();
  /external/icu/icu4j/main/classes/core/src/com/ibm/icu/impl/number/
DecimalQuantity.java 93 public boolean isZero();
  /external/llvm/include/llvm/Support/
BranchProbability.h 47 bool isZero() const { return N == 0; }
  /frameworks/base/packages/SystemUI/src/com/android/systemui/classifier/
HistoryEvaluator.java 95 while (!list.isEmpty() && isZero(list.get(0).weight)) {
100 private boolean isZero(float x) {
  /packages/apps/Dialer/java/com/android/incallui/answer/impl/classifier/
HistoryEvaluator.java 92 while (!list.isEmpty() && isZero(list.get(0).weight)) {
97 private boolean isZero(float x) {

Completed in 1441 milliseconds

1 2 3 4 5 6 7 8 91011>>