HomeSort by relevance Sort by last modified time
    Searched defs:octal (Results 1 - 14 of 14) sorted by null

  /toolchain/binutils/binutils-2.27/gas/testsuite/gas/tic54x/
cons.s 5 .global binary, octal, hex, field
7 octal: .word 011q, 12q, 013Q label
  /external/mesa3d/src/gallium/drivers/swr/rasterizer/scripts/mako/
compat.py 29 def octal(lit): function
53 def octal(lit): function
  /external/swiftshader/third_party/LLVM/tools/llvm-size/
llvm-size.cpp 50 enum RadixTy {octal = 8, decimal = 10, hexadecimal = 16}; enumerator in enum:RadixTy
58 cl::values(clEnumValN(octal, "o", "Print size in octal"),
80 /// including the leading 0x or 0 for hexadecimal and octal respectively.
98 case octal:
226 fmt << "%7" << (Radix == octal ? "llo" : "llu") << " "
304 << (Radix == octal ? "oct" : "dec")
  /external/skia/src/pdf/
SkPDFUtils.cpp 308 uint8_t octal[4]; local
309 octal[0] = '\\';
310 octal[1] = '0' + ( c >> 6 );
311 octal[2] = '0' + ((c >> 3) & 0x07);
312 octal[3] = '0' + ( c & 0x07);
313 wStream->write(octal, 4);
  /external/skqp/src/pdf/
SkPDFUtils.cpp 308 uint8_t octal[4]; local
309 octal[0] = '\\';
310 octal[1] = '0' + ( c >> 6 );
311 octal[2] = '0' + ((c >> 3) & 0x07);
312 octal[3] = '0' + ( c & 0x07);
313 wStream->write(octal, 4);
  /toolchain/binutils/binutils-2.27/binutils/
size.c 46 decimal, octal, hex
83 -o|-d|-x --radix={8|10|16} Display numbers in octal, decimal or hex\n\
182 radix = octal;
210 radix = octal;
219 hexa or octal if requested by `-x' or `-o'.
253 printf (((radix == octal) ? "\t%7lo\t%7lx\t" : "\t%7lu\t%7lx\t"),
427 ((radix == octal) ? "0%" BFD_VMA_FMT "o" : "0x%" BFD_VMA_FMT "x")),
440 ((radix == octal) ? "0%" BFD_VMA_FMT "o" : "0x%" BFD_VMA_FMT "x")),
484 puts ((radix == octal) ? " text\t data\t bss\t oct\t hex\tfilename" :
501 printf (((radix == octal) ? "\t%7lo\t%7lx\t" : "\t%7lu\t%7lx\t")
45 decimal, octal, hex enumerator in enum:__anon4167
    [all...]
  /external/llvm/tools/llvm-size/
llvm-size.cpp 71 enum RadixTy { octal = 8, decimal = 10, hexadecimal = 16 }; enumerator in enum:RadixTy
78 cl::values(clEnumValN(octal, "o", "Print size in octal"),
155 /// leading 0x or 0 for hexadecimal and octal respectively.
166 case octal:
466 << (Radix == octal ? "oct" : "dec") << " hex filename\n";
476 fmt << "%7" << (Radix == octal ? PRIo64 : PRIu64) << " "
    [all...]
  /external/v8/src/regexp/
regexp-parser.cc 452 // With /u, decimal escape with leading 0 are not parsed as octal.
455 uc32 octal = ParseOctalLiteral(); local
456 builder->AddCharacter(octal);
982 // up to three octal digits with a value below 256.
    [all...]
  /external/deqp/external/openglcts/modules/gles31/
es31cLayoutBindingTests.cpp 366 octal, enumerator in enum:glcts::IntegerConstant::Literals
393 case octal:
    [all...]
  /external/v8/src/parsing/
parser-base.h 831 // Checks whether an octal literal was last seen between beg_pos and end_pos.
834 Scanner::Location octal = scanner()->octal_position(); local
835 if (octal.IsValid() && beg_pos <= octal.beg_pos &&
836 octal.end_pos <= end_pos) {
839 impl()->ReportMessageAt(octal, message);
848 // Checks if an octal literal or an invalid hex or unicode escape sequence
    [all...]
  /prebuilts/tools/common/m2/repository/com/thoughtworks/qdox/qdox/1.12/
qdox-1.12.jar 
  /external/annotation-tools/annotation-file-utilities/lib/
plume-core.jar 
  /prebuilts/jdk/jdk8/darwin-x86/jre/lib/ext/
nashorn.jar 
  /prebuilts/jdk/jdk8/linux-x86/jre/lib/ext/
nashorn.jar 

Completed in 331 milliseconds