HomeSort by relevance Sort by last modified time
    Searched refs:COUNT_LEADING_ZEROS (Results 1 - 3 of 3) sorted by null

  /device/linaro/bootloader/edk2/ArmPkg/Library/CompilerIntrinsicsLib/Arm/
Llvm_int_lib.h 95 #define COUNT_LEADING_ZEROS(_a) __builtin_clz((_a))
98 #error COUNT_LEADING_ZEROS() and COUNT_TRAILING_ZEROS() macros not ported to your compiler
udivsi3.c 82 sr = COUNT_LEADING_ZEROS(d) - COUNT_LEADING_ZEROS(n);
udivmoddi4.c 145 sr = COUNT_LEADING_ZEROS(d.high) - COUNT_LEADING_ZEROS(n.high);
183 sr = 1 + n_uword_bits + COUNT_LEADING_ZEROS(d.low) - COUNT_LEADING_ZEROS(n.high);
229 sr = COUNT_LEADING_ZEROS(d.high) - COUNT_LEADING_ZEROS(n.high);

Completed in 78 milliseconds