HomeSort by relevance Sort by last modified time
    Searched refs:_timeout (Results 1 - 25 of 53) sorted by null

1 2 3

  /external/chromium-trace/catapult/devil/devil/utils/
watchdog_timer.py 23 self._timeout = timeout
35 if self._timeout:
36 return self._timeout - self.GetElapsed()
42 return self._timeout
  /external/libmojo/third_party/catapult/devil/devil/utils/
watchdog_timer.py 23 self._timeout = timeout
35 if self._timeout:
36 return self._timeout - self.GetElapsed()
  /device/linaro/bootloader/edk2/AppPkg/Applications/Python/Python-2.7.2/Lib/test/
test_timeout.py 118 _timeout = 0.001
119 self.sock.settimeout(_timeout)
126 self.assertTrue(_delta < _timeout + self.fuzz,
128 %(_delta, self.fuzz, _timeout))
132 _timeout = 0.02
136 self.sock.settimeout(_timeout)
143 self.assertTrue(_delta < _timeout + self.fuzz,
145 %(_delta, self.fuzz, _timeout))
149 _timeout = 2
150 self.sock.settimeout(_timeout)
    [all...]
  /external/python/cpython2/Lib/test/
test_timeout.py 118 _timeout = 0.001
119 self.sock.settimeout(_timeout)
126 self.assertTrue(_delta < _timeout + self.fuzz,
128 %(_delta, self.fuzz, _timeout))
132 _timeout = 0.02
136 self.sock.settimeout(_timeout)
143 self.assertTrue(_delta < _timeout + self.fuzz,
145 %(_delta, self.fuzz, _timeout))
149 _timeout = 2
150 self.sock.settimeout(_timeout)
    [all...]
  /prebuilts/gdb/darwin-x86/lib/python2.7/test/
test_timeout.py 118 _timeout = 0.001
119 self.sock.settimeout(_timeout)
126 self.assertTrue(_delta < _timeout + self.fuzz,
128 %(_delta, self.fuzz, _timeout))
132 _timeout = 0.02
136 self.sock.settimeout(_timeout)
143 self.assertTrue(_delta < _timeout + self.fuzz,
145 %(_delta, self.fuzz, _timeout))
149 _timeout = 2
150 self.sock.settimeout(_timeout)
    [all...]
  /prebuilts/gdb/linux-x86/lib/python2.7/test/
test_timeout.py 118 _timeout = 0.001
119 self.sock.settimeout(_timeout)
126 self.assertTrue(_delta < _timeout + self.fuzz,
128 %(_delta, self.fuzz, _timeout))
132 _timeout = 0.02
136 self.sock.settimeout(_timeout)
143 self.assertTrue(_delta < _timeout + self.fuzz,
145 %(_delta, self.fuzz, _timeout))
149 _timeout = 2
150 self.sock.settimeout(_timeout)
    [all...]
  /prebuilts/python/darwin-x86/2.7.5/lib/python2.7/test/
test_timeout.py 118 _timeout = 0.001
119 self.sock.settimeout(_timeout)
126 self.assertTrue(_delta < _timeout + self.fuzz,
128 %(_delta, self.fuzz, _timeout))
132 _timeout = 0.02
136 self.sock.settimeout(_timeout)
143 self.assertTrue(_delta < _timeout + self.fuzz,
145 %(_delta, self.fuzz, _timeout))
149 _timeout = 2
150 self.sock.settimeout(_timeout)
    [all...]
  /prebuilts/python/linux-x86/2.7.5/lib/python2.7/test/
test_timeout.py 118 _timeout = 0.001
119 self.sock.settimeout(_timeout)
126 self.assertTrue(_delta < _timeout + self.fuzz,
128 %(_delta, self.fuzz, _timeout))
132 _timeout = 0.02
136 self.sock.settimeout(_timeout)
143 self.assertTrue(_delta < _timeout + self.fuzz,
145 %(_delta, self.fuzz, _timeout))
149 _timeout = 2
150 self.sock.settimeout(_timeout)
    [all...]
  /test/framework/harnesses/host_controller/tradefed/
remote_client.py 36 _timeout: The connect and receive timeout in seconds
40 self._timeout = timeout if timeout else socket.getdefaulttimeout()
57 self._timeout)
60 if self._timeout is not None:
61 op_socket.settimeout(self._timeout)
remote_client_test.py 35 _timeout: Socket timeout in seconds.
45 self._timeout = timeout
49 self._remote_mgr_socket.settimeout(self._timeout)
63 server_socket.settimeout(self._timeout)
  /tools/test/connectivity/acts/framework/acts/controllers/
sniffer.py 280 _timeout = None variable in class:ActiveCaptureContext
284 self._timeout = timeout
291 if self._timeout is None:
294 self._sniffer.wait_for_capture(self._timeout)
  /external/autotest/server/cros/
tradefed_chromelogin.py 41 self._timeout = constants.LOGIN_DEFAULT_TIMEOUT
44 self._timeout = constants.LOGIN_BOARD_TIMEOUT[board]
64 timeout = self._timeout
103 timeout = self._timeout
  /external/tensorflow/tensorflow/contrib/kafka/python/ops/
kafka_dataset_ops.py 57 self._timeout = ops.convert_to_tensor(
62 self._eof, self._timeout)
  /external/autotest/server/site_tests/cheets_CTS_N/
cheets_CTS_N.py 141 timeout=self._timeout * self._get_timeout_factor(),
233 self._timeout = timeout
235 self._timeout += 3600
  /external/swiftshader/third_party/subzero/pydir/
bisection-tool.py 13 self._timeout = timeout
58 if self._timeout != -1:
60 signal.alarm(self._timeout)
64 if self._timeout != -1:
  /external/autotest/client/cros/
mainloop.py 55 def _timeout(self): member in class:ExceptionForwardingMainLoop
65 timeout_source = gobject.timeout_add(self.timeout_s * 1000, self._timeout)
  /external/autotest/client/site_tests/hardware_Badblocks/
hardware_Badblocks.py 46 def _timeout(self, badblocks_proc): member in class:hardware_Badblocks
92 t = threading.Timer(tmout, self._timeout, [badblocks_proc])
  /system/core/logd/
LogReader.cpp 76 static const char _timeout[] = " timeout="; local
77 cp = strstr(buffer, _timeout);
79 timeout = atol(cp + sizeof(_timeout) - 1) * NS_PER_SEC +
  /art/tools/common/
common.py 299 self._timeout = timeout
342 cmd, env, PIPE, PIPE, self._timeout)
384 self._timeout = timeout
453 STDOUT, self._timeout)
  /external/autotest/client/deps/lansim/src/py/
simulator.py 304 self._timeout = timeout
399 Simulator.run(self, self._timeout)
  /external/chromium-trace/catapult/third_party/pyserial/serial/urlhandler/
protocol_loop.py 122 if self._timeout is not None:
123 timeout = time.time() + self._timeout
protocol_socket.py 140 if self._timeout is not None:
141 timeout = time.time() + self._timeout
  /prebuilts/go/darwin-x86/misc/cgo/testplugin/
test.bash 43 function _timeout() ( function
57 _timeout 10s ./issue18676
  /prebuilts/go/linux-x86/misc/cgo/testplugin/
test.bash 43 function _timeout() ( function
57 _timeout 10s ./issue18676
  /external/autotest/client/site_tests/graphics_dEQP/
graphics_dEQP.py 39 _timeout = 70 # Larger than twice the dEQP watchdog timeout at 30s. variable in class:graphics_dEQP
356 timeout=self._timeout,
424 batch_timeout = min(3600, self._timeout * self._hasty_batch_size)
515 timeout=self._timeout,
528 self._timeout = int(options['timeout'])

Completed in 698 milliseconds

1 2 3