HomeSort by relevance Sort by last modified time
    Searched refs:baz (Results 1 - 25 of 615) sorted by null

1 2 3 4 5 6 7 8 91011>>

  /external/clang/test/VFS/
include-real-from-virtual.c 3 // RUN: echo "void baz(void);" > %t/real.h
11 baz();
include-mixed-real-and-virtual.c 3 // RUN: echo "void baz(void);" > %t/real.h
13 baz();
  /toolchain/binutils/binutils-2.27/ld/testsuite/ld-vax-elf/
got-local-aux.s 1 .globl baz
2 .type baz, @object
3 baz: label
5 .size baz, . - baz
  /toolchain/binutils/binutils-2.27/ld/testsuite/ld-scripts/
provide-5.s 2 .global baz
3 baz: label
provide-2.s 5 .globl baz
6 .long baz
  /external/llvm/test/MC/Mips/
relocation-xfail.s 9 // baz is equivalent to .text+0x8 and is recorded in the symbol table as such
15 addiu $2, $3, %got(baz)
16 addiu $2, $2, %lo(baz)
17 baz: label
18 addiu $2, $3, %hi(baz)
19 addiu $2, $2, %lo(baz)
27 // baz is equivalent to .text+0x8 and is recorded in the symbol table as such
31 .gpword baz
  /external/mesa3d/src/compiler/glsl/glcpp/tests/
004-define-recursive.c 2 #define bar baz
3 #define baz foo macro
6 baz
007-define-composite-recursive.c 2 #define bar b baz
3 #define baz c foo macro
6 baz
030-define-chain-obj-to-func-compose.c 1 #define baz(failure) failure macro
3 #define foo bar(baz(success))
  /external/chromium-trace/catapult/common/py_vulcanize/third_party/rcssmin/tests/yui/
dollar-header.css 6 bar: baz
dollar-header.css.min 3 */foo{bar:baz
  /external/chromium-trace/catapult/common/py_vulcanize/third_party/rcssmin/tests/yui/out/
dollar-header.out.b 3 */foo{bar:baz
  /external/clang/test/Modules/
preprocess.cpp 12 foo bar baz
20 // CXX: foo bar baz
24 // OBJC: foo bar baz
  /external/clang/test/SemaCXX/
PR8884.cpp 5 static struct foo& baz ();
9 bar::baz();
PR6618.cpp 6 class baz { class
11 e = sizeof(baz)
uninit-variables-conditional.cpp 11 int baz();
19 if (bar() || baz() || Foo() || init(&x))
  /external/valgrind/memcheck/tests/
client-msg.c 3 void baz() function
10 baz();
  /toolchain/binutils/binutils-2.27/ld/testsuite/ld-elfvers/
vers30.c 6 void baz (void) __asm ("extern");
7 void baz (void) {} function
  /hardware/interfaces/tests/baz/1.0/
IQuux.hal 17 package android.hardware.tests.baz@1.0;
  /build/kati/testcase/
empty_line_in_define.mk 12 define baz macro
13 echo baz
15 echo baz
21 $(baz) $(baz)
  /external/clang/test/CodeGen/
2008-05-12-TempUsedBeforeDef.c 5 volatile unsigned char baz = 6L; variable
8 for (; baz >= -29; baz--)
arm-pcs.c 8 int foo(aapcs_vfp_fn baz) {
12 return bar() + baz();
  /external/llvm/test/tools/dsymutil/Inputs/
basic2.c 3 static int baz = 42; variable
11 bar(baz);
20 return bar(arg+val) + inc() + baz++;
  /external/swiftshader/third_party/subzero/wasm-tests/
indirect.c 5 int baz() { return 7; } function
7 int (*TABLE[])() = {foo, baz, bar, baz};
  /external/clang/test/CodeGenCXX/
2004-06-08-LateTemplateInstantiation.cpp 10 normal_iterator<int> baz();
13 foo(baz());

Completed in 810 milliseconds

1 2 3 4 5 6 7 8 91011>>