HomeSort by relevance Sort by last modified time
    Searched defs:packed (Results 26 - 50 of 220) sorted by null

12 3 4 5 6 7 8 9

  /external/webrtc/webrtc/modules/audio_coding/codecs/g722/
g722_enc_dec.h 63 /*! TRUE if the G.722 data is packed */
64 int packed; member in struct:__anon44035
101 /*! TRUE if the G.722 data is packed */
102 int packed; member in struct:__anon44037
  /frameworks/base/core/java/com/android/internal/util/
BitUtils.java 80 long packed = 0; local
82 packed |= (1 << b);
84 return packed;
  /system/bt/embdrv/g722/
g722_enc_dec.h 89 /*! TRUE if the G.722 data is packed */
90 int packed; member in struct:__anon1822
112 /*! TRUE if the G.722 data is packed */
113 int packed; member in struct:__anon1823
  /system/core/debuggerd/handler/
debuggerd_fallback.cpp 174 packed_thread_output packed = {.tid = tid, .fd = fd}; local
176 static_assert(sizeof(packed) == sizeof(result));
177 memcpy(&result, &packed, sizeof(packed));
  /dalvik/dexgen/src/com/android/dexgen/dex/code/
SwitchData.java 28 * in either a "packed" or "sparse" form.
46 /** whether the output table will be packed (vs. sparse) */
47 private final boolean packed; field in class:SwitchData
89 this.packed = shouldPack(cases);
95 return packed ? (int) packedCodeSize(cases) :
106 if (packed) {
152 * Returns whether or not this instance's data will be output as packed.
154 * @return {@code true} iff the data is to be packed
157 return packed;
183 sb.append(packed ? "packed" : "sparse")
    [all...]
  /dalvik/dx/src/com/android/dx/dex/code/
SwitchData.java 29 * in either a "packed" or "sparse" form.
47 /** whether the output table will be packed (vs. sparse) */
48 private final boolean packed; field in class:SwitchData
90 this.packed = shouldPack(cases);
96 return packed ? (int) packedCodeSize(cases) :
107 if (packed) {
153 * Returns whether or not this instance's data will be output as packed.
155 * @return {@code true} iff the data is to be packed
158 return packed;
184 sb.append(packed ? "packed" : "sparse")
    [all...]
  /device/linaro/bootloader/edk2/AppPkg/Applications/Python/Python-2.7.2/Lib/test/
test_struct.py 392 packed = struct.pack("<f", smaller)
393 unpacked = struct.unpack("<f", packed)[0]
398 self.assertEqual(bigpacked, string_reverse(packed))
405 packed = struct.pack(">f", big)
406 unpacked = struct.unpack(">f", packed)[0]
522 packed = struct.pack(prefix+'?', 1) variable in class:StructTest.test_bool.ExplodingBool
524 self.assertEqual(len(packed), struct.calcsize(prefix+'?'))
526 if len(packed) != 1:
528 %packed)
  /external/deqp/modules/gles3/stress/
es3sVertexArrayTests.cpp 185 const bool packed = m_type == Array::INPUTTYPE_UNSIGNED_INT_2_10_10_10 || m_type == Array::INPUTTYPE_INT_2_10_10_10; local
186 const int stride = (strides[strideNdx] < 0) ? ((packed) ? (16) : (Array::inputTypeSize(m_type) * componentCount)) : (strides[strideNdx]);
187 const int alignment = (packed) ? (Array::inputTypeSize(m_type) * componentCount) : (Array::inputTypeSize(m_type));
291 const bool packed = m_type == Array::INPUTTYPE_UNSIGNED_INT_2_10_10_10 || m_type == Array::INPUTTYPE_INT_2_10_10_10; local
292 const int componentCount = (packed) ? (4) : (2);
293 const int stride = (strides[strideNdx] < 0) ? ((packed) ? (8) : (Array::inputTypeSize(m_type) * componentCount)) : (strides[strideNdx]);
294 const int alignment = (packed) ? (Array::inputTypeSize(m_type) * componentCount) : (Array::inputTypeSize(m_type));
392 const bool packed = m_type == Array::INPUTTYPE_UNSIGNED_INT_2_10_10_10 || m_type == Array::INPUTTYPE_INT_2_10_10_10; local
393 const int componentCount = (packed) ? (4) : (2);
395 const int alignment = (packed) ? (Array::inputTypeSize(m_type) * componentCount) : (Array::inputTypeSize(m_type))
    [all...]
  /external/glide/third_party/gif_decoder/src/main/java/com/bumptech/glide/gifdecoder/
GifHeaderParser.java 150 // Packed fields.
151 int packed = read(); local
153 header.currentFrame.dispose = (packed & 0x1c) >> 2;
158 header.currentFrame.transparency = (packed & 1) != 0;
182 int packed = read(); local
184 boolean lctFlag = (packed & 0x80) != 0;
185 int lctSize = (int) Math.pow(2, (packed & 0x07) + 1);
187 // 4-5 - reserved lctSize = 2 << (packed & 7); // 6-8 - local color
189 header.currentFrame.interlace = (packed & 0x40) != 0;
253 // Packed field
254 int packed = read(); local
    [all...]
  /external/mesa3d/src/gallium/auxiliary/gallivm/
lp_bld_format_soa.c 123 LLVMValueRef packed)
128 LLVMValueRef input = packed;
280 * It takes a vector of packed pixels:
282 * packed = {P0, P1, P2, P3, ..., Pn}
291 * It requires that a packed pixel fits into an element of the output
295 * \param format_desc the format of the 'packed' incoming pixel vector
297 * \param packed the incoming vector of packed pixels
304 LLVMValueRef packed,
334 packed);
445 LLVMValueRef packed; local
493 LLVMValueRef packed[4], dst[4], output[4], shuffles[LP_MAX_VECTOR_WIDTH\/32]; local
680 LLVMValueRef packed; local
705 LLVMValueRef packed; local
749 LLVMValueRef packed, rgba[4]; local
    [all...]
lp_bld_format_aos.c 215 * @param desc the pixel format for the packed pixel value
216 * @param packed integer pixel in a format such as PIPE_FORMAT_B8G8R8A8_UNORM
223 LLVMValueRef packed)
244 assert (LLVMTypeOf(packed) == LLVMInt32TypeInContext(gallivm->context));
248 /* Broadcast the packed value to all four channels
249 * before: packed = BGRA
250 * after: packed = {BGRA, BGRA, BGRA, BGRA}
252 packed = LLVMBuildInsertElement(builder, LLVMGetUndef(vec32_type), packed,
255 packed = LLVMBuildShuffleVector(builder, packed, LLVMGetUndef(vec32_type)
370 LLVMValueRef packed = NULL; local
500 LLVMValueRef packed; local
535 LLVMValueRef packed, res, chans[4], rgba[4]; local
636 LLVMValueRef packed; local
    [all...]
  /external/mesa3d/src/gallium/auxiliary/util/
u_tile.c 445 void *packed; local
451 packed = MALLOC(util_format_get_nblocks(format, w, h) * util_format_get_blocksize(format));
452 if (!packed) {
460 pipe_get_tile_raw(pt, src, x, y, w, h, packed, 0);
462 pipe_tile_raw_to_rgba(format, packed, w, h, p, dst_stride);
464 FREE(packed);
486 void *packed; local
491 packed = MALLOC(util_format_get_nblocks(format, w, h) * util_format_get_blocksize(format));
493 if (!packed)
498 /*z16_put_tile_rgba((ushort *) packed, w, h, p, src_stride);*
537 void *packed; local
565 void *packed; local
844 void *packed; local
875 void *packed; local
    [all...]
  /external/mesa3d/src/gallium/drivers/ilo/
ilo_blitter_blt.c 451 union util_color packed; local
478 util_pack_color(color->f, rt->format, &packed);
493 offset, packed.ui[0], size, mask, mask);
502 rt->u.tex.level, &box, packed.ui[0], mask, mask);
  /external/python/cpython2/Lib/test/
test_struct.py 393 packed = struct.pack("<f", smaller)
394 unpacked = struct.unpack("<f", packed)[0]
399 self.assertEqual(bigpacked, string_reverse(packed))
406 packed = struct.pack(">f", big)
407 unpacked = struct.unpack(">f", packed)[0]
540 packed = struct.pack(prefix+'?', 1) variable in class:StructTest.test_bool.ExplodingBool
542 self.assertEqual(len(packed), struct.calcsize(prefix+'?'))
544 if len(packed) != 1:
546 %packed)
  /external/python/cpython3/Lib/test/
test_struct.py 362 packed = struct.pack("<f", smaller)
363 unpacked = struct.unpack("<f", packed)[0]
368 self.assertEqual(bigpacked, string_reverse(packed))
375 packed = struct.pack(">f", big)
376 unpacked = struct.unpack(">f", packed)[0]
502 packed = struct.pack(prefix+'?', 1) variable in class:StructTest.test_bool.ExplodingBool
504 self.assertEqual(len(packed), struct.calcsize(prefix+'?'))
506 if len(packed) != 1:
508 %packed)
695 packed = struct.pack('<e', math.nan
    [all...]
  /external/skia/src/core/
SkPath_serial.cpp 39 static unsigned extract_version(uint32_t packed) {
40 return packed & kVersion_SerializationMask;
43 static SkPath::FillType extract_filltype(uint32_t packed) {
44 return static_cast<SkPath::FillType>((packed >> kFillType_SerializationShift) & 0x3);
47 static SerializationType extract_serializationtype(uint32_t packed) {
48 return static_cast<SerializationType>((packed >> kType_SerializationShift) & 0xF);
66 // packed header, rrect, start index.
73 int32_t packed = (fFillType << kFillType_SerializationShift) | local
79 buffer.write32(packed);
94 int32_t packed = (fFillType << kFillType_SerializationShift) local
141 uint32_t packed; local
157 uint32_t packed; local
195 uint32_t packed; local
282 int32_t packed; local
    [all...]
  /external/skia/tests/
SkNxTest.cpp 212 auto packed = Sk4px::Load4(colors); local
214 auto wideLo = packed.widenLo(),
215 wideHi = packed.widenHi(),
216 wideLoHi = packed.widenLoHi(),
  /external/skqp/tests/
SkNxTest.cpp 212 auto packed = Sk4px::Load4(colors); local
214 auto wideLo = packed.widenLo(),
215 wideHi = packed.widenHi(),
216 wideLoHi = packed.widenLoHi(),
  /prebuilts/gdb/darwin-x86/lib/python2.7/test/
test_struct.py 393 packed = struct.pack("<f", smaller)
394 unpacked = struct.unpack("<f", packed)[0]
399 self.assertEqual(bigpacked, string_reverse(packed))
406 packed = struct.pack(">f", big)
407 unpacked = struct.unpack(">f", packed)[0]
531 packed = struct.pack(prefix+'?', 1) variable in class:StructTest.test_bool.ExplodingBool
533 self.assertEqual(len(packed), struct.calcsize(prefix+'?'))
535 if len(packed) != 1:
537 %packed)
  /prebuilts/gdb/linux-x86/lib/python2.7/test/
test_struct.py 393 packed = struct.pack("<f", smaller)
394 unpacked = struct.unpack("<f", packed)[0]
399 self.assertEqual(bigpacked, string_reverse(packed))
406 packed = struct.pack(">f", big)
407 unpacked = struct.unpack(">f", packed)[0]
531 packed = struct.pack(prefix+'?', 1) variable in class:StructTest.test_bool.ExplodingBool
533 self.assertEqual(len(packed), struct.calcsize(prefix+'?'))
535 if len(packed) != 1:
537 %packed)
  /prebuilts/python/darwin-x86/2.7.5/lib/python2.7/test/
test_struct.py 393 packed = struct.pack("<f", smaller)
394 unpacked = struct.unpack("<f", packed)[0]
399 self.assertEqual(bigpacked, string_reverse(packed))
406 packed = struct.pack(">f", big)
407 unpacked = struct.unpack(">f", packed)[0]
531 packed = struct.pack(prefix+'?', 1) variable in class:StructTest.test_bool.ExplodingBool
533 self.assertEqual(len(packed), struct.calcsize(prefix+'?'))
535 if len(packed) != 1:
537 %packed)
  /prebuilts/python/linux-x86/2.7.5/lib/python2.7/test/
test_struct.py 393 packed = struct.pack("<f", smaller)
394 unpacked = struct.unpack("<f", packed)[0]
399 self.assertEqual(bigpacked, string_reverse(packed))
406 packed = struct.pack(">f", big)
407 unpacked = struct.unpack(">f", packed)[0]
531 packed = struct.pack(prefix+'?', 1) variable in class:StructTest.test_bool.ExplodingBool
533 self.assertEqual(len(packed), struct.calcsize(prefix+'?'))
535 if len(packed) != 1:
537 %packed)
  /external/clang/lib/CodeGen/
SwiftCallingConv.cpp 527 bool packed = false; local
539 if (!packed && !entry.Begin.isMultipleOf(
542 packed = true;
548 // We don't need to adjust 'packed' to deal with possible tail padding
550 auto coercionType = llvm::StructType::get(ctx, elts, packed);
561 unpaddedType = llvm::StructType::get(ctx, elts, /*packed*/ false);
  /external/mesa3d/src/gallium/drivers/ilo/core/
ilo_builder_3d_bottom.h 479 const uint32_t *packed = (const uint32_t *) local
493 dw[2] = (sample_count >= 4) ? packed[0] : 0;
495 dw[3] = (sample_count >= 8) ? packed[1] : 0;
ilo_state_raster.c 1205 const const uint8_t *packed = local
    [all...]

Completed in 695 milliseconds

12 3 4 5 6 7 8 9