HomeSort by relevance Sort by last modified time
    Searched defs:write (Results 376 - 400 of 4398) sorted by null

<<11121314151617181920>>

  /prebuilts/python/linux-x86/2.7.5/lib/python2.7/
code.py 121 The output is written by self.write(), below.
139 map(self.write, list)
146 The output is written by self.write(), below.
162 map(self.write, list)
164 def write(self, data): member in class:InteractiveInterpreter
165 """Write a string.
171 sys.stderr.write(data)
221 self.write("Python %s on %s\n%s\n(%s)\n" %
225 self.write("%s\n" % str(banner))
240 self.write("\n"
    [all...]
quopri.py 43 """Read 'input', apply quoted-printable encoding, and write to 'output'.
45 'input' and 'output' are files with readline() and write() methods.
56 output.write(odata)
59 def write(s, output=output, lineEnd='\n'): function in function:encode
63 output.write(s[:-1] + quote(s[-1]) + lineEnd)
65 output.write(quote(s) + lineEnd)
67 output.write(s + lineEnd)
88 # First, write out the previous line
90 write(prevline)
97 write(thisline[:MAXLINESIZE-1], lineEnd='=\n'
    [all...]
  /prebuilts/python/linux-x86/2.7.5/lib/python2.7/idlelib/
OutputWindow.py 37 def write(self, s, tags=(), mark="insert"): member in class:OutputWindow
52 self.write(line)
137 def write(self, s, tags, mark): member in class:OnDemandOutputWindow
140 self.owin.write(s, tags, mark)
149 self.write = self.owin.write
  /prebuilts/python/linux-x86/2.7.5/lib/python2.7/test/
test_print.py 117 def write(self, what): member in class:TestPrint.test_mixed_args.Recorder
  /system/core/libion/kernel-headers/linux/
ion_test.h 29 int write; member in struct:ion_test_rw_data
  /system/core/libion/original-kernel-headers/linux/
ion_test.h 27 * @size: size to read or write
28 * @write: 1 to write, 0 to read
34 int write; member in struct:ion_test_rw_data
50 * DOC: ION_IOC_TEST_DMA_MAPPING - read or write memory from a handle as DMA
60 * DOC: ION_IOC_TEST_KERNEL_MAPPING - read or write memory from a handle
  /system/extras/verity/
VeritySigner.java 66 Utils.write(signature, args[2]);
  /system/keymaster/android_keymaster/
serializable.cpp 122 bool Buffer::write(const uint8_t* src, size_t write_length) { function in class:keymaster::Buffer
  /system/timezone/tzlookup_generator/src/test/java/com/android/libcore/timezone/tzlookup/
TestUtils.java 41 Files.write(tempFile, Arrays.asList(lines), StandardCharsets.US_ASCII);
  /test/suite_harness/common/util/src/com/android/compatibility/common/util/
StreamUtil.java 42 outStream.write(buf, 0, size);
  /tools/apksig/src/main/java/com/android/apksig/internal/util/
RandomAccessFileDataSink.java 68 // Must perform this check here because RandomAccessFile.write doesn't throw when offset
73 // Must perform this check here because RandomAccessFile.write doesn't throw when offset
84 mFile.write(buf, offset, length);
99 mFileChannel.write(buf);
  /tools/apksig/src/test/java/com/android/apksig/internal/util/
ByteStreams.java 37 result.write(buf, 0, chunkSize);
  /tools/external/fat32lib/src/main/java/de/waldheinz/fs/
BlockDevice.java 16 * along with this library; If not, write to the Free Software Foundation, Inc.,
55 * @param src the source {@code ByteBuffer} to write to the device
57 * @throws IOException on write error
59 * or the write would go beyond the end of the device
62 public abstract void write(long devOffset, ByteBuffer src) method in interface:BlockDevice
69 * @throws IOException on write error
  /tools/test/connectivity/acts/framework/acts/test_utils/bt/
rfcomm_lib.py 56 def write(self, line): member in class:RfcommLib
57 """Write String data over an RFCOMM connection"""
61 """Write String data over an RFCOMM connection"""
  /tools/apkzlib/src/main/java/com/android/tools/build/apkzlib/zip/
StoredEntry.java 380 * To eventually write updates to disk, {@link ZFile#update()} must be called.
391 * To eventually write updates to disk, {@link ZFile#update()} must be called.
710 F_LOCAL_SIGNATURE.write(out);
711 F_VERSION_EXTRACT.write(out, compressInfo.getVersionExtract());
712 F_GP_BIT.write(out, cdh.getGpBit().getValue());
713 F_METHOD.write(out, compressInfo.getMethod().methodCode);
716 F_LAST_MOD_TIME.write(out, 0);
717 F_LAST_MOD_DATE.write(out, 0);
719 F_LAST_MOD_TIME.write(out, cdh.getLastModTime());
720 F_LAST_MOD_DATE.write(out, cdh.getLastModDate())
    [all...]
  /external/jmdns/src/javax/jmdns/impl/
DNSOutgoing.java 46 this.write(value & 0xFF);
92 // write utf length
94 // write utf data
162 rec.write(record);
166 write(byteArray, 0, byteArray.length); method
248 _questionsBytes.write(byteArray, 0, byteArray.length);
282 _answersBytes.write(byteArray, 0, byteArray.length);
302 _authoritativeAnswersBytes.write(byteArray, 0, byteArray.length);
321 _additionalsAnswersBytes.write(byteArray, 0, byteArray.length);
  /libcore/harmony-tests/src/test/java/org/apache/harmony/tests/java/util/zip/
DeflaterOutputStreamTest.java 110 dos.write(byteArray);
128 dos.write(outputBuf);
175 dos.write(byteArray);
188 + " write.",
204 dos.write(byteArray);
227 // Test to write to a closed DeflaterOutputStream
229 dos.write(5);
230 fail("DeflaterOutputStream Able To Write After Being Closed.");
234 // Test to write to a FileOutputStream that should have been closed
238 fos.write(("testing").getBytes())
    [all...]
  /cts/common/device-side/util/src/com/android/compatibility/common/util/
ReportLogDeviceInfoStore.java 42 // Write new metrics to a temp file to avoid invalid JSON files due to failed tests.
53 // Reopen overall JSON object to write new metrics.
57 formatWriter.write(currentLine, 0, currentLine.length());
61 formatWriter.write("{", 0 , 1);
64 formatWriter.write("\"" + mStreamName + "\":", 0, mStreamName.length() + 3);
81 formatWriter.write("}", 0, 1);
92 metricsWriter.write(line, 0, line.length());
  /external/apache-http/src/org/apache/http/impl/io/
ChunkedOutputStream.java 103 this.out.write(this.cache, 0, this.cachePosition);
119 this.out.write(this.cache, 0, this.cachePosition);
120 this.out.write(bufferToAppend, off, len);
126 // Write the final chunk.
145 public void write(int b) throws IOException { method in class:ChunkedOutputStream
147 throw new IOException("Attempted write to closed stream.");
160 public void write(byte b[]) throws IOException { method in class:ChunkedOutputStream
161 write(b, 0, b.length); method
164 public void write(byte src[], int off, int len) throws IOException { method in class:ChunkedOutputStream
166 throw new IOException("Attempted write to closed stream.")
    [all...]
  /external/apache-xml/src/main/java/org/apache/xml/serializer/
SerializerTraceWriter.java 48 /** The real writer to immediately write to.
94 * @param out the Writer to write to (possibly null)
163 * Write a single character. The character to be written is contained in
173 public void write(final int c) throws IOException method in class:SerializerTraceWriter
177 m_writer.write(c);
205 * Write a portion of an array of characters.
209 * @param length Number of characters to write
215 public void write(final char chars[], final int start, final int length) method in class:SerializerTraceWriter
220 m_writer.write(chars, start, length);
265 * Write a string
271 public void write(final String s) throws IOException method in class:SerializerTraceWriter
    [all...]
  /external/archive-patcher/applier/src/main/java/com/google/archivepatcher/applier/
PartiallyCompressingOutputStream.java 84 * @param out the stream to write to
104 public void write(int b) throws IOException { method in class:PartiallyCompressingOutputStream
106 write(internalCopyBuffer, 0, 1); method
110 public void write(byte[] buffer) throws IOException { method in class:PartiallyCompressingOutputStream
111 write(buffer, 0, buffer.length); method
115 public void write(byte[] buffer, int offset, int length) throws IOException { method in class:PartiallyCompressingOutputStream
123 * Write up to <em>length</em> bytes from the specified buffer to the underlying stream. For
154 // Don't write past the end of the compressed range.
163 // Don't write past the point where the next compressed range begins.
168 writeTarget.write(buffer, offset, numBytesToWrite)
    [all...]
  /external/bouncycastle/bcprov/src/main/java/org/bouncycastle/asn1/
ASN1OutputStream.java 33 write((byte)(size | 0x80)); method
37 write((byte)(length >> i)); method
42 write((byte)length); method
46 void write(int b) method in class:ASN1OutputStream
49 os.write(b);
52 void write(byte[] bytes) method in class:ASN1OutputStream
55 os.write(bytes);
58 void write(byte[] bytes, int off, int len) method in class:ASN1OutputStream
61 os.write(bytes, off, len);
69 write(tag)
102 write(stack, pos, stack.length - pos); method
181 public void write(int b) method in class:ASN1OutputStream.ImplicitOutputStream
    [all...]
  /external/caliper/caliper/src/main/java/com/google/caliper/bridge/
OpenedSocket.java 115 public void write(Serializable serializable) throws IOException { method in class:OpenedSocket.Writer
148 @Override public void write(int b) throws IOException { method in class:OpenedSocket
149 delegate.write(b);
152 @Override public void write(byte[] b) throws IOException { method in class:OpenedSocket
153 delegate.write(b);
156 @Override public void write(byte[] b, int off, int len) throws IOException { method in class:OpenedSocket
157 delegate.write(b, off, len);
  /external/guava/guava/src/com/google/common/io/
LittleEndianDataOutputStream.java 55 @Override public void write(byte[] b, int off, int len) throws IOException { method in class:LittleEndianDataOutputStream
57 out.write(b, off, len);
71 * {@link #writeChars(String s)} or another write method instead.
131 out.write(0xFF & v);
132 out.write(0xFF & (v >> 8));
133 out.write(0xFF & (v >> 16));
134 out.write(0xFF & (v >> 24));
146 write(bytes, 0, bytes.length); method
157 out.write(0xFF & v);
158 out.write(0xFF & (v >> 8))
    [all...]
  /external/guava/guava-tests/test/com/google/common/io/
FileBackedOutputStreamTest.java 48 write(out, data, 0, 100, true); method
85 // Write just enough to not trip the threshold
87 write(out, data, 0, chunk1, singleByte); method
93 // Write data to go over the threshold
95 write(out, data, chunk1, chunk2, singleByte); method
112 private static void write( method in class:FileBackedOutputStreamTest
117 out.write(b[i]);
120 out.write(b, off, len);
132 out.write(data);
137 out.write(42)
    [all...]

Completed in 667 milliseconds

<<11121314151617181920>>