HomeSort by relevance Sort by last modified time
    Searched full:mantissa (Results 1 - 25 of 137) sorted by null

1 2 3 4 5 6

  /frameworks/base/opengl/tools/glgen/specs/gles11/
GLES10Ext.spec 1 GLbitfield glQueryMatrixxOES ( GLfixed *mantissa, GLint *exponent )
checks.spec 29 glQueryMatrixxOES check mantissa 16 check exponent 16 return -1
  /frameworks/base/opengl/tools/glgen/specs/jsr239/
glspec-1.0ext 1 GLbitfield glQueryMatrixxOES ( GLfixed *mantissa, GLint *exponent )
  /libcore/luni/src/main/java/org/apache/harmony/luni/util/
HexStringParser.java 67 private long mantissa; field in class:HexStringParser
113 return sign | exponent | mantissa;
159 * Parses the mantissa field.
190 mantissa = Long.parseLong(significand, HEX_RADIX);
202 mantissa = 0;
207 mantissa = 0;
228 mantissa = mantissa & MANTISSA_MASK;
233 desiredWidth += (int)exponent;//lends bit from mantissa to exponent
237 mantissa = mantissa & MANTISSA_MASK
    [all...]
  /frameworks/base/opengl/java/android/opengl/
GLES10Ext.java 28 // C function GLbitfield glQueryMatrixxOES ( GLfixed *mantissa, GLint *exponent )
31 int[] mantissa,
37 // C function GLbitfield glQueryMatrixxOES ( GLfixed *mantissa, GLint *exponent )
40 java.nio.IntBuffer mantissa,
  /frameworks/base/opengl/java/javax/microedition/khronos/opengles/
GL10Ext.java 25 int[] mantissa,
32 java.nio.IntBuffer mantissa,
  /frameworks/base/core/jni/
android_opengl_GLES10Ext.cpp 127 /* GLbitfield glQueryMatrixxOES ( GLfixed *mantissa, GLint *exponent ) */
135 GLfixed *mantissa = (GLfixed *) 0; local
142 _env->ThrowNew(IAEClass, "mantissa == null");
158 mantissa = mantissa_base + mantissaOffset;
181 (GLfixed *)mantissa,
197 /* GLbitfield glQueryMatrixxOES ( GLfixed *mantissa, GLint *exponent ) */
206 GLfixed *mantissa = (GLfixed *) 0; local
210 mantissa = (GLfixed *)getPointer(_env, mantissa_buf, &_mantissaArray, &_mantissaRemaining);
223 (GLfixed *)mantissa,
232 releasePointer(_env, _exponentArray, mantissa, _exception ? JNI_FALSE : JNI_TRUE)
    [all...]
  /frameworks/base/voip/jni/rtp/
G711Codec.cpp 61 int mantissa = (sample >> (exponent + 3)) & 0x0F; local
62 ulaws[i] = ~(sign | (exponent << 4) | mantissa);
73 int mantissa = ulaw & 0x0F; local
74 int sample = (((mantissa << 3) + 132) << exponent) - 132;
108 int mantissa = (sample >> (exponent == 0 ? 4 : exponent + 3)) & 0x0F; local
109 alaws[i] = (sign | (exponent << 4) | mantissa) ^ 0xD5;
120 int mantissa = alaw & 0x0F; local
121 int sample = (exponent == 0 ? (mantissa << 4) + 8 :
122 ((mantissa << 3) + 132) << exponent);
  /external/chromium/base/
rand_util.cc 28 // in the target type's mantissa, and raising it to an appropriate power to
29 // produce output in the range [0, 1). For IEEE 754 doubles, the mantissa
  /prebuilt/linux-x86/toolchain/i686-linux-glibc2.7-4.4.3/sysroot/usr/include/
ieee754.h 38 unsigned int mantissa:23; member in struct:ieee754_float::__anon14246
41 unsigned int mantissa:23; member in struct:ieee754_float::__anon14246
54 unsigned int mantissa:22; member in struct:ieee754_float::__anon14247
57 unsigned int mantissa:22; member in struct:ieee754_float::__anon14247
78 /* Together these comprise the mantissa. */
89 /* Together these comprise the mantissa. */
105 /* Together these comprise the mantissa. */
116 /* Together these comprise the mantissa. */
  /libcore/luni/src/main/native/
cbigint.h 30 inf == (all exponent bits set) and (all mantissa bits clear)
31 nan == (all exponent bits set) and (at least one mantissa bit set)
33 zero == (all exponent bits clear) and (all mantissa bits clear)
34 denormal == (all exponent bits clear) and (at least one mantissa bit set)
cbigint.cpp 571 uint64_t mantissa, test64; local
590 mantissa = *arg << highBit;
592 CREATE_DOUBLE_BITS (mantissa, -highBit);
597 mantissa = *arg >> highBit;
599 CREATE_DOUBLE_BITS (mantissa, highBit);
603 if (test > 0x400 || ((test == 0x400) && (mantissa & 1)))
615 mantissa =
621 mantissa = arg[length];
624 CREATE_DOUBLE_BITS (mantissa, length * 64 - highBit);
628 if (test64 > SIGN_MASK || ((test64 == SIGN_MASK) && (mantissa & 1))
    [all...]
  /external/bluetooth/glib/docs/reference/glib/tmpl/
numerical.sgml 16 sign, mantissa and exponent of IEEE floats and doubles. These
47 sign, mantissa and exponent of IEEE floats and doubles. These
58 sign, mantissa and exponent of IEEE floats and doubles. These
  /external/libgsm/src/
table.c 54 /* Table 4.5 Normalized inverse mantissa used to compute xM/xmax
60 /* Table 4.6 Normalized direct mantissa used to compute xM/xmax
  /frameworks/base/opengl/libagl/
fixed_asm.S 39 mov r2, r0, lsl #8 /* mantissa<<8 */
55 mov r2, r0, lsl #8 /* mantissa<<8 */
  /frameworks/base/media/libstagefright/codecs/g711/dec/
G711Decoder.cpp 200 int32_t mantissa = ~x; local
201 int32_t exponent = (mantissa >> 4) & 7;
203 mantissa &= 0x0f;
207 int32_t abs = (0x80l << exponent) + step * mantissa + step / 2 - 4 * 33;
  /libcore/luni/src/main/java/java/math/
Conversion.java 436 * Take 53 bits from lVal to mantissa. The least significant bit is
439 long mantissa = lVal & 0x1FFFFFFFFFFFFFL; local
441 if (mantissa == 0X1FFFFFFFFFFFFFL) {
445 if (mantissa == 0x1FFFFFFFFFFFFEL) {
449 // Round the mantissa
450 if (((mantissa & 1) == 1)
451 && (((mantissa & 2) == 2) || BitLevel.nonZeroDroppedBits(delta,
453 mantissa += 2;
455 mantissa >>= 1; // drop the rounding bit
458 long result = resSign | exponent | mantissa;
    [all...]
BigDecimal.java 502 long mantissa; local
506 // Extracting the 52 bits of the mantissa.
507 mantissa = (scale == 1075) ? (bits & 0xFFFFFFFFFFFFFL) << 1
509 if (mantissa == 0) {
513 // To simplify all factors '2' in the mantissa
515 trailingZeros = Math.min(scale, Long.numberOfTrailingZeros(mantissa));
516 mantissa >>>= trailingZeros;
521 mantissa = -mantissa;
523 int mantissaBits = bitLength(mantissa);
2641 BigInteger mantissa; local
    [all...]
  /bionic/libm/i387/
npx.h 59 u_long fp_mantlo; /* mantissa low (31:0) */
60 u_long fp_manthi; /* mantissa high (63:32) */
62 int fp_sgn:1; /* mantissa sign */
  /frameworks/base/core/java/android/util/
TypedValue.java 116 * place appears in the mantissa. */
123 /** Complex data: the mantissa is an integral number -- i.e., 0xnnnnnn.0 */
125 /** Complex data: the mantissa magnitude is 16 bits -- i.e, 0xnnnn.nn */
127 /** Complex data: the mantissa magnitude is 8 bits -- i.e, 0xnn.nnnn */
129 /** Complex data: the mantissa magnitude is 0 bits -- i.e, 0x0.nnnnnn */
132 /** Complex data: bit location of mantissa information. */
134 /** Complex data: mask to extract mantissa information (after shifting by
220 * mantissa.
244 * mantissa.
271 * mantissa
    [all...]
  /bionic/libm/bsdsrc/
mathimpl.h 46 * TRUNC() is a macro that sets the trailing 27 bits in the mantissa of an
  /external/chromium/third_party/icu/source/i18n/
nfrs.h 83 // convert mantissa portion of double to int64
  /external/icu4c/i18n/
nfrs.h 83 // convert mantissa portion of double to int64
  /external/v8/test/mjsunit/regress/
regress-155924.js 28 // A HeapNumber with certain bits in the mantissa of the floating point
  /external/webkit/WebCore/platform/graphics/cg/
PatternCG.cpp 66 // To make error of floating point less than 0.5, we use the half of the number of mantissa of float (1 << 22).

Completed in 1523 milliseconds

1 2 3 4 5 6