HomeSort by relevance Sort by last modified time
    Searched refs:SignExtend32 (Results 1 - 3 of 3) sorted by null

  /external/llvm/lib/Target/Mips/Disassembler/
MipsDisassembler.cpp 422 int Offset = SignExtend32<16>(Insn & 0xffff);
441 int Offset = SignExtend32<16>(Insn & 0xffff);
500 BranchOffset = SignExtend32<18>(BranchOffset << 2) + 4;
510 BranchOffset = SignExtend32<18>(BranchOffset << 2) + 4;
530 Inst.addOperand(MCOperand::CreateImm(SignExtend32<16>(Insn)));
541 Inst.addOperand(MCOperand::CreateImm(SignExtend32<16>(Size)));
550 Inst.addOperand(MCOperand::CreateImm(SignExtend32<16>(Size)));
  /external/llvm/include/llvm/Support/
MathExtras.h 460 /// SignExtend32 - Sign extend B-bit number x to 32-bit int.
461 /// Usage int32_t r = SignExtend32<5>(x);
462 template <unsigned B> inline int32_t SignExtend32(uint32_t x) {
  /external/llvm/lib/Target/ARM/Disassembler/
ARMDisassembler.cpp     [all...]

Completed in 1484 milliseconds