Home | History | Annotate | Download | only in mips

Lines Matching refs:array_length

4015   Register array_length = a2;
4037 __ lw(array_length, FieldMemOperand(array, JSArray::kLengthOffset));
4038 __ SmiUntag(array_length);
4039 __ Branch(&non_trivial_array, ne, array_length, Operand(zero_reg));
4055 __ sll(elements_end, array_length, kPointerSizeLog2);
4060 // array_length: Length of the fixed array of strings (not smi)
4067 array_length, Operand(zero_reg));
4081 // If array_length is 1, return elements[0], a string.
4082 __ Branch(&not_size_one_array, ne, array_length, Operand(1));
4090 // array_length: Length of the array.
4100 // Add (separator length times array_length) - separator length to the
4101 // string_length to get the length of the result string. array_length is not
4105 __ Mult(array_length, scratch1);
4127 // array_length: Length of the array.
4137 __ sll(elements_end, array_length, kPointerSizeLog2);
4139 result_pos = array_length; // End of live range for array_length.
4140 array_length = no_reg;