HomeSort by relevance Sort by last modified time
    Searched refs:Interpolation (Results 1 - 25 of 45) sorted by null

1 2

  /external/libgdx/gdx/src/com/badlogic/gdx/scenes/scene2d/actions/
TemporalAction.java 19 import com.badlogic.gdx.math.Interpolation;
27 private Interpolation interpolation; field in class:TemporalAction
37 public TemporalAction (float duration, Interpolation interpolation) {
39 this.interpolation = interpolation;
58 if (interpolation != null) percent = interpolation.apply(percent);
96 interpolation = null;
    [all...]
Actions.java 20 import com.badlogic.gdx.math.Interpolation;
74 static public MoveToAction moveTo (float x, float y, float duration, Interpolation interpolation) {
78 action.setInterpolation(interpolation);
90 static public MoveToAction moveToAligned (float x, float y, int alignment, float duration, Interpolation interpolation) {
94 action.setInterpolation(interpolation);
107 static public MoveByAction moveBy (float amountX, float amountY, float duration, Interpolation interpolation) {
111 action.setInterpolation(interpolation);
    [all...]
  /external/libgdx/gdx/src/com/badlogic/gdx/math/
Interpolation.java 21 public abstract class Interpolation {
32 static public final Interpolation linear = new Interpolation() {
38 static public final Interpolation fade = new Interpolation() {
62 static public final Interpolation sine = new Interpolation() {
68 static public final Interpolation sineIn = new Interpolation() {
74 static public final Interpolation sineOut = new Interpolation() {
    [all...]
Vector.java 111 * @param alpha The interpolation coefficient
115 /** Interpolates between this vector and the given target vector by alpha (within range [0,1]) using the given Interpolation
118 * @param alpha The interpolation coefficient
119 * @param interpolator An Interpolation object describing the used interpolation method
121 T interpolate (T target, float alpha, Interpolation interpolator);
  /external/opencv3/modules/cudawarping/perf/
perf_warping.cpp 92 DEF_PARAM_TEST(Sz_Depth_Cn_Inter_Border_Mode, cv::Size, MatDepth, MatCn, Interpolation, BorderMode, RemapMode);
98 Values(Interpolation(cv::INTER_NEAREST), Interpolation(cv::INTER_LINEAR), Interpolation(cv::INTER_CUBIC)),
107 const int interpolation = GET_PARAM(3); local
127 TEST_CYCLE() cv::cuda::remap(d_src, dst, d_xmap, d_ymap, interpolation, borderMode);
135 TEST_CYCLE() cv::remap(src, dst, xmap, ymap, interpolation, borderMode);
144 DEF_PARAM_TEST(Sz_Depth_Cn_Inter_Scale, cv::Size, MatDepth, MatCn, Interpolation, double);
150 Values(Interpolation(cv::INTER_NEAREST), Interpolation(cv::INTER_LINEAR), Interpolation(cv::INTER_CUBIC))
158 const int interpolation = GET_PARAM(3); local
201 const int interpolation = cv::INTER_AREA; local
245 const int interpolation = GET_PARAM(3); local
295 const int interpolation = GET_PARAM(3); local
342 const int interpolation = GET_PARAM(3); local
    [all...]
  /external/libgdx/gdx/src/com/badlogic/gdx/scenes/scene2d/utils/
DragScrollListener.java 19 import com.badlogic.gdx.math.Interpolation;
30 Interpolation interpolation = Interpolation.exp5In; field in class:DragScrollListener
57 return interpolation.apply(minSpeed, maxSpeed, Math.min(1, (System.currentTimeMillis() - startTime) / (float)rampTime));
  /external/opencv3/modules/cudawarping/test/
test_resize.cpp 76 void resizeGold(const cv::Mat& src, cv::Mat& dst, double fx, double fy, int interpolation)
113 funcs[interpolation][src.depth()](src, dst, fx, fy);
120 PARAM_TEST_CASE(Resize, cv::cuda::DeviceInfo, cv::Size, MatType, double, Interpolation, UseRoi)
125 int interpolation; local
135 interpolation = GET_PARAM(4);
147 cv::cuda::resize(loadMat(src, useRoi), dst, cv::Size(), coeff, coeff, interpolation); local
150 resizeGold(src, dst_gold, coeff, coeff, interpolation);
160 testing::Values(Interpolation(cv::INTER_NEAREST), Interpolation(cv::INTER_LINEAR), Interpolation(cv::INTER_CUBIC))
170 int interpolation; local
193 cv::cuda::resize(loadMat(src, useRoi), dst, cv::Size(), coeff, coeff, interpolation); local
    [all...]
test_warp_affine.cpp 89 int interpolation = cv::INTER_NEAREST; local
91 int flags = interpolation;
96 cv::remap(src, dst, cv::Mat(xmap), cv::Mat(ymap), interpolation, borderMode);
133 void warpAffineGold(const cv::Mat& src, const cv::Mat& M, bool inverse, cv::Size dsize, cv::Mat& dst, int interpolation, int borderType, cv::Scalar borderVal)
170 funcs[interpolation][src.depth()](src, M, dsize, dst, borderType, borderVal);
175 funcs[interpolation][src.depth()](src, iM, dsize, dst, borderType, borderVal);
183 PARAM_TEST_CASE(WarpAffine, cv::cuda::DeviceInfo, cv::Size, MatType, Inverse, Interpolation, BorderType, UseRoi)
189 int interpolation; local
199 interpolation = GET_PARAM(4);
211 int flags = interpolation;
242 int interpolation; local
    [all...]
test_warp_perspective.cpp 90 int interpolation = cv::INTER_NEAREST; local
92 int flags = interpolation;
97 cv::remap(src, dst, cv::Mat(xmap), cv::Mat(ymap), interpolation, borderMode);
136 void warpPerspectiveGold(const cv::Mat& src, const cv::Mat& M, bool inverse, cv::Size dsize, cv::Mat& dst, int interpolation, int borderType, cv::Scalar borderVal)
173 funcs[interpolation][src.depth()](src, M, dsize, dst, borderType, borderVal);
178 funcs[interpolation][src.depth()](src, iM, dsize, dst, borderType, borderVal);
186 PARAM_TEST_CASE(WarpPerspective, cv::cuda::DeviceInfo, cv::Size, MatType, Inverse, Interpolation, BorderType, UseRoi)
192 int interpolation; local
202 interpolation = GET_PARAM(4);
214 int flags = interpolation;
245 int interpolation; local
    [all...]
test_remap.cpp 72 void remapGold(const cv::Mat& src, const cv::Mat& xmap, const cv::Mat& ymap, cv::Mat& dst, int interpolation, int borderType, cv::Scalar borderVal)
108 funcs[interpolation][src.depth()](src, xmap, ymap, dst, borderType, borderVal);
115 PARAM_TEST_CASE(Remap, cv::cuda::DeviceInfo, cv::Size, MatType, Interpolation, BorderType, UseRoi)
120 int interpolation; local
132 interpolation = GET_PARAM(3);
164 cv::cuda::remap(loadMat(src, useRoi), dst, loadMat(xmap, useRoi), loadMat(ymap, useRoi), interpolation, borderType, val); local
167 remapGold(src, xmap, ymap, dst_gold, interpolation, borderType, val);
176 testing::Values(Interpolation(cv::INTER_NEAREST), Interpolation(cv::INTER_LINEAR), Interpolation(cv::INTER_CUBIC))
    [all...]
  /external/libgdx/gdx/src/com/badlogic/gdx/scenes/scene2d/ui/
TooltipManager.java 19 import static com.badlogic.gdx.math.Interpolation.*;
24 import com.badlogic.gdx.math.Interpolation;
123 tooltip.container.addAction(parallel(fadeIn(actionTime, fade), scaleTo(1, 1, actionTime, Interpolation.fade)));
130 .addAction(sequence(parallel(alpha(0.2f, 0.2f, fade), scaleTo(0.05f, 0.05f, 0.2f, Interpolation.fade)), removeActor()));
Slider.java 21 import com.badlogic.gdx.math.Interpolation;
41 private Interpolation visualInterpolationInverse = Interpolation.linear;
157 /** Sets the inverse interpolation to use for display. This should perform the inverse of the
158 * {@link #setVisualInterpolation(Interpolation) visual interpolation}. */
159 public void setVisualInterpolationInverse (Interpolation interpolation) {
160 this.visualInterpolationInverse = interpolation;
ProgressBar.java 25 import com.badlogic.gdx.math.Interpolation;
51 private Interpolation animateInterpolation = Interpolation.linear;
56 private Interpolation visualInterpolation = Interpolation.linear;
310 /** Sets the interpolation to use for {@link #setAnimateDuration(float)}. */
311 public void setAnimateInterpolation (Interpolation animateInterpolation) {
316 /** Sets the interpolation to use for display. */
317 public void setVisualInterpolation (Interpolation interpolation) {
    [all...]
Dialog.java 22 import com.badlogic.gdx.math.Interpolation;
210 show(stage, sequence(Actions.alpha(0), Actions.fadeIn(0.4f, Interpolation.fade)));
238 hide(sequence(fadeOut(0.4f, Interpolation.fade), Actions.removeListener(ignoreTouchDown, true), Actions.removeActor()));
  /external/opencv3/modules/imgproc/test/ocl/
test_warp.cpp 70 PARAM_TEST_CASE(WarpTestBase, MatType, Interpolation, bool, bool)
72 int type, interpolation; local
83 interpolation = GET_PARAM(1);
89 interpolation |= WARP_INVERSE_MAP;
130 OCL_OFF(cv::warpAffine(src_roi, dst_roi, M, dsize, interpolation));
131 OCL_ON(cv::warpAffine(usrc_roi, udst_roi, M, dsize, interpolation));
157 OCL_OFF(cv::warpPerspective(src_roi, dst_roi, M, dsize, interpolation));
158 OCL_ON(cv::warpPerspective(usrc_roi, udst_roi, M, dsize, interpolation));
168 PARAM_TEST_CASE(Resize, MatType, double, double, Interpolation, bool, int)
170 int type, interpolation;
    [all...]
  /external/libgdx/tests/gdx-tests/src/com/badlogic/gdx/tests/
InterpolationTest.java 27 import com.badlogic.gdx.math.Interpolation;
59 /** @return the {@link #position} with the {@link #selectedInterpolation interpolation} applied */
68 /** @return the {@link #selectedInterpolation selected} interpolation */
69 private Interpolation getInterpolation (String name) {
71 return (Interpolation)ClassReflection.getField(Interpolation.class, name).get(null);
87 Field[] interpolationFields = ClassReflection.getFields(Interpolation.class);
92 if (ClassReflection.isAssignableFrom(Interpolation.class, interpolationFields[i].getDeclaringClass()))
95 // get interpolation names
98 if (ClassReflection.isAssignableFrom(Interpolation.class, interpolationFields[i].getDeclaringClass()))
159 Interpolation interpolation = getInterpolation(selectedInterpolation); local
    [all...]
Vector2dTest.java 25 import com.badlogic.gdx.math.Interpolation;
45 private Interpolation interpolator = Interpolation.swing;
NinePatchTest.java 28 import com.badlogic.gdx.math.Interpolation;
180 b.setColor(1, 1, 1, Interpolation.sine.apply(timePassed / 2f));
  /external/opencv3/modules/ts/include/opencv2/ts/
cuda_perf.hpp 56 #define ALL_INTERPOLATIONS Interpolation::all()
59 CV_ENUM(Interpolation, INTER_NEAREST, INTER_LINEAR, INTER_CUBIC, INTER_AREA)
  /external/pdfium/third_party/lcms2-2.6/src/
cmsintrp.c 29 // This module incorporates several interpolation routines, for 1 to 8 channels on input and
30 // up to 65535 channels on output. The user may change those by using the interpolation plug-in
32 // Interpolation routines by default
38 // The interpolation plug-in memory chunk allocator/dup
77 // Set the interpolation method
82 p ->Interpolation.Lerp16 = NULL;
86 p ->Interpolation = ptr->Interpolators(p -> nInputs, p ->nOutputs, p ->dwFlags);
90 if (p ->Interpolation.Lerp16 == NULL)
91 p ->Interpolation = DefaultInterpolatorsFactory(p ->nInputs, p ->nOutputs, p ->dwFlags);
94 if (p ->Interpolation.Lerp16 == NULL)
    [all...]
  /external/deqp/framework/opengl/
gluVarType.hpp 184 enum Interpolation
192 const char* getInterpolationName (Interpolation interpolation);
258 VariableDeclaration (const VarType& varType_, const std::string& name_, Storage storage_ = STORAGE_LAST, Interpolation interpolation_ = INTERPOLATION_LAST, const Layout& layout_ = Layout(), deUint32 memoryAccessQualifierBits_ = 0);
264 Interpolation interpolation; member in struct:glu::VariableDeclaration
  /external/libgdx/gdx/src/com/badlogic/gdx/graphics/g3d/particles/influencers/
SimpleInfluencer.java 11 * It handles the interpolation through time using {@link ScaledNumericValue}.
37 ParticleChannels.Interpolation.id = controller.particleChannels.newId();
38 interpolationChannel = controller.particles.addChannel(ParticleChannels.Interpolation);
ColorInfluencer.java 69 ParticleChannels.Interpolation.id = controller.particleChannels.newId();
70 alphaInterpolationChannel = controller.particles.addChannel(ParticleChannels.Interpolation);
  /external/libavc/common/arm/
ih264_inter_pred_luma_horz_qpel_a9q.s 26 @* Contains function definitions for inter prediction horizontal quarter pel interpolation.
53 @* sec 8.4.2.2.1 titled "Luma sample interpolation process"
172 vld1.32 {d12, d13}, [r7], r2 @Load value for interpolation (column1,row0)
176 vrhadd.u8 q10, q6, q10 @Interpolation step for qpel calculation
181 vld1.32 {d12, d13}, [r7], r2 @Load value for interpolation (column1,row1)
182 vrhadd.u8 q9, q6, q9 @Interpolation step for qpel calculation
215 vld1.32 d12, [r7], r2 @Load value for interpolation (column1,row0)
216 vld1.32 d13, [r7], r2 @Load value for interpolation (column1,row1)
218 vrhadd.u8 q9, q6, q9 @Interpolation step for qpel calculation
243 vld1.32 d12, [r7], r2 @Load value for interpolation (column1,row0
    [all...]
ih264_inter_pred_luma_vert_qpel_a9q.s 26 @* Contains function definitions for inter prediction vertical quarter pel interpolation.
51 @* sec 8.4.2.2.1 titled "Luma sample interpolation process"
158 vld1.u32 {q10}, [r7], r2 @ Load for interpolation row 0
159 vrhadd.u8 q15, q10, q15 @ Interpolation to obtain qpel value
176 vld1.u32 {q7}, [r7], r2 @ Load for interpolation row 1
178 vrhadd.u8 q15, q7, q15 @ Interpolation to obtain qpel value
191 vld1.u32 {q8}, [r7], r2 @ Load for interpolation row 2
193 vrhadd.u8 q15, q8, q15 @ Interpolation to obtain qpel value
202 vld1.u32 {q9}, [r7], r2 @ Load for interpolation row 3
204 vrhadd.u8 q15, q9, q15 @ Interpolation to obtain qpel valu
    [all...]

Completed in 229 milliseconds

1 2