HomeSort by relevance Sort by last modified time
    Searched refs:dither (Results 26 - 50 of 140) sorted by null

12 3 4 5 6

  /external/skia/src/opts/
SkBlitRow_opts_SSE2.cpp 829 unsigned dither = DITHER_VALUE(x); local
830 *dst++ = SkDitherRGB32To565(c, dither);
836 __m128i dither; local
921 unsigned dither = DITHER_VALUE(x); local
969 __m128i dither, dither_cur; local
    [all...]
SkBlitRow_opts_arm_neon.cpp 726 /* dither matrix for Neon, derived from gDitherMatrix_3Bit_16.
727 * each dither value is spaced out into byte lanes, and repeated
749 /* select row and offset for dither array */
752 uint8x8_t vdither = vld1_u8(dstart); // load dither values
753 uint8x8_t vdither_g = vshr_n_u8(vdither, 1); // calc. green dither values
770 // Load source and add dither
857 int dither = DITHER_VALUE(x); local
1420 unsigned dither = DITHER_VALUE(x); local
1527 unsigned dither = DITHER_VALUE(x); local
    [all...]
  /external/mesa3d/src/gallium/state_trackers/vega/
image.h 80 VGboolean dither);
api_images.c 275 VGboolean dither)
291 width, height, dither); local
  /external/skia/gm/
gradients_no_texture.cpp 83 GradientsNoTextureGM(bool dither) : fDither(dither) {
224 GradientsManyColorsGM(bool dither) : fDither(dither) {}
gradients_2pt_conical.cpp 308 ConicalGradientsGM(GradCaseType gradCaseType, bool dither)
310 , fDither(dither) {
  /system/core/libpixelflinger/codeflinger/
load_store.cpp 229 pixel_t& d, int component, component_t s, const reg_t& dither)
248 // see if we need to dither
333 if (shift>0) ADD(AL, 0, ireg, ireg, reg_imm(dither.reg, LSR, shift));
334 else if (shift<0) ADD(AL, 0, ireg, ireg, reg_imm(dither.reg, LSL,-shift));
335 else ADD(AL, 0, ireg, ireg, dither.reg);
GGLAssembler.cpp 207 // update the dither index.
264 parts.dither = reg_t(regs.obtain());
265 AND(AL, 0, parts.dither.reg, parts.count.reg, imm(mask));
266 ADDR_ADD(AL, 0, parts.dither.reg, ctxtReg, parts.dither.reg);
267 LDRB(AL, parts.dither.reg, parts.dither.reg,
373 // DD = dither offset
477 downshift(pixel, component, temp, parts.dither);
    [all...]
  /external/ImageMagick/MagickCore/
image.h 270 dither; /* dithering on/off */ member in struct:_Image
423 dither, /* dither enable-disable */ member in struct:_ImageInfo
  /external/skia/src/effects/gradients/
SkLinearGradient.cpp 619 const float dither[2]) {
620 Sk4f dither0(dither[0]);
621 Sk4f dither1(dither[1]);
729 // Default our dither bias values to 1/2, (rounding), which is no dithering
744 const float dither[2] = { dither0, dither1 }; local
760 this->shade4_dx_clamp<true, true>(dstC, count, fx, dx, invDx, dither);
762 this->shade4_dx_clamp<false, true>(dstC, count, fx, dx, invDx, dither);
766 this->shade4_dx_clamp<true, false>(dstC, count, fx, dx, invDx, dither);
768 this->shade4_dx_clamp<false, false>(dstC, count, fx, dx, invDx, dither);
  /frameworks/base/libs/hwui/
Caches.cpp 56 , dither(*this)
245 dither.clear();
  /frameworks/support/compat/gingerbread/android/support/v4/graphics/drawable/
DrawableWrapperGingerbread.java 111 public void setDither(boolean dither) {
112 mDrawable.setDither(dither);
  /frameworks/support/core-utils/gingerbread/android/support/v4/graphics/drawable/
RoundedBitmapDrawable.java 211 public void setDither(boolean dither) {
212 mPaint.setDither(dither);
  /frameworks/base/graphics/java/android/graphics/drawable/
NinePatchDrawable.java 360 public void setDither(boolean dither) {
362 if (mPaint == null && dither == DEFAULT_DITHER) {
363 // Fast common case -- leave at default dither.
367 getPaint().setDither(dither);
607 @Nullable Rect opticalInsets, boolean dither, boolean autoMirror) {
611 mDither = dither;
  /external/mesa3d/src/gallium/include/pipe/
p_state.h 272 unsigned dither:1; member in struct:pipe_blend_state
  /external/webp/src/utils/
quant_levels_dec.c 137 const uint8_t* const dither = kOrderedDither[p->row_ % DSIZE]; local
146 dst[x] = clip_8b(c + dither[x % DSIZE]);
  /external/libjpeg-turbo/
jdcolor.c 594 #define DITHER_565_R(r, dither) ((r) + ((dither) & 0xFF))
595 #define DITHER_565_G(g, dither) ((g) + (((dither) & 0xFF) >> 1))
596 #define DITHER_565_B(b, dither) ((b) + ((dither) & 0xFF))
601 * We use a 4x4 ordered dither array packed into 32 bits. This array is
jquant1.c 57 * We use a standard 16x16 ordered dither array. The basic concept of ordered
61 * "dither" value to the input pixel and then round the result to the nearest
62 * output value. The dither value is equivalent to (0.5 - threshold) times
65 * worse, since the dither may be too much or too little at a given point.
67 * The normal calculation would be to form pixel value + dither, range-limit
73 #define ODITHER_SIZE 16 /* dimension of dither matrix */
82 /* Bayer's order-4 dither array. Generated by the code given in
158 int row_index; /* cur row's vertical index in dither matrix */
159 ODITHER_MATRIX_PTR odither[MAX_Q_COMPS]; /* one dither array per component */
341 /* For ordered dither, we pad the color index tables by MAXJSAMPLE i
525 int * dither; \/* points to active row of dither matrix *\/ local
    [all...]
  /external/opencv3/3rdparty/libjpeg/
jquant1.c 56 * We use a standard 16x16 ordered dither array. The basic concept of ordered
60 * "dither" value to the input pixel and then round the result to the nearest
61 * output value. The dither value is equivalent to (0.5 - threshold) times
64 * worse, since the dither may be too much or too little at a given point.
66 * The normal calculation would be to form pixel value + dither, range-limit
72 #define ODITHER_SIZE 16 /* dimension of dither matrix */
81 /* Bayer's order-4 dither array. Generated by the code given in
160 int row_index; /* cur row's vertical index in dither matrix */
161 ODITHER_MATRIX_PTR odither[MAX_Q_COMPS]; /* one dither array per component */
340 /* For ordered dither, we pad the color index tables by MAXJSAMPLE i
524 int * dither; \/* points to active row of dither matrix *\/ local
    [all...]
  /external/skia/bench/
GradientBench.cpp 221 GradientBench(GradType gradType, GradData data, bool dither, bool force4f = false)
228 if (dither) {
236 fPaint.setDither(dither);
  /external/ImageMagick/scripts/
xsnap 168 checkbutton .options.processing.checks.dither -text "Dither" -width 11 \
169 -anchor w -variable snap(dither)
176 pack .options.processing.checks.dither .options.processing.checks.negate \
453 set option +dither
454 if {$snap(dither)} {
455 set option -dither
585 set snap(dither) 1
  /external/dng_sdk/source/
dng_utils.cpp 422 static dng_dither dither; local
424 return dither;
  /external/mesa3d/src/mesa/state_tracker/
st_atom_blend.c 267 blend->dither = 1;
  /external/skia/src/images/
SkScaledBitmapSampler.cpp 30 // Dither, unpremul, and skipZeroes have no effect
47 // Dither, unpremul, and skipZeroes have no effect
99 // Dither has no effect.
194 // Unpremul, dither, and skip zeroes have no effect
402 // Dither makes no difference
553 // Ignore dither and skip zeroes
575 // Ignore skip and dither.
791 // Each column represents a different combination of dither and unpremul.
792 // D = dither ~D = no dither
    [all...]
  /system/core/libpixelflinger/
scanline.cpp 192 * dither means we dither 32 bit values to 16 bits
204 "565 fb, 8888 tx, blend SRC_OVER dither", scanline_t32cb16blend_dither, init_y_noop },
208 "565 fb, 8888 tx, SRC dither", scanline_t32cb16_dither, init_y_noop },
219 "565 fb, 8888 tx, SRC_OVER clamp dither", scanline_t32cb16blend_clamp_dither, init_y },
230 "565 fb, 8888 tx, SRC_OVER clamp modulate dither", scanline_t32cb16blend_clamp_mod_dither, init_y },
233 "565 fb, x888 tx, SRC_OVER clamp modulate dither", scanline_x32cb16blend_clamp_mod_dither, init_y },
242 "565 fb, 8888 tx, SRC clamp dither", scanline_t32cb16_clamp_dither, init_y },
245 "565 fb, x888 tx, SRC clamp dither", scanline_t32cb16_clamp_dither, init_y },
    [all...]

Completed in 1989 milliseconds

12 3 4 5 6