Home | History | Annotate | Download | only in mips
      1 #objdump: -dr -mmips:4000
      2 #as: -mips3 -mtune=r4000 -mabi=64
      3 #name: mips16-64
      4 #source: mips16.s
      5 
      6 # Test the mips16 instruction set.
      7 
      8 .*: +file format .*mips.*
      9 
     10 Disassembly of section .text:
     11 
     12 0+000000 <data1>:
     13    0:	00000000 	nop
     14 
     15 0+000004 <insns1>:
     16    4:	3b40      	ld	v0,0\(v1\)
     17    6:	f000 3b41 	ld	v0,1\(v1\)
     18    a:	f000 3b42 	ld	v0,2\(v1\)
     19    e:	f000 3b43 	ld	v0,3\(v1\)
     20   12:	f000 3b44 	ld	v0,4\(v1\)
     21   16:	3b41      	ld	v0,8\(v1\)
     22   18:	3b42      	ld	v0,16\(v1\)
     23   1a:	3b44      	ld	v0,32\(v1\)
     24   1c:	3b48      	ld	v0,64\(v1\)
     25   1e:	3b50      	ld	v0,128\(v1\)
     26   20:	f100 3b40 	ld	v0,256\(v1\)
     27   24:	f200 3b40 	ld	v0,512\(v1\)
     28   28:	f400 3b40 	ld	v0,1024\(v1\)
     29   2c:	f001 3b40 	ld	v0,2048\(v1\)
     30   30:	f7ff 3b5f 	ld	v0,-1\(v1\)
     31   34:	f7ff 3b5e 	ld	v0,-2\(v1\)
     32   38:	f7ff 3b5d 	ld	v0,-3\(v1\)
     33   3c:	f7ff 3b5c 	ld	v0,-4\(v1\)
     34   40:	f7ff 3b58 	ld	v0,-8\(v1\)
     35   44:	f7ff 3b50 	ld	v0,-16\(v1\)
     36   48:	f7ff 3b40 	ld	v0,-32\(v1\)
     37   4c:	f7df 3b40 	ld	v0,-64\(v1\)
     38   50:	f79f 3b40 	ld	v0,-128\(v1\)
     39   54:	f71f 3b40 	ld	v0,-256\(v1\)
     40   58:	f61f 3b40 	ld	v0,-512\(v1\)
     41   5c:	f41f 3b40 	ld	v0,-1024\(v1\)
     42   60:	f01f 3b40 	ld	v0,-2048\(v1\)
     43   64:	f7bf fc40 	ld	v0,0 <data1>
     44   68:	f6a0 fc54 	ld	v0,71c <data2>
     45   6c:	f001 fc40 	ld	v0,868 <bar>
     46   70:	f0c1 fc40 	ld	v0,930 <quux>
     47   74:	f840      	ld	v0,0\(sp\)
     48   76:	f000 f841 	ld	v0,1\(sp\)
     49   7a:	f000 f842 	ld	v0,2\(sp\)
     50   7e:	f000 f843 	ld	v0,3\(sp\)
     51   82:	f000 f844 	ld	v0,4\(sp\)
     52   86:	f841      	ld	v0,8\(sp\)
     53   88:	f842      	ld	v0,16\(sp\)
     54   8a:	f844      	ld	v0,32\(sp\)
     55   8c:	f848      	ld	v0,64\(sp\)
     56   8e:	f850      	ld	v0,128\(sp\)
     57   90:	f100 f840 	ld	v0,256\(sp\)
     58   94:	f200 f840 	ld	v0,512\(sp\)
     59   98:	f400 f840 	ld	v0,1024\(sp\)
     60   9c:	f001 f840 	ld	v0,2048\(sp\)
     61   a0:	f7ff f85f 	ld	v0,-1\(sp\)
     62   a4:	f7ff f85e 	ld	v0,-2\(sp\)
     63   a8:	f7ff f85d 	ld	v0,-3\(sp\)
     64   ac:	f7ff f85c 	ld	v0,-4\(sp\)
     65   b0:	f7ff f858 	ld	v0,-8\(sp\)
     66   b4:	f7ff f850 	ld	v0,-16\(sp\)
     67   b8:	f7ff f840 	ld	v0,-32\(sp\)
     68   bc:	f7df f840 	ld	v0,-64\(sp\)
     69   c0:	f79f f840 	ld	v0,-128\(sp\)
     70   c4:	f71f f840 	ld	v0,-256\(sp\)
     71   c8:	f61f f840 	ld	v0,-512\(sp\)
     72   cc:	f41f f840 	ld	v0,-1024\(sp\)
     73   d0:	f01f f840 	ld	v0,-2048\(sp\)
     74   d4:	bb40      	lwu	v0,0\(v1\)
     75   d6:	f000 bb41 	lwu	v0,1\(v1\)
     76   da:	f000 bb42 	lwu	v0,2\(v1\)
     77   de:	f000 bb43 	lwu	v0,3\(v1\)
     78   e2:	bb41      	lwu	v0,4\(v1\)
     79   e4:	bb42      	lwu	v0,8\(v1\)
     80   e6:	bb44      	lwu	v0,16\(v1\)
     81   e8:	bb48      	lwu	v0,32\(v1\)
     82   ea:	bb50      	lwu	v0,64\(v1\)
     83   ec:	f080 bb40 	lwu	v0,128\(v1\)
     84   f0:	f100 bb40 	lwu	v0,256\(v1\)
     85   f4:	f200 bb40 	lwu	v0,512\(v1\)
     86   f8:	f400 bb40 	lwu	v0,1024\(v1\)
     87   fc:	f001 bb40 	lwu	v0,2048\(v1\)
     88  100:	f7ff bb5f 	lwu	v0,-1\(v1\)
     89  104:	f7ff bb5e 	lwu	v0,-2\(v1\)
     90  108:	f7ff bb5d 	lwu	v0,-3\(v1\)
     91  10c:	f7ff bb5c 	lwu	v0,-4\(v1\)
     92  110:	f7ff bb58 	lwu	v0,-8\(v1\)
     93  114:	f7ff bb50 	lwu	v0,-16\(v1\)
     94  118:	f7ff bb40 	lwu	v0,-32\(v1\)
     95  11c:	f7df bb40 	lwu	v0,-64\(v1\)
     96  120:	f79f bb40 	lwu	v0,-128\(v1\)
     97  124:	f71f bb40 	lwu	v0,-256\(v1\)
     98  128:	f61f bb40 	lwu	v0,-512\(v1\)
     99  12c:	f41f bb40 	lwu	v0,-1024\(v1\)
    100  130:	f01f bb40 	lwu	v0,-2048\(v1\)
    101  134:	9b40      	lw	v0,0\(v1\)
    102  136:	f000 9b41 	lw	v0,1\(v1\)
    103  13a:	f000 9b42 	lw	v0,2\(v1\)
    104  13e:	f000 9b43 	lw	v0,3\(v1\)
    105  142:	9b41      	lw	v0,4\(v1\)
    106  144:	9b42      	lw	v0,8\(v1\)
    107  146:	9b44      	lw	v0,16\(v1\)
    108  148:	9b48      	lw	v0,32\(v1\)
    109  14a:	9b50      	lw	v0,64\(v1\)
    110  14c:	f080 9b40 	lw	v0,128\(v1\)
    111  150:	f100 9b40 	lw	v0,256\(v1\)
    112  154:	f200 9b40 	lw	v0,512\(v1\)
    113  158:	f400 9b40 	lw	v0,1024\(v1\)
    114  15c:	f001 9b40 	lw	v0,2048\(v1\)
    115  160:	f7ff 9b5f 	lw	v0,-1\(v1\)
    116  164:	f7ff 9b5e 	lw	v0,-2\(v1\)
    117  168:	f7ff 9b5d 	lw	v0,-3\(v1\)
    118  16c:	f7ff 9b5c 	lw	v0,-4\(v1\)
    119  170:	f7ff 9b58 	lw	v0,-8\(v1\)
    120  174:	f7ff 9b50 	lw	v0,-16\(v1\)
    121  178:	f7ff 9b40 	lw	v0,-32\(v1\)
    122  17c:	f7df 9b40 	lw	v0,-64\(v1\)
    123  180:	f79f 9b40 	lw	v0,-128\(v1\)
    124  184:	f71f 9b40 	lw	v0,-256\(v1\)
    125  188:	f61f 9b40 	lw	v0,-512\(v1\)
    126  18c:	f41f 9b40 	lw	v0,-1024\(v1\)
    127  190:	f01f 9b40 	lw	v0,-2048\(v1\)
    128  194:	f67f b20c 	lw	v0,0 <data1>
    129  198:	f580 b204 	lw	v0,71c <data2>
    130  19c:	f6c0 b20c 	lw	v0,868 <bar>
    131  1a0:	f780 b210 	lw	v0,930 <quux>
    132  1a4:	9200      	lw	v0,0\(sp\)
    133  1a6:	f000 9201 	lw	v0,1\(sp\)
    134  1aa:	f000 9202 	lw	v0,2\(sp\)
    135  1ae:	f000 9203 	lw	v0,3\(sp\)
    136  1b2:	9201      	lw	v0,4\(sp\)
    137  1b4:	9202      	lw	v0,8\(sp\)
    138  1b6:	9204      	lw	v0,16\(sp\)
    139  1b8:	9208      	lw	v0,32\(sp\)
    140  1ba:	9210      	lw	v0,64\(sp\)
    141  1bc:	9220      	lw	v0,128\(sp\)
    142  1be:	9240      	lw	v0,256\(sp\)
    143  1c0:	9280      	lw	v0,512\(sp\)
    144  1c2:	f400 9200 	lw	v0,1024\(sp\)
    145  1c6:	f001 9200 	lw	v0,2048\(sp\)
    146  1ca:	f7ff 921f 	lw	v0,-1\(sp\)
    147  1ce:	f7ff 921e 	lw	v0,-2\(sp\)
    148  1d2:	f7ff 921d 	lw	v0,-3\(sp\)
    149  1d6:	f7ff 921c 	lw	v0,-4\(sp\)
    150  1da:	f7ff 9218 	lw	v0,-8\(sp\)
    151  1de:	f7ff 9210 	lw	v0,-16\(sp\)
    152  1e2:	f7ff 9200 	lw	v0,-32\(sp\)
    153  1e6:	f7df 9200 	lw	v0,-64\(sp\)
    154  1ea:	f79f 9200 	lw	v0,-128\(sp\)
    155  1ee:	f71f 9200 	lw	v0,-256\(sp\)
    156  1f2:	f61f 9200 	lw	v0,-512\(sp\)
    157  1f6:	f41f 9200 	lw	v0,-1024\(sp\)
    158  1fa:	f01f 9200 	lw	v0,-2048\(sp\)
    159  1fe:	8b40      	lh	v0,0\(v1\)
    160  200:	f000 8b41 	lh	v0,1\(v1\)
    161  204:	8b41      	lh	v0,2\(v1\)
    162  206:	f000 8b43 	lh	v0,3\(v1\)
    163  20a:	8b42      	lh	v0,4\(v1\)
    164  20c:	8b44      	lh	v0,8\(v1\)
    165  20e:	8b48      	lh	v0,16\(v1\)
    166  210:	8b50      	lh	v0,32\(v1\)
    167  212:	f040 8b40 	lh	v0,64\(v1\)
    168  216:	f080 8b40 	lh	v0,128\(v1\)
    169  21a:	f100 8b40 	lh	v0,256\(v1\)
    170  21e:	f200 8b40 	lh	v0,512\(v1\)
    171  222:	f400 8b40 	lh	v0,1024\(v1\)
    172  226:	f001 8b40 	lh	v0,2048\(v1\)
    173  22a:	f7ff 8b5f 	lh	v0,-1\(v1\)
    174  22e:	f7ff 8b5e 	lh	v0,-2\(v1\)
    175  232:	f7ff 8b5d 	lh	v0,-3\(v1\)
    176  236:	f7ff 8b5c 	lh	v0,-4\(v1\)
    177  23a:	f7ff 8b58 	lh	v0,-8\(v1\)
    178  23e:	f7ff 8b50 	lh	v0,-16\(v1\)
    179  242:	f7ff 8b40 	lh	v0,-32\(v1\)
    180  246:	f7df 8b40 	lh	v0,-64\(v1\)
    181  24a:	f79f 8b40 	lh	v0,-128\(v1\)
    182  24e:	f71f 8b40 	lh	v0,-256\(v1\)
    183  252:	f61f 8b40 	lh	v0,-512\(v1\)
    184  256:	f41f 8b40 	lh	v0,-1024\(v1\)
    185  25a:	f01f 8b40 	lh	v0,-2048\(v1\)
    186  25e:	ab40      	lhu	v0,0\(v1\)
    187  260:	f000 ab41 	lhu	v0,1\(v1\)
    188  264:	ab41      	lhu	v0,2\(v1\)
    189  266:	f000 ab43 	lhu	v0,3\(v1\)
    190  26a:	ab42      	lhu	v0,4\(v1\)
    191  26c:	ab44      	lhu	v0,8\(v1\)
    192  26e:	ab48      	lhu	v0,16\(v1\)
    193  270:	ab50      	lhu	v0,32\(v1\)
    194  272:	f040 ab40 	lhu	v0,64\(v1\)
    195  276:	f080 ab40 	lhu	v0,128\(v1\)
    196  27a:	f100 ab40 	lhu	v0,256\(v1\)
    197  27e:	f200 ab40 	lhu	v0,512\(v1\)
    198  282:	f400 ab40 	lhu	v0,1024\(v1\)
    199  286:	f001 ab40 	lhu	v0,2048\(v1\)
    200  28a:	f7ff ab5f 	lhu	v0,-1\(v1\)
    201  28e:	f7ff ab5e 	lhu	v0,-2\(v1\)
    202  292:	f7ff ab5d 	lhu	v0,-3\(v1\)
    203  296:	f7ff ab5c 	lhu	v0,-4\(v1\)
    204  29a:	f7ff ab58 	lhu	v0,-8\(v1\)
    205  29e:	f7ff ab50 	lhu	v0,-16\(v1\)
    206  2a2:	f7ff ab40 	lhu	v0,-32\(v1\)
    207  2a6:	f7df ab40 	lhu	v0,-64\(v1\)
    208  2aa:	f79f ab40 	lhu	v0,-128\(v1\)
    209  2ae:	f71f ab40 	lhu	v0,-256\(v1\)
    210  2b2:	f61f ab40 	lhu	v0,-512\(v1\)
    211  2b6:	f41f ab40 	lhu	v0,-1024\(v1\)
    212  2ba:	f01f ab40 	lhu	v0,-2048\(v1\)
    213  2be:	8340      	lb	v0,0\(v1\)
    214  2c0:	8341      	lb	v0,1\(v1\)
    215  2c2:	8342      	lb	v0,2\(v1\)
    216  2c4:	8343      	lb	v0,3\(v1\)
    217  2c6:	8344      	lb	v0,4\(v1\)
    218  2c8:	8348      	lb	v0,8\(v1\)
    219  2ca:	8350      	lb	v0,16\(v1\)
    220  2cc:	f020 8340 	lb	v0,32\(v1\)
    221  2d0:	f040 8340 	lb	v0,64\(v1\)
    222  2d4:	f080 8340 	lb	v0,128\(v1\)
    223  2d8:	f100 8340 	lb	v0,256\(v1\)
    224  2dc:	f200 8340 	lb	v0,512\(v1\)
    225  2e0:	f400 8340 	lb	v0,1024\(v1\)
    226  2e4:	f001 8340 	lb	v0,2048\(v1\)
    227  2e8:	f7ff 835f 	lb	v0,-1\(v1\)
    228  2ec:	f7ff 835e 	lb	v0,-2\(v1\)
    229  2f0:	f7ff 835d 	lb	v0,-3\(v1\)
    230  2f4:	f7ff 835c 	lb	v0,-4\(v1\)
    231  2f8:	f7ff 8358 	lb	v0,-8\(v1\)
    232  2fc:	f7ff 8350 	lb	v0,-16\(v1\)
    233  300:	f7ff 8340 	lb	v0,-32\(v1\)
    234  304:	f7df 8340 	lb	v0,-64\(v1\)
    235  308:	f79f 8340 	lb	v0,-128\(v1\)
    236  30c:	f71f 8340 	lb	v0,-256\(v1\)
    237  310:	f61f 8340 	lb	v0,-512\(v1\)
    238  314:	f41f 8340 	lb	v0,-1024\(v1\)
    239  318:	f01f 8340 	lb	v0,-2048\(v1\)
    240  31c:	a340      	lbu	v0,0\(v1\)
    241  31e:	a341      	lbu	v0,1\(v1\)
    242  320:	a342      	lbu	v0,2\(v1\)
    243  322:	a343      	lbu	v0,3\(v1\)
    244  324:	a344      	lbu	v0,4\(v1\)
    245  326:	a348      	lbu	v0,8\(v1\)
    246  328:	a350      	lbu	v0,16\(v1\)
    247  32a:	f020 a340 	lbu	v0,32\(v1\)
    248  32e:	f040 a340 	lbu	v0,64\(v1\)
    249  332:	f080 a340 	lbu	v0,128\(v1\)
    250  336:	f100 a340 	lbu	v0,256\(v1\)
    251  33a:	f200 a340 	lbu	v0,512\(v1\)
    252  33e:	f400 a340 	lbu	v0,1024\(v1\)
    253  342:	f001 a340 	lbu	v0,2048\(v1\)
    254  346:	f7ff a35f 	lbu	v0,-1\(v1\)
    255  34a:	f7ff a35e 	lbu	v0,-2\(v1\)
    256  34e:	f7ff a35d 	lbu	v0,-3\(v1\)
    257  352:	f7ff a35c 	lbu	v0,-4\(v1\)
    258  356:	f7ff a358 	lbu	v0,-8\(v1\)
    259  35a:	f7ff a350 	lbu	v0,-16\(v1\)
    260  35e:	f7ff a340 	lbu	v0,-32\(v1\)
    261  362:	f7df a340 	lbu	v0,-64\(v1\)
    262  366:	f79f a340 	lbu	v0,-128\(v1\)
    263  36a:	f71f a340 	lbu	v0,-256\(v1\)
    264  36e:	f61f a340 	lbu	v0,-512\(v1\)
    265  372:	f41f a340 	lbu	v0,-1024\(v1\)
    266  376:	f01f a340 	lbu	v0,-2048\(v1\)
    267  37a:	7b40      	sd	v0,0\(v1\)
    268  37c:	f000 7b41 	sd	v0,1\(v1\)
    269  380:	f000 7b42 	sd	v0,2\(v1\)
    270  384:	f000 7b43 	sd	v0,3\(v1\)
    271  388:	f000 7b44 	sd	v0,4\(v1\)
    272  38c:	7b41      	sd	v0,8\(v1\)
    273  38e:	7b42      	sd	v0,16\(v1\)
    274  390:	7b44      	sd	v0,32\(v1\)
    275  392:	7b48      	sd	v0,64\(v1\)
    276  394:	7b50      	sd	v0,128\(v1\)
    277  396:	f100 7b40 	sd	v0,256\(v1\)
    278  39a:	f200 7b40 	sd	v0,512\(v1\)
    279  39e:	f400 7b40 	sd	v0,1024\(v1\)
    280  3a2:	f001 7b40 	sd	v0,2048\(v1\)
    281  3a6:	f7ff 7b5f 	sd	v0,-1\(v1\)
    282  3aa:	f7ff 7b5e 	sd	v0,-2\(v1\)
    283  3ae:	f7ff 7b5d 	sd	v0,-3\(v1\)
    284  3b2:	f7ff 7b5c 	sd	v0,-4\(v1\)
    285  3b6:	f7ff 7b58 	sd	v0,-8\(v1\)
    286  3ba:	f7ff 7b50 	sd	v0,-16\(v1\)
    287  3be:	f7ff 7b40 	sd	v0,-32\(v1\)
    288  3c2:	f7df 7b40 	sd	v0,-64\(v1\)
    289  3c6:	f79f 7b40 	sd	v0,-128\(v1\)
    290  3ca:	f71f 7b40 	sd	v0,-256\(v1\)
    291  3ce:	f61f 7b40 	sd	v0,-512\(v1\)
    292  3d2:	f41f 7b40 	sd	v0,-1024\(v1\)
    293  3d6:	f01f 7b40 	sd	v0,-2048\(v1\)
    294  3da:	f940      	sd	v0,0\(sp\)
    295  3dc:	f000 f941 	sd	v0,1\(sp\)
    296  3e0:	f000 f942 	sd	v0,2\(sp\)
    297  3e4:	f000 f943 	sd	v0,3\(sp\)
    298  3e8:	f000 f944 	sd	v0,4\(sp\)
    299  3ec:	f941      	sd	v0,8\(sp\)
    300  3ee:	f942      	sd	v0,16\(sp\)
    301  3f0:	f944      	sd	v0,32\(sp\)
    302  3f2:	f948      	sd	v0,64\(sp\)
    303  3f4:	f950      	sd	v0,128\(sp\)
    304  3f6:	f100 f940 	sd	v0,256\(sp\)
    305  3fa:	f200 f940 	sd	v0,512\(sp\)
    306  3fe:	f400 f940 	sd	v0,1024\(sp\)
    307  402:	f001 f940 	sd	v0,2048\(sp\)
    308  406:	f7ff f95f 	sd	v0,-1\(sp\)
    309  40a:	f7ff f95e 	sd	v0,-2\(sp\)
    310  40e:	f7ff f95d 	sd	v0,-3\(sp\)
    311  412:	f7ff f95c 	sd	v0,-4\(sp\)
    312  416:	f7ff f958 	sd	v0,-8\(sp\)
    313  41a:	f7ff f950 	sd	v0,-16\(sp\)
    314  41e:	f7ff f940 	sd	v0,-32\(sp\)
    315  422:	f7df f940 	sd	v0,-64\(sp\)
    316  426:	f79f f940 	sd	v0,-128\(sp\)
    317  42a:	f71f f940 	sd	v0,-256\(sp\)
    318  42e:	f61f f940 	sd	v0,-512\(sp\)
    319  432:	f41f f940 	sd	v0,-1024\(sp\)
    320  436:	f01f f940 	sd	v0,-2048\(sp\)
    321  43a:	fa00      	sd	ra,0\(sp\)
    322  43c:	f000 fa01 	sd	ra,1\(sp\)
    323  440:	f000 fa02 	sd	ra,2\(sp\)
    324  444:	f000 fa03 	sd	ra,3\(sp\)
    325  448:	f000 fa04 	sd	ra,4\(sp\)
    326  44c:	fa01      	sd	ra,8\(sp\)
    327  44e:	fa02      	sd	ra,16\(sp\)
    328  450:	fa04      	sd	ra,32\(sp\)
    329  452:	fa08      	sd	ra,64\(sp\)
    330  454:	fa10      	sd	ra,128\(sp\)
    331  456:	fa20      	sd	ra,256\(sp\)
    332  458:	fa40      	sd	ra,512\(sp\)
    333  45a:	fa80      	sd	ra,1024\(sp\)
    334  45c:	f001 fa00 	sd	ra,2048\(sp\)
    335  460:	f7ff fa1f 	sd	ra,-1\(sp\)
    336  464:	f7ff fa1e 	sd	ra,-2\(sp\)
    337  468:	f7ff fa1d 	sd	ra,-3\(sp\)
    338  46c:	f7ff fa1c 	sd	ra,-4\(sp\)
    339  470:	f7ff fa18 	sd	ra,-8\(sp\)
    340  474:	f7ff fa10 	sd	ra,-16\(sp\)
    341  478:	f7ff fa00 	sd	ra,-32\(sp\)
    342  47c:	f7df fa00 	sd	ra,-64\(sp\)
    343  480:	f79f fa00 	sd	ra,-128\(sp\)
    344  484:	f71f fa00 	sd	ra,-256\(sp\)
    345  488:	f61f fa00 	sd	ra,-512\(sp\)
    346  48c:	f41f fa00 	sd	ra,-1024\(sp\)
    347  490:	f01f fa00 	sd	ra,-2048\(sp\)
    348  494:	db40      	sw	v0,0\(v1\)
    349  496:	f000 db41 	sw	v0,1\(v1\)
    350  49a:	f000 db42 	sw	v0,2\(v1\)
    351  49e:	f000 db43 	sw	v0,3\(v1\)
    352  4a2:	db41      	sw	v0,4\(v1\)
    353  4a4:	db42      	sw	v0,8\(v1\)
    354  4a6:	db44      	sw	v0,16\(v1\)
    355  4a8:	db48      	sw	v0,32\(v1\)
    356  4aa:	db50      	sw	v0,64\(v1\)
    357  4ac:	f080 db40 	sw	v0,128\(v1\)
    358  4b0:	f100 db40 	sw	v0,256\(v1\)
    359  4b4:	f200 db40 	sw	v0,512\(v1\)
    360  4b8:	f400 db40 	sw	v0,1024\(v1\)
    361  4bc:	f001 db40 	sw	v0,2048\(v1\)
    362  4c0:	f7ff db5f 	sw	v0,-1\(v1\)
    363  4c4:	f7ff db5e 	sw	v0,-2\(v1\)
    364  4c8:	f7ff db5d 	sw	v0,-3\(v1\)
    365  4cc:	f7ff db5c 	sw	v0,-4\(v1\)
    366  4d0:	f7ff db58 	sw	v0,-8\(v1\)
    367  4d4:	f7ff db50 	sw	v0,-16\(v1\)
    368  4d8:	f7ff db40 	sw	v0,-32\(v1\)
    369  4dc:	f7df db40 	sw	v0,-64\(v1\)
    370  4e0:	f79f db40 	sw	v0,-128\(v1\)
    371  4e4:	f71f db40 	sw	v0,-256\(v1\)
    372  4e8:	f61f db40 	sw	v0,-512\(v1\)
    373  4ec:	f41f db40 	sw	v0,-1024\(v1\)
    374  4f0:	f01f db40 	sw	v0,-2048\(v1\)
    375  4f4:	d200      	sw	v0,0\(sp\)
    376  4f6:	f000 d201 	sw	v0,1\(sp\)
    377  4fa:	f000 d202 	sw	v0,2\(sp\)
    378  4fe:	f000 d203 	sw	v0,3\(sp\)
    379  502:	d201      	sw	v0,4\(sp\)
    380  504:	d202      	sw	v0,8\(sp\)
    381  506:	d204      	sw	v0,16\(sp\)
    382  508:	d208      	sw	v0,32\(sp\)
    383  50a:	d210      	sw	v0,64\(sp\)
    384  50c:	d220      	sw	v0,128\(sp\)
    385  50e:	d240      	sw	v0,256\(sp\)
    386  510:	d280      	sw	v0,512\(sp\)
    387  512:	f400 d200 	sw	v0,1024\(sp\)
    388  516:	f001 d200 	sw	v0,2048\(sp\)
    389  51a:	f7ff d21f 	sw	v0,-1\(sp\)
    390  51e:	f7ff d21e 	sw	v0,-2\(sp\)
    391  522:	f7ff d21d 	sw	v0,-3\(sp\)
    392  526:	f7ff d21c 	sw	v0,-4\(sp\)
    393  52a:	f7ff d218 	sw	v0,-8\(sp\)
    394  52e:	f7ff d210 	sw	v0,-16\(sp\)
    395  532:	f7ff d200 	sw	v0,-32\(sp\)
    396  536:	f7df d200 	sw	v0,-64\(sp\)
    397  53a:	f79f d200 	sw	v0,-128\(sp\)
    398  53e:	f71f d200 	sw	v0,-256\(sp\)
    399  542:	f61f d200 	sw	v0,-512\(sp\)
    400  546:	f41f d200 	sw	v0,-1024\(sp\)
    401  54a:	f01f d200 	sw	v0,-2048\(sp\)
    402  54e:	6200      	sw	ra,0\(sp\)
    403  550:	f000 6201 	sw	ra,1\(sp\)
    404  554:	f000 6202 	sw	ra,2\(sp\)
    405  558:	f000 6203 	sw	ra,3\(sp\)
    406  55c:	6201      	sw	ra,4\(sp\)
    407  55e:	6202      	sw	ra,8\(sp\)
    408  560:	6204      	sw	ra,16\(sp\)
    409  562:	6208      	sw	ra,32\(sp\)
    410  564:	6210      	sw	ra,64\(sp\)
    411  566:	6220      	sw	ra,128\(sp\)
    412  568:	6240      	sw	ra,256\(sp\)
    413  56a:	6280      	sw	ra,512\(sp\)
    414  56c:	f400 6200 	sw	ra,1024\(sp\)
    415  570:	f001 6200 	sw	ra,2048\(sp\)
    416  574:	f7ff 621f 	sw	ra,-1\(sp\)
    417  578:	f7ff 621e 	sw	ra,-2\(sp\)
    418  57c:	f7ff 621d 	sw	ra,-3\(sp\)
    419  580:	f7ff 621c 	sw	ra,-4\(sp\)
    420  584:	f7ff 6218 	sw	ra,-8\(sp\)
    421  588:	f7ff 6210 	sw	ra,-16\(sp\)
    422  58c:	f7ff 6200 	sw	ra,-32\(sp\)
    423  590:	f7df 6200 	sw	ra,-64\(sp\)
    424  594:	f79f 6200 	sw	ra,-128\(sp\)
    425  598:	f71f 6200 	sw	ra,-256\(sp\)
    426  59c:	f61f 6200 	sw	ra,-512\(sp\)
    427  5a0:	f41f 6200 	sw	ra,-1024\(sp\)
    428  5a4:	f01f 6200 	sw	ra,-2048\(sp\)
    429  5a8:	cb40      	sh	v0,0\(v1\)
    430  5aa:	f000 cb41 	sh	v0,1\(v1\)
    431  5ae:	cb41      	sh	v0,2\(v1\)
    432  5b0:	f000 cb43 	sh	v0,3\(v1\)
    433  5b4:	cb42      	sh	v0,4\(v1\)
    434  5b6:	cb44      	sh	v0,8\(v1\)
    435  5b8:	cb48      	sh	v0,16\(v1\)
    436  5ba:	cb50      	sh	v0,32\(v1\)
    437  5bc:	f040 cb40 	sh	v0,64\(v1\)
    438  5c0:	f080 cb40 	sh	v0,128\(v1\)
    439  5c4:	f100 cb40 	sh	v0,256\(v1\)
    440  5c8:	f200 cb40 	sh	v0,512\(v1\)
    441  5cc:	f400 cb40 	sh	v0,1024\(v1\)
    442  5d0:	f001 cb40 	sh	v0,2048\(v1\)
    443  5d4:	f7ff cb5f 	sh	v0,-1\(v1\)
    444  5d8:	f7ff cb5e 	sh	v0,-2\(v1\)
    445  5dc:	f7ff cb5d 	sh	v0,-3\(v1\)
    446  5e0:	f7ff cb5c 	sh	v0,-4\(v1\)
    447  5e4:	f7ff cb58 	sh	v0,-8\(v1\)
    448  5e8:	f7ff cb50 	sh	v0,-16\(v1\)
    449  5ec:	f7ff cb40 	sh	v0,-32\(v1\)
    450  5f0:	f7df cb40 	sh	v0,-64\(v1\)
    451  5f4:	f79f cb40 	sh	v0,-128\(v1\)
    452  5f8:	f71f cb40 	sh	v0,-256\(v1\)
    453  5fc:	f61f cb40 	sh	v0,-512\(v1\)
    454  600:	f41f cb40 	sh	v0,-1024\(v1\)
    455  604:	f01f cb40 	sh	v0,-2048\(v1\)
    456  608:	c340      	sb	v0,0\(v1\)
    457  60a:	c341      	sb	v0,1\(v1\)
    458  60c:	c342      	sb	v0,2\(v1\)
    459  60e:	c343      	sb	v0,3\(v1\)
    460  610:	c344      	sb	v0,4\(v1\)
    461  612:	c348      	sb	v0,8\(v1\)
    462  614:	c350      	sb	v0,16\(v1\)
    463  616:	f020 c340 	sb	v0,32\(v1\)
    464  61a:	f040 c340 	sb	v0,64\(v1\)
    465  61e:	f080 c340 	sb	v0,128\(v1\)
    466  622:	f100 c340 	sb	v0,256\(v1\)
    467  626:	f200 c340 	sb	v0,512\(v1\)
    468  62a:	f400 c340 	sb	v0,1024\(v1\)
    469  62e:	f001 c340 	sb	v0,2048\(v1\)
    470  632:	f7ff c35f 	sb	v0,-1\(v1\)
    471  636:	f7ff c35e 	sb	v0,-2\(v1\)
    472  63a:	f7ff c35d 	sb	v0,-3\(v1\)
    473  63e:	f7ff c35c 	sb	v0,-4\(v1\)
    474  642:	f7ff c358 	sb	v0,-8\(v1\)
    475  646:	f7ff c350 	sb	v0,-16\(v1\)
    476  64a:	f7ff c340 	sb	v0,-32\(v1\)
    477  64e:	f7df c340 	sb	v0,-64\(v1\)
    478  652:	f79f c340 	sb	v0,-128\(v1\)
    479  656:	f71f c340 	sb	v0,-256\(v1\)
    480  65a:	f61f c340 	sb	v0,-512\(v1\)
    481  65e:	f41f c340 	sb	v0,-1024\(v1\)
    482  662:	f01f c340 	sb	v0,-2048\(v1\)
    483  666:	6a00      	li	v0,0
    484  668:	6a01      	li	v0,1
    485  66a:	f100 6a00 	li	v0,256
    486  66e:	675e      	move	v0,s8
    487  670:	6592      	move	s4,v0
    488  672:	4350      	daddiu	v0,v1,0
    489  674:	4351      	daddiu	v0,v1,1
    490  676:	435f      	daddiu	v0,v1,-1
    491  678:	f010 4350 	daddiu	v0,v1,16
    492  67c:	f7ff 4350 	daddiu	v0,v1,-16
    493  680:	e388      	daddu	v0,v1,a0
    494  682:	fd40      	daddiu	v0,0
    495  684:	fd41      	daddiu	v0,1
    496  686:	fd5f      	daddiu	v0,-1
    497  688:	f020 fd40 	daddiu	v0,32
    498  68c:	f7ff fd40 	daddiu	v0,-32
    499  690:	f080 fd40 	daddiu	v0,128
    500  694:	f79f fd40 	daddiu	v0,-128
    501  698:	f17f fe48 	dla	v0,0 <data1>
    502  69c:	f080 fe40 	dla	v0,71c <data2>
    503  6a0:	f1c0 fe48 	dla	v0,868 <bar>
    504  6a4:	f280 fe4c 	dla	v0,930 <quux>
    505  6a8:	fb00      	daddiu	sp,0
    506  6aa:	f000 fb01 	daddiu	sp,1
    507  6ae:	f7ff fb1f 	daddiu	sp,-1
    508  6b2:	fb20      	daddiu	sp,256
    509  6b4:	fbe0      	daddiu	sp,-256
    510  6b6:	ff40      	daddiu	v0,sp,0
    511  6b8:	f000 ff41 	daddiu	v0,sp,1
    512  6bc:	f7ff ff5f 	daddiu	v0,sp,-1
    513  6c0:	ff48      	daddiu	v0,sp,32
    514  6c2:	f7ff ff40 	daddiu	v0,sp,-32
    515  6c6:	f080 ff40 	daddiu	v0,sp,128
    516  6ca:	f79f ff40 	daddiu	v0,sp,-128
    517  6ce:	4340      	addiu	v0,v1,0
    518  6d0:	4341      	addiu	v0,v1,1
    519  6d2:	434f      	addiu	v0,v1,-1
    520  6d4:	f010 4340 	addiu	v0,v1,16
    521  6d8:	f7ff 4340 	addiu	v0,v1,-16
    522  6dc:	e389      	addu	v0,v1,a0
    523  6de:	4a00      	addiu	v0,0
    524  6e0:	4a01      	addiu	v0,1
    525  6e2:	4aff      	addiu	v0,-1
    526  6e4:	4a20      	addiu	v0,32
    527  6e6:	4ae0      	addiu	v0,-32
    528  6e8:	f080 4a00 	addiu	v0,128
    529  6ec:	4a80      	addiu	v0,-128
    530  6ee:	f11f 0a14 	la	v0,0 <data1>
    531  6f2:	0a0b      	la	v0,71c <data2>
    532  6f4:	0a5d      	la	v0,868 <bar>
    533  6f6:	0a8f      	la	v0,930 <quux>
    534  6f8:	6300      	addiu	sp,0
    535  6fa:	f000 6301 	addiu	sp,1
    536  6fe:	f7ff 631f 	addiu	sp,-1
    537  702:	6320      	addiu	sp,256
    538  704:	63e0      	addiu	sp,-256
    539  706:	0200      	addiu	v0,sp,0
    540  708:	f000 0201 	addiu	v0,sp,1
    541  70c:	f7ff 021f 	addiu	v0,sp,-1
    542  710:	0208      	addiu	v0,sp,32
    543  712:	f7ff 0200 	addiu	v0,sp,-32
    544  716:	0220      	addiu	v0,sp,128
    545  718:	f79f 0200 	addiu	v0,sp,-128
    546 
    547 0+00071c <data2>:
    548  71c:	00000000 	nop
    549 
    550 0+000720 <insns2>:
    551  720:	e38a      	dsubu	v0,v1,a0
    552  722:	e38b      	subu	v0,v1,a0
    553  724:	ea6b      	neg	v0,v1
    554  726:	ea6c      	and	v0,v1
    555  728:	ea6d      	or	v0,v1
    556  72a:	ea6e      	xor	v0,v1
    557  72c:	ea6f      	not	v0,v1
    558  72e:	5200      	slti	v0,0
    559  730:	5201      	slti	v0,1
    560  732:	f7ff 521f 	slti	v0,-1
    561  736:	52ff      	slti	v0,255
    562  738:	f100 5200 	slti	v0,256
    563  73c:	ea62      	slt	v0,v1
    564  73e:	5a00      	sltiu	v0,0
    565  740:	5a01      	sltiu	v0,1
    566  742:	f7ff 5a1f 	sltiu	v0,-1
    567  746:	5aff      	sltiu	v0,255
    568  748:	f100 5a00 	sltiu	v0,256
    569  74c:	ea63      	sltu	v0,v1
    570  74e:	7200      	cmpi	v0,0
    571  750:	7201      	cmpi	v0,1
    572  752:	72ff      	cmpi	v0,255
    573  754:	f100 7200 	cmpi	v0,256
    574  758:	ea6a      	cmp	v0,v1
    575  75a:	f000 3261 	dsll	v0,v1,0
    576  75e:	3265      	dsll	v0,v1,1
    577  760:	3261      	dsll	v0,v1,8
    578  762:	f240 3261 	dsll	v0,v1,9
    579  766:	f7e0 3261 	dsll	v0,v1,63
    580  76a:	eb54      	dsllv	v0,v1
    581  76c:	f000 e848 	dsrl	v0,0
    582  770:	e948      	dsrl	v0,1
    583  772:	e848      	dsrl	v0,8
    584  774:	f240 e848 	dsrl	v0,9
    585  778:	f7e0 e848 	dsrl	v0,63
    586  77c:	eb56      	dsrlv	v0,v1
    587  77e:	f000 e853 	dsra	v0,0
    588  782:	e953      	dsra	v0,1
    589  784:	e853      	dsra	v0,8
    590  786:	f240 e853 	dsra	v0,9
    591  78a:	f7e0 e853 	dsra	v0,63
    592  78e:	eb57      	dsrav	v0,v1
    593  790:	ea12      	mflo	v0
    594  792:	eb10      	mfhi	v1
    595  794:	f000 3260 	sll	v0,v1,0
    596  798:	3264      	sll	v0,v1,1
    597  79a:	3260      	sll	v0,v1,8
    598  79c:	f240 3260 	sll	v0,v1,9
    599  7a0:	f7c0 3260 	sll	v0,v1,31
    600  7a4:	eb44      	sllv	v0,v1
    601  7a6:	f000 3262 	srl	v0,v1,0
    602  7aa:	3266      	srl	v0,v1,1
    603  7ac:	3262      	srl	v0,v1,8
    604  7ae:	f240 3262 	srl	v0,v1,9
    605  7b2:	f7c0 3262 	srl	v0,v1,31
    606  7b6:	eb46      	srlv	v0,v1
    607  7b8:	f000 3263 	sra	v0,v1,0
    608  7bc:	3267      	sra	v0,v1,1
    609  7be:	3263      	sra	v0,v1,8
    610  7c0:	f240 3263 	sra	v0,v1,9
    611  7c4:	f7c0 3263 	sra	v0,v1,31
    612  7c8:	eb47      	srav	v0,v1
    613  7ca:	ea7c      	dmult	v0,v1
    614  7cc:	ea7d      	dmultu	v0,v1
    615  7ce:	ea7e      	ddiv	zero,v0,v1
    616  7d0:	2b01      	bnez	v1,7d4 <insns2\+(0x|)b4>
    617  7d2:	e8e5      	break	7
    618  7d4:	ea12      	mflo	v0
    619  7d6:	6500      	nop
    620  7d8:	6500      	nop
    621  7da:	ea7f      	ddivu	zero,v0,v1
    622  7dc:	2b01      	bnez	v1,7e0 <insns2\+(0x|)c0>
    623  7de:	e8e5      	break	7
    624  7e0:	ea12      	mflo	v0
    625  7e2:	6500      	nop
    626  7e4:	6500      	nop
    627  7e6:	ea78      	mult	v0,v1
    628  7e8:	ea79      	multu	v0,v1
    629  7ea:	ea7a      	div	zero,v0,v1
    630  7ec:	2b01      	bnez	v1,7f0 <insns2\+(0x|)d0>
    631  7ee:	e8e5      	break	7
    632  7f0:	ea12      	mflo	v0
    633  7f2:	6500      	nop
    634  7f4:	6500      	nop
    635  7f6:	ea7b      	divu	zero,v0,v1
    636  7f8:	2b01      	bnez	v1,7fc <insns2\+(0x|)dc>
    637  7fa:	e8e5      	break	7
    638  7fc:	ea12      	mflo	v0
    639  7fe:	ea00      	jr	v0
    640  800:	6500      	nop
    641  802:	e820      	jr	ra
    642  804:	6500      	nop
    643  806:	ea40      	jalr	v0
    644  808:	6500      	nop
    645  80a:	f3ff 221b 	beqz	v0,4 <insns1>
    646  80e:	2288      	beqz	v0,720 <insns2>
    647  810:	222b      	beqz	v0,868 <bar>
    648  812:	f080 220d 	beqz	v0,930 <quux>
    649  816:	f3ff 2a15 	bnez	v0,4 <insns1>
    650  81a:	2a82      	bnez	v0,720 <insns2>
    651  81c:	2a25      	bnez	v0,868 <bar>
    652  81e:	f080 2a07 	bnez	v0,930 <quux>
    653  822:	f3ff 600f 	bteqz	4 <insns1>
    654  826:	f77f 601b 	bteqz	720 <insns2>
    655  82a:	601e      	bteqz	868 <bar>
    656  82c:	f080 6000 	bteqz	930 <quux>
    657  830:	f3ff 6108 	btnez	4 <insns1>
    658  834:	f77f 6114 	btnez	720 <insns2>
    659  838:	6117      	btnez	868 <bar>
    660  83a:	617a      	btnez	930 <quux>
    661  83c:	f3ff 1002 	b	4 <insns1>
    662  840:	176f      	b	720 <insns2>
    663  842:	1012      	b	868 <bar>
    664  844:	1075      	b	930 <quux>
    665  846:	e805      	break	0
    666  848:	e825      	break	1
    667  84a:	efe5      	break	63
    668  84c:	1800 0000 	jal	0 <data1>
    669 			84c: R_MIPS16_26	extern
    670 			84c: R_MIPS_NONE	\*ABS\*
    671 			84c: R_MIPS_NONE	\*ABS\*
    672  850:	6500      	nop
    673  852:	e809      	entry
    674  854:	e909      	entry	a0
    675  856:	eb49      	entry	a0-a2,s0
    676  858:	e8a9      	entry	s0-s1,ra
    677  85a:	e829      	entry	ra
    678  85c:	ef09      	exit
    679  85e:	ef49      	exit	s0
    680  860:	efa9      	exit	s0-s1,ra
    681  862:	ef29      	exit	ra
    682  864:	6500      	nop
    683  866:	6500      	nop
    684 
    685 0+000868 <bar>:
    686 	...
    687